id
stringlengths 9
10
| text
stringlengths 1
18.1M
| source
stringclasses 1
value | created
timestamp[s] | added
stringlengths 26
26
| metadata
dict |
---|---|---|---|---|---|
2107.11807 | Also at ]Key Laboratory of Artificial Structures and Quantum Control (Ministry
of Education), School of Physics and Astronomy, Shanghai Jiao Tong University,
800 Dong Chuan Road, Shanghai 200240, China Also at ]Key Laboratory of
Artificial Structures and Quantum Control (Ministry of Education), School of
Physics and Astronomy, Shanghai Jiao Tong University, 800 Dong Chuan Road,
Shanghai 200240, China
# Proposal for constraining non-Newtonian gravity at nm range via criticality
enhanced measurement of resonance frequency shift
Lei Chen [ [email protected] Jian Liu [ Ka-di Zhu Key
Laboratory of Artificial Structures and Quantum Control (Ministry of
Education), School of Physics and Astronomy, Shanghai Jiao Tong University,
800 Dong Chuan Road, Shanghai 200240, China
###### Abstract
We propose a quantum mechanical method of constraining non-Newtonian gravity
at the nanometer range. In this method, a hybrid electro-optomechanical system
is employed. Applying a strong driving field, we can obtain normal mode
splitting of the electromechanical subsystem which is related to the resonance
frequency of the mechanical oscillator. Moreover, we investigate the
relationship between the variance of normal mode splitting and the resonance
frequency shift induced by the gradient of exotic forces provided that our
system is operated at critical points. Furthermore, via suppressing the
Casimir background, we set a constraint on the non-Newtonian gravity which
improves the previous bounds by about a factor of 7 at 1 nanometer range. Our
results indicate that our method could be put into consideration in relevant
experimental searches.
††preprint: APS/123-QED
## I Introduction
Though gravity is well described by the Newtonian inverse-square law in the
nonrelativistic limit in a weak gravitational field, it is poorly
characterized in the short range [1]. In this range, the gravitational
potential between two masses $m_{1}$ and $m_{2}$ separated by distance $r$ can
be modified as Yukawa potential
$\displaystyle V_{Yu}(r)=-G\frac{m_{1}m_{2}}{r}(1+\alpha e^{-r/\lambda}),$ (1)
where $G$ is the Newtonian gravitational constant, $\alpha$ is the strength of
any new interaction, $\lambda=\hbar/m_{b}c$ is the interaction range, and
$m_{b}$ is the mass of the exchanged boson. Due to the requirement of unifying
gravity and particle physics, solving the cosmological-constant problem
etc.[2], amounts of short-range gravity experiments [3, 4, 5, 6, 7, 8, 9, 10,
11, 12, 13, 14, 15, 16, 17, 1] have been conducted. So far, for the purposes
of constraining non-Newtonian gravity and testing the gravitational inverse
square law at short ranges, many experimental methods have been developed and
various kinds of device have been put into use [2, 18, 19]. However, the
Yukawa interaction also called non-Newtonian gravity can be well constrained
only down to the submillimeter range [1], and it at the ultrashort ranges
still needs to be investigated.
In this paper, we develop a quantum mechanical method to constrain Yukawa
interaction at short ranges. In our method, a hybrid system consisting of a
mechanical oscillator, an optical cavity and a microwave resonator is put into
use. Via driving the microwave resonator with a strong field, we can attain
the splitting of normal modes of the electromechanical subsystem. The gradient
of exotic forces would induce the resonance frequency shift of the mechanical
oscillator, resulting in the variance of the normal mode splitting. Based on
the relationship between the frequency shift and the variance of splitting, ,
we establish our detection principles. Furthermore, we demonstrate how $G$
criticality enhances our detection. Via calculation and reasonable estimation,
we set a constraint on the non-Newtonian gravity which is most stringent at
about $3\times{10}^{-10}m<\lambda<5\times{10}^{-8}m$. Finally, we hope our
method would be realized experimentally in the near future.
The remainder of the paper is organized as follows: In Sec. II we present our
theoretical model, in Sec. III we propose the detection principles, in Sec. IV
we summarize the paper. In addition, there are two subsections in Sec. III: in
the first subsection we focus on the measurement of resonance frequency shift,
in the second one we set a constraint.
## II Theoretical model
Figure 1: Schematic diagram of the proposed system. A mechanical oscillator
is simultaneously coupled to an optical cavity and a microwave LC resonator .
Figure 2: Criticality of the electromechanical subsystem. (a,c)
$\omega_{-}^{2}$ and $\omega_{\pm}$ as functions of $G$. As $G$ crosses the
critical point $G^{cp}$, $\omega_{-}$ changes from real to pure imaginary. The
parameters used are $\omega_{b}=10^{5}Hz$ and $\Delta_{c}/\omega_{b}=1$. (b,d)
$\omega_{-}^{2}$ and $\omega_{\pm}$ as functions of $\Delta_{c}$. $\omega_{-}$
changes from pure imaginary to real when $\Delta_{c}$ crosses the critical
point $\Delta_{c}^{cp}$. Here $\omega_{b}$ still takes the value of $10^{5}Hz$
and the linearized electromechanical coupling strength is $G/\omega_{b}=0.5$.
We consider a hybrid electro-optomechanical system. In this system, a
mechanical oscillator is coupled to both an optical cavity and a microwave
resonator. The microwave resonator is driven by a strong field with amplitude
$\varepsilon_{c}$ and frequency $\omega_{ci}$ , where $\varepsilon_{c}$ is
related to the input microwave power $P$ and microwave decay rate $\kappa_{c}$
by $|\varepsilon_{c}|=\sqrt{2P\kappa_{c}/\hbar\omega_{ci}}$. In a frame
rotating with frequency $\omega_{ci}$, the Hamiltonian of our system can be
described as
$\displaystyle\hat{H}/\hbar=$
$\displaystyle\delta_{c}{\hat{c}}^{+}\hat{c}+\omega_{a}{\hat{a}}^{+}\hat{a}+\omega_{b}{\hat{b}}^{+}\hat{b}+g_{a}{\hat{a}}^{+}\hat{a}({\hat{b}}^{+}+\hat{b})$
$\displaystyle+g_{c}{\hat{c}}^{+}\hat{c}({\hat{b}}^{+}+\hat{b})+i\varepsilon_{c}({\hat{c}}^{+}-\hat{c}),$
(2)
where the detuning $\delta_{c}=\omega_{c}-\omega_{ci}$ and the microwave
frequency $\omega_{c}=1/\sqrt{LC}$, $g_{a}(g_{c})$ denotes the optomechanical
(electromechanical) coupling strength at the single-photon level, and
$\hat{a}$ ($\hat{b}$ or $\hat{c}$) is the annihilation operator of the optical
cavity (the mechanical oscillator or the microwave resonator). Since the
coherent driving is strong, the dynamics of our system can generally be well
approximated by a linearised description[20]. According to [21, 22, 23], Eq.
(2) can be transformed into
$\displaystyle{\hat{H}}_{lin}/\hbar=$
$\displaystyle\Delta_{c}{\hat{c}}^{+}\hat{c}+{\tilde{\omega}}_{a}{\hat{a}}^{+}\hat{a}+\omega_{b}{\hat{b}}^{+}\hat{b}+g_{a}{\hat{a}}^{+}\hat{a}({\hat{b}}^{+}+\hat{b})$
$\displaystyle-G({\hat{c}}^{+}+\hat{c})({\hat{b}}^{+}+\hat{b}),$ (3)
with
$\displaystyle G=$ $\displaystyle
g_{c}\sqrt{\frac{2P\kappa_{c}}{\hbar(\omega_{c}-\delta_{c})(\kappa_{c}^{2}+\Delta^{2}_{c})}},$
(4a) $\displaystyle\Delta_{c}=$
$\displaystyle\delta_{c}-\frac{4g^{2}_{c}P\kappa_{c}}{\hbar\omega_{b}(\omega_{c}-\delta_{c})(\kappa_{c}^{2}+\Delta^{2}_{c})},$
(4b) $\displaystyle{\tilde{\omega}}_{a}=$
$\displaystyle\omega_{a}-\frac{4g_{a}g_{c}P\kappa_{c}}{\hbar\omega_{b}(\omega_{c}-\delta_{c})(\kappa_{c}^{2}+\Delta^{2}_{c})},$
(4c)
where $G$ is the linearized electromechanical coupling strength, $\Delta_{c}$
is the effective microwave detuning, and ${\tilde{\omega}}_{a}$ is the
redefined optical frequency.
For the purpose of demonstrating the criticality in the electromechanical
subsystem, we employ the method used in [24] and diagonalize this subsystem.
As a result, the Hamiltonian ${\hat{H}}_{lin}$ becomes
$\displaystyle{\hat{H}}_{dia}/\hbar=$
$\displaystyle\omega_{-}{\hat{B}}^{+}_{-}{\hat{B}}_{-}+\omega_{+}{\hat{B}}^{+}_{+}{\hat{B}}_{+}+{\tilde{\omega}}_{a}{\hat{a}}^{+}\hat{a}$
$\displaystyle+g_{-}{\hat{a}}^{+}\hat{a}({\hat{B}}^{+}_{-}+{\hat{B}}_{-})+g_{+}{\hat{a}}^{+}\hat{a}({\hat{B}}^{+}_{+}+{\hat{B}}_{+}),$
(5)
with
$\displaystyle\omega_{\pm}^{2}=\frac{1}{2}\left(\Delta_{c}^{2}+\omega_{b}^{2}\pm\sqrt{(\omega^{2}_{b}-\Delta_{c}^{2})^{2}+16G^{2}\Delta_{c}\omega_{b}}\right),$
(6)
where $\omega_{\pm}$ are the normal mode frequencies of the subsystem,
$g_{\pm}$ are the effective coupling strengths between the optical photon and
the normal modes.
From Eq.(6), we derive $\omega_{-}=0$ if
$\Delta_{c}\omega_{b}=4G^{2}.$ (7)
Based this equation, we define $G^{cp}=\sqrt{\Delta_{c}\omega_{b}}/2$ and
$\Delta^{cp}_{c}=\frac{4G^{2}}{\omega_{b}}$. Obviously, when the values of
$\Delta_{c}$ and $\omega_{b}$ are fixed and $G$ increases from
$G^{cp}=\sqrt{\Delta_{c}\omega_{b}}/2$, $\omega_{-}^{2}$ would change from
zero to negative, as shown in Fig. 2(a). Similarly, when the values of $G$ and
$\omega_{b}$ are specified and $\Delta_{c}$ varies from $\Delta^{cp}_{c}$,
$\omega_{-}^{2}$ possibly changes from zero to negative, as shown in Fig.
2(b). The decrease of $\omega_{-}^{2}$ here corresponds to a critical behavior
[25]. Along with the decrease of $\omega_{-}^{2}$ , the normal mode
$\omega_{-}$ is a standard harmonic oscillator at first ($\omega_{-}^{2}>0$).
Then it does not have a bound spectrum and is a free
particle($\omega_{-}^{2}=0$), and finally is dynamically unstable
($\omega_{-}^{2}<0$). Note that $\omega_{-}^{2}<0$ means that $\omega_{-}$ is
imaginary. Figure 2. (c) and (d) illustrate the variance of $\omega_{\pm}$.
Normal mode splitting is defined as
$d=\omega_{+}-\omega_{-}.$ (8)
Combining Eq. (6) and (8), we derive
$\displaystyle d=$
$\displaystyle\sqrt{\frac{1}{2}\left(\Delta_{c}^{2}+\omega_{b}^{2}+\sqrt{(\omega_{b}^{2}-\Delta_{c}^{2})^{2}+16G^{2}\Delta_{c}\omega_{b}}\right)}$
$\displaystyle-\sqrt{\frac{1}{2}\left(\Delta_{c}^{2}+\omega_{b}^{2}-\sqrt{(\omega_{b}^{2}-\Delta_{c}^{2})^{2}+16G^{2}\Delta_{c}\omega_{b}}\right)}.$
(9)
Till now, our theoretical model has been established. Then we propose our
principles of detecting non-Newtonian gravity in the following.
## III The detection principles
Figure 3: The setup for the detection of non-Newtonian gravity. A detector
with a membrane located in one end is placed near to the source mass with a
separation $a$. The source mass is composed of two blocks which are made of
${}^{58}Ni$ and ${}^{64}Ni$ respectively.
Here we design a micro-size detector whose internal structure is based on the
system in Fig. 1. In this detector, a 1nm thick membrane as seen in Fig. 3
plays the role of the mechanical oscillator in that system. Two centimeter-
scale blocks made of two isotopes of nickel, i.e., ${}^{58}Ni$ and ${}^{64}Ni$
respectively constitute the source mass. As shown in Fig. 3 when the membrane
is separated from the source mass with a distance $a\sim 5nm$, some exotic
forces such as Casimir force may exist between the two. The total force
gradient from the source mass acting on the membrane modifies its resonance
frequency. According to [26, 27, 28], we have
$\frac{\delta\omega_{b}}{\omega_{b}}=-\frac{1}{2m_{b}\omega_{b}^{2}}\frac{\partial{F_{total}(a)}}{\partial{a}},$
(10)
where the frequency shift is
$\delta\omega_{b}=\omega_{b}^{\prime}-\omega_{b}$, $\omega_{b}^{\prime}$ is
the modified resonance frequency, $m_{b}$ is the mass of the membrane, and
$F_{total}(a)$ is the total force . $|F_{total}(a)|$ generally decreases as
$a$ increases, resulting $\delta\omega_{b}<0$ and
$\omega_{b}^{\prime}<\omega_{b}$. From Eq. (9) we find that the variance of
the resonance frequency $\omega_{b}$ would induce the variation of normal mode
splitting $d$ provided that $G$ and $\Delta_{c}$ are fixed. Based on this,
considering the criticality as shown in Fig. (2), we establish a method to
measure the force gradient induced variance of $\omega_{b}$ and then set a
prospective constraint on non-Newtonian gravity.
### III.1 Measurement of resonance frequency shift enhanced by the $G$
criticality
Figure 4: $d$ as a function of $G$. In the four curves, resonance frequency
takes values of $\omega_{b}$ and $\omega_{b}^{i}$ ($i=1,2,3$) and the
rightmost values of $G$ are all the critical points ($G^{cp}$ and
$G^{cp}_{i},i=1,2,3$ ). Figure 5: $G$ criticality enhanced measurement.
(a)-(b) $\Delta d$ as a function of $G$. In the three curves, the resonance
frequency shift $\delta\omega_{b}$ takes values of -1,-5, -10Hz respectively
and the rightmost values of $G$ are all the corresponding critical points
($G^{cp}_{i}(i=1,2,3)$ ). (c)$\Delta d$ is the function of $\delta\omega_{b}$
according to Eqs. (13)-(14).
Here the parameters used are $\omega_{b}=10^{5}Hz$ and
$\Delta_{c}={10}^{5}Hz$, just the same as Fig. 2(a,c). Different from these
two parameters, the value of $G$ is variable. The critical point is
$G^{cp}=50000Hz$. The possible modified frequencies $\omega_{b}^{i}$ (for
$i=1,2,3$) are set as
$\omega_{b}^{1}=({10}^{5}-1)Hz,\omega_{b}^{2}=({10}^{5}-5)Hz,$ and
$\omega_{b}^{3}=({10}^{5}-10)Hz$ respectively. From Eq. (9), it is seen that
if the resonance frequency takes values of $\omega_{b}$ or $\omega_{b}^{i}$
($i=1,2,3$), $d$ would be functions of $G$ , which are plotted in Fig. 4. In
these four curves we choose four critical points as the maximum values of $G$
to make sure $d$ is real. Since it is defined that
$G^{cp}=\sqrt{\Delta_{c}\omega_{b}}/2$, we can obtain the corresponding
$G^{cp}_{i}$ (for $i=1,2,3$) as $G_{1}^{cp}=49999.75Hz,G_{2}^{cp}=49998.75Hz,$
and $G_{3}^{cp}=49997.50Hz.$
From Fig. 4 we see that if resonance frequency is modified from to
$\omega_{b}=10^{5}Hz$ to $\omega_{b}^{i}$ (for $i=1,2,3$), normal mode
splitting $d$ would shift. Furthermore, it seems that the three shifts
corresponding to three modifications of the resonance frequency all reach
their maximum at the corresponding critical points. we assume that $d\to
d+\Delta d$ corresponds to $\omega_{b}\to\omega_{b}+\delta\omega_{b}$. The
relationship between $\Delta d$ and $\delta\omega_{b}$ can be expressed as
$\displaystyle\Delta d=$
$\displaystyle\sqrt{\frac{1}{2}(u_{\delta}+\sqrt{v_{\delta}})}-\sqrt{\frac{1}{2}(u_{\delta}-\sqrt{v_{\delta}})}$
$\displaystyle-\sqrt{\frac{1}{2}(u+\sqrt{v})}+\sqrt{\frac{1}{2}(u-\sqrt{v})},$
(11)
where
$\displaystyle u$ $\displaystyle=\Delta_{c}^{2}+\omega_{b}^{2},$
$\displaystyle v$
$\displaystyle=(\omega_{b}^{2}-\Delta_{c}^{2})^{2}+16G^{2}\Delta_{c}\omega_{b},$
$\displaystyle u_{\delta}$
$\displaystyle=\Delta_{c}^{2}+(\omega_{b}+\delta\omega_{b})^{2},$
$\displaystyle v_{\delta}$
$\displaystyle=[(\omega_{b}+\delta\omega_{b})^{2}-\Delta_{c}^{2}]^{2}+16G^{2}\Delta_{c}(\omega_{b}+\delta\omega_{b}).$
(12)
Since the values of $\omega_{b}$ and $\Delta_{c}$ have been specified, if the
values of resonance frequency shift $\delta\omega_{b}$ are set as -1, -5,
-10Hz respectively, the value of normal mode splitting shift $\Delta d$ would
be functions of $G$ according to Eqs. (11) and (12). Note that these three
values of $\delta\omega_{b}$ correspond to $\omega_{b}^{i}$ ($i=1,2,3$)
respectively. These three functions where $G$ takes values as ${10}^{4}Hz\leq
G\leq G^{cp}_{i}(i=1,2,3)$ are plotted in Fig. 5(a) and (b). In Fig. 5(b) the
functions are plotted only when the values of $G$ which are very close to
three corresponding critical points. And it is complementary to Fig. 5(a).
From Fig. 5(a) and (b) we can find that for all three modifications of
resonant frequency the value of $\Delta d$ at critical point is several orders
bigger than it at $G={10}^{4}Hz$. Generally speaking, utilizing $G$
criticality can enhance the measurement of resonance frequency shift. Then we
investigate how $\Delta d$ is dependent on $\delta\omega_{b}$ if $G$ takes
value of the relating critical points.
We define $G^{cp}_{\delta}=\sqrt{\Delta_{c}(\omega_{b}+\delta\omega_{b})}/2$.
Consequently $G^{cp}_{\delta}$ is the critical point corresponding to
$\omega_{b}+\delta\omega_{b}$. In Eq. (9) We substitute $G^{cp}_{\delta}$ for
$G$, and derive
$\displaystyle\Delta
d=\sqrt{\frac{1}{2}(u_{\delta}+\sqrt{v_{\delta}^{G}})}-\sqrt{\frac{1}{2}(u+\sqrt{v^{G}})}+\sqrt{\frac{1}{2}(u-\sqrt{v^{G}})},$
(13)
where
$\displaystyle v^{G}$
$\displaystyle=(\omega_{b}^{2}-\Delta_{c}^{2})^{2}+16(G^{cp}_{\delta})^{2}\Delta_{c}\omega_{b},$
$\displaystyle v_{\delta}^{G}$
$\displaystyle=[(\omega_{b}+\delta\omega_{b})^{2}-\Delta_{c}^{2}]^{2}+16(G^{cp}_{\delta})^{2}\Delta_{c}(\omega_{b}+\delta\omega_{b}),$
(14)
and $u_{\delta}$ and $u$ have been defined in the above. The relationship
between $\Delta d$ and $\delta\omega_{b}$ is shown in Fig. 5(c). Till now, we
have demonstrated how the $G$ criticality enhances the measurement of
resonance frequency shift. Furthermore, this measurement can be enhanced by
the $\Delta_{c}$ criticality in a similar way.
### III.2 A constraint for the non-Newtonian gravity
Figure 6: A particle with mass $m$ is located at a distance $h$ above a
cylinder with density $\rho$, radius $R$, and thickness $D$.
In this section, we develop a method of constraining the non-Newtonian gravity
between the membrane and the source mass. Since Casimir forces depend to a
good approximation on the electronic properties of materials while
gravitational interaction involve couplings to both electrons and nucleons
[5], using materials with very similar properties can be considered in order
to suppress the Casimir background. And two isotopes of nickel, i.e.,
${}^{58}Ni$ and ${}^{64}Ni$ , are adopted in our setup to eliminate the
Casimir effect. The detector can move along the surface of the source mass
provided that the separation between the two is constant. In the following ,we
derive the non-Newtonian gravity at first.
We consider a simple case where a particle $m$ is located at the axis of
symmetry of a cylinder with a separation $h$ as shown in Fig. 5. The radius ,
density, and thickness of this cylinder are signified by $R$, $\rho$, $D$
respectively. Provided $R\gg D$ and $R\gg h$, by integrating Eq. (1) over the
volume of the cylinder, we obtain the Yukawa energy
$\displaystyle E_{Yu}(h)\approx$ $\displaystyle-Gm\rho 2\pi RD$
$\displaystyle+Gm\rho\alpha 2\pi\lambda[e^{-\frac{R}{\lambda}}D-\lambda
e^{-\frac{h}{\lambda}}(1-e^{-\frac{D}{\lambda}})].$ (15)
Calculating the negative derivative of (15) with respect to $h$, we finds non-
Newtonian gravity acting on the particle
$\displaystyle
F_{G}(h)=-\frac{dE_{Yu}(h)}{dh}=-e^{-\frac{h}{\lambda}}Gm\rho\alpha
2\pi\lambda(1-e^{-\frac{D}{\lambda}}).$ (16)
Considering the sizes of the detector and two blocks, we conclude that with
the assumption $\lambda\leq 1\mu m$, the non-Newtonian gravity can be
expressed as
$\displaystyle F^{r}_{G}(a)\approx$
$\displaystyle-e^{-\frac{a}{\lambda}}Gm_{b}\rho_{r}\alpha 2\pi\lambda,$
$\displaystyle F^{b}_{G}(a)\approx$
$\displaystyle-e^{-\frac{a}{\lambda}}Gm_{b}\rho_{b}\alpha 2\pi\lambda,$ (17)
where $F^{r}_{G}(a)$ corresponds to case I in which detector near the red
block, $F^{b}_{G}(a)$ corresponds to case II in which detector near the red
one, and $\rho_{r}$ and $\rho_{b}$ denote the density of red block
(${}^{58}Ni$) and the one of blue block (${}^{64}Ni$) respectively.
Considering these two cases, Eq. (10) can be rewritten as
$\displaystyle\frac{\delta^{r}_{\omega_{b}}}{\omega_{b}}=-\frac{1}{2m_{b}\omega_{b}^{2}}\frac{\partial{F^{r}_{total}(a)}}{\partial{a}},$
$\displaystyle\frac{\delta^{b}_{\omega_{b}}}{\omega_{b}}=-\frac{1}{2m_{b}\omega_{b}^{2}}\frac{\partial{F^{b}_{total}(a)}}{\partial{a}},$
(18)
where $\delta^{r}_{\omega_{b}},F^{r}_{total}(a)$ and
$\delta^{b}_{\omega_{b}},F^{b}_{total}(a)$ correspond to case I and II
respectively. Since two isotopes of nickel are put into use, we can obtain
$F^{r}_{total}(a)-F^{b}_{total}(a)\approx F^{r}_{G}(a)-F^{b}_{G}(a).$ (19)
From Eqs. (17)-(19), we derive that
$\alpha=\frac{\omega_{b}(\delta^{r}_{\omega_{b}}-\delta^{b}_{\omega_{b}})}{G\pi(\rho_{b}-\rho_{r})}e^{\frac{a}{\lambda}}.$
(20)
Since $\rho_{b}-\rho_{r}>0$, we can obtain that
$|\alpha|=\frac{\omega_{b}|\delta^{r}_{\omega_{b}}-\delta^{b}_{\omega_{b}}|}{G\pi(\rho_{b}-\rho_{r})}e^{\frac{a}{\lambda}}.$
(21)
For the purpose of setting a constraint on $|\alpha|$, we need to determine
the minimum detectable value of
$|\delta^{r}_{\omega_{b}}-\delta^{b}_{\omega_{b}}|$ signified by
$|\delta^{r}_{\omega_{b}}-\delta^{b}_{\omega_{b}}|_{m}$. Further, we can
assume that
$|\delta^{r}_{\omega_{b}}-\delta^{b}_{\omega_{b}}|_{m}\approx|\delta{\omega_{b}}|_{m},$
(22)
where $|\delta{\omega_{b}}|_{m}$denotes the minimum detectable value of
$|\delta{\omega_{b}}|$. Provided that $G$ takes value of the relating critical
points, $|\delta{\omega_{b}}|_{m}$ can be associated with the minimum
distinguishable value of $\Delta d$ signified by $\Delta d_{m}$ via Eqs.
(13)-(14), where $\Delta d$ and $\delta{\omega_{b}}$ are substituted by
$\Delta d_{m}$ and $-|\delta{\omega_{b}}|_{m}$ respectively. Now we
demonstrate how to determine $\Delta d_{m}$. We focus on the article titled
”Observation of strong coupling between a micromechanical resonator and an
optical cavity field”[29]. In it, the observation of optomechanical normal
mode splitting is reported. In Fig. 2(b) of this article, we find that there
is minor difference between theory and experimental data. Based on this
difference, we estimate that
$\Delta d_{m}\approx 0.01\omega_{b}.$ (23)
Since $\omega_{b}={10}^{5}Hz$, we obtain $\Delta d_{m}\approx{10}^{3}Hz$.
Further, according to Fig. 5(c), we estimate that
$|\delta{\omega_{b}}|_{m}\sim 10Hz$. Then according to Eq. (22)it is attained
that $|\delta^{r}_{\omega_{b}}-\delta^{b}_{\omega_{b}}|_{m}\sim 10Hz$. Our
constraints on $|\alpha|$ are set as shown in Fig. 7 using
$|\alpha|=\frac{\omega_{b}|\delta^{r}_{\omega_{b}}-\delta^{b}_{\omega_{b}}|_{m}}{G\pi(\rho_{b}-\rho_{r})}e^{\frac{a}{\lambda}},$
(24)
where $|\delta^{r}_{\omega_{b}}-\delta^{b}_{\omega_{b}}|_{m}$ takes value of
$10Hz$, and the value of $\lambda$ meets the assumption $\lambda\leq 1\mu m$.
Figure 7: The $|\alpha|-\lambda$ plot for constraints established by Y.J.Chen
et al., Klimchitskaya et al., Kamiya et al., and our work respectively. The
pink region is excluded.
Now we focus on Fig. 7. Y.J.Chen establish a upper bound in the $40-8000$ $nm$
length scale bsed on differential force measurements between a test mass and
rotating source masses [14]. Klimchitskaya et al. set a upper bound
approximately at ${10}^{-8}m<\lambda<2\times{10}^{-7}m$ [12]. Kamiya et al.
provide constraints in the Nanometer Range by performing a neutron scattering
experiment [13]. Our constraints represented by the red dashed curve are most
stringent at about $3\times{10}^{-10}m<\lambda<5\times{10}^{-8}m$. The pink
region is excluded.
## IV Discussion and conclusion
In sum, we have proposed a quantum mechanical method of constraining non-
Newtonian gravity with a hybrid electro-optomechanical system. By employing
the source mass consisting of two isotopes of nickel in order to suppress the
Casimir background, via $G$ criticality enhanced measurement of resonance
frequency shift, we can detect and constrain the non-Newtonian gravity. Based
on the experimental results relating to normal mode splitting, we set a
constraint which improves the previous bounds by about a factor of 7 at
$\lambda=1nm$. We hope our work can enrich the experimental methods of
searching for non-Newtonian gravity and promote the searches for this exotic
interaction at the nanometer range.
###### Acknowledgements.
This work was supported by Natural Science Foundation of Shanghai (No.
20ZR1429900).
## References
* Tan _et al._ [2020] W.-H. Tan, A.-B. Du, W.-C. Dong, S.-Q. Yang, C.-G. Shao, S.-G. Guan, Q.-L. Wang, B.-F. Zhan, P.-S. Luo, L.-C. Tu, and J. Luo, Improvement for testing the gravitational inverse-square law at the submillimeter range, Phys. Rev. Lett. 124, 051301 (2020).
* Adelberger _et al._ [2003] E. Adelberger, B. Heckel, and A. Nelson, Tests of the gravitational inverse-square law, Annual Review of Nuclear and Particle Science 53, 77 (2003), https://doi.org/10.1146/annurev.nucl.53.041002.110503 .
* J. _et al._ [2003] J., Chiaverini, S., J., Smullin, A., A., Geraci, D., and M., New experimental constraints on non-newtonian forces below $100\mu m$, Physical Review Letters (2003).
* Savas _et al._ [2003] Savas, Dimopoulos, Andrew, A., and Geraci, Probing submicron forces by interferometry of bose-einstein condensed atoms, Physical Review D 68, 124021 (2003).
* Fischbach _et al._ [2003] E. Fischbach, D. E. Krause, R. S. Decca, and D. López, Testing newtonian gravity at the nanometer distance scale using the iso-electronic effect, Physics Letters A 318, 165 (2003).
* Decca _et al._ [2005] R. Decca, D. Lopez, H. Chan, E. Fischbach, D. Krause, and C. Jamell, Constraining new forces in the casimir regime using the isoelectronic technique, Physical Review Letters 94, 165 (2005).
* Smullin _et al._ [2005] S. J. Smullin, A. A. Geraci, D. M. Weld, J. Chiaverini, and A. Kapitulnik, Constraints on yukawa-type deviations from newtonian gravity at 20 microns, Physical Review D 72, 396 (2005).
* Geraci _et al._ [2008] A. A. Geraci, S. J. Smullin, D. M. Weld, J. Chiaverini, and A. Kapitulnik, Improved constraints on non-newtonian forces at 10 microns, Physical Review D 78, 340 (2008).
* Mostepanenko _et al._ [2008] V. M. Mostepanenko, R. S. Decca, E. Fischbach, G. L. Klimchitskaya, D. E. Krause, and D. L, Stronger constraints on non-newtonian gravity from the casimir effect., Journal of Physics A: Mathematical and Theoretical (2008).
* Masuda and Sasaki [2009] M. Masuda and M. Sasaki, Limits on nonstandard forces in the submicrometer range, Physical Review Letters 102, 171101 (2009).
* Bezerra _et al._ [2010] V. B. Bezerra, G. L. Klimchitskaya, V. M. Mostepanenko, and C. Romero, Advance and prospects in constraining the yukawa-type corrections to newtonian gravity from the casimir effect, Physical Review D 81, 211 (2010).
* Klimchitskaya _et al._ [2013] G. L. Klimchitskaya, U. Mohideen, and V. M. Mostepanenko, Constraints on corrections to newtonian gravity from two recent measurements of the casimir interaction between metallic surfaces, Physics 87, 141 (2013).
* Kamiya _et al._ [2015] Y. Kamiya, K. Itagami, M. Tani, G. N. Kim, and S. Komamiya, Constraints on new gravitylike forces in the nanometer range, Physical Review Letters 114, 161101 (2015).
* Chen _et al._ [2016] Y. Chen, W. Tham, D. Krause, D. López, E. Fischbach, and R.S.Decca, Stronger limits on hypothetical yukawa interactions in the 30–8000 nm range, Physical Review Letters (2016).
* Borkowski _et al._ [2017] M. Borkowski, A. A. Buchachenko, R. Ciuryo, P. S. Julienne, H. Yamada, K. Yuu, K. Takahashi, Y. Takasu, and Y. Takahashi, Probing non-newtonian gravity by photoassociation spectroscopy, Journal of Physics: Conference Series 810, 012014 (2017).
* Klimchitskaya _et al._ [2020] G. L. Klimchitskaya, P. Kuusk, and V. M. Mostepanenko, Constraints on non-newtonian gravity and axionlike particles from measuring the casimir force in nanometer separation range, (2020).
* Lee _et al._ [2020] J. G. Lee, E. G. Adelberger, T. S. Cook, S. M. Fleischer, and B. R. Heckel, New test of the gravitational $1/{r}^{2}$ law at separations down to $52\text{ }\text{ }\mu\mathrm{m}$, Phys. Rev. Lett. 124, 101101 (2020).
* Newman _et al._ [2009] R. D. Newman, E. C. Berg, and P. E. Boynton, Tests of the gravitational inverse square law at short ranges, Space ence Reviews 148, 175 (2009).
* Murata _et al._ [2015] Murata, Jiro, Tanaka, and Saki, A review of short-range gravity experiments in the lhc era, Classical and Quantum Gravity: An Interantional Journal of Gravity Geometry of Field Theories Supergravity Cosmology (2015).
* Bowen [2015] W. P. Bowen, Quantum optomechanics (2015).
* Pace _et al._ [1993] A. F. Pace, M. J. Collett, and D. F. Walls, Quantum limits in interferometric detection of gravitational radiation, Phys. Rev. A 47, 3173 (1993).
* Vitali _et al._ [2007] D. Vitali, S. Gigan, A. Ferreira, H. R. Böhm, P. Tombesi, A. Guerreiro, V. Vedral, A. Zeilinger, and M. Aspelmeyer, Optomechanical entanglement between a movable mirror and a cavity field, Phys. Rev. Lett. 98, 030405 (2007).
* Wilson-Rae _et al._ [2007] I. Wilson-Rae, N. Nooshi, W. Zwerger, and T. J. Kippenberg, Theory of ground state cooling of a mechanical oscillator using dynamical back-action, (2007).
* Lü _et al._ [2013] X.-Y. Lü, W.-M. Zhang, S. Ashhab, Y. Wu, and F. Nori, Quantum-criticality-induced strong kerr nonlinearities in optomechanical systems, Scientific Reports 3 (2013).
* Sudhir _et al._ [2012] V. Sudhir, M. G. Genoni, J. Lee, and M. S. Kim, Critical behavior in ultrastrong-coupled oscillators, Physical Review A 86 (2012).
* Chang _et al._ [2012] C. C. Chang, A. A. Banishev, R. Castillo-Garza, G. L. Klimchitskaya, and U. Mohideen, Gradient of the casimir force between au surfaces of a sphere and a plate measured using atomic force microscope in a frequency shift technique, Physics 85, 543 (2012).
* Liu _et al._ [2019] M. Liu, J. Xu, G. L. Klimchitskaya, V. M. Mostepanenko, and U. Mohideen, Precision measurements of the gradient of the casimir force between ultra clean metallic surfaces at larger separations, (2019).
* Giessibl [2003] F. J. Giessibl, Advances in atomic force microscopy, Review of Modern Physics 75 (2003).
* Groblacher _et al._ [2009] S. Groblacher, K. Hammerer, M. R. Vanner, and M. Aspelmeyer, Observation of strong coupling between a micromechanical resonator and an optical cavity field, Nature 460, 724 (2009).
| arxiv-papers | 2021-07-25T13:54:05 | 2024-09-04T03:07:16.828199 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Lei Chen, Jian Liu, and Ka-di Zhu",
"submitter": "Lei Chen",
"url": "https://arxiv.org/abs/2107.11807"
} |
2107.11809 | # Design, performance, and analysis of a measurement of optical properties of
antarctic ice below 400 nm
The IceCube Collaboration
(a complete list of authors can be found at the end of the proceedings)
###### Abstract
The IceCube Neutrino Observatory, located at the geographic South Pole, is the
world’s largest neutrino telescope, instrumenting 1 km3 of Antarctic ice with
5160 photosensors to detect Cherenkov light. For the IceCube Upgrade, to be
deployed during the 2022-23 polar field season, and the enlarged detector
IceCube-Gen2 several new optical sensor designs are under development. One of
these optical sensors, the Wavelength-shifting Optical Module (WOM), uses
wavelength-shifting and light-guiding techniques to measure Cherenkov photons
in the UV range from 250 nm to 380 nm. In order to understand the potential
gains from this new technology, a measurement of the scattering and absorption
lengths of UV light was performed in the SPICEcore borehole at the South Pole
during the winter seasons of 2018/2019 and 2019/2020. For this purpose, a
calibration device with a UV light source and a detector using the wavelength
shifting technology was developed. We present the design of the developed
calibration device, its performance during the measurement campaigns, and the
comparison of data to a Monte Carlo simulation.
Corresponding authors: Jannes Brostean-Kaiser1∗
1 DESY Zeuthen, D-15738 Zeuthen
∗ Presenter
## 1 Introduction / Wavelength-shifting Optical Module
The IceCube Neutrino Observatory is a cubic-kilometer detector installed in
the ice at the geographic South Pole at depths between 1,450 m and 2,450 m
[1]. The detector was completed in 2010. To reconstruct direction, energy, and
flavor of interacting neutrinos the Cherenkov radiation, emitted by charged
secondary particles, is measured.
To improve the reconstruction of low energy neutrinos and the calibration of
the instrumented ice, the IceCube Upgrade will be deployed in the austral
summer 2022-2023. Seven additional strings will be deployed, including several
types of novel optical modules.
Several of the new modules under development, are designed to measure
Cherekenkov radiation in the UV range. This improves the sensitivity of the
modules since the number of emitted Cherenkov photons is proportional to one
over the wavelength squared.
One of these UV-sensitive detectors is the Wavelength-shifting Optical Module
(WOM)[2]. The WOM consists of a 76 cm long transparent (PMMA or quartz glass)
tube with 10.6 cm diameter. The tube is coated with a wavelength-shifting
paint [3] and connected to two photomultiplier tubes (PMTs), one on each side.
The paint absorbs photons with a wavelength between 250 nm and 400 nm and
reemits them at roughly 420 nm. The reemitted photons are guided via total
internal reflection to one end of the tube and are detected by the PMTs.
## 2 Ice Properties
To understand the potential improvement of new optical modules, the
surrounding material has to be calibrated in the sensitive range. The
Antarctic ice originates in compacted snow turning to ice over long times. To
measure scattering and absorption specifically, an in-situ measurement device,
the UV calibration device (UV logger) has been built.
### 2.1 Absorption
In the visible spectrum down to 300 nm, the ice is mostly transparent, with
absorption and scattering driven by impurities in the ice like dust, mineral,
or soot [4]. In the very deep UV range a strong absorption occurs, the “Urbach
tail” [5]. The exact cutoff wavelength is yet unknown but believed to be below
200 nm [6].
### 2.2 Scattering
Using the AMANDA detector the scattering and absorption coefficient could be
calibrated down to 337 nm. Above 1300 m depth the scattering is dominated by
small air bubbles converting to craigite in the IceCube depth range due to the
ice pressure [7]. Below this so-called bubble-dominated region, the photons
scatter on aforementioned impurities. The particles have varying radii between
a few nanometers and several micrometer [4], which results in a mixture of
Rayleigh and Mie-Scattering.
## 3 In-situ measurement in the SPICEcore hole
The in-situ measurements were done in the South Pole ice core hole (SPICEcore
hole). It is an open borehole at about 1 km distance from the IceCube array
with a depth of 1750 m [8] and 126 mm diameter. During the drilling process,
the hole was filled with Estisol 140, a synthetic ester fluid that stays
liquid in the South Pole environment. As its density is very similar to the
surrounding ice, it prevents the hole from collapsing and keeps the hole open
for calibration measurements.
To measure in an open hole, the measurement device has to be the light emitter
and detector at the same time. The light is sent out into the ice in
nanosecond short pulses. The detector records the arrival time of the back-
scattered photons. This time distribution can later be compared to simulation
to obtain the ice properties. Early simulations suggest that the rising edge
of the distribution is driven by the scattering coefficient, while the tail of
the distribution is driven by the absorption coefficient. These effects are
visible in the Figures 5 a) and b).
Since a measurement with emitter and detector at the same place is more
sensitive to backward scattering than forward scattering, an additional future
task will be the comparison between this scattering measurement and former
measurements with large detectors as IceCube or AMANDA.
In addition to the UV Calibration device several other in-situ measurements
took place in the two seasons as the Luminescence Logger [9], the Camera
System [10] and the dust logger [11].
## 4 Optimized UV calibration device
Figure 1: UV Calibration device with a detector, using PMTs, two open ones and
four connected to wavelength shifting rods, a light source, capable of pulsing
light with nanosecond pulse width and the read out electronic, stored in a
quarz glass vessel with titanium endcaps and flanges .
The device, designed for this measurement consists of a LED-based light source
with different wavelengths and a UV-sensitive detector. The detector is
divided longitudinally into three segments by aluminum mirrors. Two PMTs are
placed in every segment (six in total), one near the light source (bottom) and
one on the top. In the two segments opposite of the LED, PMMA rods of 50 cm
length and 2 cm diameter are connected to the PMTs. The rods are coated with a
wavelength shifting paint, developed for the WOM. In the segment facing the
same direction as the LED the PMTs are left open for direct photon detection.
On the bottom PMT, an additional small mirror is placed to increase the
sensitivity of photons with only a few scattering processes. Figure 1 shows
the full logger with all components.
Figure 2: Cross section of the UV calibration device with the WOMs and open
PMTs sketched according to the LED emission angle
Most of the development and design have been done prior to the first
measurement season and can be read up in previous works [12]. Only the light
source was altered between the two measurement seasons. The light source is
based on flasher boards with one LED each. In the two measurement seasons four
flasher boards with wavelengths of 245 nm, 278 nm, 310 nm and 370 nm were
used. The nanosecond light pulses are obtained using a Kapustinsky Pulser with
adjustible light intensity. In the first measurement season an integrating
sphere [13] was used to create a well-defined emission profile. For the second
measurement season the integrating sphere was removed to increase the number
of emitted photons.
## 5 Measurements
Figure 3: All measurement depths of the two seasons, together with the
effective scattering coefficients[7], shifted to compensate the ice tilt
between IceCube and SPICEcore. Depending on the depth the error of the ice
tilt can increase up to 30 m.
The measurements were done in two seasons with a total of 4 wavelengths at 7
depths in the ice. Figure 3 shows the measurement depths together with the
expected scattering coefficients.
### 5.1 First measurement season
In the austral summer 2018/2019 the first data set was collected on two days,
at depths of 1056 m, 1475 m, and 1560 m, using both the 278 nm and 400 nm LED
at each depth. Due to light intensity problems only the 278 nm LED provided
useful data. During the whole measurement season one of the PMT channels,
connected to a wavelength shifting rod did not record data. For some
measurements the open PMTs picked up electric noise from the light source, but
in every measurement at least 3 Channels recorded useful data.
### 5.2 Second measurement season
The second measurement was performed in the austral summer 2019/2020. In total
4 measurement days were taken with three different flasher boards, where the
flasher board with 250 nm was used on two measurement days. The measurements
were done at depths of 1218 m, 1442 m, 1483 m and 1532 m.
The measurements with 250 nm, 310 nm and 370 nm all provided useful data. For
the 250 nm measurement one channel connected to a wavelength shifting rod was
not working.
### 5.3 Data preparation
To prepare the data for analysis it is represented in the form of histograms
with 8 ns bins (limited by a firmware bug), and cut to a time window from 80
ns to 1050 ns. The PMTs connected to the wavelength shifting rods are summed
for each side, to have only two WOM channels, one for the bottom PMTs (the
side nearer to the light source) next to the PMT with the mirror and one for
the top PMTs (further away from the light source).
Figure 4 shows two sorted and prepared example datasets. (a) displays all
channels of one measurement with a wavelength of 245 nm at a depth of 1483 m,
(b) displays the top WOM channel for all measured depths with 310 nm. From
these examples, it is evident, that the WOM channels have a larger time spread
due to the wavelength shifting. Also the different depths have visible
differences in the histograms.
Figure 4: Prepared example data sets of the measurements a) with 250 nm at
1483 m depth for all channels and b) with 310 nm and the top WOM channel for
all measured depths.
## 6 Data Analysis
The analysis is done by comparing the experimental data to Monte Carlo (MC)
simulation with different absorption and scattering coefficients. The
comparison to data is done using a binned maximum likelihood fit.
### 6.1 Simulation
The simulation models the experimental design in as much detail as possible.
For the light emission, angular distribution, and wavelength spectrum of the
LEDs datasheet values are interpolated.
The simulation follows the light path out of the calibration device through
the quartz glass and Estisol into the ice using Fresnel equations. Every
photon reaching the ice is assigned an absorption and scattering length
sampled from random exponential distributions with the absorption and
scattering coefficient as coefficients. After each scattering length, a
scattering angle is sampled and the photon receives a new direction and
scattering length. After every scattering process, the traveled path length is
integrated and compared to the absorption length. After passing the assigned
absorption length in the ice the photon is counted as absorbed in the ice. The
scattering angle is highly dependent on the scattering model. For the
simulation, Mie-Scattering was tested, but found to be impractical, since the
experiment is mostly sensitive to backward scattering. Rayleigh scattering is
used instead. The angular distribution for Rayleigh scattering follows a
$(1-cos\vartheta)^{2}$-distribution, with $\vartheta$ as the scattering angle.
Photons scattered back to the detector again pass through the Estisol and
quartz glass into the detector and are counted as detected when crossing a PMT
or wavelength shifting rod. The transit time spread of the different detection
ways was measured in the laboratory and is dependent on the position of the
photon.
### 6.2 Maximum Likelihood fit
To analyze the measurements, the distribution of binned photon arrival times
is compared to the simulation. The comparison is done by calculating a test
statistic $TS$ for every simulation according to the formula
$TS=\sum_{i=1}^{N}\frac{(d_{i}-a_{i}\cdot N_{d}/N_{a})^{2}}{d_{i}+a_{i}\cdot
N_{d}^{2}/N_{a}^{2}}$ (1)
where $N$ is total number of bins in the measurement, $d_{i}$ and $a_{i}$ are
the number of events in the bin $i$ for the measurement $d$ and the Monte-
Carlo simulation $a$ and $N_{d}$ and $N_{a}$ are the total number of events in
the measurement and Monte-Carlo simulation [14].
With this test statistic, a best fitting simulation with a given set of
parameters can be found. Figure 5 a) shows how the data of one depth,
wavelength, and PMT-Channel connected to a WOM and five simulations are
matching up. Four simulations are done with a set of high or low scattering
and absorption parameters to show the boundaries of the chosen 2D scan. One
simulation with a set of medium coefficients is shown in red and gives the
best fit with the smallest calculated $TS$ . Below the time distributions, the
$TS$ per bin is plotted, so to understand the influence of each part of the
distribution
Figure 5: Dataset of a measurement with 5 simulations, 4 at the edges of the
chosen parameter space and one best fit, a) for all bins with 10 or more
entries, b) for a restricted time window of 150 ns - 300 ns.
To find a region of trustworthy minima the simulation with the lowest $TS$ is
re-simulated and analysed 100 times to find a standard deviation $\sigma$. The
true value for the parameters is supposed to lie inside an area where the
difference of the $TS$ values to the minimum is smaller than $\sigma$, called
the 1$\sigma$ region. This method is used to compensate for the limited
simulation time. Since the number of simulated photons are smaller by a factor
10 to 100 it statistical error is mostly driven by the simulation instead of
the measurement. This represents only the statistical error and not the
systematic errors of the measurement.
### 6.3 Open issues
The analysis returns a well defined minimum for each channel of the
measurement, but there are still unsolved inconsistencies to be explained.
Figure 6 a) and b) show two simplified simulation grids of $TS$ calculations
as a function of absorption and scattering. Both axes depict about 1 order of
magnitude for each parameter. The red curve indicates the 1$\sigma$ region
around the minimum.
The first unexplained observation is the differences between the PMT-channels.
Comparing the minima in Figure 6 a) and b) the $\sigma$ regions are not
overlapping. Therefore no definite minimum connecting all channels of one
measurement has yet been found. This questions the correctness of the
simulation and how well the experimental setup is understood.
Figure 6: Simplified $TS$ grid of several simulated sets of parameters
compared to one data set for two PMT-channels of the same measurement.
Another concern is the size of the $\sigma$ region. For some measurements as 6
a) it covers almost the whole simulation grid. This and the form of the
$\sigma$ region indicate a strong correlation of the two parameters. The
choice of the scanned parameter space has to be therefore made very carefully
to not have a minimum on the borders of the scanned area.
To decouple the two parameters the histograms are restricted to a time window
of 150 ns - 300 ns, where the distributions are believed to be mostly
absorption driven. Figure 5 b) again shows the best fit and several example
simulations for this restricted time window. Figure 7 gives again the
simplified simulation grid with the $\sigma$ region around the minimum,
showing still the same dependency of the two parameters. This leads to the
conclusion that the two parameters are not easily decoupled and the final
results could be a combined extinction parameter instead of independent
absorption and scattering coefficients.
Figure 7: Simplified $TS$ grid of several simulated sets of parameters
compared to one data set for two PMT-channels of the same measurement with a
restricted time window.
## 7 Outlook
In the future, the focus will be on increasing the understanding of the
experimental setup to understand and compensate for the differences in the
measurement channels. This should lead to a combined minimum for each data set
on each measured wavelength and depth, which can be compared to previous ice
calibrations.
#### Acknowledgements
The author would like to thank the SPICEcore collaboration for providing the
borehole, the US Ice Drilling Program, the Antarctic Support Contractor and
the NSF National Science Foundation for providing the equipment to perform the
measurement and for their support at South Pole.
## References
* [1] IceCube Collaboration, M. G. Aartsen et al. JINST 12 no. 03, (2017) P03012.
* [2] IceCube Collaboration PoS ICRC2017 (2017) 1052.
* [3] IceCube Collaboration PoS ICRC2021 (these proceedings) .
* [4] Y. D. He and P. B. Price J. Geophys. Res.: Atmos 103 no. D14, (1998) 17041–17056.
* [5] F. Urbach Phys. Rev. 92 no. I5, (1953) P01324.
* [6] A. P. Minton JPC 75 (1971) 1162–1164.
* [7] IceCube Collaboration, M. Ackermann et al. JGR 111 no. O3, (2006) .
* [8] K. A. Casey et al. Annals of Glaciology 55 (1971) 137–146.
* [9] IceCube Collaboration PoS ICRC2019 (July 22, 2019) 983.
* [10] IceCube Collaboration PoS ICRC2021 (these proceedings) .
* [11] M. Rongen, R. Bay, and S. Blot The Cryosphere 14 (08, 2020) 2537–2543.
* [12] IceCube Collaboration PoS ICRC2019 (2019) 847.
* [13] IceCube Collaboration PoS ICRC2021 (these proceedings) .
* [14] B. Barlow PCP 77 no. 2, (1993) 219–228.
## Full Author List: IceCube Collaboration
R. Abbasi17, M. Ackermann59, J. Adams18, J. A. Aguilar12, M. Ahlers22, M.
Ahrens50, C. Alispach28, A. A. Alves Jr.31, N. M. Amin42, R. An14, K.
Andeen40, T. Anderson56, G. Anton26, C. Argüelles14, Y. Ashida38, S. Axani15,
X. Bai46, A. Balagopal V.38, A. Barbano28, S. W. Barwick30, B. Bastian59, V.
Basu38, S. Baur12, R. Bay8, J. J. Beatty20, 21, K.-H. Becker58, J. Becker
Tjus11, C. Bellenghi27, S. BenZvi48, D. Berley19, E. Bernardini59, 60, D. Z.
Besson34, 61, G. Binder8, 9, D. Bindig58, E. Blaufuss19, S. Blot59, M.
Boddenberg1, F. Bontempo31, J. Borowka1, S. Böser39, O. Botner57, J.
Böttcher1, E. Bourbeau22, F. Bradascio59, J. Braun38, S. Bron28, J. Brostean-
Kaiser59, S. Browne32, A. Burgman57, R. T. Burley2, R. S. Busse41, M. A.
Campana45, E. G. Carnie-Bronca2, C. Chen6, D. Chirkin38, K. Choi52, B. A.
Clark24, K. Clark33, L. Classen41, A. Coleman42, G. H. Collin15, J. M.
Conrad15, P. Coppin13, P. Correa13, D. F. Cowen55, 56, R. Cross48, C. Dappen1,
P. Dave6, C. De Clercq13, J. J. DeLaunay56, H. Dembinski42, K. Deoskar50, S.
De Ridder29, A. Desai38, P. Desiati38, K. D. de Vries13, G. de Wasseige13, M.
de With10, T. DeYoung24, S. Dharani1, A. Diaz15, J. C. Díaz-Vélez38, M.
Dittmer41, H. Dujmovic31, M. Dunkman56, M. A. DuVernois38, E. Dvorak46, T.
Ehrhardt39, P. Eller27, R. Engel31, 32, H. Erpenbeck1, J. Evans19, P. A.
Evenson42, K. L. Fan19, A. R. Fazely7, S. Fiedlschuster26, A. T. Fienberg56,
K. Filimonov8, C. Finley50, L. Fischer59, D. Fox55, A. Franckowiak11, 59, E.
Friedman19, A. Fritz39, P. Fürst1, T. K. Gaisser42, J. Gallagher37, E.
Ganster1, A. Garcia14, S. Garrappa59, L. Gerhardt9, A. Ghadimi54, C. Glaser57,
T. Glauch27, T. Glüsenkamp26, A. Goldschmidt9, J. G. Gonzalez42, S. Goswami54,
D. Grant24, T. Grégoire56, S. Griswold48, M. Gündüz11, C. Günther1, C.
Haack27, A. Hallgren57, R. Halliday24, L. Halve1, F. Halzen38, M. Ha Minh27,
K. Hanson38, J. Hardin38, A. A. Harnisch24, A. Haungs31, S. Hauser1, D.
Hebecker10, K. Helbing58, F. Henningsen27, E. C. Hettinger24, S. Hickford58,
J. Hignight25, C. Hill16, G. C. Hill2, K. D. Hoffman19, R. Hoffmann58, T.
Hoinka23, B. Hokanson-Fasig38, K. Hoshina38, 62, F. Huang56, M. Huber27, T.
Huber31, K. Hultqvist50, M. Hünnefeld23, R. Hussain38, S. In52, N. Iovine12,
A. Ishihara16, M. Jansson50, G. S. Japaridze5, M. Jeong52, B. J. P. Jones4, D.
Kang31, W. Kang52, X. Kang45, A. Kappes41, D. Kappesser39, T. Karg59, M.
Karl27, A. Karle38, U. Katz26, M. Kauer38, M. Kellermann1, J. L. Kelley38, A.
Kheirandish56, K. Kin16, T. Kintscher59, J. Kiryluk51, S. R. Klein8, 9, R.
Koirala42, H. Kolanoski10, T. Kontrimas27, L. Köpke39, C. Kopper24, S.
Kopper54, D. J. Koskinen22, P. Koundal31, M. Kovacevich45, M. Kowalski10, 59,
T. Kozynets22, E. Kun11, N. Kurahashi45, N. Lad59, C. Lagunas Gualda59, J. L.
Lanfranchi56, M. J. Larson19, F. Lauber58, J. P. Lazar14, 38, J. W. Lee52, K.
Leonard38, A. Leszczyńska32, Y. Li56, M. Lincetto11, Q. R. Liu38, M.
Liubarska25, E. Lohfink39, C. J. Lozano Mariscal41, L. Lu38, F. Lucarelli28,
A. Ludwig24, 35, W. Luszczak38, Y. Lyu8, 9, W. Y. Ma59, J. Madsen38, K. B. M.
Mahn24, Y. Makino38, S. Mancina38, I. C. Mariş12, R. Maruyama43, K. Mase16, T.
McElroy25, F. McNally36, J. V. Mead22, K. Meagher38, A. Medina21, M. Meier16,
S. Meighen-Berger27, J. Micallef24, D. Mockler12, T. Montaruli28, R. W.
Moore25, R. Morse38, M. Moulai15, R. Naab59, R. Nagai16, U. Naumann58, J.
Necker59, L. V. Nguyễn24, H. Niederhausen27, M. U. Nisa24, S. C. Nowicki24, D.
R. Nygren9, A. Obertacke Pollmann58, M. Oehler31, A. Olivas19, E.
O’Sullivan57, H. Pandya42, D. V. Pankova56, N. Park33, G. K. Parker4, E. N.
Paudel42, L. Paul40, C. Pérez de los Heros57, L. Peters1, J. Peterson38, S.
Philippen1, D. Pieloth23, S. Pieper58, M. Pittermann32, A. Pizzuto38, M.
Plum40, Y. Popovych39, A. Porcelli29, M. Prado Rodriguez38, P. B. Price8, B.
Pries24, G. T. Przybylski9, C. Raab12, A. Raissi18, M. Rameez22, K. Rawlins3,
I. C. Rea27, A. Rehman42, P. Reichherzer11, R. Reimann1, G. Renzi12, E.
Resconi27, S. Reusch59, W. Rhode23, M. Richman45, B. Riedel38, E. J. Roberts2,
S. Robertson8, 9, G. Roellinghoff52, M. Rongen39, C. Rott49, 52, T. Ruhe23, D.
Ryckbosch29, D. Rysewyk Cantu24, I. Safa14, 38, J. Saffer32, S. E. Sanchez
Herrera24, A. Sandrock23, J. Sandroos39, M. Santander54, S. Sarkar44, S.
Sarkar25, K. Satalecka59, M. Scharf1, M. Schaufel1, H. Schieler31, S.
Schindler26, P. Schlunder23, T. Schmidt19, A. Schneider38, J. Schneider26, F.
G. Schröder31, 42, L. Schumacher27, G. Schwefer1, S. Sclafani45, D. Seckel42,
S. Seunarine47, A. Sharma57, S. Shefali32, M. Silva38, B. Skrzypek14, B.
Smithers4, R. Snihur38, J. Soedingrekso23, D. Soldin42, C. Spannfellner27, G.
M. Spiczak47, C. Spiering59, 61, J. Stachurska59, M. Stamatikos21, T.
Stanev42, R. Stein59, J. Stettner1, A. Steuer39, T. Stezelberger9, T.
Stürwald58, T. Stuttard22, G. W. Sullivan19, I. Taboada6, F. Tenholt11, S.
Ter-Antonyan7, S. Tilav42, F. Tischbein1, K. Tollefson24, L. Tomankova11, C.
Tönnis53, S. Toscano12, D. Tosi38, A. Trettin59, M. Tselengidou26, C. F.
Tung6, A. Turcati27, R. Turcotte31, C. F. Turley56, J. P. Twagirayezu24, B.
Ty38, M. A. Unland Elorrieta41, N. Valtonen-Mattila57, J. Vandenbroucke38, N.
van Eijndhoven13, D. Vannerom15, J. van Santen59, S. Verpoest29, M. Vraeghe29,
C. Walck50, T. B. Watson4, C. Weaver24, P. Weigel15, A. Weindl31, M. J.
Weiss56, J. Weldert39, C. Wendt38, J. Werthebach23, M. Weyrauch32, N.
Whitehorn24, 35, C. H. Wiebusch1, D. R. Williams54, M. Wolf27, K. Woschnagg8,
G. Wrede26, J. Wulff11, X. W. Xu7, Y. Xu51, J. P. Yanez25, S. Yoshida16, S.
Yu24, T. Yuan38, Z. Zhang51
1 III. Physikalisches Institut, RWTH Aachen University, D-52056 Aachen,
Germany
2 Department of Physics, University of Adelaide, Adelaide, 5005, Australia
3 Dept. of Physics and Astronomy, University of Alaska Anchorage, 3211
Providence Dr., Anchorage, AK 99508, USA
4 Dept. of Physics, University of Texas at Arlington, 502 Yates St., Science
Hall Rm 108, Box 19059, Arlington, TX 76019, USA
5 CTSPS, Clark-Atlanta University, Atlanta, GA 30314, USA
6 School of Physics and Center for Relativistic Astrophysics, Georgia
Institute of Technology, Atlanta, GA 30332, USA
7 Dept. of Physics, Southern University, Baton Rouge, LA 70813, USA
8 Dept. of Physics, University of California, Berkeley, CA 94720, USA
9 Lawrence Berkeley National Laboratory, Berkeley, CA 94720, USA
10 Institut für Physik, Humboldt-Universität zu Berlin, D-12489 Berlin,
Germany
11 Fakultät für Physik & Astronomie, Ruhr-Universität Bochum, D-44780 Bochum,
Germany
12 Université Libre de Bruxelles, Science Faculty CP230, B-1050 Brussels,
Belgium
13 Vrije Universiteit Brussel (VUB), Dienst ELEM, B-1050 Brussels, Belgium
14 Department of Physics and Laboratory for Particle Physics and Cosmology,
Harvard University, Cambridge, MA 02138, USA
15 Dept. of Physics, Massachusetts Institute of Technology, Cambridge, MA
02139, USA
16 Dept. of Physics and Institute for Global Prominent Research, Chiba
University, Chiba 263-8522, Japan
17 Department of Physics, Loyola University Chicago, Chicago, IL 60660, USA
18 Dept. of Physics and Astronomy, University of Canterbury, Private Bag 4800,
Christchurch, New Zealand
19 Dept. of Physics, University of Maryland, College Park, MD 20742, USA
20 Dept. of Astronomy, Ohio State University, Columbus, OH 43210, USA
21 Dept. of Physics and Center for Cosmology and Astro-Particle Physics, Ohio
State University, Columbus, OH 43210, USA
22 Niels Bohr Institute, University of Copenhagen, DK-2100 Copenhagen, Denmark
23 Dept. of Physics, TU Dortmund University, D-44221 Dortmund, Germany
24 Dept. of Physics and Astronomy, Michigan State University, East Lansing, MI
48824, USA
25 Dept. of Physics, University of Alberta, Edmonton, Alberta, Canada T6G 2E1
26 Erlangen Centre for Astroparticle Physics, Friedrich-Alexander-Universität
Erlangen-Nürnberg, D-91058 Erlangen, Germany
27 Physik-department, Technische Universität München, D-85748 Garching,
Germany
28 Département de physique nucléaire et corpusculaire, Université de Genève,
CH-1211 Genève, Switzerland
29 Dept. of Physics and Astronomy, University of Gent, B-9000 Gent, Belgium
30 Dept. of Physics and Astronomy, University of California, Irvine, CA 92697,
USA
31 Karlsruhe Institute of Technology, Institute for Astroparticle Physics,
D-76021 Karlsruhe, Germany
32 Karlsruhe Institute of Technology, Institute of Experimental Particle
Physics, D-76021 Karlsruhe, Germany
33 Dept. of Physics, Engineering Physics, and Astronomy, Queen’s University,
Kingston, ON K7L 3N6, Canada
34 Dept. of Physics and Astronomy, University of Kansas, Lawrence, KS 66045,
USA
35 Department of Physics and Astronomy, UCLA, Los Angeles, CA 90095, USA
36 Department of Physics, Mercer University, Macon, GA 31207-0001, USA
37 Dept. of Astronomy, University of Wisconsin–Madison, Madison, WI 53706, USA
38 Dept. of Physics and Wisconsin IceCube Particle Astrophysics Center,
University of Wisconsin–Madison, Madison, WI 53706, USA
39 Institute of Physics, University of Mainz, Staudinger Weg 7, D-55099 Mainz,
Germany
40 Department of Physics, Marquette University, Milwaukee, WI, 53201, USA
41 Institut für Kernphysik, Westfälische Wilhelms-Universität Münster, D-48149
Münster, Germany
42 Bartol Research Institute and Dept. of Physics and Astronomy, University of
Delaware, Newark, DE 19716, USA
43 Dept. of Physics, Yale University, New Haven, CT 06520, USA
44 Dept. of Physics, University of Oxford, Parks Road, Oxford OX1 3PU, UK
45 Dept. of Physics, Drexel University, 3141 Chestnut Street, Philadelphia, PA
19104, USA
46 Physics Department, South Dakota School of Mines and Technology, Rapid
City, SD 57701, USA
47 Dept. of Physics, University of Wisconsin, River Falls, WI 54022, USA
48 Dept. of Physics and Astronomy, University of Rochester, Rochester, NY
14627, USA
49 Department of Physics and Astronomy, University of Utah, Salt Lake City, UT
84112, USA
50 Oskar Klein Centre and Dept. of Physics, Stockholm University, SE-10691
Stockholm, Sweden
51 Dept. of Physics and Astronomy, Stony Brook University, Stony Brook, NY
11794-3800, USA
52 Dept. of Physics, Sungkyunkwan University, Suwon 16419, Korea
53 Institute of Basic Science, Sungkyunkwan University, Suwon 16419, Korea
54 Dept. of Physics and Astronomy, University of Alabama, Tuscaloosa, AL
35487, USA
55 Dept. of Astronomy and Astrophysics, Pennsylvania State University,
University Park, PA 16802, USA
56 Dept. of Physics, Pennsylvania State University, University Park, PA 16802,
USA
57 Dept. of Physics and Astronomy, Uppsala University, Box 516, S-75120
Uppsala, Sweden
58 Dept. of Physics, University of Wuppertal, D-42119 Wuppertal, Germany
59 DESY, D-15738 Zeuthen, Germany
60 Università di Padova, I-35131 Padova, Italy
61 National Research Nuclear University, Moscow Engineering Physics Institute
(MEPhI), Moscow 115409, Russia
62 Earthquake Research Institute, University of Tokyo, Bunkyo, Tokyo 113-0032,
Japan
### Acknowledgements
USA – U.S. National Science Foundation-Office of Polar Programs, U.S. National
Science Foundation-Physics Division, U.S. National Science Foundation-EPSCoR,
Wisconsin Alumni Research Foundation, Center for High Throughput Computing
(CHTC) at the University of Wisconsin–Madison, Open Science Grid (OSG),
Extreme Science and Engineering Discovery Environment (XSEDE), Frontera
computing project at the Texas Advanced Computing Center, U.S. Department of
Energy-National Energy Research Scientific Computing Center, Particle
astrophysics research computing center at the University of Maryland,
Institute for Cyber-Enabled Research at Michigan State University, and
Astroparticle physics computational facility at Marquette University; Belgium
– Funds for Scientific Research (FRS-FNRS and FWO), FWO Odysseus and Big
Science programmes, and Belgian Federal Science Policy Office (Belspo);
Germany – Bundesministerium für Bildung und Forschung (BMBF), Deutsche
Forschungsgemeinschaft (DFG), Helmholtz Alliance for Astroparticle Physics
(HAP), Initiative and Networking Fund of the Helmholtz Association, Deutsches
Elektronen Synchrotron (DESY), and High Performance Computing cluster of the
RWTH Aachen; Sweden – Swedish Research Council, Swedish Polar Research
Secretariat, Swedish National Infrastructure for Computing (SNIC), and Knut
and Alice Wallenberg Foundation; Australia – Australian Research Council;
Canada – Natural Sciences and Engineering Research Council of Canada, Calcul
Québec, Compute Ontario, Canada Foundation for Innovation, WestGrid, and
Compute Canada; Denmark – Villum Fonden and Carlsberg Foundation; New Zealand
– Marsden Fund; Japan – Japan Society for Promotion of Science (JSPS) and
Institute for Global Prominent Research (IGPR) of Chiba University; Korea –
National Research Foundation of Korea (NRF); Switzerland – Swiss National
Science Foundation (SNSF); United Kingdom – Department of Physics, University
of Oxford.
| arxiv-papers | 2021-07-25T14:02:17 | 2024-09-04T03:07:16.839053 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Jannes Brostean-Kaiser (for the IceCube Collaboration)",
"submitter": "Jannes Brostean-Kaiser",
"url": "https://arxiv.org/abs/2107.11809"
} |
2107.11811 | # Reinforced Imitation Learning by Free Energy Principle
Ryoya Ogishima Izumi Karino Yasuo Kuniyoshi
###### Abstract
Reinforcement Learning (RL) requires a large amount of exploration especially
in sparse-reward settings. Imitation Learning (IL) can learn from expert
demonstrations without exploration, but it never exceeds the expert’s
performance and is also vulnerable to distributional shift between
demonstration and execution. In this paper, we radically unify RL and IL based
on Free Energy Principle (FEP). FEP is a unified Bayesian theory of the brain
that explains perception, action and model learning by a common fundamental
principle. We present a theoretical extension of FEP and derive an algorithm
in which an agent learns the world model that internalizes expert
demonstrations and at the same time uses the model to infer the current and
future states and actions that maximize rewards. The algorithm thus reduces
exploration costs by partially imitating experts as well as maximizing its
return in a seamless way, resulting in a higher performance than the
suboptimal expert. Our experimental results show that this approach is
promising in visual control tasks especially in sparse-reward environments.
Free Energy Principle, Imitation, Reinforcement Learning
## 1 Introduction
Reinforcement Learning (RL) autonomously explores to maximize rewards, even
achieving super-human performances in certain tasks(Sutton et al., 1998;
Silver et al., 2016). It can also transfer the acquired policy to new
tasks/environments with additional explorations. However, in realistic tasks,
RL often requires an excess amount of explorations especially in sparse-reward
settings, and even when it succeeds in reward maximization, the acquired
policy sometimes severely deviates from the intention of the reward designer.
Imitation Learning (IL) learns a policy to mimic the trajectories demonstrated
by an expert(Pomerleau, 1991). Therefore it does not require explorations nor
a careful design of a reward function. However, the policy acquired by IL
never exhibits the performance exceeding that of the suboptimal expert, and it
is also vulnerable to realistic setting with distributional shift between the
demonstration and execution environments or perturbation and noise.
Since the pros and cons of RL and IL are mutually compensating, a natural
consequence would be to combine the two methods. Several work have been
reported along this idea (Verma et al., 2019; Pfeiffer et al., 2018; Sun et
al., 2018b; Rhinehart et al., 2018). However, there still remains an important
problem. That is, a truly seamless unification of IL and RL on a common
theoretical ground to make the best out of mutual leverages, and dealing with
another realistic setting which is partial observability as in POMDP
(Partially Observable Markov Decision Process), particularly with high-
dimensional image inputs. It is widely assumed that introducing a generative
model of the world in terms of latent variables is a promising approach to
POMDP.
Recent work in model-based RL succeeds in latent planning from high-
dimensional image inputs by incorporating latent dynamics models. Behaviors
can be derived either by imagined-reward maximization (Ha & Schmidhuber, 2018;
Hafner et al., 2019a) or by online planning (Hafner et al., 2019b). Although
solving high dimensional visual control tasks with model-based methods is
becoming feasible, prior methods have not been combined with IL.
Free Energy Principle (FEP), a unified brain theory in computational
neuroscience explains perception, action and model learning in a Bayesian
probabilistic way (Friston et al., 2006; Friston, 2010). In FEP, the brain has
a generative model of the world and computes a mathematical amount called Free
Energy using the model prediction and sensory inputs to the brain. By
minimizing the Free Energy, the brain achieves model learning and behavior
learning. Therefore it has a potential to fundamentally unify IL and RL on the
common theoretical ground. However, prior work on FEP dealt with limited
situations where a part of the generative model is given and the task is very
low dimensional. As there are a lot in common between FEP and variational
inference in machine learning, recent advancements in deep learning and latent
variable models could be applied to scale up FEP agents to be compatible with
high dimensional tasks.
In this paper, we propose Deep Free Energy Network (FENet), an agent that
combines the advantages of IL and RL so that the initial policy is learned
from suboptimal expert data without the need of exploration or detailed reward
crafting, then it is further improved from sparsely specified reward functions
to exceed the suboptimal expert performance.
The key contributions of this work are summarized as follows:
* •
Extension of Free Energy Principle:
We theoretically extend Free Energy Principle, introducing policy prior and
policy posterior to combine IL and RL. We implement the proposed method on top
of Recurrent State Space Model (Hafner et al., 2019b), a latent dynamics model
with both deterministic and stochastic components.
* •
Visual control tasks in realistic problem settings:
We solve Cheetah-run, Walker-walk, and Quadruped-walk tasks from DeepMind
Control Suite (Tassa et al., 2018). We do not only use the default problem
settings, but we also set up problems with sparse rewards and with suboptimal
experts. We demonstrate that our agent outperforms model-based RL using
Recurrent State Space Model in sparse-reward settings. We also show that our
agent can achieve higher returns than Behavioral Cloning (IL) with suboptimal
experts.
## 2 Backgrounds on Free Energy Principle
### 2.1 Problem setups
We formulate visual control as a partially observable Markov decision process
(POMDP) with discrete time steps $t$, observations $o_{t}$, hidden states
$s_{t}$, continuous action vectors $a_{t}$, and scalar rewards $r_{t}$. The
goal is to develop an agent that maximizes expected return
$\mathbb{E}[\sum_{t=1}^{T}r_{t}]$.
### 2.2 Free Energy Principle
Perception, action and model learning are all achieved by minimizing the same
objective function, Free Energy (Friston et al., 2006; Friston, 2010). In FEP,
the agent is equipped with a generative model of the world, using a prior
$p(s_{t})$ and a likelihood $p(o_{t}|s_{t})$.
$\displaystyle p(o_{t},s_{t})=p(o_{t}|s_{t})p(s_{t})$ (1)
Perceptual Inference Under the generative model, the posterior probability of
hidden states given observations is calculated with Bayes’ theorem as follows.
$\displaystyle p(s_{t}|o_{t})=\frac{p(o_{t}|s_{t})p(s_{t})}{p(o_{t})},\quad
p(o_{t})=\int p(o_{t}|s_{t})p(s_{t})ds$ (2)
Since we cannot compute $p(o_{t})$ due to the integral, we think of
approximating $p(s_{t}|o_{t})$ with a variational posterior $q(s_{t})$ by
minimizing KL divergence $KL(q(s_{t})||p(s_{t}|o_{t}))$.
$\displaystyle KL(q(s_{t})||p(s_{t}|o_{t}))$ $\displaystyle=\ln
p(o_{t})+KL(q(s_{t})||p(o_{t},s_{t}))$ (3) $\displaystyle F_{t}$
$\displaystyle=KL(q(s_{t})||p(o_{t},s_{t}))$ (4)
The Free Energy is defined as (eq.4). Since $p(o_{t})$ does not depend on
$s_{t}$, we can minimize (eq.3) w.r.t. the parameters of the variational
posterior by minimizing the Free Energy. Thus, the agent can infer the hidden
states of the observations by minimizing $F_{t}$. This process is called
’perceptual inference’ in FEP.
Perceptual Learning Free Energy is the same amount as negative Evidence Lower
Bound (ELBO) in variational inference often seen in machine learning as
follows.
$\displaystyle\ln p(o_{t})\geq-F_{t}$ (5)
By minimizing $F_{t}$ w.r.t. the parameters of the prior and the likelihood,
the generative model learns to best explain the observations. This process is
called ’perceptual learning’ in FEP.
Active Inference We can assume that the prior is conditioned on the hidden
states and actions at the previous time step as follows.
$\displaystyle p(s_{t})\coloneqq p(s_{t}|s_{t-1},a_{t-1})$ (6)
The agent can change the future by choosing actions. Suppose the agent chooses
$a_{t}$ when it is at $s_{t}$, the prior can predict the next hidden state
$s_{t+1}$. Thus, we can think of the Expected Free Energy $G_{t+1}$ at the
next time step $t+1$ as follows (Friston et al., 2015).
$\displaystyle G_{t+1}$
$\displaystyle=\mathbb{E}_{p(o_{t+1}|s_{t+1})}[KL(q(s_{t+1})||p(o_{t+1},s_{t+1}))]$
$\displaystyle=\mathbb{E}_{q(s_{t+1})p(o_{t+1}|s_{t+1})}[\ln q(s_{t+1})-\ln
p(o_{t+1},s_{t+1})]$ (7)
$\displaystyle=\mathbb{E}_{q(s_{t+1})p(o_{t+1}|s_{t+1})}[\ln q(s_{t+1})-\ln
p(s_{t+1}|o_{t+1})$ $\displaystyle\quad-\ln p(o_{t+1})]$
$\displaystyle\approx\mathbb{E}_{q(o_{t+1},s_{t+1})}[\ln q(s_{t+1})-\ln
q(s_{t+1}|o_{t+1})$ $\displaystyle\quad-\ln p(o_{t+1})]$ (8)
$\displaystyle=\mathbb{E}_{q(o_{t+1})}[-KL(q(s_{t+1}|o_{t+1})||q(s_{t+1}))$
$\displaystyle\quad-\ln p(o_{t+1})]$ (9)
Since the agent has not experienced time step ${t+1}$ yet and has not received
observations $o_{t+1}$, we take expectation over $o_{t+1}$ using the
likelihood $p(o_{t+1}|s_{t+1})$ as (eq.7). In (eq.8), we define the likelihood
$q(o_{t+1}|s_{t+1})=p(o_{t+1}|s_{t+1})$ and approximate the posterior
$p(s_{t+1}|o_{t+1})$ as the variational posterior $q(s_{t+1}|o_{t+1})$.
According to the complete class theorem (Friston et al., 2012), any scalar
rewards can be encoded as observation priors using $p(o)\propto\exp r(o)$ and
the second term in (eq.9) becomes a goal-directed value. This observation
prior $p(o_{t+1})$ can also be regarded as the probability of optimality
variable $p(\mathcal{O}_{t+1}=1|o_{t+1})$, where the binary optimality
variable $\mathcal{O}_{t+1}=1$ denotes that time step $t+1$ is optimal and
$\mathcal{O}_{t+1}=0$ denotes that it is not optimal as introduced in the
context of control as probabilistic inference(Levine, 2018). The first term in
(eq.9) is called epistemic value that works as intrinsic motivation to further
explore the world. Minimization of $-KL(q(s_{t+1}|o_{t+1})||q(s_{t+1}))$ means
that the agent tries to experience as different states $s_{t+1}$ as possible
given some imagined observations $o_{t+1}$. By minimizing the Expected Free
Energy, the agent can infer the actions that explores the world and maximize
rewards. This process is called ’active inference’.
## 3 Deep Free Energy Network (FENet)
Perceptual learning deals with learning the generative model to best explain
the agent’s sensory inputs. If we think of not only observations but also
actions demonstrated by the expert as a part of the sensory inputs, we can
explain IL by using the concept of perceptual learning. In other words, this
is a process of learning the world model that internalizes expert
demonstrations as passive dynamics. Active inference deals with exploration
and reward maximization, so it is compatible with reinforcement learning. By
minimizing the same objective function, the Free Energy, we can deal with both
IL and RL.
In this section, we first extend the Free Energy so that actions are a part of
the sensory inputs to accommodate both IL and RL. For this purpose, we
introduce a policy prior for IL and a policy posterior for RL. Second, we use
the extended Free Energy to derive and extend the Expected Free Energy in two
ways. One is for calculation with given expert data for IL, and the other is
for calculation with collected agent data for RL. Finally, we explain a
detailed network design to implement the proposed method for solving image
control tasks.
### 3.1 Introducing a policy prior and a policy posterior
Free Energy We extend the Free Energy from (eq.4) so that actions are a part
of the sensory inputs that the generative model tries to explain.
$\displaystyle F_{t}$ $\displaystyle=KL(q(s_{t})||p(o_{t},s_{t},a_{t}))$ (10)
$\displaystyle=KL(q(s_{t})||p(o_{t}|s_{t})p(a_{t}|s_{t})p(s_{t}|s_{t-1},a_{t-1}))$
(11)
$\displaystyle=\mathbb{E}_{q(s_{t})}[\ln\frac{q(s_{t})}{p(o_{t}|s_{t})p(a_{t}|s_{t})p(s_{t}|s_{t-1},a_{t-1})}]$
(12) $\displaystyle=\mathbb{E}_{q(s_{t})}[-\ln p(o_{t}|s_{t})-\ln
p(a_{t}|s_{t})+\ln q(s_{t})$ $\displaystyle\quad-\ln
p(s_{t}|s_{t-1},a_{t-1})]$ (13) $\displaystyle=\mathbb{E}_{q(s_{t})}[-\ln
p(o_{t}|s_{t})-\ln p(a_{t}|s_{t})]$
$\displaystyle\quad+KL(q(s_{t})||p(s_{t}|s_{t-1},a_{t-1}))$ (14)
We define $p(a_{t}|s_{t})$ as a policy prior. When the agent observes expert
trajectories, by minimizing $F_{t}$ w.r.t. the policy prior parameters, the
policy prior will be learned so that it can best explain the experts. By
minizing $F_{t}$ w.r.t. the parameters of the state prior
$p(s_{t}|s_{t-1},a_{t-1})$ and the observation likelihood $p(o_{t}|s_{t})$,
the world model is learned as explained as perceptual learning in Section 2.2.
Besides the policy prior, we introduce and define a policy posterior
$q(a_{t}|s_{t})$, which is the very policy that the agent samples actions from
when interacting with its environments and that the agent uses to imagine the
future observations and rewards. We explain how to learn the policy posterior
in the following.
Expected Free Energy for Imitation Learning (IL) In a similar manner to active
inference in Section 2.2, we think of the Expected Free Energy $G_{t+1}$ at
the next time step $t+1$, but this time we take expectation over the policy
posterior $q(a_{t}|s_{t})$ because $G_{t+1}$ is a value expected under the
next actions. Note that in Section 2.2 $a_{t}$ was given as a certain value
input, but here $a_{t}$ is sampled from the policy posterior. We calculate the
expected state at time step ${t+1}$ as follows.
$\displaystyle q(s_{t+1})$
$\displaystyle=\mathbb{E}_{q(s_{t})q(a_{t}|s_{t})}[p(s_{t+1}|s_{t},a_{t})]$
(15) $\displaystyle q(o_{t+1},s_{t+1},a_{t+1})$
$\displaystyle=p(o_{t+1}|s_{t+1})q(a_{t+1}|s_{t+1})q(s_{t+1})$ (16)
We derive the Expected Free Energy from (eq.13) as follows.
$\displaystyle G_{t+1}^{IL}$
$\displaystyle=\mathbb{E}_{q(o_{t+1},s_{t+1},a_{t+1})}[-\ln
p(o_{t+1}|s_{t+1})$ $\displaystyle\quad-\ln p(a_{t+1}|s_{t+1})+\ln
q(s_{t+1})-\ln p(s_{t+1}|s_{t},a_{t})]$ (17)
$\displaystyle=\mathbb{E}_{q(o_{t+1},s_{t+1},a_{t+1})}[-\ln
p(o_{t+1}|s_{t+1})$ $\displaystyle\quad-\ln p(a_{t+1}|s_{t+1})+0]$ (18)
$\displaystyle=\mathbb{E}_{q(o_{t+1},s_{t+1})}[-\ln p(o_{t+1}|s_{t+1})$
$\displaystyle\quad+\mathbb{E}_{q(a_{t+1}|s_{t+1})}[-\ln p(a_{t+1}|s_{t+1})]]$
(19) $\displaystyle=\mathbb{E}_{q(s_{t+1})}[\mathcal{H}[p(o_{t+1}|s_{t+1})]$
$\displaystyle\quad+\mathbb{E}_{q(a_{t+1}|s_{t+1})}[-\ln p(a_{t+1}|s_{t+1})]]$
(20)
In (eq.18), $q(s_{t+1})$ and $p(s_{t+1}|s_{t},a_{t})$ are both state prior
prediction at future time step $t+1$, and they are regarded as the same value.
In (eq.20), the first term is the entropy of the observation likelihood, and
the second term is the negative likelihood of the policy prior expected under
the policy posterior. By minimizing $G_{t+1}^{IL}$, the agent learns the
policy posterior so that it matches the policy prior which has been learned
through minimizing $F_{t}$ to encode the experts’ behavior.
Expected Free Energy for RL We can get the Expected Free Energy in a different
way that has a reward component $r(o_{t+1})$ leading to the policy posterior
maximizing rewards. We derive the Expected Free Energy from (eq.17) as
follows.
$\displaystyle G_{t+1}^{RL}$
$\displaystyle=\mathbb{E}_{q(o_{t+1},s_{t+1},a_{t+1})}[-\ln
p(o_{t+1},s_{t+1})$ $\displaystyle\quad-\ln p(a_{t+1}|s_{t+1})+\ln
q(s_{t+1})]$ (21) $\displaystyle=\mathbb{E}_{q(o_{t+1},s_{t+1},a_{t+1})}[-\ln
p(s_{t+1}|o_{t+1}))$ $\displaystyle\quad-\ln p(a_{t+1}|s_{t+1})+\ln
q(s_{t+1})-\ln p(o_{t+1})]$ (22)
$\displaystyle\approx\mathbb{E}_{q(o_{t+1},s_{t+1},a_{t+1})}[-\ln
q(s_{t+1}|o_{t+1}))$ $\displaystyle\quad-\ln p(a_{t+1}|s_{t+1})+\ln
q(s_{t+1})-\ln p(o_{t+1})]$ (23)
$\displaystyle=\mathbb{E}_{q(o_{t+1},s_{t+1})}[-\ln q(s_{t+1}|o_{t+1})-\ln
p(o_{t+1})$ $\displaystyle\quad+\mathbb{E}_{q(a_{t+1}|s_{t+1})}[-\ln
p(a_{t+1}|s_{t+1})]+\ln q(s_{t+1})]$ (24)
$\displaystyle=\mathbb{E}_{q(o_{t+1})}[-KL(q(s_{t+1}|o_{t+1})||q(s_{t+1}))-\ln
p(o_{t+1})]$
$\displaystyle\quad+\mathbb{E}_{q(s_{t+1})}[\mathbb{E}_{q(a_{t+1}|s_{t+1})}[-\ln
p(a_{t+1}|s_{t+1})]]$ (25)
$\displaystyle\approx\mathbb{E}_{q(o_{t+1})}[-KL(q(s_{t+1}|o_{t+1})||q(s_{t+1}))-r(o_{t+1})]$
$\displaystyle\quad+\mathbb{E}_{q(s_{t+1})}[\mathbb{E}_{q(a_{t+1}|s_{t+1})}[-\ln
p(a_{t+1}|s_{t+1})]]$ (26)
In (eq.23), we approximate $p(s_{t+1}|o_{t+1})$ as $q(s_{t+1}|o_{t+1})$
similarly to (eq.8). This is to approximate true state posterior as
variational state posterior. In (eq.26), as is explained in active inference
in Section 2.2, we use $p(o)\propto\exp r(o)$ for the approximation. The first
KL term is the epistemic value that lets the agent explore the world, the
second term is the expected reward under the action sampled from the policy
posterior, and the last term is the likelihood of the policy prior expected
under the policy posterior. Note that $q(o_{t+1})$ in (eq.26) can be
calculated as follows.
$\displaystyle q(o_{t+1})=\mathbb{E}_{q(s_{t+1})}[p(o_{t+1}|s_{t+1})]$ (27)
By minimizing $G_{t+1}^{RL}$, the agent learns the policy posterior so that it
explores the world and maximizes the reward as long as it does not deviate too
much from the policy prior which has encoded experts’ behavior through
minimizing $F_{t}$.
In summary, $G_{t+1}^{IL}$ and $G_{t+1}^{RL}$ are both derived from the same
Free Energy $F_{t}$, but they are different kinds of derivations to
accommodate the data inputs required for IL and RL respectively.
Figure 1: Deep Free Energy Network (FENet) calculation process for IL phase.
Figure 2: Deep Free Energy Network (FENet) calculation process for RL phase.
### 3.2 IL and RL objectives
To realize IL and RL at the same time, we propose that the agent calculate
Free Energy-based losses for given expert data and collected agent data. The
overall loss function of Deep Free Energy Network should minimize is as
follows.
$\displaystyle\mathcal{F}_{IL}+\mathcal{F}_{RL}$ (28)
when, for given expert data,
$\displaystyle\mathcal{F}_{IL}=F_{t}+\sum_{\tau=t+1}^{\infty}\gamma^{\tau-t-1}G_{\tau}^{IL}$
(29)
for collected agent data,
$\displaystyle\mathcal{F}_{RL}=F_{t}+\sum_{\tau=t+1}^{\infty}\gamma^{\tau-t-1}G_{\tau}^{RL}$
(30)
Note that the Expected Free Energy at $t+1$ to $\infty$ are calculated to
account for the long term future and that $\gamma$ is a discount factor as in
the case of general RL algorithms. The overall Free Energy calculation process
is shown in Figure 1 and Figure 2.
### 3.3 Network Design for Implementation
Value function As it is impossible to sum over infinity time steps, we
introduce an Expected Free Energy Value function $V(s_{t+1})$ to estimate the
cumulative Expected Free Energy. Similarly to the case of Temporal Difference
learning of Deep Q Network (Mnih et al., 2013), we use a target network
$V_{targ}(s_{t+2})$ to stabilize the learning process and define the loss for
learning the value function as follows.
$\displaystyle\mathcal{L}=||G_{t+1}+\gamma V_{targ}(s_{t+2})-V(s_{t+1})||^{2}$
(31)
To reduce the number of parameters of the networks, we made a design choice
that the agent uses the value function only for RL, and not for IL. In IL, we
use only the value of the Expected Free Energy $G_{t+1}$ at the next time step
$t+1$ and ignore the time steps from $t+2$ to infinity. Note that we use a
notation that the origin of time step $t$ is set at the time of the expert
data the agent learns from in every iteration of learning. This means that the
time steps from $t+1$ to infinity are all imagined time because the agent has
not observed the time yet. Therefore, IL from $F_{t}+G^{IL}_{t+1}$ without
$t+2$ to infinity still handles all time series data of expert demonstrations.
It just does not predict more than 1 time step ahead. While IL can be achieved
without long term prediction, RL needs the value function to predict rewards
in the long-term future to avoid a local minimum behavior and achieve the
desired goal.
Recurrent State Space Model We made a design choice for the network
implementation to use Recurrent State Space Model (Hafner et al., 2019b), a
latent dynamics model with both deterministic and stochastic components. In
this model, the hidden states $s_{t}$ are split into two parts: stochastic
hidden states $u_{t}$ and deterministic hidden states $h_{t}$. The
deterministic transition of $h_{t}$ is modeled using Recurrent Neural Networks
(RNN) $f$ as follows.
$\displaystyle h_{t}=f(h_{t-1},u_{t-1},a_{t-1})$ (32)
We model the probabilities in Deep Free Energy Networks as follows.
State prior $\displaystyle p_{\theta}(u_{t}|h_{t})$ (33) Observation
likelihood $\displaystyle p_{\theta}(o_{t}|u_{t},h_{t})$ (34) Reward
likelihood $\displaystyle p_{\theta}(r_{t-1}|u_{t},h_{t})$ (35) State
posterior $\displaystyle q_{\phi}(u_{t}|h_{t},o_{t})$ (36) Policy prior
$\displaystyle p_{\theta}(a_{t}|u_{t},h_{t})$ (37) Policy posterior
$\displaystyle q_{\psi}(a_{t}|u_{t},h_{t})$ (38) Value network $\displaystyle
V_{\omega}(u_{t})$ (39) Target Value Network $\displaystyle
V_{\omega_{targ}}(u_{t})$ (40)
We model these probabilities as feedforward Neural Networks that output the
mean and standard deviation of the random variables according to the Gaussian
distribution. For example, the policy posterior is modeled as a network that
takes $u_{t}$ and $h_{t}$ as inputs and calculates through several hidden
layers and outputs the Gaussian distribution of $a_{t}$. Note that
$\theta,\phi,\psi,\omega$ are a group or set of network parameters to be
learned such as network weights. For example, $theta$ is a group or set of all
parameters consisting the probabilities of state prior, observation/reward
likelihood and policy prior. Using the network parameters, the objective loss
functions can be written as follows.
$\displaystyle\mathcal{F}_{IL}$ $\displaystyle=F_{t}+G_{t+1}^{IL}$ (41)
$\displaystyle\mathcal{F}_{RL}$ $\displaystyle=F_{t}+G_{t+1}^{RL}+\gamma
V_{\omega_{targ}}(u_{t+2})$ (42) $\displaystyle\mathcal{L}$
$\displaystyle=||G_{t+1}^{RL}+\gamma
V_{\omega_{targ}}(u_{t+2})-V_{\omega}(u_{t+1})||^{2}$ (43) when $\displaystyle
F_{t}$ $\displaystyle=\mathbb{E}_{q_{\phi}(u_{t}|h_{t},o_{t})}[-\ln
p_{\theta}(o_{t}|u_{t},h_{t})-\ln p_{\theta}(a_{t}|u_{t},h_{t})]$
$\displaystyle\quad+KL(q_{\phi}(u_{t}|h_{t},o_{t})||p_{\theta}(u_{t}|h_{t}))$
(44) $\displaystyle G_{t+1}^{IL}$
$\displaystyle=\mathbb{E}_{q_{(}u_{t+1})}[\mathcal{H}[p_{\theta}(o_{t+1}|u_{t+1},h_{t+1})]$
$\displaystyle\quad+KL(q_{\psi}(a_{t+1}|u_{t+1},h_{t+1})||p_{\theta}(a_{t+1}|u_{t+1},h_{t+1}))]$
(45) $\displaystyle G_{t+1}^{RL}$
$\displaystyle=\mathbb{E}_{q(o_{t+1})}[-KL(q_{\phi}(u_{t+1}|h_{t+1},o_{t+1})||q(u_{t+1}))$
$\displaystyle\quad-
p_{\theta}(r_{t}|u_{t+1},h_{t+1})]+\mathbb{E}_{q(u_{t+1})}[$
$\displaystyle\quad
KL(q_{\psi}(a_{t+1}|u_{t+1},h_{t+1})||p_{\theta}(a_{t+1}|u_{t+1},h_{t+1}))]$
$\displaystyle\quad+\gamma V_{\omega_{targ}}(u_{t+2})$ (46) $\displaystyle
q(u_{t+1})$
$\displaystyle=\mathbb{E}_{q_{\phi}(u_{t}|h_{t},o_{t})q_{\psi}(a_{t}|u_{t},h_{t})}[p_{\theta}(u_{t+1}|h_{t+1})]$
(47) $\displaystyle q(o_{t+1})$
$\displaystyle=\mathbb{E}_{q(u_{t+1})}[p_{\theta}(o_{t+1}|u_{t+1},h_{t+1})]$
(48)
Algorithm 1 shows overall calculations using these losses. The agent minimizes
$\mathcal{F}_{IL}$ for expert data $\mathcal{D}_{E}$ and the agent minimizes
$\mathcal{F}_{RL}$ for agent data $\mathcal{D}_{A}$ that the agent collects on
its own.
## 4 Experiments
We evaluate FENet on three continuous control tasks from images. We compare
our model with model-based RL and model-based RL with demonstrations in dense
and sparse reward setting when optimal expert is available. Then we compare
our model with IL methods when only suboptimal experts are available. Finally,
we investigate the merits of combining IL and RL as an ablation study.
(a) Cheetah-run
(b) Walker-walk
(c) Quadruped-walk
Figure 3: Image-based control tasks used in our experiments.
Control tasks We used Cheetah-run, Walker-walk, and Quadruped-walk tasks,
image-based continuous control tasks of DeepMind Control Suite (Tassa et al.,
2018) shown in Figure 3. The agent gets rewards ranging from $0$ to $1$.
Quadruped-walk is the most difficult as it has more action dimensions than the
others. Walker-walk is more challenging than Cheehtah-run because an agent
first has to stand up and then walk, meaning that the agent easily falls down
on the ground, which is difficult to predict. The episode length is 1000 steps
starting from randomized initial states. We use action repeat $R=4$ for the
Cheetah-run task, and $R=2$ for the Walker-walk task and the Quadruped-walk
task.
Figure 4: Comparison of FENet to PlaNet and ”PlaNet with demonstrations”.
Plots show test performance over learning iterations. The lines show means and
the areas show standard deviations over 10 trajectories.
### 4.1 Performance in standard visual control tasks
We compare the performance of FENet to PlaNet (Hafner et al., 2019b) and
”PlaNet with demonstrations” in standard visual control tasks mentioned above.
We use PlaNet as a baseline method because PlaNet is one of the most basic
model-based RL methods using Recurrent State Space Model, on top of which we
build our model. As FENet uses expert data, we create ”PlaNet with
demonstrations” for fair comparison. This variant of PlaNet has an additional
experience replay pre-populated with expert trajectories and minimize a loss
calculated from the expert data in addition to PlaNet’s original loss. Figure
4 shows that ”PlaNet with demonstrations” is always better than PlaNet and
that FENet is ranked higher as the difficulty of tasks gets higher. In
Cheetah-run, FENet gives competitive performance with PlaNet. In Walker-walk,
FENet and ”PlaNet with demonstrations” are almost competitive, both of which
are substantially better than PlaNet thanks to expert knowledge being
leveraged to increase sample efficiency. In Quadruped-walk, FENet is slightly
better than the other two baselines.
### 4.2 Performance in sparse-reward visual control tasks
In real-world robot learning, it is demanding to craft a dense reward function
to lead robots to desired behaviors. It would be helpful if an agent could
acquire desired behaviors simply by giving sparse signals. We compare the
performance of FENet to PlaNet and ”PlaNet with demonstrations” in sparse-
reward settings, where agents do not get rewards less than 0.5 per time step
(Note that in the original implementation of Cheetah-run, Walker-walk and
Quadruped-walk, agents get rewards ranging from 0 to 1 per time step). Figure
5 shows that FENet outperforms PlaNet and ”PlaNet with demonstrations” in all
three tasks. In Cheetah-run, PlaNet and ”PlaNet with demonstrations” are not
able to get even a single reward.
### 4.3 Performance with suboptimal experts
In real-world robot learning, expert trajectories are often given by human
experts. It is natural to assume that expert trajectories are suboptimal and
that there remains much room for improvement. We compare the performance of
FENet to Behavioral Cloning IL methods. We use two types of networks for
behavioral cloning methods: recurrent policy and recurrent decoder policy. The
recurrent policy $\pi_{R}(a_{t}|o_{t})$ is neural networks with one gated
recurrent unit cell and three dense layers. The recurrent decoder policy
$\pi_{R}(a_{t},o_{t+1}|o_{t})$ is neural networks with one gated recurrent
unit cell and four dense layers and deconvolution layers as in the decoder of
PlaNet. Both networks does not get raw pixel observations but take
observations encoded by the same convolutional encoder as PlaNet’s. Figure 7
shows that while IL methods overfit to the expert and cannot surpass the
suboptimal expert performance, FENet is able to substantially surpass the
suboptimal expert’s performance.
Algorithm 1 Deep Free Energy Network (FENet)
Input:
Seed episodes $S$ Collect interval $C$
Batch size $B$ Chunk length $L$
Expert episodes $N$ Target smoothing rate $\rho$
Learning rate $\alpha$
State prior $p_{\theta}(u_{t}|h_{t})$ State posterior
$q_{\phi}(u_{t}|h_{t},o_{t})$
Policy prior $p_{\theta}(a_{t}|u_{t},h_{t})$ Policy posterior
$q_{\psi}(a_{t}|u_{t},h_{t})$
Likelihood $p_{\theta}(o_{t}|u_{t},h_{t})$, $p_{\theta}(r_{t-1}|u_{t},h_{t})$
Value function $V_{\omega}(u_{t})$ Target value function
$V_{\omega_{targ}}(u_{t})$
Initialize expert dataset $\mathcal{D}_{E}$ with $N$ expert trajectories
Initialize agent dataset $\mathcal{D}_{A}$ with $S$ random episodes
Initialize neural network parameters $\theta,\phi,\psi,\omega$ randomly
while not converged do
for update step $c=1..C$ do
// Imitation Learning (IL)
Draw expert data
$\\{(o_{t},a_{t},o_{t+1})_{t=k}^{k+L}\\}_{i=1}^{B}\sim\mathcal{D}_{E}$
Compute Free Energy $\mathcal{F}_{IL}$ from equation 41
// Reinforcement Learning (RL)
Draw agent data
$\\{(o_{t},a_{t},r_{t},o_{t+1})_{t=k}^{k+L}\\}_{i=1}^{B}\sim\mathcal{D}_{A}$
Compute Free Energy $\mathcal{F}_{RL}$ from equation 42
Compute $V$ function’s Loss $\mathcal{L}$ from equation 43
// Update parameters
$\theta\leftarrow\theta-\alpha\nabla_{\theta}(\mathcal{F}_{IL}+\mathcal{F}_{RL})$
$\phi\leftarrow\phi-\alpha\nabla_{\phi}(\mathcal{F}_{IL}+\mathcal{F}_{RL})$
$\psi\leftarrow\psi-\alpha\nabla_{\psi}(\mathcal{F}_{IL}+\mathcal{F}_{RL})$
$\omega\leftarrow\omega-\alpha\nabla_{\omega}\mathcal{L}$
$\omega_{targ}\leftarrow\rho\omega_{targ}+(1-\rho)\omega$
end for
// Environment interaction
$o_{1}\leftarrow$ env.reset()
for time step $t=1..T$ do
Infer hidden states $u_{t}\leftarrow q_{\phi}(u_{t}|h_{t},o_{t})$
Calculate actions $a_{t}\leftarrow q_{\psi}(a_{t}|u_{t},h_{t})$
Add exploration noise to actions
$r_{t},o_{t+1}\leftarrow$ env.step $(a_{t})$
end for
$\mathcal{D}_{A}\leftarrow\mathcal{D}_{A}\cup\\{(o_{t},a_{t},r_{t},o_{t+1})_{t=1}^{T}\\}$
end while
Figure 5: Comparison of FENet to PlaNet and ”PlaNet with demonstrations” in
sparse-reward settings, where agents do not get rewards less than 0.5. Plots
show test performance over learning iterations. FENet substantially
outperforms PlaNet. The lines show means and the areas show standard
deviations over 10 trajectories.
Figure 6: Comparison of FENet (imitation RL) to partial FENet (as ablation
studies: Imitation-pretrained RL, RL only, and Imitation only). Plots show
test performance over learning iterations. The lines show means and the areas
show standard deviations over 10 trajectories. Figure 7: Comparison of FENet
to IL methods when only suboptimal experts are available in Cheetah-run. Plots
show test performance over learning iterations. Behavioral Cloning IL methods
cannot surpass the suboptimal expert’s return which FENet successfully
surpasses. The lines show means and the areas show standard deviations over 10
trajectories.
### 4.4 Ablation Study
Figure 6 compares FENet with other types of agents partially using FENet’s
loss in Cheetah-run and Walker-walk (ablation study). ’Imitation RL’ is the
proposed FENet agent that does IL and RL at the same time, minimizing
$\mathcal{F}_{IL}+\mathcal{F}_{RL}$. ’Imitation-pretrained RL’ is an agent
that first learns the model only with imitation (minimizing
$\mathcal{F}_{IL}$) and then does RL using the pre-trained model (minimizing
$\mathcal{F}_{RL}$). ’RL only’ is an agent that does RL only, minimizing
$\mathcal{F}_{RL}$. ’Imitation only’ is an agent that does IL only, minimizing
$\mathcal{F}_{IL}$. While ’Imitation only’ gives the best performance and
’Imitation RL’ gives the second best in Cheetah-run, ’Imitation RL’ gives the
best performance and ’Imitation only’ gives the worst performance in Walker-
walk. We could say ’Imitation RL’ is the most robust to the properties of
tasks.
## 5 Related Work
Active Inference Friston, who first proposed Active Inference, has evaluated
the performance in simple control tasks and a low-dimensional maze (Friston et
al., 2012, 2015). Ueltzhoffer implemented Active Inference with Deep Neural
Networks and evaluated the performance in a simple control task (Ueltzhöffer,
2018). Millidge proposed a Deep Active Inference framework with value
functions to estimate the correct Free Energy and succeeded in solving Gym
environments (Millidge, 2019). Our approach extends Deep Active Inference to
combine IL and RL, solving more challenging tasks.
RL from demonstration Reinforced Imitation Learning succeeds in reducing
sample complexity by using imitation as pre-training before RL (Pfeiffer et
al., 2018). Adding demonstrations into a replay buffer of off policy RL
methods also demonstrates high sample efficiency (Vecerik et al., 2017; Nair
et al., 2018; Paine et al., 2019). Demo Augmented Policy Gradient mixes the
policy gradient with a behavioral cloning gradient (Rajeswaran* et al., 2018).
Deep Q-learning from Demonstrations (DQfD) not only use demonstrations for
pre-training but also calculates gradients from demonstrations and environment
interaction data (Hester et al., 2018). Truncated HORizon Policy Search uses
demonstrations to shape rewards so that subsequent planning can achieve
superior performance to RL even when experts are suboptimal (Sun et al.,
2018a). Soft Q Imitation Learning gives rewards that encourage the agent to
return to demonstrated states in order to avoid policy collapse (Reddy et al.,
2019). Our approach is similar to DQfD in terms of mixing gradients calculated
from demonstrations and from environment interaction data. One key difference
is that FENet concurrently learns the generative model of the world so that it
can be robust to wider environment properties.
Control with latent dynamics model World Models acquire latent spaces and
dynamics over the spaces separately, and evolve simple linear controllers to
solve visual control tasks (Ha & Schmidhuber, 2018). PlaNet learns Recurrent
State Space Model and does planning with Model Predictive Control at test
phase (Hafner et al., 2019b). Dreamer, which is recently built upon PlaNet,
has a policy for latent imagination and achieved higher performance than
PlaNet (Hafner et al., 2019a). Our approach also uses Recurrent State Space
Model to describe variational inference, and we are the first to unify IL and
RL over latent dynamics models to the best of our knowledge.
## 6 Conclusion
We present FENet, an agent that unifies Imitation Learning (IL) and
Reinforcement Learning (RL) using Free Energy objectives. For this, we
theoretically extend the Free Energy Principle and introduce a policy prior
that encodes experts’ behaviors and a policy posterior that learns to maximize
expected rewards without deviating too much from the policy prior. FENet
outperforms model-based RL and RL with demonstrations especially in visual
control tasks with sparse rewards and FENet also outperforms suboptimal
experts’ performance unlike Behavioral cloning. Strong potentials in sparse
environment with suboptimal experts are important factors for real-world robot
learning.
Directions for future work include learning the balance between IL and RL,
i.e. Free Energy and Expected Free Energy so that the agent can select the
best approach to solve its confronting tasks by monitoring the value of Free
Energy. It is also important to evaluate FENet in real-world robotic tasks to
fully manifest its effectiveness and reveal demands for further improvements.
## References
* Friston (2010) Friston, K. The free-energy principle: a unified brain theory? _Nature reviews neuroscience_ , 11(2):127–138, 2010.
* Friston et al. (2006) Friston, K., Kilner, J., and Harrison, L. A free energy principle for the brain. _Journal of Physiology-Paris_ , 100(1-3):70–87, 2006.
* Friston et al. (2012) Friston, K., Samothrakis, S., and Montague, R. Active inference and agency: optimal control without cost functions. _Biological cybernetics_ , 106(8-9):523–541, 2012\.
* Friston et al. (2015) Friston, K., Rigoli, F., Ognibene, D., Mathys, C., Fitzgerald, T., and Pezzulo, G. Active inference and epistemic value. _Cognitive neuroscience_ , 6(4):187–214, 2015\.
* Ha & Schmidhuber (2018) Ha, D. and Schmidhuber, J. World models. _arXiv preprint arXiv:1803.10122_ , 2018.
* Haarnoja et al. (2018) Haarnoja, T., Zhou, A., Abbeel, P., and Levine, S. Soft actor-critic: Off-policy maximum entropy deep reinforcement learning with a stochastic actor. In _International conference on machine learning_ , pp. 1861–1870. PMLR, 2018.
* Hafner et al. (2019a) Hafner, D., Lillicrap, T., Ba, J., and Norouzi, M. Dream to control: Learning behaviors by latent imagination. _arXiv preprint arXiv:1912.01603_ , 2019a.
* Hafner et al. (2019b) Hafner, D., Lillicrap, T., Fischer, I., Villegas, R., Ha, D., Lee, H., and Davidson, J. Learning latent dynamics for planning from pixels. In Chaudhuri, K. and Salakhutdinov, R. (eds.), _Proceedings of the 36th International Conference on Machine Learning_ , volume 97, pp. 2555–2565, Long Beach, California, USA, 2019b. PMLR.
* Hester et al. (2018) Hester, T., Vecerik, M., Pietquin, O., Lanctot, M., Schaul, T., Piot, B., Horgan, D., Quan, J., Sendonaris, A., Osband, I., et al. Deep q-learning from demonstrations. In _Thirty-Second AAAI Conference on Artificial Intelligence_ , 2018\.
* Kapturowski et al. (2019) Kapturowski, S., Ostrovski, G., Dabney, W., Quan, J., and Munos, R. Recurrent experience replay in distributed reinforcement learning. In _International Conference on Learning Representations_ , 2019.
* Kingma & Ba (2014) Kingma, D. P. and Ba, J. Adam: A method for stochastic optimization. _arXiv preprint arXiv:1412.6980_ , 2014.
* Levine (2018) Levine, S. Reinforcement learning and control as probabilistic inference: Tutorial and review. _arXiv preprint arXiv:1805.00909_ , 2018.
* Millidge (2019) Millidge, B. Deep active inference as variational policy gradients. _arXiv preprint arXiv:1907.03876_ , 2019.
* Mnih et al. (2013) Mnih, V., Kavukcuoglu, K., Silver, D., Graves, A., Antonoglou, I., Wierstra, D., and Riedmiller, M. Playing atari with deep reinforcement learning. _arXiv preprint arXiv:1312.5602_ , 2013.
* Nair et al. (2018) Nair, A., McGrew, B., Andrychowicz, M., Zaremba, W., and Abbeel, P. Overcoming exploration in reinforcement learning with demonstrations. In _2018 IEEE International Conference on Robotics and Automation (ICRA)_ , pp. 6292–6299. IEEE, 2018.
* Nair & Hinton (2010) Nair, V. and Hinton, G. E. Rectified linear units improve restricted boltzmann machines. In _Proceedings of the 27th international conference on machine learning (ICML-10)_ , pp. 807–814, 2010.
* Paine et al. (2019) Paine, T. L., Gulcehre, C., Shahriari, B., Denil, M., Hoffman, M., Soyer, H., Tanburn, R., Kapturowski, S., Rabinowitz, N., Williams, D., et al. Making efficient use of demonstrations to solve hard exploration problems. _arXiv preprint arXiv:1909.01387_ , 2019.
* Paszke et al. (2017) Paszke, A., Gross, S., Chintala, S., Chanan, G., Yang, E., DeVito, Z., Lin, Z., Desmaison, A., Antiga, L., and Lerer, A. Automatic differentiation in pytorch. 2017\.
* Pfeiffer et al. (2018) Pfeiffer, M., Shukla, S., Turchetta, M., Cadena, C., Krause, A., Siegwart, R., and Nieto, J. Reinforced imitation: Sample efficient deep reinforcement learning for mapless navigation by leveraging prior demonstrations. _IEEE Robotics and Automation Letters_ , 3(4):4423–4430, 2018.
* Pomerleau (1991) Pomerleau, D. A. Efficient training of artificial neural networks for autonomous navigation. _Neural computation_ , 3(1):88–97, 1991.
* Rajeswaran* et al. (2018) Rajeswaran*, A., Kumar*, V., Gupta, A., Vezzani, G., Schulman, J., Todorov, E., and Levine, S. Learning Complex Dexterous Manipulation with Deep Reinforcement Learning and Demonstrations. In _Proceedings of Robotics: Science and Systems (RSS)_ , 2018.
* Reddy et al. (2019) Reddy, S., Dragan, A. D., and Levine, S. Sqil: imitation learning via regularized behavioral cloning. _arXiv preprint arXiv:1905.11108_ , 2019.
* Rhinehart et al. (2018) Rhinehart, N., McAllister, R., and Levine, S. Deep imitative models for flexible inference, planning, and control. _arXiv preprint arXiv:1810.06544_ , 2018.
* Silver et al. (2016) Silver, D., Huang, A., Maddison, C. J., Guez, A., Sifre, L., Van Den Driessche, G., Schrittwieser, J., Antonoglou, I., Panneershelvam, V., Lanctot, M., et al. Mastering the game of go with deep neural networks and tree search. _nature_ , 529(7587):484–489, 2016.
* Sun et al. (2018a) Sun, W., Bagnell, J. A., and Boots, B. Truncated horizon policy search: Combining reinforcement learning & imitation learning. In _International Conference on Learning Representations_ , 2018a.
* Sun et al. (2018b) Sun, W., Gordon, G. J., Boots, B., and Bagnell, J. Dual policy iteration. In _Advances in Neural Information Processing Systems_ , pp. 7059–7069, 2018b.
* Sutton et al. (1998) Sutton, R. S., Barto, A. G., et al. _Introduction to reinforcement learning_ , volume 135. MIT press Cambridge, 1998.
* Tassa et al. (2018) Tassa, Y., Doron, Y., Muldal, A., Erez, T., Li, Y., Casas, D. d. L., Budden, D., Abdolmaleki, A., Merel, J., Lefrancq, A., et al. Deepmind control suite. _arXiv preprint arXiv:1801.00690_ , 2018.
* Ueltzhöffer (2018) Ueltzhöffer, K. Deep active inference. _Biological cybernetics_ , 112(6):547–573, 2018\.
* Vecerik et al. (2017) Vecerik, M., Hester, T., Scholz, J., Wang, F., Pietquin, O., Piot, B., Heess, N., Rothörl, T., Lampe, T., and Riedmiller, M. Leveraging demonstrations for deep reinforcement learning on robotics problems with sparse rewards. _arXiv preprint arXiv:1707.08817_ , 2017.
* Verma et al. (2019) Verma, A., Le, H., Yue, Y., and Chaudhuri, S. Imitation-projected programmatic reinforcement learning. In _Advances in Neural Information Processing Systems_ , pp. 15726–15737, 2019.
## Appendix A Implementation
To stabilize the learning process, we adopt burn-in, a technique to recover
initial states of RNN’s hidden variables $h_{t}$ (Kapturowski et al., 2019).
As shown in Algorithm 1, the agent calculates the Free Energy with mini
batches sampled from the expert or agent dataset $\mathcal{D}$, which means
that $h_{t}$ is initialized randomly in every mini batch calculation. Since
the Free Energy heavily depends on the value of $h_{t}$, it is crucial to
estimate the accurate hidden states every iteration. We set a burn-in period
when a portion of the mini batch data sequence is used for unrolling the
networks to produce initial states of $h_{t}$. After the burn-in period, we
update the networks using the remaining part of the data sequence.
We use PyTorch (Paszke et al., 2017) to write neural networks and run
experiments using NVIDIA GeForce GTX 1080 Ti / RTX 2080 Ti / Tesla V100 GPU (1
GPU per experiment). The training time for our FENet implementation is about
24 hours on the DeepMind Control Suite environment. As for the hyper
parameters, we use the convolutional encoder and decoder networks from (Ha &
Schmidhuber, 2018) and Recurrent State Space Model from (Hafner et al., 2019b)
and implement all other functions as three dense layers of size 200 with ReLU
activations (Nair & Hinton, 2010). We made a design choice to make the policy
prior, the policy posterior, the observation likelihood, and the reward
likelihood deterministic functions while we make the state prior and the state
posterior stochastic functions. We use the batch size $B=25$ for ’Imitation
RL’ with FENet, and $B=50$ for other types and baseline methods. We use the
chunk length $L=50$, the burn-in period 20. We use seed episodes $S=40$,
expert episodes $N=10000$ trained with PlaNet (Hafner et al., 2019b), collect
interval $C=100$ and action exploration noise Normal(0, 0.3). We use the
discount factor $\gamma=0.99$ and the target smoothing rate $\rho=0.01$. We
use Adam (Kingma & Ba, 2014) with learning rates $\alpha=10^{-3}$ and scale
down gradient norms that exceed 1000. We scale the reward-related loss by 100,
the policy-prior-related loss by 10. We clip KL loss between the hidden states
below 3 free nats and clip KL loss between the policies below 0.6.
## Appendix B Expert data collection process
We first trained PlaNet for Cheetah-run and Walker-walk. We used Soft Actor-
Critic (SAC) (Haarnoja et al., 2018) for Quadruped-walk because PlaNet cannot
solve Quadruped-walk very much as shown in Figure 4. Then we saved the model
parameters when PlaNet or SAC achieved asymptotic performance for each task.
After this, we generated 10,000 expert trajectories for each task using the
saved model parameters. The suboptimal expert dataset is what we collected by
using the model parameters of fewer learning iterations before reaching to
asymptotic performance. For example as shown in Figure 7, the suboptimal
expert in Cheetah-run is PlaNet agent that was trained halfway to reach the
return of 200.
| arxiv-papers | 2021-07-25T14:19:29 | 2024-09-04T03:07:16.849534 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Ryoya Ogishima, Izumi Karino, Yasuo Kuniyoshi",
"submitter": "Ryoya Ogishima",
"url": "https://arxiv.org/abs/2107.11811"
} |
2107.11812 | # DNA thermal denaturation by polymer field theory approach: effects of the
environment
Yu. Holovatch $|$ ${}^{\textsf{\footnotesize{\mbox{?},\penalty
1000\mbox{?},\penalty 1000\mbox{?}}}}$, C. von Ferber $|$
${}^{\textsf{\footnotesize{\mbox{?},\penalty 1000\mbox{?}}}}$, Yu. Honchar
$|$ ${}^{\textsf{\footnotesize{\mbox{?},\penalty 1000\mbox{?},\penalty
1000\mbox{?}}}}$ Corresponding author: [email protected]
(Received June 9, 2021, in final form July 19, 2021)
###### Abstract
We analyse the effects of the environment (solvent quality, presence of
extended structures — crowded environment) that may have impact on the order
of the transition between denaturated and bounded DNA states and lead to
changes in the scaling laws that govern conformational properties of DNA
strands. We find that the effects studied significantly influence the strength
of the first order transition. To this end, we re-consider the Poland-Scheraga
model and apply a polymer field theory to calculate entropic exponents
associated with the denaturated loop distribution. For the $d=3$ case, the
corresponding diverging $\varepsilon=4-d$ expansions are evaluated by
restoring their convergence via the resummation technique. For the space
dimension $d=2$, the exponents are deduced from mapping the polymer model onto
a two-dimensional random lattice, i.e., in the presence of quantum gravity. We
also show that the first order transition is further strengthened by the
presence of extended impenetrable regions in a solvent that restrict the
number of the macromolecule configurations.
Key words: DNA denaturation, Poland-Scheraga model, polymer networks, crowded
environment
###### Abstract
Ми розглянули вплив середовища (якість розчинника, присутність витягнутих
структур (перешкод) — «зайняте» середовище), який може змінити рід переходу
між денатурованим та зв’язаним станами ДНК і привести до змін законів
скейлінґу для конформаційних властивостей ланцюжків ДНК. Показано, що
досліджені ефекти значним чином впливають на інтенсивність переходу першого
роду. З цією метою, ми розглянули модель Поланда-Шераги і застосували підхід
теорії поля для полімерів, щоби обчислити ентропійні показники, пов’язані з
розподілом денатурованих петель на ланцюгу. Для випадку $d=3$ проаналізовано
відповідні розбіжні $\varepsilon=4-d$ розклади, оцінюючи їх за допомогою
відновлення збіжності методами пересумовування степеневих рядів. Для
вимірності $d=2$ їх обчислено завдяки проектуванню полімерної моделі на
двовимірну випадкову ґратку, тобто розглянуто систему за присутності квантової
ґравітації. Ми також показуємо, що інтенсивність переходу першого роду
посилюється за наявності у розчиннику протяжних непроникних областей, що
обмежують кількість конфігурацій макромолекули.
Ключов слова: денатурація ДНК, модель Поланда-Шераги, полімерні мережі,
невпорядковане середовище
Nucleic acids together with proteins and carbohydrates belong to
macromolecules essential to all known forms of life. Enormous experimental,
theoretical, and simulational efforts have been involved to understand and
qualitatively describe their physical, chemical and biological properties. In
this paper we show how an insight from polymer field theory helps to shed
light on properties of a DNA helix-to-coil (also called denaturation,
unwinding or unzipping) transition: a phenomenon, that lies at the origin of
biological processes involving DNA, as duplication or transcription. The
latter phenomena occur in a cell and are complex biological protein-mediated
processes. An analogue of DNA unwinding is also observed in vitro: when
purified DNA solution is heated above the room temperature, the cooperative
transition from the hydrogen bound double-stranded helix structure to a single
stranded one occurs, see [1] and references therein for review. This
phenomenon is known as DNA thermal denaturation and is the subject of our
study.
In statistical physics, the DNA thermal denaturation is described in terms of
the Poland-Scheraga model [2, 3, 4] that allows its treatment in terms of
phase transition theory. In a recent paper [5] we have shown that changes in
the solvent quality may cause an essential impact on the order of the phase
transition between denaturated and bounded DNA states. To quantify this
impact, we have calculated $\varepsilon=4-d$ expansions for the entropic
exponents that govern the denaturated loop distribution in a good solvent and
in the $\theta$-solvent regimes and evaluated these (divergent) expansions in
$d=3$. In this paper, we complement such analysis by offering exact results
for the exponents at $d=2$. Moreover, we further analyse possible reasons that
may have impact on the order of the transition. In particular, we are
interested in the effects caused by the presence of extended structures that
restrict the swelling of polymer chains. By such analysis we make an attempt
to consider the situation in a more realistic condition of macromolecules in a
crowded environment of a cell [6].
a. b.
Figure 1: Model of DNA thermal denaturation (unzipping) transition discussed
in this paper. At low temperatures $T$, two DNA strands remain connected by
hydrogen bonds and form a single long flexible polymer chain (figure a). With
an increase of $T$, the chain unzips and a loop emerges: now the whole
structure consists of two different ‘species’: double stranded side chains,
shown by solid lines, and a single stranded loop shown by a thin line in the
middle (figure b).
The rest of the paper is organized as follows. In the next section we repeat
some of our results for the scaling relations and entropic scaling exponents
[5] and give their numerical estimates at $d=3$. Based on the exact conformal
scaling dimensions for two-dimensional copolymers derived from an algebraic
structure existing on a random lattice (quantum gravity) [7, 8, 9] we derive
exact values of the exponents at $d=2$ and discuss the whole sector
$2\leqslant d\leqslant 4$ in section 2. Crowded environment effects are
analysed in section 3, conclusions are summarized in section 4. It is our
pleasure and a big honour to contribute by this paper to the Festschrift
devoted to Prof. Yu. Kalyuzhnyi on the occasion of his 70th birthday. Doing so
we deeply acknowledge his seminal contributions to the soft matter physics in
general and to the subject discussed in this paper in particular, see e. g.,
[10, 11, 12, 13, 14, 15]. CvF and YuH also are indebted to the jubilee for a
long-lasting friendship, numerous discussions about physics and not only.
## 1 Poland-Scheraga model: scaling relations and $\varepsilon$-expansion
The model suggested by Poland and Scheraga in middle-sixties [2, 3] describes
the DNA thermal denaturation by a proper account of energy-entropy interplay:
at low temperatures $T$, the bound state, figure 1 a, is favoured by energy
whereas at high $T$ the unbound state, figure 1 b, is favoured by entropy as
the one having more configurations. Poland and Scheraga’s theoretical works
lead to a whole family of DNA denaturation models [4, 6, 16, 17, 18, 19, 20,
21, 22, 23, 24]. It was shown that the unzipping transition mechanism is
governed by the universal loop exponent $c$ which describes scaling of the
partition function of a single-stranded DNA loop in double stranded side
chains, see figure 1 b:
${\cal Z}_{\rm loop}\sim\mu^{\ell}\ell^{-c},$ (1.1)
here, $\ell$ is loop length (number of unbound segments) and $\mu$ is non-
universal fugacity. For $c>1$, the model predicts the denaturation transition
whereas for $0\leqslant c\leqslant 1$ the order parameter (average number of
ordered bound pairs in a chain) is a continuous function of $T$ smoothly
changing between 1 and 0 when $T$ increases from 0 to $\infty$. In turn, for
larger values of $c$, the order parameter either continuously vanishes at
$T=T_{c}$ for $1<c\leqslant 2$ or disappears abruptly at $T=T_{c}$ for $c>2$.
The last two types of behaviour correspond to the second and first order phase
transitions, respectively. However, the value of $c$ is not obvious. First
papers on the model suggested $c=d/2$, which lead to the second order
transition and $d=3$ [2, 3]. Later Fisher has considered taking into account
the self-avoiding nature of chains that lead to $c=d\nu$ [16], where $\nu$ is
polymer mean square end-to-end distance scaling exponent. Still, with
$\nu(d=3)\simeq 0.588$ [25], the phase transition remains the second order.
This result contradicts experimental observations of the first order nature of
the transition [1]. A more general approach to analyze scaling properties of
the macromolecule configurations shown in figure 1 was based on polymer
network theory, as interaction between the loop and the chain was taken into
account [17, 18, 19, 20, 21, 26, 27]. Considering both the side chains and the
loop as self-avoiding walks (SAWs), it was shown that the phase transition is
of the first order for $d=2$ and above. This result was further supported by
numerical simulations [26] and it was also suggested that possible
heterogeneity in chain structure may strengthen the transition.
Depending on temperature, the asymptotic scaling behaviour of a long flexible
polymer macromolecule in a good solvent belongs either to random walk (RW),
$T=T_{\theta}$, or to self-avoiding walk (SAW), $T>T_{\theta}$ universality
classes ($T_{\theta}$ denoting the $\theta$-point) [28, 29]. Therefore, the
only difference that may be observed in asymptotic scaling of chains of
different species (in our case these are the double- and single-stranded
chains) is due to the difference in asymptotic scaling properties of mutually
interacting SAWs and RWs. Based on this fact, recently [5] we have applied
polymer field theory [9, 25, 32, 33, 34] to derive scaling relations that
express the loop exponent $c$ (1.1) in terms of the familiar copolymer star
exponents $\eta_{f_{1}f_{2}}$. The latter govern the scaling of star-like
polymer structures that are created by linking together the end points of
polymer chains of two different species at a common core, as shown in figure
2. When such a copolymer star is immersed in a good solvent, its asymptotic
properties are universal in the limit of long chains. In particular, the
partition function (the number of configurations) of a copolymer star made of
two sets of $f_{1}$ and $f_{2}$ mutually avoiding RWs scales with its size $R$
as [9, 32, 33, 34]:
$Z^{G}_{f_{1}f_{2}}\sim R^{\eta^{G}_{f_{1}f_{2}}}\,.$ (1.2)
In turn, the partition function of a copolymer star made of mutually avoiding
sets of $f_{1}$ SAWs and $f_{2}$ RWs scales as:
$Z^{U}_{f_{1}f_{2}}\sim R^{\eta^{U}_{f_{1}f_{2}}-f_{1}\eta^{U}_{20}}\,.$ (1.3)
The third case which is of interest here is the star of two sets of $f_{1}$
and $f_{2}$ SAWs. For its partition function, one gets:
$Z^{S}_{f_{1}f_{2}}\sim
R^{\eta^{S}_{f_{1},f_{2}}-(f_{1}+f_{2})\eta^{S}_{20}}\,.$ (1.4)
Indices $G,U,S$ in the above formulae refer to the fixed points (FPs) of the
renormalization group transformation that govern the scaling of corresponding
mutually avoiding structures: Gaussian FP for RWs, unsymmetric FP for RW and
SAW, and symmetric FP for SAWs, see [32, 33, 34] for more details. Exponents
$\eta^{S}_{f_{1},f_{2}}$ are related to $\eta^{U}_{f_{1},f_{2}}$ and to the
homogeneous star exponents $\eta_{f}$ [30, 31] via:
$\eta^{S}_{f_{1},f_{2}}=\eta^{U}_{f_{1}+f_{2},0}=\eta_{f_{1}+f_{2}}$.
a. b.
Figure 2: a: block copolymer of two polymer chains of different species, shown
by solid and thin lines, linked together. b: copolymer star consisting of
$f_{1}$ chains species 1 and $f_{2}$ chains of species 2 tied together at
their end points. Its scaling properties are governed by universal copolymer
star exponents $\eta_{f_{1}f_{2}}$. Note that the block copolymer gives a
trivial example of a two-arm copolymer star with an exponent $\eta_{11}$.
With the above considerations in mind, one is led to four different cases that
account for possible inhomogeneities and, therefore, for different scaling
exponents of the DNA denaturation model shown in figure 1 b:
1. 1.
both bound chains and the unbound loop are SAWs (SAW-SAW-SAW);
2. 2.
bound chains are SAWs, the loop is RW (SAW-RW-SAW);
3. 3.
the chains are RW-like, while the loop is SAW (RW-SAW-RW);
4. 4.
both the chains and the loop are RW-like, though they do not intersect each
other (RW-RW-RW).
The scaling relations that express the loop exponent $c$ (1.1) in terms of
copolymer star exponents $\eta_{f_{1}f_{2}}$ for these four cases read [5]:
1\. SAW-SAW-SAW: $\displaystyle c_{1}=\nu_{\rm
SAW}(3\eta^{S}_{20}+d-2\eta^{S}_{12})\,,$ (1.5) 2\. SAW-RW-SAW: $\displaystyle
c_{2}=\nu_{\rm RW}(\eta^{S}_{20}+d-2\eta^{U}_{12})\,,$ 3\. RW-SAW-RW:
$\displaystyle c_{3}=\nu_{\rm SAW}(2\eta^{S}_{20}+d-2\eta^{U}_{21})\,,$ 4\.
RW-RW-RW: $\displaystyle c_{4}=\nu_{\rm RW}(d-2\eta^{G}_{21})\,.$
Here, $\nu_{\rm RW}=1/2$ and $\nu_{\rm SAW}$ are the mean square end-to-end
distance exponents for the random and self-avoiding walks, correspondingly,
and $d$ is space dimension. The exponents $\eta_{f_{1}f_{2}}$ have been
calculated within field-theoretical renormalization group approach [9, 32, 33,
34] and are currently know in the fourth order of the $\varepsilon=4-d$
expansion [35]. Below, we list them together with the $\varepsilon$-expansion
for the exponent $\nu_{\rm SAW}$ [36] in the corresponding order:
$\displaystyle\eta^{S}_{20}(\varepsilon)=$
$\displaystyle-\varepsilon/4-9\varepsilon^{2}/128+\varepsilon^{3}[264\zeta(3)-49]/2048$
(1.6)
$\displaystyle+\varepsilon^{4}[704\piup^{4}-297600\zeta(5)+38160\zeta(3)+235]/655360\,,$
$\displaystyle\eta^{S}_{12}(\varepsilon)=$
$\displaystyle-3\varepsilon/4-3\varepsilon^{2}/128+3\varepsilon^{3}[40\zeta(3)+23]/2048$
(1.7)
$\displaystyle+\varepsilon^{4}[64\piup^{4}-32640\zeta(5)-6480\zeta(3)+3333]/131072\,,$
$\displaystyle\eta^{U}_{12}(\varepsilon)=$
$\displaystyle-3\varepsilon/4+\varepsilon^{2}[42\zeta(3)-13]/128+\varepsilon^{3}[384\zeta(3)-5]/2048$
(1.8)
$\displaystyle+\varepsilon^{4}[1024\piup^{4}-528000\zeta(5)+14880\zeta(3)+7655]/655360\,,$
$\displaystyle\eta^{U}_{21}(\varepsilon)=$
$\displaystyle-\varepsilon+\varepsilon^{2}[42\zeta(3)+1]/64+17\varepsilon^{3}/1024$
(1.9) $\displaystyle-\varepsilon^{4}[1056\zeta(3)-721]/65536\,,$
$\eta^{G}_{21}(\varepsilon)=-\varepsilon,$ (1.10) $\displaystyle\nu_{\rm
SAW}(\varepsilon)=$ $\displaystyle
1/2+\varepsilon/16+15\varepsilon^{2}/512+\varepsilon^{3}[135/8192-(33/1024)\zeta(3)]+\varepsilon^{4}[3799/524288$
(1.11)
$\displaystyle-(873/32768)\zeta(3)-(11/40960)\piup^{4}+(465/4096)\zeta(5)]\,,$
where $\zeta(x)$ is Riemann zeta-function. Note that the formula for the
exponent $\eta^{G}_{21}$ contains only linear in $\varepsilon$ term and is
exact.
Substituting expressions (1.6)-(1.11) into the scaling relations (1.5) one can
evaluate loop exponents $c_{i}$ at any value of $d$. It is well known,
however, that $\varepsilon$-expansions of the field theory are asymptotic at
best and proper resummation technique is required to get a reliable numerical
information on their basis [38, 37]. Applying resummation technique based on
the Borel-Leroy transformation enhanced by conformal mapping of a cut-plane on
a disc [39, 40, 41], we arrived at the following values of the loop exponents
$c_{i}$ for $d=3$ [5]:
$\displaystyle c_{1}$ $\displaystyle=$ $\displaystyle 2.147\pm 0.009,\hskip
10.00002ptc_{2}=2.169\pm 0.004,$ (1.12) $\displaystyle c_{3}$ $\displaystyle=$
$\displaystyle 2.76\pm 0.03,\hskip 20.00003ptc_{4}=2.5.$
Clearly, $c>2$ in all configurations, which confirms the first order
transition.
Figure 3: (Colour online) Loop closure exponents $c_{i}$ at different space
dimension $d$. Triangles show exact results at $d=2$ (2.3) and squares show
most accurate results obtained by resummation at $d=3$ [5]. The lines show
analytic continuation to non-integer $d$ via $\varepsilon$-expansion in the
first and second orders, thick solid and thin dashed lines, correspondingly.
Note that in the case of mutually avoiding RWs (blue line), loop closure
exponents $c_{4}(\varepsilon)$ are exact and linear in $\varepsilon$.
## 2 Exact results at $d=2$ and quantum gravity
As has been discussed above, the $\varepsilon$-expansions for the $c_{i}$ may
serve as a basis for reliable numerical estimates at $d=3$ provided
appropriate resummation technique is applied. With the perturbative expansions
and their numerical estimates at hand, it is instructive to corroborate the
results by comparing them with the data for other space dimensions, if
available. One obvious result is obtained for $d=4$: there, as it is easy to
check via equations (1.5), all exponents are equal: $c_{i}(d=4)=2$. Besides,
there is a tempting opportunity to get exact values for the exponents at
$d=2$. Indeed, to this end one can make use of the exact results for the
scaling exponents of $d=2$ copolymer stars of mutually avoiding bunches of SAW
and RW [7, 8]. There, the relations between exponents in fluctuating geometry
(quantum gravity) and flat $d=2$ geometry have been used to extract the exact
values of the exponents. In notations of the previous section, the exponents
read:111Cf. equations (100), (101) of [9].
$\displaystyle\eta^{G}_{f_{1}f_{2}}$ $\displaystyle=$
$\displaystyle\frac{1}{48}\Big{\\{}4-\Big{[}\sqrt{24f_{1}+1}+\sqrt{24f_{2}+1}-2\Big{]}^{2}\Big{\\}}\,,$
(2.1) $\displaystyle\eta^{U}_{f_{1}f_{2}}$ $\displaystyle=$
$\displaystyle\frac{1}{48}\Big{\\{}4+5f_{1}-\Big{[}3f_{1}+\sqrt{24f_{2}+1}-1\Big{]}^{2}\Big{\\}}\,.$
(2.2)
Substituting these formulae into equations (1.5) and taking into account that
$\nu_{\rm SAW}(d=2)=3/4$ [25], one gets the following exact values of the
exponents $c_{i}$ at $d=2$:
$\displaystyle c_{1}$ $\displaystyle=$ $\displaystyle\frac{77}{32}\simeq
2.406,\hskip 10.00002ptc_{2}=\frac{109}{48}\simeq 2.271,$ (2.3) $\displaystyle
c_{3}$ $\displaystyle=$ $\displaystyle\frac{7}{2},\hskip 10.00002ptc_{4}=3\,.$
These values are shown by triangles in figure 3. The obtained result for the
exponent $c_{3}$ recovers the value predicted at $d=2$ by the exact formula
that follows from equation (1.5) and is also valid for other values of $d$:
$c_{3}=2+\varepsilon/2$.
Comparing the values of the loop closure exponents $c_{i}$ at $d=2$ and at
$d=3$ one can arrive at certain conclusions about an impact of chain
heterogeneity on the strength of the DNA thermal denaturation transition. The
first observation is that passing from the homogeneous SAW composition within
the Poland-Scheraga model (as described by the exponent $c_{1}$) usually leads
to strengthening of the first order transition. When the SAW side chains are
substituted by RWs, the strength of the transition increases: $c_{3}>c_{1}$,
$c_{4}>c_{2}$. In turn, when the side chains remain unchanged, the change of
the SAW loop to the RW loop decreases the strength of the first order
transition: $c_{3}>c_{4}$ and $c_{1}>c_{2}$. The last effect is more
pronounced for the RW side chains and at space dimension $d=2$. In general,
the following rule holds: $c_{2}<c_{1}<c_{4}<c_{3}$ (with $c_{2}\sim c_{1}$ at
$d=3$).
Another striking feature that follows from the comparison of the exact and
perturbative results shown in figure 3 is a rather unusual behaviour of the
$\varepsilon$-expansion curves. Indeed, the first order
$\varepsilon$-expansion for the exponents $c_{i}$ (solid lines in the plot)
nicely corresponds to the resummed $\varepsilon^{4}$-data at $d=3$ and to the
exact values at $d=2$. Such a behaviour is obvious for the exponent $c_{3}$,
where the first order $\varepsilon$-expansion provides an exact number.
However, for the other exponents, an account of the higher orders of the
perturbative expansion needs careful application of the resummation technique.
Being evaluated naïvely by simple adding higher order contribution, the
$\varepsilon$-expansion holds only very close to the upper critical dimension
$d=4$, as shown in the figure by the thin dashed lines for the case of
$\varepsilon^{2}$-data. Therefore, the first order $\varepsilon$-expansion
provides the so-called optimal truncation [38] for the $c_{i}(\varepsilon)$
series.
## 3 Crowded environment
In two former sections, 1 and 2, we discussed an impact of the solvent
quantity on the order of the DNA thermal denaturation transition. Another
factor that may modify the scaling exponents of long flexible polymer
macromolecules is the presence of impurities — impenetrable regions in a
solvent that restrict the number of polymer configurations, see e.g., [42] and
references therein. Statistics of polymers in disordered medium is of interest
for a number of reasons. In the context of our study it is important to
mention its relevance for treating macromolecules in a cell, composed of many
different kinds of biochemical species [43, 44, 45].
There exist different analytic frameworks to model an impact of disordered
medium on the scaling properties of (interacting) SAWs and RWs. To give a few
examples, the latter are studied on a percolation cluster [46, 47] or at
presence of quenched defects [48, 49, 50, 51, 52, 53, 54, 55]. Taking into
consideration that the uncorrelated defects do not influence polymer scaling
[48, 49], the so-called ‘extended’ or long-range correlated structural
disorder has been shown to be relevant. A model of long-range correlated
disorder has been suggested in [50] and further exploited in studies of
polymers [52, 53, 54, 55]. Within this model, one considers the defects,
characterized by the density-density pair correlation function $g(r)$ decaying
at a large distance $r$ according to the power law
$g(r)\sim r^{-a}\,.$ (3.1)
For integer values of $a$, such defects have a direct interpretation: the case
$a=d$ corresponds to point-like defects, while $a=d-1$ ($a=d-2$) correspond to
straight lines (planes) of defects of random orientation. Sometimes non-
integer values of $a$ are interpreted in terms of fractal structures.222See
also [56, 57, 58], where the relation of fractal dimension to the analytically
continued non-integer dimension is discussed in more details. Detailed
analysis of an impact of the long-range correlated disorder (3.1) on possible
changes in the exponents (1.5) and hence on the DNA thermal denaturation is
beyond the scope of this study. However, we will use some of the previously
obtained results in order to understand and qualitatively describe this
possible impact.
It is easy to see that the presence of long-range correlated impurities may or
may not be relevant and change the polymer scaling exponents depending on the
value of $a$. Indeed, large-distance asymptotics of the pair correlation
function (3.1) corresponds to the power-law behaviour of its Fourier-image at
small wave vector $k$ in the form $k^{a-d}$. Therefore, by simple power
counting, one arrives at the conclusion that such a term becomes relevant at
small $k$ for $a<d$. Applying field-theoretic renormalization group technique,
the corresponding polymer model has been analysed and the scaling exponents
were calculated in the two-loop approximation at fixed $d=3$ and different
values of the correlation parameter $a$ as well as in a one-loop order by the
double expansion in $\varepsilon=4-d$ and $\delta=4-a$ [52, 53, 54]. The
derivation given below is based on these double $\varepsilon,\delta$
expansions. In particular, it has been shown that for certain region of
parameters $\varepsilon/2<\delta<\varepsilon$, the scaling properties of a
single flexible polymer chain in porous environment with a long-range
correlated structure are governed by a new, ‘long-range’ fixed point $L$. The
mean square end-to-end distance exponent $\nu_{\rm SAW}$ in the first order of
$\varepsilon,\delta$ expansion reads [52]:
$\nu^{L}_{\rm SAW}=1/2+\delta/8+\dots\,.$ (3.2)
In turn, the $\eta_{f_{1}f_{2}}$ exponents for co-polymer stars in porous
environment with long-range correlated structure are given by:333Cf. equation
(39) from [55].
$\displaystyle\eta^{S_{L}}_{f_{1}f_{2}}$ $\displaystyle=$
$\displaystyle\frac{-(f_{1}+f_{2})(f_{1}+f_{2}-1)\delta}{4}\,,$ (3.3)
$\displaystyle\eta^{U_{L}}_{f_{1}f_{2}}$ $\displaystyle=$
$\displaystyle\frac{-f_{1}(f_{1}+3f_{2}-1)\delta}{4}\,,$ (3.4)
$\displaystyle\eta^{G_{L}}_{f_{1}f_{2}}$ $\displaystyle=$ $\displaystyle-
f_{1}f_{2}\delta\,.$ (3.5)
In equations (3.3)–(3.5), the first exponent $\eta^{S_{L}}_{f_{1}f_{2}}$
corresponds to the star of $f_{1}+f_{2}$ SAWs, the second exponent
$\eta^{U_{L}}_{f_{1}f_{2}}$ describes the star of mutually avoiding sets of
$f_{1}$ SAWs and $f_{2}$ RWs, and the third exponent
$\eta^{G_{L}}_{f_{1}f_{2}}$ describes the star of two mutually avoiding sets
of $f_{1}$ and $f_{2}$ RWs.
Two cautions are at place here. First, the ‘long-range’ fixed point $S_{L}$ is
accessible in the region where the above mentioned power counting shows that
the disorder is irrelevant. Second, the fixed points $U_{L}$ and $G_{L}$ can
be reached only for specific initial conditions. Similar situation is also
encountered when the $\varepsilon,\delta$ expansion is applied to study models
of $m$-vector magnets with long-range correlated quenched disorder [50].
However, an account of higher order contributions restores the physical region
of stability of the ‘long-range’ fixed point confirming a qualitatively
correct result of the first-order analysis, see e.g., [59] and references
therein. Therefore, with an aim of getting a qualitative description of an
impact of extended long-range correlated impurities on the DNA thermal
denaturation transition, we proceed with formulae (3.2)–(3.5) substituting
them into the scaling relations (1.5) and arrive at the following first-order
values for the $c_{i}$ exponents:
$\displaystyle c^{L}_{1}$ $\displaystyle=$ $\displaystyle
c^{L}_{2}=2-\varepsilon/2+5\delta/4\,,$ (3.6) $\displaystyle c^{L}_{3}$
$\displaystyle=$ $\displaystyle c^{L}_{4}=2-\varepsilon/2+2\delta.$ (3.7)
As it follows from equation (3.1), the smaller is $a$, the stronger are the
correlations in porous structure that restricts the volume available for the
macromolecule. Indeed, the density-density correlation function $g(r)$ decays
slower with a decrease of $a$, attaining the fat-tail features. The positive
sign at the linear in $\delta$ terms in equations (3.6), (3.7) brings about an
increase in the exponents $c_{i}$ with an increase of $\delta=4-a$. This
allows to conclude, that an increase in density correlations of the porous
structure leads to strengthening of the DNA thermal denaturation transition.
Moreover, comparing equations (3.6) and (3.7), one concludes that
$c^{L}_{3},c^{4}_{4}>c^{L}_{1},c^{L}_{2}$, similar to what was observed for
the DNA denaturation in a pure solvent without porous medium. The difference
between the exponents increases with an increase of $\delta$:
$c^{L}_{3,4}-c^{L}_{1,2}=3\delta/4$. Of course, with all cautions mentioned
above, these results should be considered as qualitative predictions, rather
than a quantitative description of DNA denaturation in a crowded environment.
The above obtained relations $c^{L}_{1}=c^{L}_{2}$ and $c^{L}_{3}=c^{L}_{4}$
may be (and perhaps indeed are) violated in the second order of the
perturbation theory. However, it is worth mentioning that the scaling
arguments supported by the renormalization group calculations predict the
effect of strengthening the order of the denaturation transition when it
occurs in presence of extended structures that restrict the swelling of the
polymer coil.
## 4 Conclusions
The value of the loop closure exponent $c$ (1.1) discriminates between
different ways the thermal denaturation of the DNA occurs: for $c>2$, the
denaturated loop emerges abruptly, in the first order phase transition manner,
for $1<c<2$, the transition is continuous, and for $c<1$, no transition
happens. Numerous attempts of theoretical description and numerical simulation
of this phenomenon finally led to the coherent picture, observed also in the
in vitro experiments and simulations [1, 60, 17, 27]: the transition is of the
first order and $c>2$. Besides, the factors that may have an impact on the
strength, and, eventually, even on the order, of this transition are discussed
in the literature [6]. In a recent paper [5], we have derived scaling
relations that express the loop closure exponent $c$ of the Poland-Scheraga
model in terms of the copolymer star exponents $\eta_{f_{1}f_{2}}$ [32, 33,
34]. This enabled us to analyse an impact of inhomogeneities in DNA chain
composition and solvent quality on the order of the transition. As it has been
shown in [5] and as it is briefly discussed in the above section 1,
consideration of the macromolecule as sets of mutually avoiding SAWs and RWs
(see figure 1) leads to an increase in value of $c$ and $d=3$ and, hence,
strengthens the first order transition. In the present paper, we support this
observation providing exact results at $d=2$. Moreover, we show that the
effect of strengthening is further enhanced by the so-called crowded
environment with the long-range correlated inhomogeneities.
## 5 Acknowledgement
We acknowledge useful discussions with Maxym Dudka, Ralph Kenna, Mariana
Krasnytska, and Dmytro Shapoval. This work was supported in part by the
National Academy of Sciences of Ukraine, project KPKBK 6541230.
## References
* [1] Wartell R. M., Benight A. S., Phys. Rep., 1985, 126, No. 2, 67, doi:10.1016/0370-1573(85)90060-2.
* [2] Poland D., Sheraga H. A., J. Chem. Phys., 1966, 45, 1456, doi:10.1063/1.1727785.
* [3] Poland D., Sheraga H. A., J. Chem. Phys., 1966, 45, 1464, doi:10.1063/1.1727786.
* [4] Poland D., Sheraga H. A., Theory of Helix-Coil Transitions in Biopolymers: Statistical Mechanical Theory of Order-Disorder Transitions in Biological Macromolecules, Academic Press, Inc., 1970.
* [5] Honchar Yu., von Ferber C., Holovatch Yu., Physica A, 2021, 573, 125917, doi:10.1016/j.physa.2021.125917.
* [6] Reiter-Schad M., Werner E., Tegenfeldt J., Mehlig B., Ambjörnsson T., J. Chem. Phys., 2015, 143, 115101, doi:10.1063/1.4930220.
* [7] Duplantier B., Phys. Rev. Lett., 1999, 82, 880, doi:10.1103/PhysRevLett.82.880.
* [8] Duplantier B., Physica A, 1999, 263, 452, doi:10.1016/s0378-4371(98)00638-4.
* [9] von Ferber C., Scaling of Miktoarm Star Polymers. In: Order, Disorder and Criticality. Advanced Problems of Phase Transition Theory. Yu. Holovatch (Ed.), World Scientific, Singapore, 2004, 201–251, doi:10.1142/5376.
* [10] Kastelic M., Kalyuzhnyi Yu. V., Hribar-Lee B., Dill K. A., Vlachy V., PNAS, 2015, 112, 6766–6770,
doi:10.1073/pnas.1507303112.
* [11] Kastelic M., Kalyuzhnyi Yu. V., Vlachy V., Soft Matter, 2016, 12, 7289, doi:10.1039/c6sm01513a.
* [12] Kalyuzhnyi Yu.V., Vlachy V., J. Chem. Phys., 2016, 144, 215101, doi:10.1063/1.4953067.
* [13] Kalyuzhnyi Yu.V., Vlachy V., J. Phys.: Condens. Matter, 2018, 30, 485101, doi:10.1088/1361-648X/aae914.
* [14] Kastelic M., Dill K. A., Kalyuzhnyi Yu. V., Vlachy V., J. Mol. Liq., 2018, 270, 234–242,
doi:10.1016/j.molliq.2017.11.106.
* [15] Hvozd T., Kalyuzhnyi Yu. V., Vlachy V., Soft Matter, 2020, 16, 8432, doi:10.1039/d0sm01014f.
* [16] Fisher M., J. Chem. Phys., 1966, 45, 1469, doi:10.1063/1.1727787.
* [17] Causo M. S., Coluzzi B., Grassberger P., Phys. Rev. E, 2000, 62, 3958, doi:10.1103/PhysRevE.62.3958.
* [18] Kafri Y., Mukamel D., Peliti L., Phys. Rev. Lett., 2000, 85, 4988, doi:10.1103/PhysRevLett.85.4988.
* [19] Kafri Y., Mukamel D., Peliti L., Eur. Phys. J. B, 2002, 27, 135, doi:10.1140/epjb/e20020138.
* [20] Carlon E., Orlandini E., Stella A. L., Phys. Rev. Lett., 2002, 88, 198101, doi:10.1103/PhysRevLett.88.198101.
* [21] Carlon E., Baiesi M., Phys. Rev. E, 2004, 70, 066118, doi:10.1103/PhysRevE.70.066118.
* [22] Richard C., Guttmann A., J. Stat. Phys., 2004, 115, 925, doi:10.1023/B:JOSS.0000022370.48118.8b.
* [23] Berger Q., Giacomin G., Khatib M., Ann. Henri Lebesgue., 2020, 3, 299, doi:10.5802/ahl.34.
* [24] Legrand A., Electron. J. Probab., 2021, 26, No. 10, 1–43, doi:10.1214/20-EJP563.
* [25] des Cloizeaux J., Jannink G., Polymers in Solution. Their Modelling and Structure, Oxford University Press, New York, 1991.
* [26] Baiesi M., Carlon E., Stella A. L., Phys. Rev. E, 2002, 66, doi:10.1103/PhysRevE.66.021804.
* [27] Blossey R., Carlon E., Phys. Rev. E, 2003, 68, 061911, doi:10.1103/PhysRevE.68.061911.
* [28] Schäfer L., Kapeller C., J. Phys. (Paris), 1985, 46, 1853, doi:10.1051/jphys:0198500460110185300.
* [29] Schäfer L., Lehr U., Kapeller C., J. Phys. I, 1991, 1, 211, doi:10.1051/jp1:1991125.
* [30] Duplantier B., J. Stat. Phys., 1989, 54, 581, doi:10.1007/BF01019770.
* [31] Schaäfer L., von Ferber C., Lehr U., Duplantier B., Nucl. Phys. B, 1992, 374, 473,
doi:10.1016/0550-3213(92)90397-T.
* [32] von Ferber C., Holovatch Yu., Phys. Rev. E, 1997, 56, 6370, doi:10.1103/PhysRevE.56.6370.
* [33] von Ferber C., Holovatch Yu., Europhys. Lett., 1997, 39, 31, doi:10.1209/epl/i1997-00309-6.
* [34] Schulte-Frohlinde V., Holovatch Yu., von Ferber C., Blumen A., Condens. Matter Phys., 2003, 6, No. 4,
703–711, doi:10.5488/CMP.6.4.703.
* [35] Schulte-Frohlinde V., Holovatch Yu., von Ferber C., Blumen A., Phys. Lett. A, 2004, 328, 335,
doi:10.1016/j.physleta.2004.06.063.
* [36] Kleinert H., Schulte-Frohlinde V., Critical Properties of $\phi^{4}$-theories, World Scientific, Singapore, 2001, doi:10.1142/4733.
* [37] Zinn-Justin J., Quantum Field Theory and Critical Phenomena, 4th Ed., Oxford University Press, New York, 2002, doi:10.1093/acprof:oso/9780198509233.001.0001.
* [38] Hardy G., Divergent Series, Oxford University Press, Oxford, 1949.
* [39] Le Guillou J. C., Zinn-Justin J., Phys. Rev. B, 1980, 21, 3976, doi:10.1103/PhysRevB.21.3976.
* [40] Delamotte B., Dudka M., Holovatch Yu., Mouhanna D., Condens. Matter Phys., 2010, 13, No. 4, 43703, doi:10.5488/CMP.13.43703.
* [41] Delamotte B., Dudka M., Holovatch Yu., Mouhanna D., Phys. Rev. B, 2010, 82, 104432,
doi:10.1103/PhysRevB.82.104432.
* [42] Chakrabarti B. K. (Ed.), Statistics of Linear Polymers in Disordered Media, Elsevier, Amsterdam, 2005, doi:10.1016/B978-0-444-51709-8.X5000-2.
* [43] Goodesel D. S., Trends Biochem. Sci., 1991, 16, 203, doi:10.1016/0968-0004(91)90083-8.
* [44] Minton A., J. Biol. Chem., 2001, 276, 10577, doi:10.1074/jbc.R100005200.
* [45] Blavatska V., von Ferber C., Holovatch Yu., Condens. Matter Phys., 2012, 15, 33603,
doi:10.5488/CMP.15.33603.
* [46] Meir Y., Harris A. B., Phys. Rev. Lett., 1989, 63, 2819, doi: 10.1103/PhysRevLett.63.2819.
* [47] Blavatska V., Janke W., Physics Procedia, 2010, 3, 1431, doi:10.1016/j.phpro.2010.01.202.
* [48] Kim Y., J. Phys. C: Solid State Phys., 1983, 16, 1345, doi:10.1088/0022-3719/16/8/005.
* [49] Harris A. B., Z. Phys. B: Condens. Matter, 1983, 49, 347, doi:10.1007/BF01301596.
* [50] Weinrib A., Halperin B. I., Phys. Rev. B, 1983, 27, 413, doi:10.1103/PhysRevB.27.413.
* [51] Blavatska V., Haydukivska K., Eur. Phys. J. Spec. Top., 2013, 216, 191, doi:10.1140/epjst/e2013-01742-2.
* [52] Blavatska V., von Ferber C., Holovatch Yu., Phys. Rev. E, 2001, 64, 041102, doi:10.1103/PhysRevE.64.041102.
* [53] Blavatska V., von Ferber C., Holovatch Yu., J. Mol. Liq., 2001, 92, 77, doi:10.1016/S0167-7322(01)00179-9.
* [54] Blavatska V., von Ferber C., Holovatch Yu., Phys. Rev. E, 2006, 74, 031801, doi:10.1103/PhysRevE.74.031801.
* [55] Blavatska V., von Ferber C., Holovatch Yu., Phys. Rev. E, 2011, 83, 011803, doi:10.1103/PhysRevE.83.011803.
* [56] Wu Y. K., Hu B., Phys. Rev. A, 1987, 35, 1404, doi:10.1103/PhysRevA.35.1404.
* [57] Holovatch Yu., Shpot M., J. Stat. Phys., 1992, 66, 867, doi:10.1007/BF01055706.
* [58] Holovatch Yu., Yavorskii T., J. Stat. Phys., 1998, 92, 785, doi:10.1023/A:1023032307964.
* [59] Holovatch Yu., Blavatska V., Dudka M., von Ferber C., Folk R., Yavorskii T., Int. J. Mod. Phys. B, 2002, 16, 4027–4079, doi:10.1142/S0217979202014760.
* [60] Blake R. D., Bizzaro J. W., Blake J. D., Day G. R., Delcourt S. G., Knowles J., Marx K. A., SantaLucia J. Jr, Bioinformatics, 1999, 15, 370, doi:10.1093/bioinformatics/15.5.370.
Термічна денатурація ДНК в підході теорії поля для полімерів: вплив середовища
Ю. Головач${}^{\textsf{\footnotesize{\mbox{?},\penalty 1000\mbox{?},\penalty
1000\mbox{?}}}}$, К. фон Фербер${}^{\textsf{\footnotesize{\mbox{?},\penalty
1000\mbox{?}}}}$, Ю. Гончар${}^{\textsf{\footnotesize{\mbox{?},\penalty
1000\mbox{?},\penalty 1000\mbox{?}}}}$
${}^{\textsf{\footnotesize 1}}$ Інститут фізики конденсованих систем
Національної академії наук України,
вул. Свєнціцького, 1, 79011 Львів, Україна ${}^{\textsf{\footnotesize 2}}$
Співпраця $\mathbb{L}^{4}$ і Коледж докторантів ‘Статистична фізика складних
систем’, Ляйпціґ-Лотарингія-Львів-Ковентрі, Європа ${}^{\textsf{\footnotesize
3}}$ Центр плинних і складних систем, Університет Ковентрі, Ковентрі, CV1 5FB,
Великобританія
| arxiv-papers | 2021-07-25T14:19:34 | 2024-09-04T03:07:16.861326 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yu. Holovatch, C. von Ferber, Yu. Honchar",
"submitter": "Yulian Honchar",
"url": "https://arxiv.org/abs/2107.11812"
} |
2107.11815 | # Digital quantum simulation of dynamical topological invariants on near-term
quantum computers
Huai-Chun Chang Department of Mathematical Sciences, National Chengchi
University, Taipei 11605, Taiwan Hsiu-Chuan Hsu [email protected] Graduate
Institute of Applied Physics, National Chengchi University, Taipei 11605,
Taiwan Department of Computer Science, National Chengchi University, Taipei
11605, Taiwan
###### Abstract
Programmable quantum processors are suitable platforms for simulating quantum
systems, of which topological phases are of particular interest. We simulate
the quench dynamics of a one-dimensional system on IBM Q devices. The
topological properties of the dynamics are described by the dynamical
topological invariants, the dynamical winding number and the time-dependent
Berry phase, which are simulated with the quantum circuit model. The results
show that despite the noise present in the current quantum computers, the
dynamical topological invariants are robust. Moreover, to investigate the
influence of open quantum system, we analytically solve the master equation in
Lindblad form and show that the dynamical winding number and the change in
Berry phase are not affected by the dissipation. This study sheds light on the
robustness of topological phases on the noisy intermediate-scale quantum
computers.
## I Introduction
The most appropriate tool for simulating quantum systems is the quantum
computer because the fundamental of nature is quantum mechanics, as proposed
by Feynmann in 1982 Feynman (1982). Since then, the scientific and engineering
communities have been pursuing the realization of the device and exploring the
applications. It has been proved that simulations of quantum systems is
plausible due to the universality of the gate-based quantum comuting
processors Lloyd (1996); Tacchino _et al._ (2020). Recently, the quantum
technologies have experienced a great breakthrough and improved the
fabrication of the quantum processors Arute _et al._ (2019); Jurcevic _et
al._ (2021). However, the current quantum computers are at the stage of Noisy
Intermediate-Scale Quantum (NISQ) era Preskill (2018). The quality and number
of qubits are still limited. The capabilities and applications of NISQ
computers have been explored in many subjects. For example, several studies
have demonstrated the quantum simulation of non-equillibirum quantum systems
Smith _et al._ (2019); Babukhin _et al._ (2020); Fauseweh and Zhu (2021),
many-body states Smith _et al._ (2019); Rahmani _et al._ (2020); Kirmani
_et al._ (2021), open quantum systems Head-Marsden and Mazziotti (2019); Del
Re _et al._ (2020); García-Pérez _et al._ (2020); Head-Marsden _et al._
(2021); Kamakari _et al._ (2021), dynamical quantum phase transitions Guo
_et al._ (2019) and topological phases of matter Murta _et al._ (2020); Ji
_et al._ (2020); Mei _et al._ (2020); Chen _et al._ (2021); Xiao _et al._
(2021).
Moreover, phase factor is one of the most important aspect that distinguishes
quantum mechanics from classical mechanics Dirac (1972); Yang (2013). In
classical numerical simulations of quantum systems, one could simulate the
wave functions. However, experiments can only obtain the squared modulus of
the wave function without the information of phase. For a more realistic
simulation of quantum systems, quantum computer is a suitable platform for
controlling the quantum states and allowing the measurement of the phase with
a careful design of the quantum circuits Murta _et al._ (2020); Cian _et
al._ (2021); Xiao _et al._ (2021).
The study of the phase factor is the center of the topological matters in
modern condensed matter physics. The Berry phase, the phase difference of the
wave function when the parameters of the system change in a closed path Berry
(1984), has been found to present in many materials. In solids, the Berry
phase is the phase difference of the Bloch states as momentum changes in a
closed path in the Brillouin zone Thouless _et al._ (1982); Kohmoto (1985).
The Berry phase has direct consequence on the electronic properties of
materials Xiao _et al._ (2010), such as anomalous Hall effect Jungwirth _et
al._ (2002), topological insulators Hasan and Kane (2010) and Weyl semimetals
Yan and Felser (2017).
The study of topology has extended to non-equilibrium states. Recently, the
topological phases of quench dynamics have been explored Yang _et al._
(2018); Gong and Ueda (2018); Chang (2018); Sun _et al._ (2018); Hu and Zhao
(2020). Quench dynamics consider the time evolution of a quantum state, which
is the ground state of the initial Hamiltonian $H_{i}$, under a sudden change
of the Hamiltonian to $H_{f}$. As the quantum state evolves unitarily with the
quench Hamiltonian $H_{f}$, the topology of the equilibrium quench Hamiltonian
can be detected from the dyanmics, such as the dynamical winding numbers Zhu
_et al._ (2020) and band-inversion surfaces Zhang _et al._ (2019a, b) .
Moreover, by treating time as an additional dimension, the dynamical
topological invariants can be defined on a momentum-time torus in quenching
one-dimensional systems. For example, previous studies have proposed time-
dependent Berry phase Hsu _et al._ (2021), dynamical Chern number Yang _et
al._ (2018); Chang (2018); Hsu _et al._ (2021) and the Skyrmion texture in
the momentum-time domain Wang _et al._ (2019); Guo _et al._ (2019).
Several studies have studied topological phases on NISQ computers. Viyuela et
al. Viyuela _et al._ (2018) simulated topological phases interacting with
thermal baths and observed topological Uhlmann phases. Murta et al. Murta _et
al._ (2020) proposed a Berry phase estimation algorithm that removes the
dynamical phase while preserves the geometric phase. Mei et al. Mei _et al._
(2020) showed quantum simulation of topological insulators and the boundary
modes. Xiao et al. Xiao _et al._ (2021) demonstrated the robustness of the
topological invariants against noise by computing Chern number on quantum
computers. Quantum simulation on NISQ devices opens a new direction for
investigating the topological properties of the quantum states.
In this work, we simulate quench dynamics on the cloud quantum computer
provieded by IBM Q and found the topological robustness of the topology in
quench dynamics on NISQ devices. We compare the results of the simulations of
quantum circuits on qasm_simulator provided by the Qiskit API Abraham and et
al. (2019) and IBM Q devices. The dynamical winding number and Berry phase
were computed and shown to reflect the topological properties. Moreover, we
consider the dynamics in the open quantum system described by the master
equation and show that the topological invariants are robust against
dissipation. The analytical results agree with that of quantum simulation. The
rest of the paper is organized as follows. In sec. II, the quench protocol and
the quantum circuit model for computing topological properties are introduced.
In sec. III, the simulation results and analysis are presented. In sec. IV,
the solution and discussion of the master equation for the dynamics in the
open quantum system are given. Finally, the conclusion is given in sec. V
## II The Quench dynamics
In this study, we consider the quench dynamics in one-dimension. The initial
states are the ground state of $H_{i}=-\sigma_{z}$. Thus, the pseudospins are
polarized along the computational basis. The initial quantum state is suddenly
quenched by the single-particle Su-Schrieffer-Heeger (SSH) Hamiltonian Su _et
al._ (1979) $\mathcal{H}_{0}(k)$
$\displaystyle\mathcal{H}_{0}(k)$ $\displaystyle=$ $\displaystyle
h_{x}(k)\sigma_{x}+h_{y}(k)\sigma_{y},$ (1)
where
$\displaystyle h_{x}(k)$ $\displaystyle=$ $\displaystyle g_{f}-\cos(k)$
$\displaystyle h_{y}(k)$ $\displaystyle=$ $\displaystyle\sin(k)$ (2)
$\sigma_{x,y}$ are Pauli matrices and act on the sublattices $a,b$. The
lattice constant is taken to be one. The eigen-energy is $E_{\pm}=\pm
E_{k}=\pm\sqrt{h_{x}^{2}+h_{y}^{2}},=\pm\sqrt{\sin^{2}(k)+(g_{f}-\cos(k))^{2}}$.
The topology of the Hamiltonian is characterized by winding number
$\mathit{w}$. The topological phase is in the regime $|g_{f}|<1$ with
$\mathit{w}=1$. While the trivial phase is in the regime $|g_{f}|>1$ with
$\mathit{w}=0$. $g_{f}=1$ is the phase transition point. The winding number is
the number of times the pseudospin winds about the origin on the $x-y$ plane
as the parameter $k$ changes from $0$ to $2\pi$ Vanderbilt (2018).
Two topological invariants defined to characterize the dynamics are studied.
The first is the dynamical winding number. At $t>0$, the pseudospin starts to
precess about $(h_{x}(k),h_{y}(k))$. For a fixed time $t$, the trajectory of
the pseduospin projected on the $x-y$ plane as $k$ varies from $0$ to $2\pi$
reflects the topology of the quench Hamiltonian. For the topological quench
Hamiltonian, this trajectory makes full revolutions about the origin. In
contrast, for the trivial quench Hamiltonian, the trajectory does not encircle
the origin. The dynamics of the pseudospin is characterized by the dynamical
winding number
$\displaystyle
w_{dyn}=\frac{1}{2\pi}\int_{0}^{2\pi}dk\frac{\partial\eta_{yx}}{\partial k},$
(3)
where
$\displaystyle\eta_{yx}={\rm
Im}\log[{\langle\sigma_{x}\rangle}+i{\langle{\sigma_{y}\rangle}}].$ (4)
In the noiseless situations, for the quench protocol considered here,
$\eta_{yx}$ equals to ${\rm Im}\log[(h_{y}-ih_{x})(\sin(2E_{k}t))]$ with the
Planck constant $\hbar$ is set to one. The details can be found in Appendix A.
Because the principal value of the complex log lies in $(-\pi,\pi]$, when the
psuedospin makes one full revolution on the $x-y$ plane, $\eta_{yx}$ shows a
discontinuous jump between $-\pi$ and $\pi$. For the topological dynamics with
$w_{dyn}=1$, $\eta_{yx}$ shows a discontinuous $2\pi$ jump. In contrast, for
trivial dyanmics, $\eta_{yx}$ is a smooth function.
The dynamical winding number can be regarded as the detection of bulk topology
of the quench Hamiltonian. Nevertheless, one can treat time as an additional
dimension and define a topological invariant on the momentum-time space. This
topological invariant is the dynamical Chern number, which is defined on the
momentum-time torus which $k\in[0,2\pi],t\in[0,\pi/(2E_{k})]$. Since the
topology is robust against smooth deformation, it is equivalent to rescaling
$E_{k}=1$, for which the torus becomes $k\in[0,2\pi],t\in[0,\pi/2]$ Yang _et
al._ (2018); Chang (2018); Guo _et al._ (2019). The dynamical Chern number
can be obtained by integrating the time derivative of the Berry phase Gresch
_et al._ (2017); Kuno (2019); Hsu _et al._ (2021)
$\displaystyle
C_{dyn}=\frac{1}{2\pi}\int^{\pi/2}_{0}dt\frac{\partial\gamma(t)}{\partial t},$
(5)
where $\gamma(t)$ is the time-dependent Berry phase given by the overlap
matrix between neighboring k-points Vanderbilt (2018); Kuno (2019)
$\displaystyle\gamma(t)$ $\displaystyle=$ $\displaystyle{\rm
Im}\log[\prod_{k}M_{k,k+\delta k}],$ $\displaystyle M_{k,k+\delta k}(t)$
$\displaystyle=$ $\displaystyle\langle\psi(k)|\psi(k+\delta k)\rangle.$ (6)
$|\psi(k)\rangle$ is the quantum state of the pseudospin at time $t$ with
$E_{k}=1$. The Berry phase is the total accumulated phase as the parameter $k$
changes from $0$ to $2\pi$. Analytically, the Berry phase is shown to be
$\gamma(t)=-2\pi\mathit{w}\sin^{2}t$ (details are given in Appendix B),
proportional to the winding number of $\mathcal{H}_{0}$ Chang (2018). The
change in Berry phase from $t=0$ to $t=\pi/2$ is $2\pi\mathit{w}$. Due to the
interval of the principal value of the complex log, the Berry phase
$\gamma(t)$ shows a discontinuous jump between $-\pi$ and $\pi$ for
topological dynamics, while exhibits a smooth function for trivial dynamics.
Thus, we compute the Berry phase and determine the topology by the Berry phase
flow (the change of Berry phase in a period taking into account the $2\pi$
jump).
The dynamical winding number and Berry phase are computed on the quantum
computers and quantum circuit simulators on a classical device. First, we
compute the in-plane pseudospins to obtain the dynamical winding number. The
quantum circuit for this purpose is shown in Fig. 1. We map the time evolution
operator to the U-gate provided by qiskit API. The details can be found in
Appendix A. Because the measurement is in the computational basis, i.e. along
$z$-axis, a rotation on the quantum state is performed before the measurement
of $\langle\sigma_{x,y}\rangle$. Second, we compute the Berry phase flow. We
added an ancilla bit and apply the control unitary bewteen the ancilla and
target bit to compute the phase difference between state at $k$ and $k+\delta
k$ Xiao _et al._ (2021). To aqcuire the real(imaginary) part of the phase, a
$(-)\pi/2$ rotation about $y(x)$-axis is performed before the measurement, as
shown in Fig.2. It is equivalent to the measurement of the expectation values
of $\sigma_{x,y}$, respectively. From the measurement outcome of the circuit,
the Berry phase is obtained by
$\displaystyle\gamma(t)={\rm
Im}\log[\prod_{k}{\langle\sigma_{x}\rangle_{k}}+i{\langle{\sigma_{y}\rangle_{k}}}],$
(7)
where $\langle\sigma_{x,y}\rangle_{k}=\langle\psi(k)|\psi(k+\delta k)\rangle$
computed with the quantum circuit. In our simulation for Berry phase, $E_{k}$
is normalized to $1$ for the same reason as mentioned in the previous
paragraph.
Figure 1: The circuit model for measuring the time evolution of the
pseudospinors. The rotation angles for the unitary gates are
$\theta=2E_{k}t_{i}\Delta t$, where $\Delta t=\frac{\pi}{T},\ T=40$ and $\
t_{i}\in\\{1,2,3,\cdots,20\\}$, $\phi=-{\rm
Im}(\log(h_{x}+ih_{y}))-\frac{\pi}{2},\lambda=-\phi$. $R_{y}$ is the rotation
gate about $y$-axis, $S^{\dagger}$ is the Hermitian conjugate of the phase
gate and $H$ is the Hadamard gate. The three qubits are used to calculate
$\langle\sigma_{x,y,z}\rangle$, respectively. Figure 2: The quantum circuit
for calculating the phase between two adjacent momenta. $a_{0}$ is the ancilla
bit for measuring the phase. $q_{0}$ is the target bit of which the quantum
state represents the quench dynamics. $H$ gate is the Hadamard gate, $R$ gate
refers to $+(-)\pi/2$ rotation about $y(x)$-axis when measuring the
real(imaginary) part of the phase. For the unitary gates,
$\theta=2t,\phi_{k}=-{\rm Im}(\log(h_{x}(k)+ih_{y}(k)))-\frac{\pi}{2}$ and
$\lambda_{k}=-\phi_{k}$.
## III Results of the Digital quantum simulations
In this section, we present the results of quantum simulation and discuss the
quench dynamics of the pseudospins. To investigate whether the Berry phase
flow is robust on NISQ computers, we choose the quench Hamiltoians to be near
the topological phase transition. In all the experiments, the number of
samplings is taken to be 8192, the maximum shots on IBM Q machines.
### III.1 Dynamical winding number
Since the winding number corresponds to that the in-plane pseudospins winds
around the origin by multiples of $2\pi$, we monitor the dynamical azimuthal
angles swept by the pseudospins. Fig. 3(a,b) shows $\eta_{yx}$ simulated on
ibmq_lima. In (a), the quench Hamiltonian is topological with $g_{f}=0.8$. A
discontinuous jump can be observed near $k\approx 1.8\pi$, indicating the full
revolution on the $x-y$ plane. In Fig. 3(b), the quench Hamiltonian is trivial
with $g_{f}=1.2$. There is no $2\pi$ discontinuous jump for the trivial case.
From the dynamical azimuthal angles, we obtain the dynamical winding number.
In principle, the dynamical winding number is obtained with Eq. (3) which
requires dense mesh in digital simulations. Because of the limited
availabitliy of the NISQ devices, we only use sparse mesh in quantum
simulation. Thus, we extract the difference of the jump of $\eta_{yx}$ at the
discontinuity in unit of $2\pi$ as the dynamical winding number. The results
are presented in Fig. 3 (c). The results do not show significant deviation
between the qasm_simulator and ibmq_lima. The winding number is not exactly
quantized to unity since the $k$ mesh is not dense enough. We performed
convergence test on the qasm_simulator. Fig. 3(d) shows that as the $k$ mesh
density increases, the dynamical winding number becomes closer to
quantization. Even though the dynamical winding number is not well quantized
due to sparse mesh, the dynamical azimuthal angles show the a $\sim 2\pi$ jump
as a signature of topological dynamics.
Figure 3: (a)The winding angle at $t=\pi/40$ and $t=8\pi/40$ obtaind with
ibmq_lima for quench Hamiltonian $g_{f}=0.8$. (b) The same as (a) but for
$g_{f}=1.2$. (c) The winding number extracted from the discontinuity of
$\eta_{yx}$ simulated on different devices. (d) The convergence test for the
dynamical winding number on qasm simulators. The curves are for different $k$
mesh as shown in the legend.
### III.2 Berry phase
Figure 4: Berry phase for topological quench dynamics. (a, b) The Berry phase
obatained on ibmq_lima on for $g_{f}=0.8,1.2$, respectively. (c, d) The Berry
phase obtained on ibmq_toronto for $g_{f}=0.8,1.2$, respectively. qasm-err
denotes the results for simulating the unitary errors on the qasm_simulator.
We execute the quantum circuit (Fig. 2) to compute the time-dependent Berry
phase. The circuits are performed on noiseless qasm_simulators and IBM Q
machines. The results for $g_{f}=0.8$ and $g_{f}=1.2$ are presented in Fig. 4.
For qasm_simulators, as indicated by the red curve with circles, the $2\pi$
jump is exactly at $t=\pi/4$ for topological dynamics. We present the results
on two IBM Q devices, ibmq_lima and ibmq_toronto. For each device, we present
results of three experiments taken on the same day. Different runs of data
show the same behavior. For ibmq_lima, the results for $g_{f}=0.8$, as
presented in Fig. 4(a), show a clear discontinuity near $t=\pi/4$, giving rise
to a nearly $2\pi$ Berry phase flow from $t=0$ to $t=\pi/2$. The first
experiment, as labeled by ’lima0’ in the figure, shows random spikes. The
similar spikes are observed for the trivial case, as shown in Fig. 4(b). These
sudden spikes do not contribute to Berry phase flow in the period of time
$[0,\pi/2]$ because the change of the phases on each side of the spike
cancels. Thus, the results in 4(b) indicate trivial dynamics.
For ibmq_toronto, the results for $g_{f}=0.8$ show a clear discontinuity, as
shown in Fig. 4(c). The position of dicontinuity shifts by $\sim\pi/8$ to the
right of $\pi/4$. The shift of the discontinuity is attributed to the unitary
error, which is discussed in detail in the next paragraph. There is a
background Berry phase $\pi/2$, which is not shown on qasm_simulator. The
background phase does not change the Berry phase flow. The results for
$g_{f}=1.2$ are shown in Fig. 4(d). The Berry phase is near $\pi/2$ at all
instants. There is no Berry phase flow, indicating trivial dynamics. For both
parameters, there is a $\sim\pi/2$ background Berry phase on ibmq_toronto, but
not on ibmq_lima.
We simulate the error on qasm_simulator by adding a global phase $\delta$ to
the target bit in the control-unitary gate (Fig. 2). This error gives rise to
a background Berry phase, but only shifts the position of the $2\pi$ jump by
$\sim\pi/16$. To take into account the shift observed in Fig. 4(c) , an error
$\delta_{t}$ is added to the polar angles in the unitary and controlled-
unitary gates. It is found that with $\delta=0.03\pi$ and
$\delta_{t}=-0.12\pi$, the resultant Berry phase captures the trend on
ibmq_toronto, as shown by the black dashed line in Fig. 4 (c,d). Therefore, we
conclude that the background Berry phase is a result of the accumulated global
phase due to the systematic unitary gate errors. The shift of the $2\pi$ jump
is a consequence of both errors in global phase and polar angles.
Comparing to the results obtained from ibmq_lima, ibmq_toronto shows less
fluctuations and no random spikes. Among the quantities for device
characetization, including average control-not errors, readout errors,
depolarizing time ($T_{1}$) and decoherence time ($T_{2}$), the largest
difference between the two devices is the time constants. The average
depolarizing and decoherence time for ibmq_toronto are $T_{1}=101\mu
s,T_{2}=122\mu s$, while for ibmq_lima are $T_{1}=66\mu s,T_{2}=99\mu s$. The
longer $T_{1},T_{2}$ constants preserve the qubit states and allows more
precise measurement outcome. Therefore, the cleaner result on ibmq_toronto is
attributed to the longer time constants.
## IV The master equation
In experiment settings, the qubits are coupled to environments that lead to
dissipation. In order to gain insight on how dissipation affects the quench
dynamics, we analytically solve the master equation that describes the open
quantum system. After quench, the qubit dynamics evolves according to
$\mathcal{H}_{0}+H_{int}$, where $\mathcal{H}_{0}$ is given in Eq. (1) and
$H_{int}$ describes the interaction between the qubit and radiation fields.
With dipole approximation and rotating wave approximation, the interaction is
written as $H_{int}=\vec{d}(e^{i\Omega t}\sigma_{+}+e^{-i\Omega
t}\sigma_{-})$, where $\vec{d}$ is the tansition matrix element of the dipole
operator, $\sigma_{\pm}=(\sigma_{x}\pm i\sigma_{y})/2$ denotes transition
between two levels and $\Omega$ is the angular frequency that is the same as
the energy gap of the quench Hamiltonian. With weak coupling limit and Born-
Markovian approximations, the evolution of the density matrix is given by the
Lindblad equation Carollo _et al._ (2003); Heinz-Peter and Petruccione (2007)
$\displaystyle\frac{d\rho}{dt}$ $\displaystyle=$
$\displaystyle-i[\mathcal{H}_{0}(k),\rho]$ (8) $\displaystyle+$
$\displaystyle\gamma_{0}(N+1)(\sigma_{-}\rho\sigma_{+}-\frac{1}{2}\left\\{\sigma_{+}\sigma_{-},\rho\right\\})$
$\displaystyle+$
$\displaystyle\gamma_{0}N(\sigma_{+}\rho\sigma_{-}-\frac{1}{2}\left\\{\sigma_{-}\sigma_{+},\rho\right\\}),$
where $\rho$ is the density matrix, $N$ is the Bose-Einstein distribution,
$\gamma_{0}$ is the spontaneous emission rate. The Planck constnt $\hbar$ is
set to one. $\gamma_{0}\sigma_{-}$ describes the spontaneous emission,
$\gamma_{0}N\sigma_{-(+)}$ describes the thermally induced emission
(absorption). The details of the derivation are shown in Appendix C. After
solving for $\rho$ at low temperature, where $\gamma_{0}\approx\gamma$, the
psuedospins are given by
$\displaystyle\langle\sigma_{x}(t)\rangle$ $\displaystyle=$ $\displaystyle
h_{y}F^{\prime}(\gamma,k,t)$ $\displaystyle\langle\sigma_{y}(t)\rangle$
$\displaystyle=$ $\displaystyle-h_{x}F^{\prime}(\gamma,k,t),$ (9)
where
$\displaystyle F^{\prime}(\gamma,k,t)=4e^{-\frac{3\gamma
t}{4}}\frac{(8E_{k}^{2}+5\gamma^{2})\sin(\frac{\omega
t}{4})+\omega\gamma\cos(\frac{\omega
t}{4})}{\omega(4E_{k}^{2}+\gamma^{2})}-\frac{4\gamma}{4E_{k}^{2}+\gamma^{2}}$
(10)
and $\omega=\sqrt{64E_{k}^{2}-\gamma^{2}}$. The expression of $F^{\prime}$ is
complicated, but only its sign affects the measurement of $\eta_{yx}$ [Eq.
(4)]. As shown in Fig. 5, at a damping rate $\gamma=4E_{k}$, which is twice
the energy gap of the quench Hamiltonian, $\eta_{yx}$ shows a total $2\pi$
difference when $k$ changes from $0$ to $2\pi$.
Figure 5: $\eta_{yx}$ as a function of $k$ at $t=0.2\pi$ given by Eq. (9). The
overdamping case $\gamma=4E_{k}$ is shown by red solid line, compared to the
dissipationless case with $\gamma=0$ shown by the black solid line.
To see how dissipation affects the pseudospins’ dynamics on the Bloch sphere,
we look into the z-component
$\displaystyle\langle\sigma_{z}\rangle=e^{-\frac{3\gamma
t}{4}}\frac{2{\omega}\left(2E_{k}^{2}+\gamma^{2}\right)\cos\left(\frac{{\omega}t}{4}\right)-2\gamma\left(\gamma^{2}-14E_{k}^{2}\right)\sin\left(\frac{{\omega}t}{4}\right)}{{\omega}\left(4E_{k}^{2}+\gamma^{2}\right)}-\frac{\gamma^{2}}{4E_{k}^{2}+\gamma^{2}}.$
(11)
The geometric phase is a consequence of the dynamics of the pseudospins Wang
_et al._ (2019). Thus, we visualize the pseudospin texture as a function of
time and momentum given by Eq. (9) and 11. As explained in Sec. II, $E_{k}$ is
normalized to $1$ in the calculation. Fig. 6 (a) shows the texture for
$g_{f}=0.8$ in the dissipationless limit when $\gamma$ is set to $0$. At each
moment, the $x,y$ components of the pseudospinors winds clockwisely as $k$
varies from $0$ to $2\pi$. While the dissipative case with $\gamma=0.5E_{k}$
is shown in Fig. 6 (b). The $x,y$ components wind clockwisely. The major
difference with the dissipationless limit is that the $z$-components decays
faster. It shows that the pseudospinor wraps the Bloch sphere for a shorter
period of time, as denoted by the black dotted line on the figure. Thus, the
$2\pi$ jump in Berry phase can be observed in the presence of dissipation at a
shorter time.
Figure 6: The pseudospin texture in the momentum-time space. (a) Without
dissipation, $\gamma=0$. (b) $\gamma=0.5E_{k}$. The color denotes the
magnitude of the $z$ components. The arrows represent the $x,y$ direction of
the pseudospinors. The black dotted line denotes the boundary of time for the
momentum-time torus.
## V Conclusion
In this study, we performed quantum simulations of quench dynamics on IBM Q
devices. The dynamical winding number and the Berry phase flow were simulated
with quantum circuits and computed on ibmq_lima and ibmq_toronto. Despite the
unitary errors and randomness observed in NISQ processors, the quantum
simulation of quench dynamics shows robust signatures for identifying
topological and trivial dynamics. Moreover, the influence of open quantum
system on the topology is addressed in this paper. To study the dissipation,
we analytically solve the master equation in the Lindblad form. We found that
with dissipation, the dyanmical winding number and Berry phase flow are
robust. The analytic solution provides insight on the topological quench
dynamics in open quantum systems.
## Acknowledgments
We would like to thank Po-Yao Chang, Liang-Yan Hsu, Jhih-Shih You and Geng-
Ming Hu for valuable discussions. We acknowledge the IBM Q service via NTU-IBM
Q hub. This work is supported by the Ministry of Science and Technology (MOST)
in Taiwan with Grant No. 108-2112-M-004-002-MY2.
## Appendix A Expectation values of pseudospins
Given the quench Hamiltonian, the unitary operator for time evolution is
$\displaystyle U(t)=\cos(E_{k}t)-i\sin(E_{k}t)\hat{h}\cdot\bm{\sigma},$ (12)
where $\hat{h}=\hat{h}_{x}\hat{x}+\hat{h}_{y}\hat{y}$ with
$\hat{h}_{x,y}=h_{x,y}/\sqrt{h_{x}^{2}+h_{y}^{2}}$. For simulation on IBM Q
simulators and machines, we utilize the U-gate Abraham and et al. (2019)
$\displaystyle
U(\theta,\phi,\lambda)=\begin{pmatrix}\cos(\theta/2)&-e^{i\lambda}\sin(\theta/2)\\\
e^{i\phi}\sin(\theta/2)&e^{i(\phi+\lambda)}\cos(\theta/2)\end{pmatrix}$ (13)
with $\theta=2E_{k}t,\phi={\rm Im}\log(h_{x}+ih_{y})-\pi/2,\lambda=-\phi$. It
can be shown that with this choice of parameter, the U-gate is exactly the
time evolution operator.
For an initial state
$\displaystyle|\psi_{k}(t=0)\rangle=\begin{pmatrix}a\\\ b\end{pmatrix}$ (14)
the time evolution due to the Hailtonian [(Eq. 1)] is given by
$\displaystyle|\psi_{k}(t)\rangle=\begin{pmatrix}a\cos(E_{k}t)-ib\sin(E_{k}t)(\hat{h}_{x}-i\hat{h}_{y})\\\
b\cos(E_{k}t)-ia\sin(E_{k}t)(\hat{h}_{x}+i\hat{h}_{y})\end{pmatrix}.$ (15)
For the initial states consiedered in the main text $(1\ \ 0)^{T}$ , the
expectation values of spinors are
$\displaystyle\langle\sigma_{x}(t)\rangle$ $\displaystyle=$
$\displaystyle\hat{h}_{y}\sin(2E_{k}t)$ (16)
$\displaystyle\langle\sigma_{y}(t)\rangle$ $\displaystyle=$
$\displaystyle-\hat{h}_{x}\sin(2E_{k}t)$ (17)
$\displaystyle\langle\sigma_{z}(t)\rangle$ $\displaystyle=$
$\displaystyle\cos(2E_{k}t).$ (18)
Thus, the azimuthal angle swept by the in-plane components is given by ${\rm
Im}\log[\langle\sigma_{x}\rangle+i\langle\sigma_{y}\rangle]=\log[(h_{y}-ih_{x})\sin(2E_{k}t)]$.
## Appendix B The analytical expression for the Berry phase
The Berry phase can be calculated analytically by
$\displaystyle\gamma(t)$ $\displaystyle=$ $\displaystyle-{\rm
Im}\int_{0}^{2\pi}dk\langle\psi_{k}(t)|\partial_{k}\psi_{k}(t)\rangle.$ (19)
It is the continuum version of Eq. (7) in the main text. Using Eq. (15) with
$(a\ \ b)=(1\ \ 0)$ and $E_{k}=1$, one obtains
$\displaystyle\gamma(t)$ $\displaystyle=$ $\displaystyle-{\rm
Im}\int_{0}^{2\pi}dk\langle\psi_{k}(t)|\frac{d\phi}{dk}\partial_{\phi}\psi_{k}(t)\rangle$
$\displaystyle=$ $\displaystyle-{\rm
Im}\int_{0}^{2\pi}dk\frac{d\phi}{dk}\sin^{2}t$ $\displaystyle=$
$\displaystyle-2\pi\mathit{w}\sin^{2}t,$ (21)
where $\mathit{w}$ is the winding number of the quench Hamiltonian. Thus, the
dynamical Chern number is
$C_{dyn}=-\mathit{w}\sin^{2}t\Bigr{|}^{\pi/2}_{0}=-\mathit{w}$.
## Appendix C Solving the master equation
Consider the qubit under the influence of the electromagnetic field, such
process can be described by the quantum master equation Carollo _et al._
(2003); Heinz-Peter and Petruccione (2007)
$\displaystyle\frac{d\rho}{dt}$ $\displaystyle=$
$\displaystyle-i[\mathcal{H}_{0}(k),\rho]$ $\displaystyle+$
$\displaystyle\gamma_{0}(N+1)(\sigma_{-}\rho\sigma_{+}-\frac{1}{2}\left\\{\sigma_{+}\sigma_{-},\rho\right\\})$
$\displaystyle+$
$\displaystyle\gamma_{0}N(\sigma_{+}\rho\sigma_{-}-\frac{1}{2}\left\\{\sigma_{-}\sigma_{+},\rho\right\\})$
where the symbols are explained below Eq. (8) in the main text.
The density matrix can be expanded as $\rho=\frac{1}{2}(I+\vec{a}\cdot\sigma)$
and the master equation [Eq. (8)] can be written as the following form Zhang
_et al._ (2021)
$\displaystyle\frac{d}{dt}\begin{pmatrix}a_{x}\\\ a_{y}\\\
a_{z}\end{pmatrix}=\mathcal{L}(k)\begin{pmatrix}a_{x}\\\ a_{y}\\\
a_{z}\end{pmatrix}+\begin{pmatrix}0\\\ 0\\\ -\gamma_{0}\end{pmatrix},$ (22)
where
$\displaystyle\mathcal{L}(k)=\begin{pmatrix}-\gamma/2&0&2h_{y}(k)\\\
0&-\gamma/2&-2h_{x}(k)\\\ -2h_{y}(k)&2h_{x}(k)&-\gamma\end{pmatrix}.$ (23)
To solve Eq. (23), we follow Ref. Heinz-Peter and Petruccione (2007); Zhang
_et al._ (2021); Noh and Jhe (2010). First, we find the stationary solution
where the time derivative of the density matrix is zero. The stationary
solution is
$\displaystyle a_{x}^{0}=\frac{-4h_{y}\gamma_{0}}{4E_{k}^{2}+\gamma^{2}}$ (24)
$\displaystyle a_{y}^{0}=\frac{4h_{x}\gamma_{0}}{4E_{k}^{2}+\gamma^{2}}$ (25)
$\displaystyle a_{z}^{0}=\frac{-\gamma_{0}\gamma}{4E_{k}^{2}+\gamma^{2}}.$
(26)
Second, we define $\vec{a}^{c}=\vec{a}-\vec{a}^{0}$ such that $\vec{a}^{c}$
satisfies the homogeneous differential equation
$\displaystyle\frac{d\vec{a}^{c}}{dt}=\mathcal{L}(k)\vec{a}^{c}$ (27)
The left and right eigenvalues of $\mathcal{L}(k)$ are
$\lambda_{0}=\frac{-\gamma}{2}$,
$\lambda_{1,2}=\frac{-3\gamma}{4}\mp\frac{i\omega}{4}$, where
$\omega=\sqrt{64E_{k}^{2}-\gamma^{2}}$. Thus, the solution to $\vec{a}^{c}$ is
$\vec{a}^{c}=\sum_{\ell}S_{\ell}|R\ell\rangle e^{\lambda_{\ell}t}$ with
$S_{\ell}=\langle L\ell|{a}^{c}(t=0)\rangle$, where $\langle L\ell|$ and
$|R\ell\rangle$ are the left and right eigenvector of the $\ell$th eigenvalue,
respectively, $|{a}^{c}(t=0)\rangle$ denotes the initial column vector
$\vec{a}^{c}$. The left and right eigenvectors satisfy $\langle
L\ell|R\ell^{\prime}\rangle=\delta_{\ell,\ell^{\prime}}$. For the quench
protocol considered in the main text, the initial state is given by
$\vec{a}^{c}(t=0)=(0,0,1)^{T}-\vec{a}^{0}$. At low temperature, one can
approximate $\gamma_{0}=\gamma$ which gives a simple form of the solution
$\displaystyle a_{x}^{c}(t)$ $\displaystyle=$ $\displaystyle
h_{y}F(\gamma,k,t)$ (28) $\displaystyle a_{y}^{c}(t)$ $\displaystyle=$
$\displaystyle-h_{x}F(\gamma,k,t)$ (29) $\displaystyle a_{z}^{c}(t)$
$\displaystyle=$ $\displaystyle e^{-\frac{3\gamma
t}{4}}\frac{2{\omega}\left(2E_{k}^{2}+\gamma^{2}\right)\cos\left(\frac{{\omega}t}{4}\right)-2\gamma\left(\gamma^{2}-14E_{k}^{2}\right)\sin\left(\frac{{\omega}t}{4}\right)}{{\omega}\left(4E_{k}^{2}+\gamma^{2}\right)},$
(30) $\displaystyle\text{where }F(\gamma,k,t)$ $\displaystyle=$ $\displaystyle
4e^{-\frac{3\gamma t}{4}}\frac{(8E_{k}^{2}+5\gamma^{2})\sin(\frac{\omega
t}{4})+\omega\gamma\cos(\frac{\omega t}{4})}{\omega(4E_{k}^{2}+\gamma^{2})}$
(31)
Thus, the pseudospinors are given by
$\langle\sigma_{i}\rangle(t)=Tr\frac{1}{2}\left[\rho\sigma_{i}\right]=a_{i}^{c}(t)+a_{i}^{0}$.
It can be shown that in the limit $\gamma=0$,
$F(\gamma,k,t)=\frac{\sin(2E_{k}t)}{E_{k}}$ and $a_{z}(t)=\cos(2E_{k}t)$,
which agree with the results in Appendix A. Moreover, at $t=0$,
$F(\gamma,k,t)=\frac{4\gamma}{4E_{k}^{2}+\gamma^{2}}$ and the solution
recovers the initial condition $\vec{a}(t=0)=(0,0,1)^{T}$
## References
* Feynman (1982) Richard P. Feynman, “Simulating physics with computers,” International Journal of Theoretical Physics 21, 467–488 (1982).
* Lloyd (1996) Seth Lloyd, “Universal quantum simulators,” Science 273, 1073–1078 (1996), https://science.sciencemag.org/content/273/5278/1073.full.pdf .
* Tacchino _et al._ (2020) Francesco Tacchino, Alessandro Chiesa, Stefano Carretta, and Dario Gerace, “Quantum computers as universal quantum simulators: State-of-the-art and perspectives,” Advanced Quantum Technologies 3, 1900052 (2020), https://onlinelibrary.wiley.com/doi/pdf/10.1002/qute.201900052 .
* Arute _et al._ (2019) Frank Arute, Kunal Arya, Ryan Babbush, Dave Bacon, Joseph C. Bardin, Rami Barends, Rupak Biswas, Sergio Boixo, Fernando G. S. L. Brandao, David A. Buell, Brian Burkett, Yu Chen, Zijun Chen, Ben Chiaro, Roberto Collins, William Courtney, Andrew Dunsworth, Edward Farhi, Brooks Foxen, Austin Fowler, Craig Gidney, Marissa Giustina, Rob Graff, Keith Guerin, Steve Habegger, Matthew P. Harrigan, Michael J. Hartmann, Alan Ho, Markus Hoffmann, Trent Huang, Travis S. Humble, Sergei V. Isakov, Evan Jeffrey, Zhang Jiang, Dvir Kafri, Kostyantyn Kechedzhi, Julian Kelly, Paul V. Klimov, Sergey Knysh, Alexander Korotkov, Fedor Kostritsa, David Landhuis, Mike Lindmark, Erik Lucero, Dmitry Lyakh, Salvatore Mandrà, Jarrod R. McClean, Matthew McEwen, Anthony Megrant, Xiao Mi, Kristel Michielsen, Masoud Mohseni, Josh Mutus, Ofer Naaman, Matthew Neeley, Charles Neill, Murphy Yuezhen Niu, Eric Ostby, Andre Petukhov, John C. Platt, Chris Quintana, Eleanor G. Rieffel, Pedram Roushan, Nicholas C. Rubin, Daniel Sank, Kevin J. Satzinger, Vadim Smelyanskiy, Kevin J. Sung, Matthew D. Trevithick, Amit Vainsencher, Benjamin Villalonga, Theodore White, Z. Jamie Yao, Ping Yeh, Adam Zalcman, Hartmut Neven, and John M. Martinis, “Quantum supremacy using a programmable superconducting processor,” Nature 574, 505–510 (2019).
* Jurcevic _et al._ (2021) Petar Jurcevic, Ali Javadi-Abhari, Lev S Bishop, Isaac Lauer, Daniela F Bogorin, Markus Brink, Lauren Capelluto, Oktay Günlük, Toshinari Itoko, Naoki Kanazawa, Abhinav Kandala, George A Keefe, Kevin Krsulich, William Landers, Eric P Lewandowski, Douglas T McClure, Giacomo Nannicini, Adinath Narasgond, Hasan M Nayfeh, Emily Pritchett, Mary Beth Rothwell, Srikanth Srinivasan, Neereja Sundaresan, Cindy Wang, Ken X Wei, Christopher J Wood, Jeng-Bang Yau, Eric J Zhang, Oliver E Dial, Jerry M Chow, and Jay M Gambetta, “Demonstration of quantum volume 64 on a superconducting quantum computing system,” Quantum Science and Technology 6, 025020 (2021).
* Preskill (2018) John Preskill, “Quantum Computing in the NISQ era and beyond,” Quantum 2, 79 (2018).
* Smith _et al._ (2019) Adam Smith, M. S. Kim, Frank Pollmann, and Johannes Knolle, “Simulating quantum many-body dynamics on a current digital quantum computer,” npj Quantum Information 5, 106 (2019).
* Babukhin _et al._ (2020) D. V. Babukhin, A. A. Zhukov, and W. V. Pogosov, “Hybrid digital-analog simulation of many-body dynamics with superconducting qubits,” Phys. Rev. A 101, 052337 (2020).
* Fauseweh and Zhu (2021) Benedikt Fauseweh and Jian-Xin Zhu, “Digital quantum simulation of non-equilibrium quantum many-body systems,” Quantum Information Processing 20, 138 (2021).
* Rahmani _et al._ (2020) Armin Rahmani, Kevin J. Sung, Harald Putterman, Pedram Roushan, Pouyan Ghaemi, and Zhang Jiang, “Creating and manipulating a laughlin-type $\nu=1/3$ fractional quantum hall state on a quantum computer with linear depth circuits,” PRX Quantum 1, 020309 (2020).
* Kirmani _et al._ (2021) Ammar Kirmani, Kieran Bull, Chang-Yu Hou, Zlatko Papifá, Armin Rahmani, and Pouyan Ghaemi, “Realizing fractional-quantum-hall gravitons on quantum computers,” (2021), arXiv:2107.10267 [quant-ph] .
* Head-Marsden and Mazziotti (2019) Kade Head-Marsden and David A. Mazziotti, “Ensemble of lindblad’s trajectories for non-markovian dynamics,” Phys. Rev. A 99, 022109 (2019).
* Del Re _et al._ (2020) Lorenzo Del Re, Brian Rost, A. F. Kemper, and J. K. Freericks, “Driven-dissipative quantum mechanics on a lattice: Simulating a fermionic reservoir on a quantum computer,” Phys. Rev. B 102, 125112 (2020).
* García-Pérez _et al._ (2020) Guillermo García-Pérez, Matteo A. C. Rossi, and Sabrina Maniscalco, “Ibm q experience as a versatile experimental testbed for simulating open quantum systems,” npj Quantum Information 6, 1 (2020).
* Head-Marsden _et al._ (2021) Kade Head-Marsden, Stefan Krastanov, David A. Mazziotti, and Prineha Narang, “Capturing non-markovian dynamics on near-term quantum computers,” Phys. Rev. Research 3, 013182 (2021).
* Kamakari _et al._ (2021) Hirsh Kamakari, Shi-Ning Sun, Mario Motta, and Austin J. Minnich, “Digital quantum simulation of open quantum systems using quantum imaginary time evolution,” (2021), arXiv:2104.07823 [quant-ph] .
* Guo _et al._ (2019) Xue-Yi Guo, Chao Yang, Yu Zeng, Yi Peng, He-Kang Li, Hui Deng, Yi-Rong Jin, Shu Chen, Dongning Zheng, and Heng Fan, “Observation of a dynamical quantum phase transition by a superconducting qubit simulation,” Phys. Rev. Applied 11, 044080 (2019).
* Murta _et al._ (2020) Bruno Murta, G. Catarina, and J. Fernández-Rossier, “Berry phase estimation in gate-based adiabatic quantum simulation,” Phys. Rev. A 101, 020302 (2020).
* Ji _et al._ (2020) Wentao Ji, Lin Zhang, Mengqi Wang, Long Zhang, Yuhang Guo, Zihua Chai, Xing Rong, Fazhan Shi, Xiong-Jun Liu, Ya Wang, and Jiangfeng Du, “Quantum simulation for three-dimensional chiral topological insulator,” Phys. Rev. Lett. 125, 020504 (2020).
* Mei _et al._ (2020) Feng Mei, Qihao Guo, Ya-Fei Yu, Liantuan Xiao, Shi-Liang Zhu, and Suotang Jia, “Digital simulation of topological matter on programmable quantum processors,” Phys. Rev. Lett. 125, 160503 (2020).
* Chen _et al._ (2021) Bing Chen, Shuo Li, Xianfei Hou, Feifei Ge, Feifei Zhou, Peng Qian, Feng Mei, Suotang Jia, Nanyang Xu, and Heng Shen, “Digital quantum simulation of floquet topological phases with a solid-state quantum simulator,” Photon. Res. 9, 81–87 (2021).
* Xiao _et al._ (2021) Xiao Xiao, J. K. Freericks, and A. F. Kemper, “Robust measurement of wave function topology on nisq quantum computers,” (2021), arXiv:2101.07283 [quant-ph] .
* Dirac (1972) P. A. M. Dirac, “Relativity and quantum mechanics,” Fields and Quanta 3, 139–164 (1972).
* Yang (2013) Chen Ning Yang, “Selected papers ii, with commentaries chen ning yang.” (2013).
* Cian _et al._ (2021) Ze-Pei Cian, Hossein Dehghani, Andreas Elben, Benoît Vermersch, Guanyu Zhu, Maissam Barkeshli, Peter Zoller, and Mohammad Hafezi, “Many-body chern number from statistical correlations of randomized measurements,” Phys. Rev. Lett. 126, 050501 (2021).
* Berry (1984) Michael Victor Berry, “Quantal phase factors accompanying adiabatic changes,” Proc. R. Soc. Lond. A 392 (1984).
* Thouless _et al._ (1982) D. J. Thouless, M. Kohmoto, M. P. Nightingale, and M. den Nijs, “Quantized hall conductance in a two-dimensional periodic potential,” Phys. Rev. Lett. 49, 405 (1982).
* Kohmoto (1985) Mahito Kohmoto, “Topological invariant and the quantization of the hall conductance,” Annals of Physics 160, 343–354 (1985).
* Xiao _et al._ (2010) Di Xiao, Ming-Che Chang, and Qian Niu, “Berry phase effects on electronic properties,” Rev. Mod. Phys. 82, 1959–2007 (2010).
* Jungwirth _et al._ (2002) T. Jungwirth, Qian Niu, and A. H. MacDonald, “Anomalous hall effect in ferromagnetic semiconductors,” Phys. Rev. Lett. 88, 207208 (2002).
* Hasan and Kane (2010) M. Z. Hasan and C. L. Kane, “Colloquium: Topological insulators,” Rev. Mod. Phys. 82, 3045–3067 (2010).
* Yan and Felser (2017) Binghai Yan and Claudia Felser, “Topological materials: Weyl semimetals,” Annual Review of Condensed Matter Physics 8, 337–354 (2017), https://doi.org/10.1146/annurev-conmatphys-031016-025458 .
* Yang _et al._ (2018) Chao Yang, Linhu Li, and Shu Chen, “Dynamical topological invariant after a quantum quench,” Phys. Rev. B 97, 060304 (2018).
* Gong and Ueda (2018) Zongping Gong and Masahito Ueda, “Topological entanglement-spectrum crossing in quench dynamics,” Phys. Rev. Lett. 121, 250601 (2018).
* Chang (2018) Po-Yao Chang, “Topology and entanglement in quench dynamics,” Phys. Rev. B 97, 224304 (2018).
* Sun _et al._ (2018) Wei Sun, Chang-Rui Yi, Bao-Zong Wang, Wei-Wei Zhang, Barry C. Sanders, Xiao-Tian Xu, Zong-Yao Wang, Joerg Schmiedmayer, Youjin Deng, Xiong-Jun Liu, Shuai Chen, and Jian-Wei Pan, “Uncover topology by quantum quench dynamics,” Phys. Rev. Lett. 121, 250403 (2018).
* Hu and Zhao (2020) Haiping Hu and Erhai Zhao, “Topological invariants for quantum quench dynamics from unitary evolution,” Phys. Rev. Lett. 124, 160402 (2020).
* Zhu _et al._ (2020) Bo Zhu, Yongguan Ke, Honghua Zhong, and Chaohong Lee, “Dynamic winding number for exploring band topology,” Phys. Rev. Research 2, 023043 (2020).
* Zhang _et al._ (2019a) Long Zhang, Lin Zhang, and Xiong-Jun Liu, “Dynamical detection of topological charges,” Phys. Rev. A 99, 053606 (2019a).
* Zhang _et al._ (2019b) Long Zhang, Lin Zhang, and Xiong-Jun Liu, “Characterizing topological phases by quantum quenches: A general theory,” Phys. Rev. A 100, 063624 (2019b).
* Hsu _et al._ (2021) Hsiu-Chuan Hsu, Pok-Man Chiu, and Po-Yao Chang, “Disorder-induced topology in quench dynamics,” (2021), arXiv:2101.07804 [cond-mat.dis-nn] .
* Wang _et al._ (2019) Kunkun Wang, Xingze Qiu, Lei Xiao, Xiang Zhan, Zhihao Bian, Barry C. Sanders, Wei Yi, and Peng Xue, “Observation of emergent momentum–time skyrmions in parity–time-symmetric non-unitary quench dynamics,” Nature Communications 10, 2293 (2019).
* Viyuela _et al._ (2018) O. Viyuela, A. Rivas, S. Gasparinetti, A. Wallraff, S. Filipp, and M. A. Martin-Delgado, “Observation of topological uhlmann phases with superconducting qubits,” npj Quantum Information 4, 10 (2018).
* Abraham and et al. (2019) Héctor Abraham and et al., “Qiskit: An open-source framework for quantum computing,” (2019).
* Su _et al._ (1979) W. P. Su, J. R. Schrieffer, and A. J. Heeger, “Solitons in polyacetylene,” Phys. Rev. Lett. 42, 1698–1701 (1979).
* Vanderbilt (2018) D. Vanderbilt, _Berry Phases in Electronic Structure Theory_, Titolo collana (Cambridge University Press, 2018).
* Gresch _et al._ (2017) Dominik Gresch, Gabriel Autès, Oleg V. Yazyev, Matthias Troyer, David Vanderbilt, B. Andrei Bernevig, and Alexey A. Soluyanov, “Z2pack: Numerical implementation of hybrid wannier centers for identifying topological materials,” Phys. Rev. B 95, 075146 (2017).
* Kuno (2019) Yoshihito Kuno, “Disorder-induced chern insulator in the harper-hofstadter-hatsugai model,” Phys. Rev. B 100, 054108 (2019).
* Carollo _et al._ (2003) A. Carollo, I. Fuentes-Guridi, M. Fran ça Santos, and V. Vedral, “Geometric phase in open systems,” Phys. Rev. Lett. 90, 160402 (2003).
* Heinz-Peter and Petruccione (2007) Breuer Heinz-Peter and Francesco Petruccione, _The Theory of Open Quantum Systems_ (Oxford University Press, 2007).
* Zhang _et al._ (2021) Lin Zhang, Long Zhang, and Xiong-Jun Liu, “Quench-induced dynamical topology under dynamical noise,” Phys. Rev. Research 3, 013229 (2021).
* Noh and Jhe (2010) Heung-Ryoul Noh and Wonho Jhe, “Analytic solutions of the optical bloch equations,” Optics Communications 283, 2353–2355 (2010).
| arxiv-papers | 2021-07-25T14:30:30 | 2024-09-04T03:07:16.872684 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Huai-Chun Chang, Hsiu-Chuan Hsu",
"submitter": "Hsiu-Chuan Hsu",
"url": "https://arxiv.org/abs/2107.11815"
} |
2107.11822 | # Distributional Shifts in Automated Diabetic Retinopathy Screening
###### Abstract
Deep learning-based models are developed to automatically detect if a retina
image is ‘referable’ in diabetic retinopathy (DR) screening. However, their
classification accuracy degrades as the input images distributionally shift
from their training distribution. Further, even if the input is not a retina
image, a standard DR classifier produces a high confident prediction that the
image is ‘referable’. Our paper presents a Dirichlet Prior Network-based
framework to address this issue. It utilizes an out-of-distribution (OOD)
detector model and a DR classification model to improve generalizability by
identifying OOD images. Experiments on real-world datasets indicate that the
proposed framework can eliminate the unknown non-retina images and identify
the distributionally shifted retina images for human intervention.
Index Terms— Distributional Shift, Dirichlet Prior Network, Diabetic
Retinopathy Screening, Out-of-distribution
## 1 Introduction
Diabetic retinopathy (DR) is one of the leading causes of preventable
blindness in the world. It affects diabetic patients within the first two
decades of the disease [1]. Vision loss due to diabetic retinopathy is
irreversible. Several frameworks are proposed to automate the DR screening
process [2, 3]. Recently, deep neural network (DNN) based models achieve
clinically acceptable classification accuracy to detect referable DR at lower
costs [4, 5]. However, these DNN models are sensitive to in-domain training
distribution [6, 7, 8, 9, 10, 11]. Any minor distributional shift leads to
over-confident predictions even if they are wrong, producing poor
classification performance [12, 13]. Hence, predictive uncertainty estimation
has emerged as a crucial research direction to inform about possible wrong
predictions, thus instilling user’s trust in deep learning systems [14, 15,
16].
Predictive uncertainty in a classification model can arise from three sources:
model uncertainty, data uncertainty, and knowledge uncertainty [14, 12]. Model
uncertainty captures the uncertainty in estimating the model parameters,
conditioning on training data [14]. Data uncertainty arises from the natural
complexities of the underlying distribution, such as class overlap, label
noise, and others [14]. Knowledge (or distributional) uncertainty arises due
to the distributional shifts between the training and test examples, i.e., the
test data is out-of-distribution (OOD) [12, 17]. For real-world applications,
the ability to detect OOD examples can allow manual intervention in an
informed way.
(a) In-domain
(b) Out-of-distribution
Fig. 1: Illustration of the retina images from different sources.
To build an automated DR screening system, we typically train a deep learning
model using a set of pre-collected retina images [4]. We apply standard
preprocessing techniques (e.g., image normalization and data augmentation) to
improve their generalization for unknown test images obtained from the same
distribution as the training images. However, these techniques do not
generalize a model for the test images that are distributionally different
from those pre-collected training images. Figure 1 illustrates two retina
images, obtained from two different distributions. Hence, a DR classification
model may produce incorrect predictions with high confidence for unknown OOD
images obtained from different distributions.
Recent works have made significant progress to detect distributional
uncertainty for unknown OOD test images [17, 15, 13, 18]. However, these
models often fail to detect the OOD examples as the out-distribution and in-
distribution become “alike”. For example, both in-domain and OOD examples are
retinal images, as shown in Figure 1. It leads to degrading the performance of
these OOD detection models.
In this paper, we focus on the DR screening application. We aim to quantify
the distributional shift in an input retina image while maintaining the high
classification performance. Our framework utilizes the state-of-the-art
Dirichlet prior network (DPN) [19, 18]. We train an OOD detector separately
from the DR classification model. We use retina images as in-domain and
natural images as OOD training set for our DR classifier. It also improves
their classification performance compared to the baseline CNN model. However,
it cannot distinguish the out-of-distribution retina images. Hence, we train a
separate OOD detector. Here we use both in-domain retina images and OOD images
comprising a natural dataset and a few retina images obtained from a different
distribution.
Experimental results on multiple real-world datasets demonstrate that our
proposed framework effectively detects the OOD retina and non-retina OOD
images. We discard the non-retina images and forward the OOD retina images to
the human graders for verification. Hence, it leads to a greater acceptance of
deep learning models for DR screening tasks.
## 2 Dirichlet Prior Network
A Dirichlet Prior Network (DPN) trains a standard neural network with a
different loss function to represent their predictions as Dirichlet
distributions over the probability simplex [19, 18]. It attempts to produce a
sharp Dirichlet at one corner of the simplex when it confidently predicts an
in-domain example (see Figure 2(a)). For in-domain examples tending to
misclassification, it should appear as a sharp distribution in the middle of
the simplex, as shown in Figure 2(b). For an OOD example, a DPN attempts to
produce a sharp multi-modal Dirichlet, spread uniformly at each corner of the
simplex to indicate their high distributional uncertainty (see Figure 2(c))
[18, 20]. We observe that the probability densities for Dirichlet distribution
in Figure 2(c) are more scattered over the simplex compared to that in Figures
2(a) and 2(b).
(a) Confident
(b) Misclassification
(c) Distributional
Fig. 2: Desired output of a DPN classifier.
A Dirichlet distribution is parameterized with a vector of concentration
parameters $\bm{\alpha}={\\{\alpha_{1},\cdots,\alpha_{K}\\}}$, as follows:
$\small
Dir({\bm{\mu}|\bm{\alpha}})=\frac{\Gamma(\alpha_{0})}{\prod_{k=1}^{K}\Gamma(\alpha_{k})}\prod_{k=1}^{K}\mu_{k}^{\alpha_{k}-1},~{}~{}\alpha_{k}>0,$
(1)
where $\alpha_{0}=\sum_{k=1}^{K}\alpha_{k}$ is the precision of the
distribution.
A higher precision value leads to a sharper uni-modal Dirichlet distribution.
Consequently, a lower precision produces a flatter uni-modal distribution.
However, as we further uniformly decrease the concentration parameters to
lower than $1$, we obtain a sharp multi-modal distribution with equal
probability density at each corner of the simplex (Figure 2(c)). Hence, for a
$K$-class classification problem, we need to produce $K$ positive values for
each class to obtain the $K$-dimensional Dirichlet distribution.
A deep neural network (DNN) can be viewed as a DPN whose pre-softmax (logit)
output corresponding to the class $k$ for an input ${\bm{x}}$ is
$z_{k}(\bm{x})$. Then its concentration parameters $\alpha_{k}$ is given by:
$\alpha_{k}=e^{z_{k}({\bm{x}})}$. The expected posterior for class label
$\omega_{k}$ is given as:
$p(y=\omega_{k}|{\bm{x}};\bm{\theta})=\frac{\alpha_{k}}{\alpha_{0}}=\frac{e^{z_{k}({\bm{x}})}}{\sum_{k=1}^{K}e^{z_{k}({\bm{x}})}}$;
where $\bm{\theta}$ denotes the DNN parameters.
A DPN measures the distributional uncertainty using the mutual information
(MI) [19], as follows:
$\small\vspace{-0.5em}\sum_{k=1}^{K}\frac{\alpha_{k}}{\alpha_{0}}\big{[}\psi(\alpha_{k}+1)-\psi(\alpha_{0}+1)-\ln\frac{\alpha_{k}}{\alpha_{0}}\big{]}\vspace{-0.5em}$
(2)
where $\psi(.)$ is digamma function. $\alpha_{k}$ is the concentration
parameters for class $k$. $\alpha_{0}=\sum_{k=1}^{K}\alpha_{k}$ is the
precision of the output Dirichlet distributions. For a known in-domain image,
a DPN produces a lower MI score to indicate low distributional uncertainty.
Consequently, it produces a higher MI score for an OOD image.
## 3 Proposed Framework
Our proposed DPN-based framework for diabetic retinopathy screening utilizes a
DR classifier and an OOD detector. We train the OOD detector separately from
the classifier. Fig. 3 presents an overview of our proposed framework. Given
an input image, we pass it to both the OOD detector and the DR classifier.
These two networks produce two different Dirichlet distributions. We use Eq. 2
to compute the MI scores. We denote the scores as $s_{d}$ and $s_{c}$
respectively for the Dirichlet distributions from the OOD detector and DR
classifier. The DR classifier produces lower $s_{c}$ scores for retina images
and higher scores for unknown, non-retina images. We select a threshold,
$\tau_{c}$, and discard the images with $s_{c}>\tau_{c}$ as they are unlikely
to be a retina image. For the OOD detector, we choose another threshold,
$\tau_{d}$. If $s_{d}<\tau_{d}$, we accept the input sample is an in-domain
retina image. Hence, if $s_{d}<\tau_{d}$ and $s_{c}<\tau_{c}$, we consider the
input image is obtained from known in-domain distribution. Hence, we can trust
the classification prediction without further manual intervention.
Consequently, if $s_{d}>\tau_{d}$ and $s_{c}<\tau_{c}$, the input is an OOD
retina image, and requires human intervention.
Fig. 3: Overview of our proposed framework.
DR Classifier. We train a DR classifier using a natural image dataset,
$\mathcal{D}_{ood}$ as the OOD training set, along with the original in-domain
retina image training set, $\mathcal{D}_{in}$. The loss function for the DR
classifier separately formulates the mean and the precision of the output
Dirichlet distributions using the standard cross-entropy loss along with an
additional regularization term [18]. For in-domain training examples
$\\{\bm{x},y\\}$, the loss function is given as follows:
$\small\mathcal{L}_{in}({\bm{\theta}};\lambda_{in})=-\log
p({y}|{\bm{x}},{\bm{\theta}})-\frac{\lambda_{in}}{K}\sum_{c=1}^{K}\text{sigmoid}(z_{c}({\bm{x}}))$
(3)
For OOD training examples, the loss function is given as:
$\small\mathcal{L}_{out}({\bm{\theta}};\lambda_{out})=\mathcal{H}_{ce}(\mathcal{U};p({y}|{\bm{x}},{\bm{\theta}}))-\frac{\lambda_{out}}{K}\sum_{c=1}^{K}\text{sigmoid}(z_{c}({\bm{x}}))$
(4)
where $\mathcal{H}_{ce}$ denotes the standard cross-entropy loss.
$\mathcal{U}$ is the uniform distribution over the class labels.
Our DR classifier is trained in a multi-task fashion with the overall loss as:
$\min_{\bm{\theta}}\mathcal{L}_{in}({\bm{\theta}};\lambda_{in})+\gamma\mathcal{L}_{out}({\bm{\theta}};\lambda_{out})$;
where, $\gamma>0$ balances between the in-domain examples and OOD examples.
$\lambda_{in}$ and $\lambda_{out}$ respectively are user-defined hyper-
parameters to control the sharpness of the output Dirichlet distributions for
in-domain and OOD examples.
The choice of $\lambda_{in}>0$ produces larger concentration values for in-
domain retina images, leading to sharp uni-modal Dirichlet distributions
(Figure 2(a) and Figure 2(b)). Consequently, $\lambda_{out}<0$ enforces the
network to produce multi-modal Dirichlet distributions for OOD examples to
indicate their high distributional uncertainty (Figure 2(c)).
OOD Detector. We train the OOD detector using the original in-domain retina
images $\mathcal{D}_{in}$, and two OOD datasets, i.e., a natural image
dataset, $\mathcal{D}_{n}$ and a small set of retina images,
$\mathcal{D}_{r}$, obtained from a different source from $\mathcal{D}_{in}$.
We train the OOD detector in a multi-task fashion as follows:
$\min_{\theta}\mathcal{L}_{in}({\bm{\theta}};\lambda_{in})+\gamma_{n}\mathcal{L}_{n}({\bm{\theta}};\lambda_{n})+\gamma_{r}\mathcal{L}_{r}({\bm{\theta}};\lambda_{r})$.
Here, $\mathcal{L}_{in}({\bm{\theta}};\lambda_{in})$ is corresponding to the
in-domain retina training examples, as defined in Equation 3.
$\mathcal{L}_{n}({\bm{\theta}};\lambda_{n})$ and
$\mathcal{L}_{r}({\bm{\theta}};\lambda_{r})$ are loss functions for
$\mathcal{D}_{n}$ and $\mathcal{D}_{r}$ respectively, similar to Equation 4.
$\gamma_{n},~{}\gamma_{r}~{}>~{}0$ balance between the loss values for in-
domain and different OOD training examples to learn the network parameters
${\bm{\theta}}$, $\lambda_{in},\lambda_{n}$ and $\lambda_{r}$ respectively
control the spread of probability mass for the output Dirichlet distributions
for the in-domain and the two OOD datasets. We choose $\lambda_{in}>0$ to
produce sharp uni-modal Dirichlet distributions for in-domain examples, and
$\lambda_{n},\lambda_{n}<0$ to produce multi-modal Dirichlet with uniformly
densities at each corner of the simplex for the OOD examples.
## 4 Performance Study
We evaluate the effectiveness of our framework for the referable DR screening
task using a wide range of datasets:
* •
Kaggle [21]. This is a public dataset with 35,126 retina images [22]. We split
the dataset into training and test set. The training set consists of $26,408$
images with $5,129$ referable DR images. We select a small subset of $1,200$
images from this to train the OOD detector model, denoted as Kaggle-1200. The
test set, Kaggle-Test, has $6,898$ images with $1,354$ referable cases.
* •
Messidor [23]. This publicly available dataset has $1200$ retina images, with
$501$ referable DR images.
* •
Mayuri. It is a private dataset with $1,520$ retina images with $213$
referable DR images.
* •
SiDRP. It is a private dataset consisting of retina images from the Singapore
National Diabetic Retinopathy Screening Program between 2010-2013. Our
training set, SiDRP-Train, has $89,413$ images with $5,844$ referable DR
images, while SiDRP-Test has $2,239$ images with $1,442$ referable cases.
* •
ImageNet-Small. This is a subset of $25,000$ natural images, randomly selected
from ImageNet dataset to train our OOD detector [24].
* •
Non-retina datasets. We also use STL10 [25], LSUN [26], Texture [27] for our
evaluations.
Setup. We use VGG-19 [28] for both DR classifier and OOD detector. We compare
the proposed framework with a VGG-19 classifier, denoted as Baseline. The
Baseline is trained with cross-entropy loss using the SiDRP-Train dataset. We
train the DR classifier using the in-domain SiDRP-Train and ImageNet-Small as
the OOD training set. We set the hyper-parameters as $\gamma=0.1$,
$\lambda_{in}=0.1$ and $\lambda_{out}=-1.0$. For the OOD detector, we use the
in-domain SiDRP-Train and both ImageNet-Small and Kaggle-1200 as OOD training
sets. The hyper-parameters of our OOD detector are set as $\gamma=0.5$,
$\lambda_{in}=0.5$, $\lambda_{r}=-0.2$ and $\lambda_{n}=-1.0$. We select the
hyper-parameters using validation during training. 111Code modified from
https://github.com/jayjaynandy/maximize-representation-gap.
We initialize the model parameters using the pre-trained weights for Imagenet
classification task [24] as it improves the generalizability of the models
[29]. We re-size the input images to $256\times 256$ and normalized them using
a $5\times 5$ median filter to reduce the inconsistency between in-domain
training and test images.
Classification Results under Distributional Shift. We first present the
performance of our DR Classifier on different test sets. Table 1 shows the
AUROC scores for the referable DR screening task. We see that both Baseline
and DR Classifier achieve 92.9% AUROC scores on the in-domain SiDRP-test set.
In contrast, the performances of both classifiers drop for other DR test sets,
confirming the distributional shifts of these datasets from the original
training set. Nevertheless, our proposed DR Classifier leans to produce richer
feature representations by incorporating ImageNet-Small for training in an
unsupervised fashion. Hence, it outperforms the Baseline model for these other
DR test sets.
| Baseline | DR classifier
---|---|---
Kaggle-Test | 81.8 | 83.7
Messidor | 88.3 | 91.0
Mayuri | 85.6 | 87.7
SiDRP-Test | 92.9 | 92.9
Table 1: AUROC scores of RDR screening models.
OOD detection performance. Next, we present the OOD detection performance for
unknown natural image datasets and retina datasets obtained from different
sources. For each image, we compute $s_{d}$ from the OOD Detector (Equation
2). We cannot define MI scores for Baseline [19, 20]. Hence, we use entropy as
their uncertainty score [15]. We report the percentage of images detected as
OOD from the various datasets as we select different thresholds, $\tau_{d}$.
We obtain these thresholds by dropping $5\%$, $7\%$, and $10\%$ of the in-
domain SiDRP-Test images with the top-most uncertainty scores.
Table 2(a) shows the results for non-retina images. We can see that the
Baseline is unable to distinguish the non-retina images from in-domain retina
images. In contrast, our OOD detector successfully distinguishes almost all
non-retina images even at a $5\%$ threshold.
Table 2(b) presents the results for OOD retina images. By incorporating only
$1200$ images from Kaggle-Train for training, our OOD detector distinguishes
most of the retina images under distributional shift in Kaggle-Test as OOD.
For Messidor and Mayuri datasets, our OOD detector significantly outperforms
the Baseline by 20% on average.
Performance after discarding OOD images. The objective of our proposed
framework is to detect the unknown OOD retina images to improve the
trustworthiness of the referable DR screening. Hence, the overall
classification performance should improve after discarding the OOD images. In
our experiment for OOD detection, we obtain the uncertainty thresholds,
$\tau_{d}$ by discarding $5\%$, $7\%$, and $10\%$ of the in-domain SiDRP-Test
images with top-most uncertainty scores. For the remaining images, we get the
predictions from the DR classifier. Figure 4 shows the AUROC scores for
referable DR as we increase the threshold to discard the required percentage
of OOD images. We see that the performances of both classifiers improve, with
our DR classifier outperforming the Baseline.
(a) Non-Retina Image Datasets
Dataset Threshold OOD Baseline OOD Detector STL10 5% 0.3 100 7% 0.4 100 10%
0.5 100 LSUN 5% 1.2 100 7% 1.4 100 10% 1.7 100 Texture 5% 1.7 97.7 7% 1.9 97.7
10% 2.6 97.8
(b) Retina Image Datasets Dataset Threshold OOD Baseline OOD Detector Kaggle-
Test 5% 1.2 90.9 7% 1.7 92.1 10% 2.2 93.5 Messidor 5% 2.3 17.1 7% 2.6 22.3 10%
3.6 30.8 Mayuri 5% 6.1 21.4 7% 7.9 26.8 10% 10.9 34.7
Table 2: Percentage of OOD images detected.
(a) Kaggle-Test
(b) Messidor
(c) Mayuri
Fig. 4: AUROC scores after discarding OOD retina images.
## 5 Conclusion
The ability to distinguish unknown OOD images is crucial in real-world
applications such as referable DR screening. It allows us to notify about
potential misclassifications to take appropriate actions in an informed way.
We proposed a DPN-based referable DR screening framework that utilizes an OOD
detector and a DR classifier to identify OOD images. Experimental results on
multiple real-world datasets demonstrate that incorporating a separate OOD
detector can distinguish the OOD images, leading to decrease misclassification
error.
Acknowledgement. This research is supported by the National Research
Foundation Singapore under its AI Singapore Programme (AISG-GC-2019-001, AISG-
RP-2018-008).
## References
* [1] DSW Ting, GCM Cheung, and TY Wong, “Diabetic retinopathy: global prevalence, major risk factors, screening practices and public health challenges: a review,” Clinical & experimental ophthalmology, 2016.
* [2] Jay Nandy, Wynne Hsu, and Mong Li Lee, “An incremental feature extraction framework for referable diabetic retinopathy detection,” in IEEE ICTAI, 2016.
* [3] S. Bourouis, A. Zaguia, N. Bouguila, and R. Alroobaea, “Deriving probabilistic svm kernels from flexible statistical mixture models and its application to retinal images classification,” IEEE Access, 2018.
* [4] Daniel Shu Wei Ting et al., “Development and validation of a deep learning system for diabetic retinopathy and related eye diseases using retinal images from multiethnic populations with diabetes,” JAMA, 2017.
* [5] JI Orlando, E. Prokofyeva, M del Fresno, and M. B. Blaschko, “An ensemble deep learning based approach for red lesion detection in fundus images,” Computer methods and programs in biomedicine, 2018.
* [6] Christian Szegedy et al., “Intriguing properties of neural networks,” in ICLR, 2014.
* [7] Ian Goodfellow, Jonathon Shlens, and Christian Szegedy, “Explaining and harnessing adversarial examples,” in ICLR, 2015.
* [8] Jay Nandy, Wynne Hsu, and Mong-Li Lee, “Approximate manifold defense against multiple adversarial perturbations,” in IJCNN, 2020.
* [9] Dan Hendrycks and Thomas Dietterich, “Benchmarking neural network robustness to common corruptions and perturbations,” in ICLR, 2019.
* [10] Steffen Schneider, Evgenia Rusak, Luisa Eck, Oliver Bringmann, Wieland Brendel, and Matthias Bethge, “Improving robustness against common corruptions by covariate shift adaptation,” NeurIPS, 2020.
* [11] Jay Nandy, Sudipan Saha, Wynne Hsu, Mong Li Lee, and Xiao Xiang Zhu, “Adversarially trained models with test-time covariate shift adaptation,” arXiv, 2021.
* [12] JQ Candela, Masashi Sugiyama, Anton Schwaighofer, and Neil D Lawrence, Dataset shift in machine learning, The MIT Press, 2009.
* [13] Dan Hendrycks, Mantas Mazeika, and Thomas Dietterich, “Deep anomaly detection with outlier exposure,” in ICLR, 2019.
* [14] Yarin Gal, Uncertainty in deep learning, Ph.D. thesis, University of Cambridge, 2016.
* [15] B Lakshminarayanan, A Pritzel, and C Blundell, “Simple and scalable predictive uncertainty estimation using deep ensembles,” in NeurIPS, 2017.
* [16] Zhan Wei Lim, Mong Li Lee, and Wynne Hsu, “Building trust in deep learning system towards automated disease detection,,” in IAAI-19, 2019.
* [17] Dan Hendrycks and Kevin Gimpel, “A baseline for detecting misclassified and out-of-distribution examples in neural networks,” ICLR, 2017.
* [18] Jay Nandy, Wynne Hsu, and Mong Li Lee, “Towards maximizing the representation gap between in-domain & out-of-distribution examples,” in NeurIPS, 2020.
* [19] Andrey Malinin and Mark Gales, “Reverse kl-divergence training of prior networks: Improved uncertainty and adversarial robustness,” in NeurIPS, 2019.
* [20] Jay Nandy, Robustness and Uncertainty Estimation for Deep Neural Networks, Ph.D. thesis, National University of Singapore, 2020.
* [21] Kaggle and EyePacs, “Kaggle diabetic retinopathy detection,” 2015.
* [22] J Cuadros and G Bresnick, “Eyepacs: an adaptable telemedicine system for diabetic retinopathy screening,” Journal of diabetes science and technology, 2009.
* [23] E Decencière et al., “Feedback on a publicly distributed database: the messidor database,” Image Analysis & Stereology, 2014.
* [24] J. Deng et al., “ImageNet: A Large-Scale Hierarchical Image Database,” in CVPR, 2009.
* [25] Adam Coates, Andrew Ng, and Honglak Lee, “An analysis of single-layer networks in unsupervised feature learning,” in AISTATS, 2011.
* [26] F Yu et al., “LSUN: Construction of a large-scale image dataset using deep learning with humans in the loop,” arXiv, 2015.
* [27] M. Cimpoi et al., “Describing textures in the wild,” in CVPR, 2014.
* [28] K Simonyan and A Zisserman, “Very deep convolutional networks for large-scale image recognition,” in ICLR, 2015.
* [29] Dan Hendrycks, Kimin Lee, and Mantas Mazeika, “Using pre-training can improve model robustness and uncertainty,” in ICML, 2019.
| arxiv-papers | 2021-07-25T15:03:12 | 2024-09-04T03:07:16.889178 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Jay Nandy and Wynne Hsu and Mong Li Lee",
"submitter": "Jay Nandy",
"url": "https://arxiv.org/abs/2107.11822"
} |
2107.11828 | # Probing criticality with deep learning in relativistic heavy-ion collisions
Yige Huang Key Laboratory of Quark and Lepton Physics (MOE) & Institute of
Particle Physics,Central China Normal University, Wuhan 430079, China Long-
Gang Pang [email protected] Key Laboratory of Quark and Lepton Physics (MOE)
& Institute of Particle Physics,Central China Normal University, Wuhan 430079,
China Xiaofeng Luo [email protected] Key Laboratory of Quark and Lepton
Physics (MOE) & Institute of Particle Physics,Central China Normal University,
Wuhan 430079, China Xin-Nian Wang [email protected] Key Laboratory of Quark and
Lepton Physics (MOE) & Institute of Particle Physics,Central China Normal
University, Wuhan 430079, China Nuclear Science Division, Lawrence Berkeley
National Laboratory, Berkeley, CA 94720, USA
###### Abstract
Systems with different interactions could develop the same critical behaviour
due to the underlying symmetry and universality. Using this principle of
universality, we can embed critical correlations modeled on the 3D Ising model
into the simulated data of heavy-ion collisions, hiding weak signals of a few
inter-particle correlations within a large particle cloud. Employing a point
cloud network with dynamical edge convolution, we are able to identify events
with critical fluctuations through supervised learning, and pick out a large
fraction of signal particles used for decision-making in each single event.
## I Introduction
Quantum Chromodynamics (QCD) is the fundamental theory of the strong
interaction. Exploring the phase structure of strongly interacting QCD matter
is one of the main goals of heavy-ion collision experiment Fukushima and
Hatsuda (2011); Bzdak _et al._ (2020); Luo and Xu (2017). Lattice QCD Aoki
_et al._ (2009); Ding _et al._ (2019, 2015) predicts a smooth crossover
transition from normal hadronic phase to Quark-Gluon Plasma (QGP) around
temperature $T_{c}$=156 MeV at vanishing baryon chemical potential ($\mu_{B}$
= 0 MeV). At finite baryon density region, QCD-based models calculations Shi
_et al._ (2014); Gao and Liu (2016); Fischer (2019); Fu _et al._ (2020)
indicate that there is a possible QCD critical point (CP), which is the end
point of the first-order phase transition boundary between the hadronic matter
and QGP.
Searching for the CP is one of the most important goals in beam energy scan
(BES) program at the Relativistic Heavy-ion Collider (RHIC) Fukushima and
Hatsuda (2011); Bzdak _et al._ (2020); Luo and Xu (2017). Many theoretical
and experimental efforts have been made to locate the CP Stephanov (2004,
2006); Luo and Xu (2017). One avenue is to classify the smooth crossover and
first order phase transition using the information from the final state
particle spectra and collective flow Hofmann _et al._ (1976); Stoecker and
Greiner (1986); Brachmann _et al._ (2000a, b); Csernai and Rohrich (1999);
Ivanov _et al._ (2002); Rischke _et al._ (1995); Stoecker (2005); Csernai
_et al._ (2005); Nara _et al._ (2017, 2018a, 2018b); Paech _et al._ (2003).
This method looks for the consequences of the softening of the equation of
state since the pressure gradients are much smaller in a medium with a first
order phase transition than a smooth crossover transition, which leads to
slower fluid acceleration and smaller transverse momenta of final state
particles. Another avenue is to search for the enhanced fluctuations when the
system goes through the critical point. These includes, for example,
fluctuations of conserved charges Stephanov (2009, 2011); Aggarwal _et al._
(2010); Adamczyk _et al._ (2014a, b, 2018); Adam _et al._ (2021); Abdallah
_et al._ (2021), hydrodynamic fluctuations Nahrgang _et al._ (2011); Herold
_et al._ (2013); Plumberg and Kapusta (2017), fluctuations caused by spinodal
instabilities Li and Ko (2016); Scavenius _et al._ (2001); Palhares and Fraga
(2010); Herold _et al._ (2014); Li and Ko (2017); Chomaz _et al._ (2004);
Randrup (2004); Sasaki _et al._ (2007); Steinheimer and Randrup (2012, 2013);
Steinheimer _et al._ (2014) and enhanced light nuclei yield ratio due to
baryon density fluctuations Sun _et al._ (2018); Yu _et al._ (2020); Sun
_et al._ (2021); Zhao _et al._ (2021).
Many critical phenomena in systems with different interactions can develop the
same critical behaviour with a universality that is dictated by the symmetry
of the systems and can be described by same critical exponents Wilson and
Kogut (1974). Lee and Yang proved that the Ising model in a magnetic field and
a lattice gas are mathematically equivalent Lee and Yang (1952). Employing
this universality, one can therefore map the QCD equation of state to that
given by a 3-dimensional Ising model with the same universality class Lee and
Yang (1952); Stephanov (2004); Pradeep and Stephanov (2019); Karthein _et
al._ (2021); Teaney (2021); Bluhm _et al._ (2020) to study the QCD phase
diagram. The divergence of the correlation length near the critical point will
lead to the critical opalescence and scaling invariant, which means that the
systems are self-similar when the resolution changes. One thus expects that
particles from the freeze-out hyper-surface close to the critical point have
multi-particle fractal structure in the momentum space Bialas and Peschanski
(1988); Satz (1989); Hwa (1990); Antoniou _et al._ (2001); Wu _et al._
(2020). Experimentally, intermittency analysis has been proposed to probe the
self-similarity and density fluctuations in heavy-ion collisions. Though a
non-trivial intermittency phenomenon is observed recently by the NA61/SHINE
experiment at CERN SPS Anticic _et al._ (2015); Davis (2020); Davis _et al._
(2019) in Ar+Sc collisions at 150 AGeV, the magnitude of background
fluctuations is big and the power law scaling is not fully established. No
intermittency signal is observed in C+C, Pb+Pb and Be+Be collisions with
similar collision energies. Critical Monte Carlo simulations suggest a maximum
critical proton fraction smaller than $0.3$% in Be+Be collision, indicating
that traditional intermittency analysis may fail in looking for the weak
signal of self-similarity, if the fraction of CMC particless is small compared
with uncorrelated background . It is interesting to explore whether the state-
of-the-art deep learning can help to identify the weak intermittency signal
from each event of heavy ion collisions.
Recently deep learning has been used to study the QCD equation of states by
classifying phase transition types, using convolution neural network Pang _et
al._ (2018); Pang (2021); Du _et al._ (2020); Kvasiuk _et al._ (2020) and
point cloud network Steinheimer _et al._ (2019); Kuttan _et al._ (2020). In
heavy ion collisions at low energies, auto-encoder with a single latent
variable is also used to study the order parameter of the nuclear liquid-gas
phase transition Wang _et al._ (2020). In these studies, deep learning is
powerful in mapping momentum or charge distributions of particles to the type
of QCD phase transitions. In this study, we will train a dynamical edge
convolution network plus a point cloud network to identify weak intermittency
signals of critical fluctuations, from exotic uncorrelated background
particles. Employing Critical Monte Carlo (CMC) Antoniou _et al._ (2001); Wu
_et al._ (2020), we encode the self-similarity in the inter-particle distances
in momentum space. Further, we assume that only a small fraction of particles
have intermittency which does not change the single particle distribution.
This paper is organized as follows. In Sec.II, we present the JAM transport
model which is used to generate data on multiple particle production in heavy
ion collisions. The CMC is used to generate intermittency signals of critical
fluctuations and the deep neural network is used for both classification and
tagging. In Sec. III, the prediction accuracy is compared for point cloud
network and dynamical edge convolution neural network. We also show the
performance of signal-particle tagging. In Sec. IV, we discuss and summarize
the findings and the implications of the present work.
## II Method
Probing critical fluctuations in heavy-ion collisions is a typical inverse
problem. The information of criticality should be transmitted through the
dynamical evolution of the dense medium in heavy-ion collisions and get
encoded in the final state hadrons that are recorded by detectors. In the
forward process, relativistic hydrodynamics as well as hadronic transport
model are widely used to generate single particle distribution and multi-
hadron correlations. In the present study, we use a hadronic transport model
JAM Nara _et al._ (2000); Nara (2019) to generate background events without
critical fluctuations. On the other hand, to introduce critical fluctuations,
the so called Critical Monte-Carlo (CMC) model Antoniou _et al._ (2001); Wu
_et al._ (2020) is applied to generate a series of correlated particle
momentum, which will be used to replace the momentum of particles in JAM
events.
In the inverse process, a point cloud network and a dynamical edge convolution
network are trained to identify critical fluctuations from large amount of
uncorrelated background particles. The traditional intermittency analysis is
also carried out to probe the encoded critical signals in the JAM events and
validate the effectiveness of the deep learning method.
### II.1 The JAM and Critical Monte-Carlo model
JAM model is a hadronic transport model to simulate heavy-ion collisions Sorge
(1995, 1997); Bass _et al._ (1998); Bleicher _et al._ (1999); Kahana _et
al._ (1996); Li and Ko (1998); Lin _et al._ (2005); Nara _et al._ (2000);
Nara (2019); Weil _et al._ (2016). It simulates the complicated process from
initial stage nuclear collisions to multiple particle production and final
state hadronic interactions. Independent binary collisions among hadrons
including produced ones are modeled using the vacuum hadron-hadron scattering
cross section. In the present study, the mean field mode of JAM model is used
to generate background events without including the critical fluctuations.
To simulate events involving critical fluctuations, Critical Monte-Carlo (CMC)
model Antoniou _et al._ (2001, 2006); Wu _et al._ (2020) is used to generate
a series of correlated particle momentum according to a power law function:
$f(\Delta p)=A\Delta p^{-\alpha}$ (1)
where $\Delta p$ is the distance of two CMC particles along an axis in
momentum space. $\nu=1/6$ is an index related to the universality class of
Ising model, and we let $\alpha=1+\nu$. $a$ and $b$ are the minimum and
maximum of $\Delta p$, and in out study, we set $a=2\times
10^{-7}\mathrm{GeV/c}$ and $b=2\mathrm{GeV/c}$. $A=(\nu
a^{\nu}b^{\nu})/(b^{\nu}-a^{\nu})$, is the normalization coefficient which is
independent of $\Delta p$. In this study, we only consider 2D momentum space
($p_{y},p_{y}$). The Levy flight random walk algorithm proposes the next step
with strides respecting the distribution $f(\Delta p)=A\Delta p^{-\alpha}$ for
$\Delta p_{x}$ and $\Delta p_{y}$ independently, and in this way, two sequence
of $p_{x}$ and $p_{y}$ of CMC particles are generated whose adjacent
differences $\Delta p$ obey the power law distribution. The self-similarity or
intermittency is thus encoded in these CMC particles, which is related to the
observed large local density fluctuations associated with the critical point.
For such a probability density function $f(\Delta p)=A\Delta p^{-1-\nu}$
within a range of (a, b), it is possible to derive its cumulative distribution
function:
$F(\Delta p)=\frac{b^{\nu}(\Delta p^{\nu}-a^{\nu})}{\Delta
p^{\nu}(b^{\nu}-a^{\nu})}$ (2)
where $F(\Delta p)$ is the cumulative distribution function of random variable
$\Delta p$, $F(\Delta p)=\int_{a}^{b}{f(\Delta p)\mathrm{d}\Delta p}$. And one
can then calculate the inverse function of $F(\Delta p)$:
$\Delta p(F)=(\frac{a^{\nu}b^{\nu}}{b^{\nu}-b^{\nu}F+a^{\nu}F})^{1/\nu}$ (3)
By randomly picking up a $F$ respecting to uniform distribution between 0 and
1, and using Eq. 3, one can obtain a $\Delta p$.
### II.2 Data set preparation
We generate about $2.2\times 10^{5}$ events of Au+Au central collisions at
$\sqrt{s_{\mathrm{NN}}}$ = 27 GeV with impact parameters $b<3\ \mathrm{fm}$.
Each event consists of hundreds of charged particles including pion, kaon and
proton. The transverse momentum $p_{x}$ and $p_{y}$ are considered as two
features of each particle. Therefore, each event stores one particle cloud in
2-dimensional momentum space. $2\times 10^{5}$ events are used to form the
training set, while the number of events for validation and test are $1\times
10^{3}$ and $2\times 10^{4}$, respectively. For each JAM event, a
corresponding CP event is created that encodes the critical fluctuation
signals from CMC model. As a result, $4.4\times 10^{5}$ events in total are
used in our study. To avoid data pollution, event with critical fluctuations
and its corresponding JAM event are always put in the same data category. In
this case, if one JAM event is in the training data, the event with critical
fluctuations associated with that JAM event is also put in the training data.
We will refer to these events with critical fluctuations as CP events and
these particles encoded with the critical fluctuations as CMC particles. Since
the CMC model only generates the momentum correlation pattern and does not
include the information of specific particle species, we don’t distinguish
between the types of particles when performing the replacement of particle in
a JAM event.
For a given JAM event, we use replacing rate $\eta=N_{CMC}/N_{JAM}$ to
describe the multiplicity ratio of CMC events to JAM events, the number of CMC
particles introduced into its corresponding CP event can reflect how strongly
the critical signal is encoded. In our study, two kinds of CP events with
$\eta=5\%$ and $\eta=10\%$, respectively, are prepared. The detailed replacing
procedures are listed below:
1. 1.
Randomly select a particle in the chosen JAM event, use its $(p_{x},p_{y})$ as
the starting momentum for generating the CMC event.
2. 2.
Fill a histogram $H$ of the transverse momentum distribution from the
generated CMC event. Remark the maximum magnitude of this histogram as $M$.
3. 3.
Loop over the particles in the JAM events. For each particle, find its
corresponding $p_{T}$ bin in $H$, record the content of $H$ in the $p_{T}$ bin
as $f$.
4. 4.
Get a random number $y$ in range from $0$ to $M$ respecting to uniform
distribution. If $y\leq f$, randomly select a CMC particle in the $p_{T}$ bin
and replace this JAM particle with it; and if $y>f$, give up this JAM particle
and go back to step 3 to find next JAM particle.
5. 5.
Repeat step 3 to 4 until all the CMC particles are used or all the JAM
particles are looped.
By applying such algorithm, it is possible to keep the $p_{T}$ spectra of the
substituted JAM particles close to that of the introduced CMC particles, hence
the $p_{T}$ spectra of the JAM event and the corresponding CP event are quit
similar. Even if there has a fluctuation of $p_{T}$ distribution, the overall
$p_{T}$ spectrum will not be greatly affected due to the small fraction of CMC
particles (5% or 10%) in the CP event. Considering the momentum resolution of
experimental detector, we introduced a uncertainty for momentum of each
particle in JAM event with a smearing as $\delta p_{i}\approx\pm 0.05p_{i}$,
where $i=x,y$. The smearing operation will be done after the JAM and CP events
are generated.
### II.3 Intermittency analysis
Local density fluctuations near the QCD critical point can be probed by
intermittency analysis of scaled factorial moments Wu _et al._ (2020) in
relativistic heavy-ion collisions. The scaled factorial moments (SFM)Wu _et
al._ (2020) are defined as follows,
$F_{q}(M)=\frac{\langle\frac{1}{M^{D}}\sum^{M^{D}}_{i=1}{n_{i}(n_{i}-1)\cdot\cdot\cdot(n_{i}-q+1)}\rangle}{\langle\frac{1}{M^{D}}\sum^{M^{D}}_{i=1}n_{i}\rangle^{q}}$
(4)
where $M$ is the number of grids in momentum space with equal size, $D$ is the
dimension, $i$ is the number of particles in the $i$th momentum-grid, and $q$
is the order of the SFM method.
When $M$ is large, the power law dependence of SFM on the number of
partitioned bins implies a self-similar correlations in the studied
systemBialas and Peschanski (1986, 1988).
$F_{q}(M)\approx(M^{D})^{\phi_{q}}$ (5)
The intermittency index $\phi_{q}$ can characterize the strength of
intermittency behavior and is related to the anomalous fractal dimension of
the systemDe Wolf _et al._ (1996). And there are studies show that using
intermittency measurement together with the estimated freeze-out parameters
can estimate the possible critical region of the QCD CEPAntoniou and Diakonos
(2019).
Figure 1: The second order scaled factorial moments analysis for uncorrelated
JAM events and events with critical fluctuations. The upper-panel shows the
absolute values of SFM for JAM events and events with 5% and 10% CMC
particles. To avoid the overlap of markers, results of critical events are
slightly shifted horizontally for a clearer visualization. The lower-panel
shows the ratios between critical and normal JAM events. No significant
differences are observed for the absolute SFM values and their ratios.
In the present study, the second order SFM ($q=2$) in two dimensional space
($D=2$) are studied for $M=$ 2, 4, 8, 16, 32, 50. As we take the experimental
detectors into consideration, in SFM calculation, we only take no more than 50
grids for each dimension in a range of plus-minus 2.5 $\mathrm{GeV/c}$ to keep
$p_{T}$ resolution to be like experimental options and at about 0.1 GeV/c.
As shown in Figure. 1, the intermittency analysis using the SFM method Anticic
_et al._ (2015); Davis (2020); Davis _et al._ (2019); Wu _et al._ (2020) can
not differentiate CP events with 5% and 10% CMC particles that carry critical
fluctuations from uncorrelated JAM events.
### II.4 Dynamical edge convolution neural network
Figure 2: Dynamical edge convolution neural network with point cloud module
for both classification and tagging. The edge convolution block looks for k
nearest neighbors of each particle to obtain a latent representation of that
very particle, with short or long range correlations encoded deeply in. The
representation of each particle are used in two tasks. One is the
classification task to identify critical fluctuations from uncorrelated
background events. The other is the tagging task to label correlated particles
used for decision making.
A graph-based dynamical edge convolution neural network is trained for our
multi-task learning. The input to the neural network are the particle cloud of
each event, which consists of a list of particles with their information on
$(p_{x},p_{y})$. The output of the neural network corresponds to two tasks.
The first task is the binary classification which requires true labels of each
single event for supervised learning, with CP indicating events with critical
fluctuations and JAM indicating events without. The second task is the
particle tagging which requires true labels of each single particle, with 0 or
1 to indicate whether the particle is generated using Critical Monte Carlo
model.
Shown in Figure. 2 is the architecture of our neural network. There are two
kNN plus dynamical edge convolution blocks connecting to the input layer. In
the first block, kNN is used to find the k-nearest neighbors of each particle
in $(p_{x},p_{y})$ space. A fully connected network is used to learn edge
features $\phi(\vec{p}_{i},\vec{p}_{j})$ between the $i$’th particle and its
$j$’th neighbor. This module is shared by all its neighbors of particle $i$ to
produced edge features and that explains the name ”edge convolution”. The
information of particle $i$ together with its edge features are feed to the
second block. Edge convolution layer would not only make use of the features
of input neuron itself, but also take the relevance between the clustered
units near that neuron into consideration, thus it can effectively capture the
correlation information between particles.
The second kNN find the k-nearest neighbors of each particle in feature space.
It is thus possible to correlate particles that are far away in momentum
space. The neighbors of each particle change dynamically when the distances
are computed in feature space, that is why the method is called ”dynamical
edge convolution”.
The features of each particle together with its ”local” information are
flattened and feed to a fully connected neural network to get a high
dimensional latent variable for each particle. The latent variable provides a
high dimensional representation of each particle. The above neural network is
also shared by all particles and is called 1D convolution neural network
(CNN). Finally, the latent variables of each particle are used for two
different tasks. The module of ”Classification” task is shown in the lower
right corner. A global max pooling gets the maximum values of each feature
among all particles. This symmetric permutation operation learns the global
feature of each particle cloud and is used to determine whether it is a CP or
JAM event. The module of ”Tagging” task is shown on the right of Figure. 2. A
1D CNN with one output neuron is used to tag each particle in the particle
cloud. This module provides interpretation on whether the correlated particles
are used to identify events with critical fluctuations. We have labeled
correlated CMC particles as ”signal” and uncorrelated JAM particles as
”noise”. Binary cross entropy is used to compute the differences between the
tagging output and the true labels of each particle. The loss values of
tagging module is added to the total loss with a weighting factor $10^{-3}$
such that the network focus more on ”classification” task.
For comparison, we also train a point-cloud network without the kNN and
dynamical edge convolution blocks shown in Figure. 2. The $(p_{x},p_{y})$ of
each particle is directly feed to 1D CNN with 256, 128 and 64 channels
respectively for classification. Global average pooling layer is used in this
simple point-cloud network as it performs better here. Without kNN and
dynamical edge convolution, the network can not capture much local information
for intermittency identification.
## III Results and discussion
### III.1 Classification accuracy
Shown in the Figure. 3 are the training (solid lines) and validation (dashed
lines) accuracy as a function of training epochs. Both training and validation
accuracy increase as the model is trained longer with more epochs. The
validation accuracy reaches a maximum of 99.3%, which means that deep learning
is able to classify each single event with high accuracy, for uncorrelated JAM
events and events mixed with 90% uncorrelated JAM particles and 10% CMC
particles ($\eta=10\%$). For a smaller replacing rate ($\eta=5\%$), both
validation and training accuracy decrease as compared with ($\eta=10\%$),
whose maximum value is about $93.3\%$. Note: the smeared 5% and 10% both got
93.3% acc. for validation set, while the 10% one got higher score for test
set. The validation accuracy is slightly higher than training accuracy caused
by the dropout and batch normalization layers used in the network. These two
kinds of layers are known to be able to increase the generalization of the
network by introducing noise during training.
Shown in Table. 1 are the testing accuracy of four different configurations.
Using the dynamical edge convolution plus point cloud network we constructed
in this study, the testing accuracy are $97.7\%$ for $10\%$ replacing rate and
$92.8\%$ for $5\%$ replacing rate, which are not quite far away from the
validation accuracy. Removing the dynamical edge convolution block, we have
tested the performance of the point cloud network with varying numbers of
layers and neurons per layer to get the best testing accuracy. The testing
accuracy decreases to $84.8\%$ for $10\%$ replacing rate and $83.4\%$ for
$5\%$ replacing rate.
Another test set is prepared to make sure that the network make their decision
based on multi-particle correlation in the CMC particles. In this test set, 5%
or 10% particles of a JAM event are replaced by same amount of particles
sampled randomly from many other events, one particle from each event to
eliminate the two particle correlation in the replaced particles. If our
network trained to identify CMC particles is fooled to classify these mixed
events as CMC events, it means that the network learns the missing correlation
in the replaced particles as compared with original JAM particles. In
practice, our trained network treat these mixed events as JAM events, which is
a proof that the network make their predictions using signals of CMC
particles.
Figure 3: The training and validation accuracy as a function of epochs. The
training accuracy is in solid lines, for replacing rate $5\%$ (blue) and
$10\%$(red). The validation accuracy is in dashed lines for replacing rate
$5\%$ and $10\%$.
Testing accuracy $\eta$ Edge-Conv Point-Cloud Net $5\%$ 92.8% 83.4% $10\%$
97.7% 84.8%
Table 1: The testing accuracy for dynamical edge convolution network and a
simple point cloud network.
### III.2 Interpretability: tagging
To figure out how does the network make its decision in identifying critical
fluctuations from the background, we have added a tagging layer to the neural
network. To quantify the tagging performance, we introduce two metrics as
follows,
$r_{\rm c}=\frac{N_{C}}{N_{C}+N_{M}},\quad\;r_{\rm
t}=\frac{N_{C}}{N_{C}+N_{W}}$ (6)
where $r_{\rm c}$ is the catching rate defined as the ratio between the number
of correctly tagged particles $N_{C}$ and total number of signal particles
$N_{C}+N_{M}$, where $N_{M}$ is the number of signal particles missed by the
tagging module. $r_{\rm t}$ is the tagging rate defined as the ratio between
the number of correctly tagged particles $N_{C}$ and the total number of
tagged particles $N_{C}+N_{W}$, where $N_{W}$ is the number of wrongly tagged
uncorrelated particles.
The average catching rates $r_{\rm c}=73.6\%$ for $\eta=5$% and $r_{\rm
c}=75.9$% for $\eta=10$% indicate that the network may use about $3/4$ of the
correlated particles to make its decision. On the other hand, the tagging rate
$r_{t}=94.5$% for $\eta=5$% and $r_{t}=95.4$% for $\eta=10$% are much higher
than catching rate $r_{c}$. This result tells us that the tagging module can
label CMC particles quite precisely.
Since both edge convolution and the following 1D convolution layers of tagging
module perform the same transformation for each particle, we can reversely
track the tensor of labeled particles in the hidden feature space in the
forward propagation process of neural network. For each input CP event, by
checking the feature space after passing edge convolution layer, for a total
of $N$ CMC particles well tagged, we find the $k$ nearest particles in the
feature space corresponding to the feature vector of each particle, and count
the number $M$ of CMC particles that were also well tagged. The proportion of
those well tagged CMC particles from kNN to the total number of these kNN
particles can then be calculated as $\frac{M}{k\times N}=94\%$. This result
indicates that, the feature space transformation guided by edge convolution
can aggregate CMC particles into a cluster in the new feature space, and then
the tagging module can label them through the subsequent 1D convolution
layers.
Figure 4: The upper subplots show the comparison of JAM event and its
corresponding CP event, in which the grey dots are the unchanged JAM
particles, and the red ones are the critical particles introduced by CMC
events. The lower subplots are labeled results of tagging network, and the red
dots refer to particles which were tagged correctly, while the blue ones are
JAM particles labeled as CMC ones, while the grey dots are unlabeled
particles. The graphs on the left show an example of $\eta=5$%, while the ones
on the right show an example of $\eta=10$%. Although the CMC clusters in the
two examples shown are all distributed on the right side of phase space, the
location of CMC particles are not restricted indeed and they can be on any
corner of the plot.
Figure 4 demonstrates the output of the tagging module. In the upper subplots,
grey dots represent unchanged JAM particles and red dots represent all the CMC
particles in two testing events. The corresponding tagging output for these
two events are shown in the two lower subplots, where the red dots represent
CMC particles correctly tagged by the network while the blue ones are JAM
particles but incorrectly tagged as CMC particles. In average, $3/4$ of CMC
particles are recognized by the tagging module. And as discussed before, the
incorrectly tagged particles are much fewer than correctly tagged CMC
particles. The two figures in the left are for $5\%$ replacing rate while the
ones on the right are for $10\%$ replacing rate.
Figure 5 shows the SFM calculation of $\eta=5\%$ CP events and the SFM of
tagged particles of them, the former ones event have no increment with the
increase of $M^{2}$ while the tagged ones present slight power law. This
result reflects that the tagging module can somehow extract the encoded
intermittency information.
Figure 5: The ’Mixed’ labeled red diamond markers represent the SFM results of
all particles from $\eta$=5% CP events, while the ’Tagged’ labeled blue square
markers stand for the SFM of tagged part of those events. As $M^{2}$ increase,
the red diamonds have a flat performance, and the blue squares show a
increment.
## IV Summary and outlook
In summary, we have constructed a dynamical edge convolution plus point cloud
network to identify the weak intermittency signal from the experimental data
of heavy-ion collisions. We have demonstrated that such a state-of-the-art
deep learning network enables us to achieve a testing accuracy 92.8% if only
5% of JAM particles in each event are replaced by correlated CMC particles.
The performance increases to $97.7$% if the replacing rate of correlated
particles increases to 10%. Removing the dynamical edge convolution block will
decrease the performance by a large margin. Using tagging module, we further
demonstrate that the network can use around $3/4$ of correlated particles to
make their decision. At the same time, only about 5% of uncorrelated
background particles are incorrectly tagged as CMC particles.
We observe that the network can identify self-similarity or scaling invariant
from uncorrelated background. This is important for experimental data analysis
since only one indication of intermittency is observed in Ar + Sc collisions
whereas several other systems with similar collision energies fail. Different
from previous theoretical studies, we preserve the single particle
distribution while introducing a small fraction of particles with multi
particle fractal structure. This is more realistic but also difficult for the
traditional intermittency analysis. Based on our study, deep learning shows
strong pattern recognition ability in identifying weak intermittency signals
associated with critical phenomena. The method developed in this study can be
applied to probe the critical fluctuations in heavy-ion collisions and can
also be used to explore the criticality of other systems.
## Acknowledgement
We thank Jin Wu for helpful discussions on the critical monte carlo model.
This work is supported by the National Key Research and Development Program of
China (Grant No. 2020YFE0202002 and 2018YFE0205201), the National Natural
Science Foundation of China under Grant Nos. 12122505, 11935007, 11221504,
11890711, 11861131009 and 12075098, and by the Director, Office of Energy
Research, Office of High Energy and Nuclear Physics, Division of Nuclear
Physics, of the U.S. Department of Energy (DOE) under grant No. DE-
AC02-05CH11231, by the U.S. National Science Foundation under No. OAC- 2004571
within the X-SCAPE Collaboration. Computations are performed at Nuclear
Science Computer Center at CCNU (NSC3). LG Pang and YG Huang also acknowledge
the support provided by Huawei Technologies Co., Ltd.
## References
* Fukushima and Hatsuda (2011) Kenji Fukushima and Tetsuo Hatsuda, “The phase diagram of dense QCD,” Rept. Prog. Phys. 74, 014001 (2011), arXiv:1005.4814 [hep-ph] .
* Bzdak _et al._ (2020) Adam Bzdak, Shinichi Esumi, Volker Koch, Jinfeng Liao, Mikhail Stephanov, and Nu Xu, “Mapping the Phases of Quantum Chromodynamics with Beam Energy Scan,” Phys. Rept. 853, 1–87 (2020), arXiv:1906.00936 [nucl-th] .
* Luo and Xu (2017) Xiaofeng Luo and Nu Xu, “Search for the QCD Critical Point with Fluctuations of Conserved Quantities in Relativistic Heavy-Ion Collisions at RHIC : An Overview,” Nucl. Sci. Tech. 28, 112 (2017), arXiv:1701.02105 [nucl-ex] .
* Aoki _et al._ (2009) Y. Aoki, Szabolcs Borsanyi, Stephan Durr, Zoltan Fodor, Sandor D. Katz, Stefan Krieg, and Kalman K. Szabo, “The QCD transition temperature: results with physical masses in the continuum limit II.” JHEP 06, 088 (2009), arXiv:0903.4155 [hep-lat] .
* Ding _et al._ (2019) H. T. Ding _et al._ (HotQCD), “Chiral Phase Transition Temperature in ( 2+1 )-Flavor QCD,” Phys. Rev. Lett. 123, 062002 (2019), arXiv:1903.04801 [hep-lat] .
* Ding _et al._ (2015) Heng-Tong Ding, Frithjof Karsch, and Swagato Mukherjee, “Thermodynamics of strong-interaction matter from Lattice QCD,” Int. J. Mod. Phys. E 24, 1530007 (2015), arXiv:1504.05274 [hep-lat] .
* Shi _et al._ (2014) Chao Shi, Yong-Long Wang, Yu Jiang, Zhu-Fang Cui, and Hong-Shi Zong, “Locate QCD Critical End Point in a Continuum Model Study,” JHEP 07, 014 (2014), arXiv:1403.3797 [hep-ph] .
* Gao and Liu (2016) Fei Gao and Yu-xin Liu, “QCD phase transitions via a refined truncation of Dyson-Schwinger equations,” Phys. Rev. D 94, 076009 (2016), arXiv:1607.01675 [hep-ph] .
* Fischer (2019) Christian S. Fischer, “QCD at finite temperature and chemical potential from Dyson–Schwinger equations,” Prog. Part. Nucl. Phys. 105, 1–60 (2019), arXiv:1810.12938 [hep-ph] .
* Fu _et al._ (2020) Wei-jie Fu, Jan M. Pawlowski, and Fabian Rennecke, “QCD phase structure at finite temperature and density,” Phys. Rev. D 101, 054032 (2020), arXiv:1909.02991 [hep-ph] .
* Stephanov (2004) Mikhail A. Stephanov, “QCD phase diagram and the critical point,” Prog. Theor. Phys. Suppl. 153, 139–156 (2004), arXiv:hep-ph/0402115 .
* Stephanov (2006) M. A. Stephanov, “QCD phase diagram: An Overview,” PoS LAT2006, 024 (2006), arXiv:hep-lat/0701002 .
* Hofmann _et al._ (1976) J. Hofmann, Horst Stoecker, Ulrich W. Heinz, W. Scheid, and W. Greiner, “Possibility of Detecting Density Isomers in High Density Nuclear MACH Shock Waves,” Phys. Rev. Lett. 36, 88–91 (1976).
* Stoecker and Greiner (1986) Horst Stoecker and W. Greiner, “High-Energy Heavy Ion Collisions: Probing the Equation of State of Highly Excited Hadronic Matter,” Phys. Rept. 137, 277–392 (1986).
* Brachmann _et al._ (2000a) J. Brachmann, S. Soff, A. Dumitru, Horst Stoecker, J. A. Maruhn, W. Greiner, L. V. Bravina, and D. H. Rischke, “Antiflow of nucleons at the softest point of the EoS,” Phys. Rev. C 61, 024909 (2000a), arXiv:nucl-th/9908010 .
* Brachmann _et al._ (2000b) J. Brachmann, A. Dumitru, Horst Stoecker, and W. Greiner, “The Directed flow maximum near c(s) = 0,” Eur. Phys. J. A 8, 549–552 (2000b), arXiv:nucl-th/9912014 .
* Csernai and Rohrich (1999) L. P. Csernai and D. Rohrich, “Third flow component as QGP signal,” Phys. Lett. B 458, 454 (1999), arXiv:nucl-th/9908034 .
* Ivanov _et al._ (2002) Yu. B. Ivanov, E. G. Nikonov, W. Noerenberg, A. A. Shanenko, and V. D. Toneev, “Directed flow of baryons in heavy ion collisions,” Acta Phys. Hung. A 15, 117–130 (2002), arXiv:nucl-th/0011004 .
* Rischke _et al._ (1995) Dirk H. Rischke, Yaris Pursun, Joachim A. Maruhn, Horst Stoecker, and Walter Greiner, “The Phase transition to the quark - gluon plasma and its effects on hydrodynamic flow,” Acta Phys. Hung. A 1, 309–322 (1995), arXiv:nucl-th/9505014 .
* Stoecker (2005) Horst Stoecker, “Collective flow signals the quark gluon plasma,” Nucl. Phys. A 750, 121–147 (2005), arXiv:nucl-th/0406018 .
* Csernai _et al._ (2005) L. P. Csernai, A. Anderlik, Cs. Anderlik, V. K. Magas, E. Molnar, A. Nyiri, D. Rohrich, and K. Tamosiunas, “The 3rd flow component as a QGP signal,” Acta Phys. Hung. A 22, 181–186 (2005), arXiv:hep-ph/0405277 .
* Nara _et al._ (2017) Yasushi Nara, Harri Niemi, Jan Steinheimer, and Horst Stöcker, “Equation of state dependence of directed flow in a microscopic transport model,” Phys. Lett. B 769, 543–548 (2017), arXiv:1611.08023 [nucl-th] .
* Nara _et al._ (2018a) Yasushi Nara, Harri Niemi, Akira Ohnishi, Jan Steinheimer, Xiaofeng Luo, and Horst Stöcker, “Enhancement of elliptic flow can signal a first order phase transition in high energy heavy ion collisions,” Eur. Phys. J. A 54, 18 (2018a), arXiv:1708.05617 [nucl-th] .
* Nara _et al._ (2018b) Yasushi Nara, Jan Steinheimer, and Horst Stoecker, “The enhancement of v4 in nuclear collisions at the highest densities signals a first-order phase transition,” Eur. Phys. J. A 54, 188 (2018b), arXiv:1809.04237 [nucl-th] .
* Paech _et al._ (2003) K. Paech, Horst Stoecker, and A. Dumitru, “Hydrodynamics near a chiral critical point,” Phys. Rev. C 68, 044907 (2003), arXiv:nucl-th/0302013 .
* Stephanov (2009) M. A. Stephanov, “Non-Gaussian fluctuations near the QCD critical point,” Phys. Rev. Lett. 102, 032301 (2009), arXiv:0809.3450 [hep-ph] .
* Stephanov (2011) M. A. Stephanov, “On the sign of kurtosis near the QCD critical point,” Phys. Rev. Lett. 107, 052301 (2011), arXiv:1104.1627 [hep-ph] .
* Aggarwal _et al._ (2010) M. M. Aggarwal _et al._ (STAR), “Higher Moments of Net-proton Multiplicity Distributions at RHIC,” Phys. Rev. Lett. 105, 022302 (2010), arXiv:1004.4959 [nucl-ex] .
* Adamczyk _et al._ (2014a) L. Adamczyk _et al._ (STAR), “Energy Dependence of Moments of Net-proton Multiplicity Distributions at RHIC,” Phys. Rev. Lett. 112, 032302 (2014a), arXiv:1309.5681 [nucl-ex] .
* Adamczyk _et al._ (2014b) L. Adamczyk _et al._ (STAR), “Beam-energy dependence of charge separation along the magnetic field in Au+Au collisions at RHIC,” Phys. Rev. Lett. 113, 052302 (2014b), arXiv:1404.1433 [nucl-ex] .
* Adamczyk _et al._ (2018) L. Adamczyk _et al._ (STAR), “Collision Energy Dependence of Moments of Net-Kaon Multiplicity Distributions at RHIC,” Phys. Lett. B 785, 551–560 (2018), arXiv:1709.00773 [nucl-ex] .
* Adam _et al._ (2021) J. Adam _et al._ (STAR), “Nonmonotonic Energy Dependence of Net-Proton Number Fluctuations,” Phys. Rev. Lett. 126, 092301 (2021), arXiv:2001.02852 [nucl-ex] .
* Abdallah _et al._ (2021) Mohamed Abdallah _et al._ (STAR), “Cumulants and correlation functions of net-proton, proton, and antiproton multiplicity distributions in Au+Au collisions at energies available at the BNL Relativistic Heavy Ion Collider,” Phys. Rev. C 104, 024902 (2021), arXiv:2101.12413 [nucl-ex] .
* Nahrgang _et al._ (2011) Marlene Nahrgang, Stefan Leupold, Christoph Herold, and Marcus Bleicher, “Nonequilibrium chiral fluid dynamics including dissipation and noise,” Phys. Rev. C 84, 024912 (2011), arXiv:1105.0622 [nucl-th] .
* Herold _et al._ (2013) Christoph Herold, Marlene Nahrgang, Igor Mishustin, and Marcus Bleicher, “Chiral fluid dynamics with explicit propagation of the Polyakov loop,” Phys. Rev. C 87, 014907 (2013), arXiv:1301.1214 [nucl-th] .
* Plumberg and Kapusta (2017) Christopher Plumberg and Joseph I. Kapusta, “Hydrodynamic fluctuations near a critical endpoint and Hanbury-Brown–Twiss interferometry,” Phys. Rev. C 95, 044910 (2017), arXiv:1702.01368 [nucl-th] .
* Li and Ko (2016) Feng Li and Che Ming Ko, “Spinodal instabilities of baryon-rich quark-gluon plasma in the Polyakov–Nambu–Jona-Lasinio model,” Phys. Rev. C 93, 035205 (2016), arXiv:1601.00026 [nucl-th] .
* Scavenius _et al._ (2001) O. Scavenius, A. Dumitru, E. S. Fraga, J. T. Lenaghan, and A. D. Jackson, “First order chiral phase transition in high-energy collisions: Can nucleation prevent spinodal decomposition?” Phys. Rev. D 63, 116003 (2001), arXiv:hep-ph/0009171 .
* Palhares and Fraga (2010) Leticia F. Palhares and Eduardo S. Fraga, “Droplets in the cold and dense linear sigma model with quarks,” Phys. Rev. D 82, 125018 (2010), arXiv:1006.2357 [hep-ph] .
* Herold _et al._ (2014) Christoph Herold, Marlene Nahrgang, Igor Mishustin, and Marcus Bleicher, “Formation of droplets with high baryon density at the QCD phase transition in expanding matter,” Nucl. Phys. A 925, 14–24 (2014), arXiv:1304.5372 [nucl-th] .
* Li and Ko (2017) Feng Li and Che Ming Ko, “Spinodal instabilities of baryon-rich quark matter in heavy ion collisions,” Phys. Rev. C 95, 055203 (2017), arXiv:1606.05012 [nucl-th] .
* Chomaz _et al._ (2004) Philipe Chomaz, Maria Colonna, and Jorgen Randrup, “Nuclear spinodal fragmentation,” Phys. Rept. 389, 263–440 (2004).
* Randrup (2004) Jorgen Randrup, “Spinodal decomposition during the hadronization stage at RHIC?” Phys. Rev. Lett. 92, 122301 (2004), arXiv:hep-ph/0308271 .
* Sasaki _et al._ (2007) C. Sasaki, B. Friman, and K. Redlich, “Density fluctuations in the presence of spinodal instabilities,” Phys. Rev. Lett. 99, 232301 (2007), arXiv:hep-ph/0702254 .
* Steinheimer and Randrup (2012) Jan Steinheimer and Jorgen Randrup, “Spinodal amplification of density fluctuations in fluid-dynamical simulations of relativistic nuclear collisions,” Phys. Rev. Lett. 109, 212301 (2012), arXiv:1209.2462 [nucl-th] .
* Steinheimer and Randrup (2013) Jan Steinheimer and Jorgen Randrup, “Spinodal density enhancements in simulations of relativistic nuclear collisions,” Phys. Rev. C 87, 054903 (2013), arXiv:1302.2956 [nucl-th] .
* Steinheimer _et al._ (2014) Jan Steinheimer, Jørgen Randrup, and Volker Koch, “Non-equilibrium phase transition in relativistic nuclear collisions: Importance of the equation of state,” Phys. Rev. C 89, 034901 (2014), arXiv:1311.0999 [nucl-th] .
* Sun _et al._ (2018) Kai-Jia Sun, Lie-Wen Chen, Che Ming Ko, Jie Pu, and Zhangbu Xu, “Light nuclei production as a probe of the QCD phase diagram,” Phys. Lett. B 781, 499–504 (2018), arXiv:1801.09382 [nucl-th] .
* Yu _et al._ (2020) Ning Yu, Dingwei Zhang, and Xiaofeng Luo, “Search for QCD critical point by transverse velocity dependence of anti-deuteron to deuteron ratio,” Chin. Phys. C 44, 014002 (2020), arXiv:1812.04291 [nucl-th] .
* Sun _et al._ (2021) Kai-Jia Sun, Che Ming Ko, Feng Li, Jun Xu, and Lie-Wen Chen, “Enhanced yield ratio of light nuclei in heavy ion collisions with a first-order chiral phase transition,” Eur. Phys. J. A 57, 313 (2021), arXiv:2006.08929 [nucl-th] .
* Zhao _et al._ (2021) Wenbin Zhao, Kai-jia Sun, Che Ming Ko, and Xiaofeng Luo, “Multiplicity scaling of light nuclei production in relativistic heavy-ion collisions,” Phys. Lett. B 820, 136571 (2021), arXiv:2105.14204 [nucl-th] .
* Wilson and Kogut (1974) K. G. Wilson and John B. Kogut, “The Renormalization group and the epsilon expansion,” Phys. Rept. 12, 75–199 (1974).
* Lee and Yang (1952) T. D. Lee and Chen-Ning Yang, “Statistical theory of equations of state and phase transitions. 2. Lattice gas and Ising model,” Phys. Rev. 87, 410–419 (1952).
* Pradeep and Stephanov (2019) Maneesha Sushama Pradeep and Mikhail Stephanov, “Universality of the critical point mapping between Ising model and QCD at small quark mass,” Phys. Rev. D 100, 056003 (2019), arXiv:1905.13247 [hep-ph] .
* Karthein _et al._ (2021) J. M. Karthein, D. Mroczek, A. R. Nava Acuna, J. Noronha-Hostler, P. Parotto, D. R. P. Price, and C. Ratti, “Strangeness-neutral equation of state for QCD with a critical point,” Eur. Phys. J. Plus 136, 621 (2021), arXiv:2103.08146 [hep-ph] .
* Teaney (2021) Derek Teaney, “Dynamics of Critical Fluctuations in Nucleus-Nucleus Collisions,” Nucl. Phys. A 1005, 121750 (2021).
* Bluhm _et al._ (2020) Marcus Bluhm _et al._ , “Dynamics of critical fluctuations: Theory – phenomenology – heavy-ion collisions,” Nucl. Phys. A 1003, 122016 (2020), arXiv:2001.08831 [nucl-th] .
* Bialas and Peschanski (1988) A. Bialas and Robert B. Peschanski, “Intermittency in Multiparticle Production at High-Energy,” Nucl. Phys. B 308, 857–867 (1988).
* Satz (1989) Helmut Satz, “Intermittency and Critical Behavior,” Nucl. Phys. B 326, 613–618 (1989).
* Hwa (1990) Rudolph C. Hwa, “Fractal Measures in Multiparticle Production,” Phys. Rev. D 41, 1456 (1990).
* Antoniou _et al._ (2001) N. G. Antoniou, Y. F. Contoyiannis, F. K. Diakonos, A. I. Karanikas, and C. N. Ktorides, “Pion production from a critical QCD phase,” Nucl. Phys. A 693, 799–824 (2001), arXiv:hep-ph/0012164 .
* Wu _et al._ (2020) Jin Wu, Yufu Lin, Yuanfang Wu, and Zhiming Li, “Probing QCD critical fluctuations from intermittency analysis in relativistic heavy-ion collisions,” Phys. Lett. B 801, 135186 (2020), arXiv:1901.11193 [nucl-th] .
* Anticic _et al._ (2015) T. Anticic _et al._ (NA49), “Critical fluctuations of the proton density in A+A collisions at 158$A$ GeV,” Eur. Phys. J. C 75, 587 (2015), arXiv:1208.5292 [nucl-ex] .
* Davis (2020) Nikolaos Davis (NA61/SHINE), “Searching for the critical point of strongly interacting matter in nucleus-nucleus collisions at CERN SPS,” PoS EPS-HEP2019, 305 (2020).
* Davis _et al._ (2019) Nikolaos Davis, Nikolaos Antoniou, and Fotios K. Diakonos (Na61/Shine), “Recent results from proton intermittency analysis in nucleus-nucleus collisions from NA61/SHINE at CERN SPS,” PoS CORFU2018, 154 (2019).
* Pang _et al._ (2018) Long-Gang Pang, Kai Zhou, Nan Su, Hannah Petersen, Horst Stöcker, and Xin-Nian Wang, “An equation-of-state-meter of quantum chromodynamics transition from deep learning,” Nature Commun. 9, 210 (2018), arXiv:1612.04262 [hep-ph] .
* Pang (2021) Long-Gang Pang, “Machine learning for high energy heavy ion collisions,” Nucl. Phys. A 1005, 121972 (2021).
* Du _et al._ (2020) Yi-Lun Du, Kai Zhou, Jan Steinheimer, Long-Gang Pang, Anton Motornenko, Hong-Shi Zong, Xin-Nian Wang, and Horst Stöcker, “Identifying the nature of the QCD transition in relativistic collision of heavy nuclei with deep learning,” Eur. Phys. J. C 80, 516 (2020), arXiv:1910.11530 [hep-ph] .
* Kvasiuk _et al._ (2020) Yu. Kvasiuk, E. Zabrodin, L. Bravina, I. Didur, and M. Frolov, “Classification of Equation of State in Relativistic Heavy-Ion Collisions Using Deep Learning,” JHEP 07, 133 (2020), arXiv:2004.14409 [nucl-th] .
* Steinheimer _et al._ (2019) Jan Steinheimer, Longgang Pang, Kai Zhou, Volker Koch, Jørgen Randrup, and Horst Stoecker, “A machine learning study to identify spinodal clumping in high energy nuclear collisions,” JHEP 12, 122 (2019), arXiv:1906.06562 [nucl-th] .
* Kuttan _et al._ (2020) Manjunath Omana Kuttan, Kai Zhou, Jan Steinheimer, Andreas Redelbach, and Horst Stoecker, “An equation-of-state-meter for CBM using PointNet,” JHEP 21, 184 (2020), arXiv:2107.05590 [hep-ph] .
* Wang _et al._ (2020) Rui Wang, Yu-Gang Ma, R. Wada, Lie-Wen Chen, Wan-Bing He, Huan-Ling Liu, and Kai-Jia Sun, “Nuclear liquid-gas phase transition with machine learning,” Phys. Rev. Res. 2, 043202 (2020), arXiv:2010.15043 [nucl-th] .
* Nara _et al._ (2000) Y. Nara, N. Otuka, A. Ohnishi, K. Niita, and S. Chiba, “Study of relativistic nuclear collisions at AGS energies from p + Be to Au + Au with hadronic cascade model,” Phys. Rev. C 61, 024901 (2000), arXiv:nucl-th/9904059 .
* Nara (2019) Yasushi Nara, “JAM: an event generator for high energy nuclear collisions,” EPJ Web Conf. 208, 11004 (2019).
* Sorge (1995) H. Sorge, “Flavor production in Pb (160-A/GeV) on Pb collisions: Effect of color ropes and hadronic rescattering,” Phys. Rev. C 52, 3291–3314 (1995), arXiv:nucl-th/9509007 .
* Sorge (1997) H. Sorge, “Soft transverse expansion in pb(158 agev) on pb collisions: preequilibrium motion or first order phase transition?” Physics Letters B 402, 251–256 (1997).
* Bass _et al._ (1998) S.A. Bass, M. Belkacem, M. Bleicher, M. Brandstetter, L. Bravina, C. Ernst, L. Gerland, M. Hofmann, S. Hofmann, J. Konopka, G. Mao, L. Neise, S. Soff, C. Spieles, H. Weber, L.A. Winckelmann, H. Stöcker, W. Greiner, Ch. Hartnack, J. Aichelin, and N. Amelin, “Microscopic models for ultrarelativistic heavy ion collisions,” Progress in Particle and Nuclear Physics 41, 255–369 (1998).
* Bleicher _et al._ (1999) M Bleicher, E Zabrodin, C Spieles, S A Bass, C Ernst, S Soff, L Bravina, M Belkacem, H Weber, H Stöcker, and W Greiner, “Relativistic hadron-hadron collisions in the ultra-relativistic quantum molecular dynamics model,” Journal of Physics G: Nuclear and Particle Physics 25, 1859–1896 (1999).
* Kahana _et al._ (1996) S. H. Kahana, D. E. Kahana, Y. Pang, and T. J. Schlagel, “Modeling relativistic heavy ion collisions at the AGS,” Ann. Rev. Nucl. Part. Sci. 46, 31–70 (1996).
* Li and Ko (1998) Bao-An Li and C.M. Ko, “Excitation functions of stopping power and flow in relativistic heavy-ion collisions,” Nuclear Physics A 630, 556–562 (1998), nucleus-Nucleus Collisions.
* Lin _et al._ (2005) Zi-Wei Lin, Che Ming Ko, Bao-An Li, Bin Zhang, and Subrata Pal, “A Multi-phase transport model for relativistic heavy ion collisions,” Phys. Rev. C 72, 064901 (2005), arXiv:nucl-th/0411110 .
* Weil _et al._ (2016) J. Weil _et al._ , “Particle production and equilibrium properties within a new hadron transport approach for heavy-ion collisions,” Phys. Rev. C 94, 054905 (2016), arXiv:1606.06642 [nucl-th] .
* Antoniou _et al._ (2006) N. G. Antoniou, F. K. Diakonos, A. S. Kapoyannis, and K. S. Kousouris, “Critical opalescence in baryonic QCD matter,” Phys. Rev. Lett. 97, 032002 (2006), arXiv:hep-ph/0602051 .
* Bialas and Peschanski (1986) A. Bialas and Robert B. Peschanski, “Moments of Rapidity Distributions as a Measure of Short Range Fluctuations in High-Energy Collisions,” Nucl. Phys. B 273, 703–718 (1986).
* De Wolf _et al._ (1996) E. A. De Wolf, I. M. Dremin, and W. Kittel, “Scaling laws for density correlations and fluctuations in multiparticle dynamics,” Phys. Rept. 270, 1–141 (1996), arXiv:hep-ph/9508325 .
* Antoniou and Diakonos (2019) Nikolaos G. Antoniou and Fotios K. Diakonos, “Ising-QCD phenomenology close to the critical point,” J. Phys. G 46, 035101 (2019), arXiv:1802.05857 [hep-ph] .
| arxiv-papers | 2021-07-25T15:25:21 | 2024-09-04T03:07:16.899682 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yige Huang, Long-Gang Pang, Xiaofeng Luo and Xin-Nian Wang",
"submitter": "Xiaofeng Luo",
"url": "https://arxiv.org/abs/2107.11828"
} |
2107.11829 | # High-overtone fits to numerical relativity ringdowns: Beyond the dismissed
$n=8$ special tone.
Xisco Jiménez Forteza1,2, Pierre Mourier1,2 1 Max Planck Institute for
Gravitational Physics (Albert Einstein Institute), Callinstraße 38, 30167
Hannover, Germany 2 Leibniz Universität Hannover, 30167 Hannover, Germany
###### Abstract
In general relativity, the remnant object originating from an uncharged black
hole merger is a Kerr black hole. This final state is reached through the
emission of a late train of radiation known as the black hole ringdown. In
linear perturbation theory around the final state, the ringdown morphology is
described by a countably infinite set of damped sinusoids — the quasinormal
modes — whose complex frequencies are solely determined by the final black
hole’s mass and spin. Recent results advocate that ringdown waveforms from
numerical relativity can be fully described from the peak of the strain
onwards if quasinormal mode models with $N_{\mathrm{max}}=7$ overtones (beyond
the fundamental mode) are used. In this work we extend this analysis to models
with $N_{\mathrm{max}}\geq 7$ up to $N_{\mathrm{max}}=16$ overtones by
exploring the parameter bias on the final mass and spin obtained by fitting
the nonprecessing binary black hole simulations from the SXS catalog. To this
aim, we have computed the spin weight $-2$ Kerr quasinormal mode frequencies
and angular separation constants for the $(l=m=2,n=8,9)$ co- and counter-
rotating overtones, which all approach a Schwarzschild algebraically special
mode at low spins. We provide tables of the values obtained for these modes,
which are in agreement with previous results. From the systematic
variable-$N_{\mathrm{max}}$ analysis, we find that $N_{\mathrm{max}}\sim 6$
overtones are on average sufficient to model the ringdown from the peak of the
strain, although about $21\%$ of the cases studied require at least
$N_{\mathrm{max}}\sim 12$ overtones to reach a comparable accuracy on the
final state parameters. Considering the waveforms from an earlier or later
point in time, we find that a very similar maximum accuracy can be reached in
each case, occurring at a different number of overtones $N_{\mathrm{max}}$. We
also provide new error estimates for the SXS waveforms based on the
extrapolation and the resolution uncertainties of the gravitational wave
strain, which dominate over the errors obtained from the quasilocal measures
of the final mass and spin. Finally, we observe substantial instabilities on
the best-fit amplitudes of the tones beyond the fundamental mode and the first
overtone, that, nevertheless, do not impact significantly the mass and spin
estimates.
## I Introduction
The number of gravitational wave (GW) observations is increasing along with
the upgrades of GW interferometers. Up to date, the LIGO-Virgo collaboration
has reported a total of 48 binary black hole merger candidates Abbott _et
al._ (2019, 2021a). Those observations are providing unprecedented constraints
on general relativity in its strong-field regime, with the merger-ringdown
phase in particular providing a promising channel for such studies.
A binary black hole merger is generally decomposed in three different regimes
that depict its orbital evolution: inspiral, merger and ringdown. The inspiral
regime represents the _slow_ far-field solution and it is well described by
post-Newtonian and effective-one-body theories. At the merger phase, that is,
when the two bodies get closer to each other, these analytic solutions break
down due to the strong general-relativistic effects and full numerical
relativity is needed. The final merger results in an initially perturbed
space-time that evolves towards the final Kerr solution by emitting an
ultimate tail of radiation better known as ringdown (RD). The strain
$h(t,\theta,\phi)$ of the RD waveform is predicted by linear perturbation
theory to decompose as a sum of damped sinusoids:
$\displaystyle
h(t,\theta,\phi)=\sum_{l,m,n}{\mathcal{A}}_{lmn}e^{-\iota\omega_{lmn}(t-t_{r})}\,{}_{-2}\mathcal{Y}_{lm}(\theta,\phi)\,,\,t\geq
t_{r}\,.$ (1)
Here, $l=2,3,\dots$ and $m=-l,-l+1,\dots,l-1,l$ account for the two angular
indices of the spheroidal decomposition, while $n=0,1,2,\dots$ labels the
tone; ${}_{-2}\mathcal{Y}_{lm}(\theta,\phi)$ are the spin-weighted spheroidal
harmonics of spin weight $s=-2$, as functions of the polar angle $\theta$ and
azimuthal angle $\phi$; ${\mathcal{A}}_{lmn}=A_{lmn}\,e^{\iota\varphi_{lmn}}$
is the tone complex amplitude; and $t_{r}$ is some undefined time beyond which
linear perturbation theory is expected to accurately describe the RD regime
Giesler _et al._ (2019); London _et al._ (2014); Bhagwat _et al._ (2020).
In particular, and for non-charged black holes, the
$\omega_{lmn}=w_{lmn}-\iota/\tau_{lmn}$ defines a countably infinite set of
complex frequencies solely determined by the final black hole’s mass $M_{f}$
and spin $a_{f}$, where the values of $\omega_{lmn}$ correspond to poles of
the Green function to the inhomogeneous Teukolsky equation — the quasinormal
modes (QNMs) of the final black hole Leaver (1985); Detweiler (1980); Kokkotas
and Schmidt (1999). Here $\mathrm{Re}[\omega_{lmn}]=w_{lmn}$ and
$-\mathrm{Im}[\omega_{lmn}]=1/\tau_{lmn}$ take the role of the oscillation
frequency and the damping rate (inverse of the damping time) respectively. As
a rule of thumb, if one considers fixed the value of the $(l,m)$ indices, the
mass $M_{f}$, and for moderate spins $a_{f}$, the values of the damping times
$\tau_{lmn}$ decrease as the tone index $n$ increases. This sets the $n=0$
(fundamental) tone as the dominant tone while the $n\geq 1$ tones (overtones)
rank down continuously as $n$ increases. Moreover, one finds two branches of
solutions for $\omega_{lmn}$ also known as the corotating (dominant for $m>0$)
and counter-rotating (subdominant for $m>0$) modes Finch and Moore (2021);
Dhani (2020); Berti _et al._ (2009); Jiménez Forteza _et al._ (2020); Cook
(2020); Dhani and Sathyaprakash (2021) which both contribute111Such
contribution involves an additional sum over a binary index labeling the co-
and counter-rotating QNM frequencies and amplitudes which have been dropped
here to simplify the notation. In the following we shall rather explicitly
state, when needed, whether a given QNM is associated to a co- or counter-
rotating branch. Note that the counter-rotating modes excited in a binary
black hole merger are usually expected to have negligible amplitudes compared
to the corotating modes (for $m>0$ harmonics) Jiménez Forteza _et al._
(2020); Finch and Moore (2021). to Eq. (1). Co- and counter-rotating modes are
distinguished by the sign of the real part $w_{lmn}$ of their frequencies.
While multiple conventions exist, in this work we follow the convention of,
_e.g._ , Berti _et al._ (2009); Cook and Zalutskiy (2014), and we denote as
corotating the family of modes with positive $w_{lmn}$ regardless of the sign
of $m$ or of the sign attributed to the black hole’s spin $a_{f}$.
The black hole no-hair and uniqueness theorems in general relativity imply
that the final state of an uncharged black hole merger, and the associated QNM
spectrum, are uniquely determined by the values of the final mass and spin.
This has led to two main avenues to test such theorems. The first one consists
on performing an inspiral–merger–ringdown (IMR) consistency test, which relies
on independently estimating the final black hole mass and spin from both the
inspiral–merger and the ringdown phases Abbott _et al._ (2021b). The second
approach is to perform black hole spectroscopy, which typically aims at
independently estimating the parameters of the fundamental tone of the
dominant angular mode, $(l=2,m=2,n=0)$, plus at least another mode, either i)
the first corresponding overtone, $(l=2,m=2,n=1)$, or ii) another angular
fundamental mode, either the $(l=m=3,n=0)$ or the $(l=2$, $m=1$, $n=0)$ mode
(in order of importance). So far and for unequal-mass-ratio binaries, the
higher angular mode remains the most promising approach to test the
implications of the black hole no-hair theorem Jiménez Forteza _et al._
(2020). Successful independent evidence of the $(l=m=2,n=0)$ and the
$(l=m=3,n=0)$ modes in the ringdown phase of a GW event (in this case
GW190521) has been recently provided in Capano _et al._ (2021). On the other
hand, channel i) becomes a promising possibility when dealing with near equal-
mass-ratio nonspinning binaries. For such events, the higher harmonic modes
are only weakly excited, while the overtones would still represent a valid
channel in the ringdown regime. A first attempt to observe overtones in GW
observational data has been performed in Isi _et al._ (2019); Isi and Farr
(2021) on GW150914. However, the full spectroscopic analysis performed by
Capano _et al._ (2021) on GW190521 could not find evidence of tones other
than the fundamental ones.
Current studies of the $(22n)$ ringdown modes rely on fits to numerical
relativity (NR) waveforms Bhagwat _et al._ (2020); Jiménez Forteza _et al._
(2020); Giesler _et al._ (2019); London and Fauchon-Jones (2019), which are
shown to be consistent with current GW observations. In particular, using NR
waveforms has the following advantages: i) the underlying theory is well-
known; ii) the mass and the spin of the final black hole (BH) are accurately
estimated, hence accurately determining the QNM spectrum; and iii) numerical
errors in the simulated waveforms are typically smaller than current GW
detectors noise. In such studies, considering the $(l=m=2)$ spherical harmonic
of the strain222It is worth mentioning here that in NR codes, the strain
$h(t,\theta,\phi)$ is decomposed in terms of the spin-weighted spherical
harmonics basis instead of the spheroidal harmonics $\mathcal{Y}_{lm}$ used to
define QNMs, since it is a better adapted basis to the inspiral-merger regime.
This adds mode-mixing artifacts between both bases principally at modes other
than the $(22)$ mode Berti and Klein (2014); Cook (2020)., $h_{22}(t)$, from a
given time $t_{0}$ onwards, one fits for the successive complex amplitudes
$\mathcal{A}_{22n}$ of the $(22n)$ QNM tones for a running index
$n\in\\{0,\dots,N_{\mathrm{max}}\\}$, with various choices for the total
number $N_{\mathrm{max}}$ of overtones to be included in the model. The
$N_{\mathrm{max}}=7$ model has been shown to provide the best estimates of the
true final parameters (mass and spin) Giesler _et al._ (2019); Finch and
Moore (2021), although no models beyond $N_{\mathrm{max}}=7$ have been studied
up to date. In this work we extend this analysis to $N_{\mathrm{max}}\geq 7$.
In particular, we have not found any publicly available catalog of Kerr QNM
data that provides a correct description for the $n=8$ tone nor for the
neighboring corotating tone that we label as $n=9$ here333The catalogs Berti
_et al._ (2006, 2009); Berti ; Cardoso and Cook and Zalutskiy (2014); Cook
(2019) provide the QNM solutions up $n=7$. On the other hand, the solutions
provided by the qnm Python package Stein (2019) up to much larger $n$ values
are incorrect at ${n=8}$ (both for the co- and counter-rotating modes) due to
the erroneous estimate of the Schwarzschild limit, and are missing the
neighboring corotating branch that we label here as $n=9$. Solutions for these
$n=8,9$ modes have been previously obtained by Onozawa (1997) ($n=8$ modes
only) and Berti _et al._ (2003); Cook and Zalutskiy (2014, 2016a, 2016b)
(with a different labeling of what we here call the $n=8,9$ corotating modes
as two corotating $n=8$ branches), where they are shown as
$\mathrm{Re}(\omega_{lmn})$ — $\mathrm{Im}(\omega_{lmn})$ frequency plots but
the data obtained for $n\geq 7$ modes were not made publicly available. . We
discuss these tones further in Sec. II below and show our results for their
frequencies in Sec. II.3, comparing to the results from Onozawa (1997); Berti
_et al._ (2003); Cook and Zalutskiy (2014, 2016a). In Sec. III we revisit the
definitions of the mass and spin in NR simulations and we provide two methods
to compute their uncertainties. Finally, in Sec. IV, we show the results on
the mass and the spin obtained from fitting models with up to
$N_{\mathrm{max}}=12$ or $16$ overtones to NR waveforms from the SXS and RIT
catalogs The SXS Collaboration (2016); Campanelli _et al._ (2016) while we
further discuss the model instabilities.
## II The ringdown QNM spectrum
### II.1 The ringdown wave equation
The Teukolsky master equation Teukolsky and Press (1974) describes the
propagation of linear perturbations of fields of general spin weight $s$ in a
Kerr background Chandrasekhar (1985); Berti _et al._ (2009); Kokkotas and
Schmidt (1999). The angular ($\mathcal{Y}_{lm}$) and radial ($R_{lm}$) sector
of this equation read, respectively,
$\displaystyle\frac{\partial}{\partial
u}\left[\left(1-u^{2}\right)\frac{\partial}{\partial
u}\,{}_{s}\mathcal{Y}_{lm}\right]+\left[(a_{f}\,\omega\,u)^{2}\phantom{\frac{\left(m+su\right)^{2}}{1-u^{2}}}\right.$
$\displaystyle{}-$ $\displaystyle
2\,a_{f}\,\omega\,s\,u+s+\left.{\mathscr{A}}-\frac{\left(m+su\right)^{2}}{1-u^{2}}\right]{}_{s}\mathcal{Y}_{lm}=0\,;$
$\displaystyle\Delta\,\partial^{2}_{r}R_{lm}+(s+1)(2r-2M_{f})\partial_{r}R_{lm}+VR_{lm}=0\,,$
(2)
where ${s=\pm 2}$ for gravitational perturbations. Here $u=\cos\theta$;
$\Delta=(r-r_{-})(r-r_{+})$, $r$ is the radial coordinate while $r_{+,-}$
stand for the coordinate radii of the outer and inner BH horizons
respectively; $l,m$ are the usual angular indices; $a_{f}$ is the black hole’s
spin and $M_{f}$ the black mass; $V=V(r,M_{f},a_{f},\omega,\mathscr{A},s,m)$
is the potential term for a Kerr BH (see Eq.(26) of Berti _et al._ (2009));
$\omega$ is the complex frequency of the perturbation; and $\mathscr{A}$ is
the corresponding so-called angular separation constant.
For each value of the final spin $a_{f}$, each spin weight $s$ and each
angular mode $(l,m)$, the $(l,m,n)$– quasinormal modes are obtained by
imposing outgoing boundary conditions at spatial infinity and ingoing boundary
conditions at the black hole horizon. The QNMs and associated angular
separation constants form the only discrete set of (complex) values
$\left\\{\omega,\mathscr{A}\right\\}$ that are compatible with these boundary
conditions. Eq. (2) can be solved and its associated QNMs values obtained
following the algorithm proposed in Leaver (1985). The frequency and
separation constant solutions are then labeled by the integers $l$, $m$ and
$n$: $\omega\equiv\omega_{lmn}$, $\mathscr{A}\equiv\mathscr{A}_{lmn}$, where
$n=0,1,2,\ldots$ is the overtone index. The dependence on the spin weight $s$
usually remains implicit; we only consider gravitational perturbations here
and we set $s=-2$ throughout this work. See Leaver (1985) for a method for
numerically calculating the QNM spectrum, Berti ; Cardoso ; Berti _et al._
(2006, 2009) and Cook (2019); Cook and Zalutskiy (2014) for a compilation of
the values in different situations and up to $n=7$ for the Kerr scenario, and
Stein (2019) for a Python package, qnm, to evaluate the QNM spectrum of Kerr
black holes for a variety of $(l,m,n)$ modes and spin weights $s$.
### II.2 Computing the ($22n$) quasinormal modes
Most of the QNM frequency values used for this work (which all correspond to
the $(l=2,m=2)$ harmonic) were computed using the dedicated qnm Python package
Stein (2019). However, the method used (Leaver’s method Leaver (1985)) is
known to fail for the $(l=m=2,n=8)$ mode in the Schwarzschild limit as it
becomes an algebraically special mode Chandrasekhar and Detweiler (1975);
Berti _et al._ (2003). Hence, this tone was flagged as unreliable in this
code since the spectrum computation relies on the Schwarzschild limit. Indeed,
the results from qnm at any spin for this mode appear to be inconsistent with
the neighboring modes, and are in disagreement with Onozawa (1997); Berti _et
al._ (2003); Cook and Zalutskiy (2014), for both the co- and counter-rotating
branches. Hence, we rather computed the QNM frequencies $\omega_{lmn}$ — along
with the angular separation constants $\mathscr{A}_{lmn}$ — for this mode from
a modified version of the publicly available Mathematica code for Kerr QNMs
from Berti _et al._ (2006, 2009) (available online at Berti ; Cardoso ).
This code is also based on Leaver’s continued fraction method Leaver (1985) —
but it may be used to directly compute the QNMs for any given spin, without
relying on the Schwarzschild limit. Following this method, estimates of
$\omega_{lmn}$ and $\mathscr{A}_{lmn}$ are found successively as roots of
infinite generalized continued fractions, which are approximated with a finite
numbers of fraction steps $n_{\mathrm{frac}}$. The coefficients involved in
the fraction used for each of both variables depend on the estimate of the
other variable, thus the alternated estimation of $\omega_{lmn}$ and
$\mathscr{A}_{lmn}$ is iterated until convergence is reached. We have modified
the continued fraction computation to include Leaver’s inversions (Eq. (14) in
Leaver (1985)) allowing for a more stable recovery of any given overtone
($n\geq 1$), and replaced the use of Mathematica’s time- and memory-consuming
root-finding algorithm by a direct implementation of the secant method. We
ensure the convergence both in terms of $n_{\mathrm{frac}}$ and of the
$(\omega_{lmn},\mathscr{A}_{lmn})$ loop by increasing $n_{\mathrm{frac}}$ by a
constant factor $c_{\mathrm{frac}}>1$ at each iteration, until a convergence
criterion is met. This criterion amounts to requiring that the (absolute)
variations of the estimates of both variables over three consecutive
iterations do not exceed a certain threshold (which we set at $3\cdot
10^{-11}$). This progressive increase of $n_{\mathrm{frac}}$ was necessary for
the computation of the $n=8$ modes (as well as the neighboring corotating
branch which we label here as $n=9$), as these modes typically require rather
large values of $n_{\mathrm{frac}}$ (further increasing as the spin gets
closer to zero or one) to reach such an accuracy; we set
$c_{\mathrm{frac}}=1.2$ for this computation. We have checked for consistency
that this algorithm provides the same $s=-2$ solutions as those for available
$(l=2,m=\pm 2,n\neq 8)$ tones from Berti _et al._ (2006, 2009); Berti ;
Cardoso ; Stein (2019).
We make this modified Mathematica code available here: Mourier and Jimenez
Forteza ; Jimenez Forteza and Mourier , along with its translation into Python
and into Fortran. We also made use of this (much faster) Fortran equivalent,
to obtain the frequency solutions for these modes at a few spin values in the
regimes where convergence to the solution is particularly difficult to
achieve.
The algorithm does require an initial guess for $\omega_{lmn}$444More
precisely, since we modified the code to use the secant method rather than
Newton’s method to find the roots of the continued fractions, two initial
guesses on $\omega_{lmn}$ are required instead of one. These may simply be
chosen as two close yet distinct estimates such as bounds on the expected
solution or simply perturbations around a given estimate. This is also
required for initial guesses on $\mathscr{A}_{lmn}$. For this variable we used
systematic small deviations above and below the single guess value that was
originally used to initialize Newton’s method — that is, at each iteration
beyond the first, the $\mathscr{A}_{lmn}$ result from the previous iteration,
and at the first iteration, the Schwarzschild-limit solution
$\mathscr{A}_{lmn}=l(l+1)-s(s+1)$. , which simply needs to lie closer to the
desired mode than to any other tone of the same $(l,m)$ harmonic — in practice
for the modes discussed here, a $\sim 5\%$ accurate initial estimate is
typically sufficient. This allowed us to recover the $n=8$ co- and counter-
rotating QNMs over a wide spin range (see below) by simply using initial
guesses based on a few points of the $n=8$ curves in Fig. 4 of Onozawa (1997)
and interpolation and extrapolation between and beyond them. Using slightly
lower imaginary values for the initial guesses on the frequency with respect
to the above corotating solution, we also recovered the additional corotating
mode that also nears the imaginary axis at low spin identified by Berti _et
al._ (2003); Cook and Zalutskiy (2014) and missing in Onozawa (1997) and in
the qnm package.
#### Remarks on the tone labeling convention
We label in this work this additional corotating branch as the $n=9$ mode and
the subsequent ones (with even smaller values of $\mathrm{Im}[\omega_{lmn}]$)
as $n=10,11,\dots$ The counter-rotating mode associated with each of the
$n\neq 8,9$ corotating tones, connecting to the same Schwarzschild limit up to
a $\mathrm{Re}(\omega)\mapsto-\mathrm{Re}(\omega)$ symmetry, is attributed the
same $n$ index. This overall leads to an unusual convention for $n\geq 8$ and
can be somewhat confusing: our $(l=2,m=2,n=n_{0})$ co- and counter-rotating
QNMs for each $n_{0}\geq 10$ are equivalent to the $(l=2,m=2,n=n_{0}-1)$
solutions for the qnm package Stein (2019) or from Cook and Zalutskiy (2016a)
for instance, and in the Schwarzschild limit, they match the Schwarzschild QNM
that is usually attributed the overtone index $n_{0}-1$ in the literature.
This offset is due to the presence of a single Schwarzschild ($l=2,m=2$) QNM,
traditionally labeled $n=8$, at $\omega=-2\,\iota$ — which coincides with an
algebraically special mode Maassen van den Brink (2000); Cook and Zalutskiy
(2014) — while two distinct Kerr QNMs are found near this value at low spins
Berti _et al._ (2003); Cook and Zalutskiy (2014). This is reconciled in Berti
_et al._ (2003) by simply considering these two Kerr solutions as a double
$n=8$ branch. The same choice is made in Cook and Zalutskiy (2014, 2016a,
2016b) where, specifically, these Kerr modes that we here refer to as $n=8$
and $n=9$ overtones are labeled as the $n=8_{0}$ and $n=8_{1}$ modes,
respectively. On the other hand, the convention that we adopt here allows for
a consistent sorting of the found Kerr QNMs by decreasing imaginary frequency
(or decreasing damping time) for a given spin, and for the preservation of a
roughly equal spacing between $\mathrm{Im}[\omega_{l,m,n}]$ and
$\mathrm{Im}[\omega_{l,m,n+1}]$ for corotating modes for all values of $n$,
over most of the spin range.
This latter property could in fact be used to get reasonable initial guesses
for the frequency values of both the $n=8$ and $n=9$ corotating modes over
most of the spin range ($a_{f}\gtrsim 0.1$), without prior knowledge about
these values, by extrapolating the neighboring tones’ frequencies as a
function of $n$ for each $a_{f}$. In particular, we did generate initial
guesses in this way to systematically compute the $n=9$ mode frequencies for
spins $a_{f}\geq 0.1$. Initial guesses for lower spin values for this mode
were obtained by successive extrapolations of the results previously obtained
at larger spins.
### II.3 The $\omega_{22n}$ curves
In Fig. 1 we show the range of $\omega_{lmn}$ values for the $(l,m)=(2,2)$ and
$n\in\left\\{6,\dots,10\right\\}$ corotating (solid curves) and counter-
rotating modes (dashed curves) for a unit-mass ($M_{f}=1$) Kerr black hole as
its dimensionless spin $a_{f}$ varies. These curves correspond to the full
range of spin values $a_{f}\in[0,1]$ for $n\not=8,9$, and to the ranges over
which we could obtain solutions for the $n=8,9$ tones:
$a_{f}\in\left[a_{f,n}^{\mathrm{start}},1\right]$ for the corotating modes,
with $a_{f,8}^{\mathrm{start}}\equiv{\color[rgb]{0,0,0}3.5}\cdot 10^{-3}$ for
$n=8$ and $a_{f,9}^{\mathrm{start}}\equiv{\color[rgb]{0,0,0}5.4}\cdot 10^{-3}$
for $n=9$, and $a_{f}\in{\color[rgb]{0,0,0}\left[0,0.997\right]}$ for the
associated counter-rotating mode. The counter-rotating mode curves shown have
actually been flipped around the imaginary axis (using the symmetry
transformation $\omega\mapsto-\omega^{*}$ where ∗ stands for the complex
conjugation) for better visualization. This choice can alternatively be
interpreted as a representation of the corresponding corotating solutions
either for the $(l=2,m=-2)$ mode (as in Berti _et al._ (2003); Cook and
Zalutskiy (2014, 2016a, 2016b)) or for negative spins, from the following
symmetry relations Berti _et al._ (2009); Cook and Zalutskiy (2014):
$\displaystyle\omega_{lmn}\left(a_{f}\right)=-\omega_{l(-m)n,c}^{*}\left(a_{f}\right)\,;$
(3)
$\displaystyle\omega_{lmn}\left(a_{f}<0\right)=-\omega_{lmn,c}^{*}\left(|a_{f}|\right)\,,$
(4)
where $\omega_{{l(\pm m)n},c}$ stand for the counter-rotating mode
frequencies. The negative-spin interpretation explains that most of these
curves continuously connect to the ($a_{f}\geq 0$) corotating branches. The
Schwarzschild limit for each $n\neq 8,9$ mode then appears at the transition
point between dashed and solid curves in the figure and is marked with a dot;
while the extremal-Kerr limit $a_{f}\rightarrow 1$ is found as
$\left\\{\mathrm{Re}[\omega_{lmn}],\mathrm{Im}[\omega_{lmn}]\right\\}\rightarrow\left\\{1,0\right\\}$
for all of the corotating modes shown here (_cf._ Chandrasekhar and Detweiler
(1975)).
For the corotating branch, $\mathrm{Re}[\omega_{lmn}]$ increases monotonically
with the spin of the final object $a_{f}$. Similarly,
$\mathrm{Im}[\omega_{lmn}]$ increases with $a_{f}$ over most of the spin range
for $n\geq 9$ and over the whole range for $n\leq 8$. Moreover, one can notice
that the values of the corotating modes span a broader domain (both in
$\mathrm{Re}[\omega_{lmn}]$ and $\mathrm{Im}[\omega_{lmn}]$) with respect to
the counter-rotating branch. The same features apply as well for all tones
other than those shown, except for $n=5$ which has a different high-spin
behavior Onozawa (1997). Regarding the counter-rotating branches, the
solutions decrease monotonically with $a_{f}$ both in real and imaginary part
up to $n=6$. For $n\geq 7$ the solutions become degenerate in
$\mathrm{Re}[\omega_{lmn}]$, where one can find multiple values of
$\mathrm{Im}[\omega_{lmn}]$ given one fixed $\mathrm{Re}[\omega_{lmn}]$.
Figure 1: Co- and counter-rotating (CR) QNM frequencies $\omega_{lmn}$ on the
complex plane for a final black hole mass set to $M_{f}=1$, for
$n\in\left\\{6,\dots,10\right\\}$ and for spin $a_{f}$ spanning $[0,1]$ or a
wide subset of this range (as discussed in the main text, Sec. II.3). The
solid lines account for the corotating solutions while the dashed ones
correspond to the counter-rotating tones. The latter are represented under the
$\omega\mapsto-\omega^{*}$ transformation, or equivalently, as per Eqs.
(3)–(4), as corotating modes with either $m=2\mapsto m=-2$, or, $a_{f}\mapsto-
a_{f}$. For $n\neq 8,9$, the Schwarzschild limit is recovered at the joining
point between the dashed and solid lines, and is materialized by a dot. At the
extremal-Kerr limit for all ($a_{f}>0$) corotating modes shown here,
$\omega_{lmn}\rightarrow 1$ as expected. The roughly equal spacing in
$\mathrm{Im}(\omega_{22n})$ between successive ($a_{f}>0$) corotating tones
can be noted on these curves for $\mathrm{Re}(\omega_{22n})\gtrsim 0.2$,
corresponding to spins $a_{f}\gtrsim 0.3$. This holds down to smaller spins
$a_{f}\gtrsim 0.1$ up to small shifts in $\mathrm{Re}(\omega_{22n})$ at fixed
$a_{f}$ between the tones shown here.
While the other modes were computed using the qnm Python package, as mentioned
above the $n=8$ (co- and counter-rotating) and $n=9$ curves that we present in
this figure have been obtained from the adapted version of the Mathematica
code555We also used this code to complete the curves for the other corotating
modes up to spins close to $1$, as the qnm package results become unreliable
—typically swapping different tones— at very high spins ($a_{f}\gtrsim$
0.995). from Berti _et al._ (2006, 2009); Berti ; Cardoso described in Sec.
II.2. Our results for these three modes are in good qualitative agreement with
those shown in Onozawa (1997) (limited to the $n=8$ co- and counter-rotating
modes), Berti _et al._ (2003), and Cook and Zalutskiy (2014). Their low-spin
behavior discussed in more detail below is well compatible with the higher-
accuracy investigation of Cook and Zalutskiy (2014) in particular — while the
low-spin range is too limited in Onozawa (1997); Berti _et al._ (2003) to
unambiguously compare the trends.
We provide our complex frequencies and angular separation constants results
for these modes as three tables, each one listing a range of $|a_{f}|$ values
with the corresponding $\mathrm{Re}[\omega_{lmn}]$,
$\mathrm{Im}[\omega_{lmn}]$, $\mathrm{Re}[\mathscr{A}_{lmn}]$ and
$\mathrm{Im}[\mathscr{A}_{lmn}]$ for $M_{f}$ set to $1$. We make these tables
available here: Mourier and Jimenez Forteza ; Jimenez Forteza and Mourier ,
along with the codes that we used. Given the convergence criterion mentioned
above, we consider each of these values to be accurate up to the number of
digits provided, that is, to an absolute precision of $10^{-10}$ for each of
these quantities. These results complement the data of Berti _et al._ (2006,
2009); Berti ; Cardoso and they correct and complement the results of the qnm
package Stein (2019) for these three branches. We use these tables, along with
the qnm package for all other modes, to produce the fits described in Section
IV.
The corotating modes in these tables are provided for the ranges
$a_{f}\in[a_{f,n}^{\mathrm{start}},1]$ mentioned above, with a step on $a_{f}$
set to $\delta a_{f}=10^{-4}$ and further refined close to $a_{f}=1$ ($\delta
a_{f}=10^{-5}$ for $0.9990\leq a_{f}\leq 0.9999$ and $\delta a_{f}=10^{-6}$
for $a_{f}\geq 0.9999$). For either mode, convergence was extremely slow at
and in the vicinity of its respective $a_{f,n}^{\mathrm{start}}$ spin value,
preventing the investigation of a large number of $a_{f}$ values below this
point or a decrease of the spin step near this point. This difficult
convergence is likely a consequence the known failure of Leaver’s method in
the vicinity of the algebraically special Schwarzschild mode
$\omega=-2\,\iota$ Chandrasekhar and Detweiler (1975); Berti _et al._ (2003),
where these modes lie at low spins. We could not achieve any convergence —
even at a much lower precision level — for the few
$a_{f}<a_{f,n}^{\mathrm{start}}$ values that we probed (_e.g._ , at
$a_{f}=a_{f,n}^{\mathrm{start}}-\delta a_{f}$ and
$a_{f}=a_{f,n}^{\mathrm{start}}-2\,\delta a_{f}$ in both cases with $\delta
a_{f}=10^{-4}$, at $a_{f}=3\cdot 10^{-3}$ for $n=8$, or at $a_{f}=5\cdot
10^{-3}$ for $n=9$). In both cases,
$\mathrm{Re}\big{[}\omega_{lmn}(a_{f}=a_{f,n}^{\mathrm{start}})\big{]}$ is
very close to zero, and extrapolating the frequency solutions to lower spins
would make them cross the imaginary axis at a finite spin value
$a_{f,8}^{\mathrm{start}}-2\,\delta
a_{f}<a_{f}<a_{f,8}^{\mathrm{start}}-\delta a_{f}$ for $n=8$ and at a finite
spin value $a_{f,9}^{\mathrm{start}}-\delta
a_{f}<a_{f}<a_{f,9}^{\mathrm{start}}$ for $n=9$, with the imaginary part of
the frequency remaining distinct from $-2$ at the crossing point in both
cases.
While the lack of convergence could simply be due to a complete failure of the
method in this range, these results — including the extrapolated values of
$a_{f}$ and $\mathrm{Im}(\omega_{22n})$ where the imaginary axis would be
crossed, although we find them with lower accuracy — are fully consistent with
the findings of Cook and Zalutskiy (2014). With an investigation extended even
closer to the imaginary axis, Cook and Zalutskiy (2014) indeed found both
branches to reach the axis at a finite spin and away from the algebraically
special Schwarzschild mode (with $\omega_{22n}\simeq-1.96384\,\iota$ at
$a_{f}\simeq 3.4826\cdot 10^{-3}$ and $\omega_{22n}\simeq-2.04223\,\iota$ at
$a_{f}\simeq 5.3279\cdot 10^{-3}$ for $n=8,9$, respectively), and to disappear
at lower spins. Cook and Zalutskiy (2016a) additionally showed that these QNMs
do not exist either _on_ the imaginary axis itself.
For the counter-rotating mode that we present in a third table (more
precisely, this table corresponds to the corotating $n=8$ mode for $m=2$,
$a_{f}<0$ or for $m=-2$, $a_{f}>0$, tied to the $m=2$, $a_{f}>0$, $n=8$
counter-rotating mode by the symmetry relations (3)–(4)), convergence was also
slower at spins very close to $0$ but could still be achieved down to
$|a_{f}|=10^{-6}$ — yet not at the Schwarzschild $a_{f}=0$ limit itself, as
expected. The values we obtain for $|a_{f}|>0$ are however compatible with the
Schwarzschild algebraically special limit $\omega_{22n}\rightarrow-2\,\iota$
(along with the $(m,n)$–independent Schwarzschild limit
$\mathscr{A}_{22n}\rightarrow 4$) for $a_{f}\rightarrow 0$, to within less
than $5\cdot 10^{-10}$ by extrapolation. This is in agreement with the
analytical prediction of this limit for the counter-rotating mode by Maassen
van den Brink (2000), while the solution also obtained down to
$|a_{f}|=10^{-6}$ in Cook and Zalutskiy (2014) was also compatible with it. We
accordingly assumed the validity of this limit and added it to the table at
$a_{f}=0$.
However, for this mode, convergence was much poorer at high spin values. We
accordingly provide the results for this mode over the spin range
$|a_{f}|\in{\color[rgb]{0,0,0}[0,0.997]}$ (the values at $a_{f}=0$ being
assumed as mentioned above), with a step $\delta a_{f}=10^{-6}$ at
$|a_{f}|\leq 2\cdot 10^{-5}$, $\delta a_{f}=10^{-5}$ at $2\cdot
10^{-5}\leq|a_{f}|\leq 2\cdot 10^{-4}$, $\delta a_{f}=10^{-4}$ at $2\cdot
10^{-4}\leq|a_{f}|\leq 0.97$, and $\delta a_{f}=10^{-3}$ at
$0.97\leq|a_{f}|\leq 0.997$. For both of the next spin values $|a_{f}|=0.998$
and $|a_{f}|=0.999$, convergence could not be reached, with the algorithm even
appearing to be divergent in the second case.
The disappearance of the $n=8,9$ corotating modes — or at least the failure of
the algorithm — at low spin values prevents the association of the counter-
rotating mode to either corotating branch. On the other hand, like the
previous studies, we have found only this single counter-rotating solution for
any given spin value in this region of the complex plane. Hence, as for the
$\omega=-2\,\iota$ Schwarzschild QNM, we associate this counter-rotating
branch to both corotating ones. Since we label these two corotating branches
as the $n=8$ and $n=9$ tones, the associated Schwarzschild mode and counter-
rotating branch may be considered as a degenerate $n=8$ and $n=9$ mode
simultaneously.
## III The waveform catalog
(a)
(b)
Figure 2: Left panel: Distribution of the final mass $M_{f}$ and final spin
$a_{f}$ of the 610 SXS waveforms used in this work. Right panel: same
distribution but for the effective spin $\chi_{\mathrm{eff}}$ and the mass
ratio $q$ instead.
In this work, we have used 620 non-precessing waveforms from the SXS catalog
The SXS Collaboration (2016), and two from the RIT catalog Campanelli _et
al._ (2016) for comparison. We excluded $\fpeval{620-610}$ out of the 620 SXS
cases, which we did not consider accurate enough for our analysis or which had
seemingly inconsistent final parameters (see Appendix A). We show in Fig. 2
the parameter space corresponding to the 610 SXS simulations analysed. The
left panel shows the $(M_{f},a_{f})$ distribution, with
$M_{f}\in[0.883,0.993]$ and $a_{f}\in[-0.344,0.997]$. Here and in the
following, we make the masses dimensionless by setting the total initial mass
of the two merging black holes $M=m_{1}+m_{2}$ to unity. On the right panel,
we alternatively show the distribution of the same SXS setups in terms of the
mass ratio $q=m_{1}/m_{2}\in[1,10]$ and the effective spin
$\chi_{\mathrm{eff}}=(\chi_{1}m_{1}+\chi_{2}m_{2})/(m_{1}+m_{2})\in\left[-0.97,0.9988\right]$.
The visible correlation between $M_{f}$ and $a_{f}$ is physical: at fixed mass
ratio, the relative energy radiated, $E_{f}=1-M_{f}$, increases with the value
of $\chi_{\mathrm{eff}}$ which is itself correlated with $a_{f}$ Rezzolla _et
al._ (2008); Jiménez-Forteza _et al._ (2017); Hofmann _et al._ (2016). Among
all the cases analysed here, there are 18 cases with $a_{f}<0$, for which we
have used the symmetries given by Eq. (4).
### III.1 Estimates of the final mass and spin
The final mass and final spin provided by NR catalogs are usually estimated
from their quasilocal definitions The SXS Collaboration (2016); Szilagyi _et
al._ (2009); Ashtekar and Krishnan (2004); Iozzo _et al._ (2021) on the
apparent horizon (AH). In the ringdown regime, the distorted black-hole
spacetime evolves quickly towards its stationary state. Then, the black hole
spin $S$ is obtained by computing the set of approximate Killing vectors
$\phi^{i}_{(k)}$ and the extrinsic curvature $K_{ij}$ at the AH and
integrating them over the induced AH area as,
$S_{\phi_{(k)}}=\frac{1}{8\pi}\int_{\mathrm{AH}}\phi_{(k)}^{i}\,s^{j}K_{ij}\,dA\,,$
(5)
where $s^{j}$ is the outgoing spacelike unit normal vector and
$S_{\phi_{(k)}}$ is the spin component estimate along the Killing vector
$\phi^{i}_{(k)}$. The spin magnitude is then evaluated as
$S\equiv\sqrt{S_{\phi_{(1)}}^{2}+S_{\phi_{(2)}}^{2}+S_{\phi_{(3)}}^{2}}$. The
final mass relies on the spin value $S$ and it is obtained by using the
Christodoulou formula for uncharged black holes Christodoulou (1970),
$\left(M_{f}^{\mathrm{l}}\right)^{2}=M^{2}_{\mathrm{irr}}+\frac{S^{2}}{4M_{\mathrm{irr}}^{2}}\,,$
(6)
which depends on the value of the final spin $S$ and the irreducible mass
$M_{\mathrm{irr}}$ (for further details see Sec.2.2 of The SXS Collaboration
(2016)). We work with the local dimensionless spin, namely,
$a_{f}^{\mathrm{l}}=\frac{S}{(M_{f}^{\mathrm{l}})^{2}}\,.$ (7)
The superscripts l stand for quasilocal mass and spin. Alternatively, the mass
and the spin can also be estimated from the energy and angular momenta
radiated away in the form of gravitational radiation. These _radiation_ -based
quantities (labeled with a superscript r) are obtained in terms of the Newman-
Penrose scalar $\psi_{4}$,
$\displaystyle\begin{split}M_{f}^{\mathrm{r}}&={\color[rgb]{0,0,0}M_{\mathrm{in}}}-\lim_{r\rightarrow\infty}\frac{r^{2}}{16\pi}\int\sum_{lm}\left|\frac{dh_{lm}}{dt}\right|^{2}\,dt^{\prime}\,;\\\
a_{f}^{\mathrm{r}}&=J_{\mathrm{in}}+\lim_{r\rightarrow\infty}\frac{r^{2}}{16\pi}\,\mathrm{Re}\\!\left[\int\sum_{lm}m\,h^{*}_{lm}\,\frac{d(h_{lm})}{dt}\,dt^{\prime}\right]\,,\end{split}$
(8)
where $h$ is the gravitational wave strain, $\psi_{4}=d^{2}h/dt^{2}$, and
$J_{\mathrm{in}}$ and $M_{\mathrm{in}}$ are the initial ADM dimensionless
angular momentum and initial ADM energy, respectively. To dissipate the local
gauge effects, the radiation quantities are evaluated at a distance
$\mathcal{O}(100M)$ away from the black holes apparent horizons (which have a
$\mathcal{O}(1M)$ radius) and extrapolated to null infinity. The integrals are
evaluated starting at a time $t^{\prime}=t_{\mathrm{in}}$ which is always
taken to be later than the emission of the junk radiation.
### III.2 Resolution and extrapolation errors
Usually, the local grid the near-horizon zone of binary BH simulations is
better resolved that the radiation zone so that $a_{f}^{\mathrm{l}}$ and
$M_{f}^{\mathrm{l}}$ are estimated to larger accuracy than
$a_{f}^{{\mathrm{r}}}$ and $M_{f}^{{\mathrm{r}}}$. Moreover, the radiative
quantities are also affected by extrapolation errors when extrapolating from
$r=\mathcal{O}(100M)$ to null infinity, by conversion errors from $\psi_{4}$
to $h$ or by still non-zero residual gauge effects Jiménez-Forteza _et al._
(2017); Keitel _et al._ (2017); Hinder _et al._ (2014); Iozzo _et al._
(2021). Since the fit results presented below in Sec. IV.3 attempt to recover
the final mass and spin from radiative quantities (_i.e._ , from the strain
mode $h_{22}$) and not from their quasilocal definitions, the errors on these
estimates will be better described by the errors on the parameters computed
from Eq. (8) rather than the errors from Eqs. (6) and (7). Thus, we consider
two type of basic errors estimates666Since most of the simulations used in
this work are shown to be in the convergent regime The SXS Collaboration
(2016), the main source of errors is either the resolution or the
extrapolation to null infinity of the NR datasets. On the other hand, other
sources of errors such as the conversion from $\psi_{4}$ to $h$ Hinder _et
al._ (2011) have not been considered here.: the local error
$\delta\epsilon_{\mathrm{l}}$ as in Finch and Moore (2021) and the radiation
error $\delta\epsilon_{\mathrm{r}}$ that are both defined from the following
estimates:
$\small\delta\epsilon_{\mathrm{l},\mathrm{r}}=\sqrt{\left(\frac{{}^{(N)\\!}M_{f}^{\mathrm{l},\mathrm{r}}-{}^{(N-1)\\!}M_{f}^{\mathrm{l},\mathrm{r}}}{M}\right)^{2}+({}^{(N)}a_{f}^{{\mathrm{l},\mathrm{r}}}-{}^{(N-1)}a_{f}^{\mathrm{l},\mathrm{r}})^{2}}\,.$
(9)
Here, $M=m_{1}+m_{2}=1$, and the superscripts (N) and (N-1) stand either for
consecutive resolution levels or for consecutive extrapolation orders777One
can also use the same formula to compute a mass and spin discrepancy
$\delta\epsilon_{\mathrm{l}}$ or $\delta\epsilon_{\mathrm{r}}$ between
simulation results from two different codes — rather than comparing different
resolution levels or extrapolation orders within a given code — as we will do
in Sec. IV.3. . Our final radiative error estimates are obtained by combining
the resolution and the extrapolation errors, while only resolution effects are
relevant for the local estimates (see Sec. III.2). We have restricted the sum
of Eq. (8) to $l=m=2$, in order to avoid the error contributions sourced by
the higher angular modes. Notice that this is only valid to get the error on
the fit estimates from the $(22)$ mode but not accurate enough to compute the
final mass and final spin. The same holds for the initial ADM mass and angular
momentum in Eq. (8): their exact value and time at which they are computed
become irrelevant for the error estimation, since these terms are suppressed
when computing differences between resolutions or extrapolation orders as per
Eq. (9).
Figure 3: Distributions of error values obtained for the NR local error
(dashed gray), the NR radiation error (dashed-dotted black) and its
extrapolation (solid green) and resolution (dotted blue) contributions, for
the SXS waveforms considered here and when multiple resolutions were
available. We observe that the extrapolation errors are typically larger than
the resolution ones. The median values obtained are $\left\\{1.7\times
10^{-4},7.6\times 10^{-4},8.1\times 10^{-4}\right\\}$ for resolution,
extrapolation and combined radiation errors respectively. The distribution of
(resolution-based) local errors is additionally shown (dashed gray line) and
they can be noticed to be substantially smaller than the radiative errors,
with a median value $\widetilde{\delta\epsilon_{\mathrm{l}}}=4.6\times
10^{-5}$.
More specifically, the local errors have been obtained from the differences on
the mass and spin between the highest ($N$) and the second-highest ($N-1$)
resolution datum per NR case, following Eq. (9). This type of error results
from the discreteness of the grid, thus, its value depends on the sampling of
the numerical domain. In the case of the local errors, the discreteness
affects the computation of the integrals on the AH in Eq. (7). Since the
resolution is usually finer at the black hole ‘near-horizon’ length scale
$\mathcal{O}(1M)$, the local errors are in general smaller than the radiative
ones. Moreover, the radiative errors account for all types of inaccuracies
that have been propagated to the strain $h_{22}(t)$. Here, we have estimated
them from i) the resolution errors $\delta\epsilon_{\mathrm{r},\mathrm{res}}$
and ii) the extrapolation errors $\delta\epsilon_{\mathrm{r},\mathrm{extr}}$.
Like for the local errors, the resolution errors are computed from the
difference between the highest ($N$) and second-highest ($N-1$) resolutions,
but now estimated on the strain888BH merger simulations are solved by
splitting the whole space-time in a set of subdomains that range from the
black hole scale to the waves scale, where the black hole scale is usually the
finest and the wave scale the coarsest. $h_{22}(t)$ . The extrapolation errors
arise from the extrapolation of the strain to null infinity. Such
extrapolation is performed by fitting with second- to fourth-order polynomials
the phase and the amplitude of the strain multiplied by radius $r\,h_{22}(t)$
extracted on a set of several finite $\mathcal{O}(100M)$ distances from the
black hole local domain The SXS Collaboration (2016); Keitel _et al._ (2017);
Jiménez-Forteza _et al._ (2017); Iozzo _et al._ (2021). Here, we estimated
the associated error also from Eq. (9) by taking the differences between the
successive extrapolation orders $N=2$ and $N=3$ on the waveform $h_{22}(t)$ at
the highest resolution999A lower-order polynomial typically performs better at
extrapolating the ringdown regime (see Sec. 2.4.1 of The SXS Collaboration
(2016)), hence we did not consider the fourth order, and we always take the
second-order extrapolation level as the default $h_{22}(t)$. The final
radiative error is estimated as,
$\delta\epsilon_{\mathrm{r}}=\sqrt{(\delta\epsilon_{\mathrm{r},\mathrm{res}})^{2}+\delta\epsilon_{\mathrm{r},\mathrm{extr}}^{2}}\,.$
(10)
In Fig. 3 we show the distribution of the errors $\delta\epsilon_{\mathrm{l}}$
and $\delta\epsilon_{\mathrm{r}}$ as well as the separate contributions
$\delta\epsilon_{\mathrm{r},\mathrm{res}}$,
$\delta\epsilon_{\mathrm{r},\mathrm{extr}}$ for the SXS waveforms considered.
To compute $\delta\epsilon_{\mathrm{l}}$,
$\delta\epsilon_{\mathrm{r},\mathrm{res}}$ and $\delta\epsilon_{\mathrm{r}}$,
we have only used the 343 simulations that are provided with multiple
resolution data. Notice that the extrapolation error (solid green) becomes the
major contribution to the uncertainty of the SXS dataset used in this work,
being typically larger than the resolution one. This can also be seen from the
median values of both error estimates: as expected, this value is larger for
the radiative error, with $\widetilde{\delta\epsilon_{\mathrm{r}}}=8.1\times
10^{-4}$, than for the local error, with
$\tilde{\delta\epsilon_{\mathrm{l}}}=4.6\times 10^{-5}$.
## IV Setup and fit results
In this section we show the results obtained from the fits of the $(22)$ mode
of the NR waveforms by a range of ringdown models. Each model corresponds to
the $(22)$ mode of Eq. (1) where we have simply set $t_{r}=0$, and restricted
to a total number $N_{\mathrm{max}}$ of QNM overtones with
$N_{\mathrm{max}}\in\left\\{0,\dots,12\right\\}$ — and occasionally up to
$N_{\mathrm{max}}=16$. In particular, we consider two main scenarios: i) the
final mass and final spin are fixed and set equal to the known NR values; or
ii) we seek for the mass and the spin that minimise the fit mismatch
$\mathcal{M}$ (see below).
In these two scenarios, we have neglected the counter-rotating modes of Eq.
(1) since they are expected to have negligible amplitudes compared to the
corotating ones Jiménez Forteza _et al._ (2020); Finch and Moore (2021) and
to have a negligible impact on the recovery of the final mass and spin Dhani
and Sathyaprakash (2021). Similarly, mode-mixing effects are as well discarded
due to their small impact on the $(22)$ mode Finch and Moore (2021); Cook
(2020); Dhani and Sathyaprakash (2021).
### IV.1 The fitting algorithm
In scenario i), we fit for the $2(N_{\mathrm{max}}+1)$ parameters
$\vec{\lambda}=\\{A_{22n},\varphi_{22n}\\}$ with
$n\in\left\\{0,\dots,N_{\mathrm{max}}\right\\}$, for known final mass and
spin; while in scenario ii) we fit as well for the mass $M_{f}$ and the spin
$a_{f}$, while in scenario ii) we fit for the same set of parameters
$\vec{\lambda}$ over a range of $(M_{f},a_{f})$ values and then optimize the
results over this mass and spin range, thus accounting for
$2(N_{\mathrm{max}}+2)$ parameters in total.
Notice that once the values of the mass and spin are fixed, the RD ansatz (1)
is linear in the complex amplitudes $\mathcal{A}_{lmn}$. Therefore, one may
use a linear least-squares algorithm to obtain the fit results Giesler _et
al._ (2019); Bhagwat _et al._ (2020); Cook (2020). That is, for a given value
of the $(M_{f},a_{f})$ pair, the complex amplitudes $\mathcal{A}_{lmn}$ are
obtained by minimising the $\chi^{2}$,
$\chi^{2}=\sum_{k}\left|\bar{h}_{22}\\!\left(\vec{\lambda}\right)(t_{k})-h_{22}(t_{k})\right|^{2},$
(11)
where the subscript $k$ labels the values of the time axis of the NR waveform,
$t_{k}\in\left[t_{0},t_{f}\right]$ for a certain fit starting time $t_{0}$ and
with $t_{f}=90M$; and $\bar{h}_{22}(\vec{\lambda})$ denotes the model
$(2,2)$-mode strain for the set of parameters $\vec{\lambda}$. By default in
the following, the starting time is set to $t_{0}=0$, which corresponds to the
peak of the $(22)$ mode of the strain $h_{22}(t)$. We however let this value
vary in Secs. IV.2 and IV.3.4 as specified there. The best-fit parameters per
RD model $\vec{\lambda}^{\mathrm{bf}}(N_{\mathrm{max}})$ are chosen as the
ones that minimise Eq. (11).
The above fully describes the fitting procedure in scenario i). In scenario
ii), the same process is iterated over a range of $(M_{f},a_{f})$ values to
find the optimal one. To this aim, we build a two-dimensional adaptive grid on
the final mass $M_{f}$ and the final spin $a_{f}$, with a grid minimum step
set to $3.2\cdot 10^{-6}$ in both variables. Every point of the grid is then
treated as a linear least-squares minimization problem on the parameters
$\vec{\lambda}=\\{A_{22n},\varphi_{22n}\\}$ as above Finch and Moore (2021);
Mourier and Jimenez Forteza ; Jimenez Forteza and Mourier . Closely related to
the $\chi^{2}$ and recurrently used in GW astronomy, we compute the mismatch
for each best-fit RD model, namely101010In this framework, one can easily show
that both $\mathcal{M}$ and the $\chi^{2}$ provide the same qualitative
behavior. In particular, for a model closely fitting the NR waveform,
${\chi^{2}\simeq 2\,\mathcal{M}\,(\sum_{k}|h_{22}(t_{k})|^{2})}$. Therefore, a
minimum on $\chi^{2}$ directly translates to a minimum in $\mathcal{M}$ and
_vice versa_.,
$\mathcal{M}=1-\frac{\left\langle
h_{22}\,\middle|\,\bar{h}_{22}\left(\vec{\lambda}^{\mathrm{bf}}\right)\right\rangle}{\sqrt{\Big{\langle}h_{22}\,\Big{|}\,h_{22}\Big{\rangle}\left\langle\bar{h}_{22}\\!\left(\vec{\lambda}^{\mathrm{bf}}\right)\,\middle|\,\bar{h}_{22}\\!\left(\vec{\lambda}^{\mathrm{bf}}\right)\right\rangle}}\,,$
(12)
where
$\langle f|g\rangle=\int_{t_{0}}^{t_{f}}f(t)\,g(t)^{*}\,dt\,.$ (13)
Finally, the best-fit mass and spin values
$(M_{f}=M_{f}^{\mathrm{fit}},a_{f}=a_{f}^{\mathrm{fit}})$ for the given
waveform and the given number of overtones $N_{\mathrm{max}}$ of the RD model
are selected as the grid point where $\mathcal{M}$ from Eq. (12) is minimal.
The associated value of the minimum $\mathcal{M}$ for each RD
$N_{\mathrm{max}}$ model is sufficient to assess the fit accuracy but
insufficient to determine whether the fitting parameters are physically
reliable. A decreasing value of the mismatch $\mathcal{M}$ between different
models is particularly sensitive to overfitting, especially if it is applied
to nested models such as the RD models we have considered in this work (the RD
model with $N_{\mathrm{max}}-1$ overtones corresponds to the subclass of the
RD model with $N_{\mathrm{max}}$ overtones with
$\mathcal{A}_{N_{\mathrm{max}}}$ set to $0$). To overcome this issue we use
the mass and spin bias $\epsilon$ defined in Eq. (4) of Giesler _et al._
(2019),
$\epsilon=\sqrt{\left(\frac{\delta M_{f}}{M}\right)^{2}+\delta a_{f}^{2}}\;,$
(14)
where $\delta M_{f}=M_{f}^{\mathrm{fit}}-M_{f}^{\mathrm{true}}$ and $\delta
a_{f}=a_{f}^{\mathrm{fit}}-a_{f}^{\mathrm{true}}$. Thus, $\epsilon$ measures
the combined deviation of the final mass $M_{f}$ and the final spin $a_{f}$
with respect to the true parameters $M_{f}^{\mathrm{true}}$ and
$a_{f}^{\mathrm{true}}$ of the NR simulation, that are estimated from the mass
and spin quasilocal definitions The SXS Collaboration (2016), _i.e._ ,
following Eqs. (6) and (7).
### IV.2 Fits with the mass and spin fixed to their true values
First, we show the results obtained by fitting the RD models (1) to the NR
waveform SXS:0305 following the same methodology described in Bhagwat _et
al._ (2020); Jiménez Forteza _et al._ (2020); Mourier _et al._ (2021). With
$a_{f}^{\mathrm{true}}=0.692$ and $M_{f}^{\mathrm{true}}=0.952$, this waveform
is consistent with the first gravitational wave event GW150914, and it has
been recurrently used in several RD studies Giesler _et al._ (2019); Bhagwat
_et al._ (2020). In Fig. 4 we show the mismatch curves for a set of models
with a number of overtones $N_{\mathrm{max}}$ spanning
$\left\\{0,\dots,10\right\\}$. In the RD models (1) used for these fits, the
final mass and spin are fixed (scenario i), which implies that the whole set
of QNM frequencies and damping times are fixed to their GR values. We analyse
the fit results as a function of the fitting starting time $t_{0}/M$. Notice
that the mismatch diminishes as the number of overtones $N_{\mathrm{max}}$
increases for all RD models and for any fit starting time $t_{0}$. For each RD
model, we find a local minimum in $\mathcal{M}$ as $t_{0}$ varies, after an
initial strong decrease and followed by a plateau of nearly-constant
$\mathcal{M}$. This minimum111111This first local minimum is the global
minimum in $\mathcal{M}$ for $N_{\mathrm{max}}\leq 6$. For larger
$N_{\mathrm{max}}$ values, the global minimum of $\mathcal{M}$ is different
and occurs at a later fit starting time $t_{0}\simeq 20M$, but it is still
only marginally smaller that the first local minimum. occurs at increasingly
early starting times $t_{0}$ as the number of overtones $N_{\mathrm{max}}$
increases. In particular, it occurs at $t_{0}\simeq 0$ for the
$N_{\mathrm{max}}=7$ model as it has been observed in Giesler _et al._
(2019); Bhagwat _et al._ (2020); Finch and Moore (2021). For the new
$N_{\mathrm{max}}=8,9,10$ models, the local minimum in mismatch occurs at some
$t_{0}<0$. The same trend continues for all the subsequent models tested in
this work (that is, with ${10<N_{\mathrm{max}}\leq 16}$), which have not been
included here for the sake of the plot clarity.
At large $N_{\mathrm{max}}$, the decrease in the mismatch value (hence also of
the $\chi^{2}$) with increasing $N_{\mathrm{max}}$ may be mostly due to
overfitting of the data. Namely, increasing $N_{\mathrm{max}}$ increases the
number of free parameters in the model accordingly, which induces a decrease
in $\mathcal{M}$ and may become the main source of the observed decrease as
$N_{\mathrm{max}}$ gets large. In the next section we discuss how $\epsilon$
may be used as an approximate indicator to detect the overfitting in our RD
models.
Figure 4: We show the mismatch ${\mathcal{M}}$ at best-fit complex amplitudes
$\mathcal{A}_{22n}$ from Eq. (12) for a range of RD models with
$N_{\mathrm{max}}\in\left\\{0,\dots,10\right\\}$ as a function of the fit
starting time $t_{0}/M$. Notice that as the number of tones of the model
increases, the mismatch decreases progressively. In addition, for each
$N_{\mathrm{max}}$, one finds a local minimum on ${\mathcal{M}}$ as a function
of $t_{0}/M$, which occurs at progressively smaller fit starting times
$t_{0}/M$ as $N_{\mathrm{max}}$ increases.
### IV.3 Varying the final mass and spin
#### IV.3.1 Results comparison for SXS/RIT waveform pairs
We now set $t_{0}=0$ and we study the behavior of $\epsilon$ for two pairs of
NR simulations, (SXS:0305, RIT:0062) and (SXS:0259, RIT:0118). Each pair
corresponds to merger simulations from two different catalogs (the SXS catalog
The SXS Collaboration (2016) and the RIT catalog Campanelli _et al._ (2016))
with consistent values of every physical parameter. The true values of the
final mass and spin are $M_{f}=\left\\{0.952\pm 1.2\times 10^{-5},0.966\pm
3\times 10^{-5}\right\\}$ and $a_{f}=\left\\{0.692\pm 1.2\times
10^{-4},0.581\pm 2\times 10^{-5}\right\\}$ for the first and second pair of
simulations respectively, which correspond to merging binary BHs with mass
ratio $q=\left\\{1.22,2.5\right\\}$ and effective spin
$\chi_{\mathrm{eff}}=\left\\{-0.0165,0\right\\}$ respectively. The values of
uncertainty quoted on $(M_{f},a_{f})$ are computed from the differences on the
local final mass and spin as
$\left|(M_{f}^{\mathrm{l}})_{\mathrm{SXS}}-(M_{f}^{\mathrm{l}})_{\mathrm{RIT}}\right|$
and
$\left|(a_{f}^{\mathrm{l}})_{\mathrm{SXS}}-(a_{f}^{\mathrm{l}})_{\mathrm{RIT}}\right|$,
that translate to a local discrepancy
$\delta\epsilon^{\mathrm{l}}_{\mathrm{SXS}-\mathrm{RIT}}=\left\\{1.2\times
10^{-4},3.6\times 10^{-5}\right\\}$ respectively for the two simulation pairs.
In Fig. 5 we show on a log–log scale, the values obtained for ${\epsilon}$ and
${\mathcal{M}}$ for the two pairs of simulations and for a set of RD models
with $N_{\mathrm{max}}\in\left\\{0,\dots,16\right\\}$. On the top panel,
corresponding to the first simulation pair (SXS:0305, RIT:0062), we observe
that both ${\epsilon}$ and $\mathcal{M}$ decrease as $N_{\mathrm{max}}$
increases up to $N_{\mathrm{max}}=7$, where $\epsilon$ reaches a minimum at
$\epsilon\sim 3\cdot 10^{-4}$ as observed in Giesler _et al._ (2019); Finch
and Moore (2021). This has been considered as one possible empirical evidence
that i) one needs $N_{\mathrm{max}}=7$ overtones to describe the post-peak
data Giesler _et al._ (2019); Finch and Moore (2021) and ii) post-peak
nonlinearities are subdominant even at $t_{0}=0$. At $N_{\mathrm{max}}>7$ and
for both waveforms, the mismatch keeps decreasing at a reduced rate, while
$\epsilon$ increases. This trend reaches a saturation point at $\epsilon\sim
5\cdot 10^{-3}$ for $N_{\mathrm{max}}\sim 16$. Thus, in this case, we do not
improve any further the accuracy on the estimate of the mass and spin beyond
$N_{\mathrm{max}}=7$, for both SXS:0305 and RIT:0062. This could be taken as
the threshold point beyond which overfitting could be significantly affecting
the fits. However, this behavior is rather variable when studying other NR
cases (see bottom panel and its discussion below, and Sec. IV.3.2), where one
finds that the minimum $\epsilon$ point is case-dependent. On the other hand,
in Sec. IV.3.3 we show that large instabilities in the best-fit amplitudes
could be affecting the tones at $n\geq 2$, thus, any claim about the onset
point of overfitting shall be taken with caution.
The shaded gray area delimits the domain for which the mismatch is lower than
the mismatch between the two waveforms
$\mathcal{M}_{\mathrm{SXS}-\mathrm{RIT}}$, and where
$\epsilon\leq\delta\epsilon_{\mathrm{r},\mathrm{SXS}-\mathrm{RIT}}$.
Conversely, the smaller shaded orange area near the lower-left corner of each
plot stands for the radiation error on the SXS data alone (since only one
resolution level per case is provided for the RIT catalog); see Sec. III.2 for
further details on the computation of
$\delta\epsilon_{\mathrm{r},\mathrm{l}}$. In particular, the upper bound of
the SXS error on the mismatch axis — the mismatch horizontal orange line — is
estimated as the maximum mismatch that results from comparing both the two
highest resolution and the two best extrapolation levels ($N=2$ and $3$),
namely, ${\rm
max}\left(\mathcal{M}_{\mathrm{res}},\mathcal{M}_{\mathrm{extr}}\right)$.
In the bottom panel we show the $\mathcal{M}-\epsilon$ results for the higher
mass ratio pair (SXS:0259, RIT:0118). Notice that the trend on $\epsilon$
changes substantially compared to the previous case. As expected, the mismatch
always decreases, but flattens out at $N_{\mathrm{max}}=6$, especially for
SXS:0259. Here however, for SXS:0259, the value of $\epsilon$ decreases to
eventually reach its minimum only at $N_{\mathrm{max}}=13$ with $\epsilon\sim
3\cdot 10^{-4}$ — a similar minimal value as the one reached at a smaller
$N_{\mathrm{max}}$ in the case of SXS:0305. For $N_{\mathrm{max}}>13$,
$\epsilon$ increases again although it is not yet saturated at
$N_{\mathrm{max}}=16$. In the case of RIT:0118, $\epsilon$ decreases and hits
its minimum at $N_{\mathrm{max}}=5$ with a larger value $\epsilon\sim 3\cdot
10^{-3}$ to thereafter grow, saturate at $\epsilon\sim 10^{-2}$ for
$N_{\mathrm{max}}\sim 9$ and decrease again. These discrepancies between the
behaviors observed for both waveforms on the lower panel arise in the
$\mathcal{M}\leq\mathcal{M}_{\mathrm{SXS}-\mathrm{RIT}}$,
$\epsilon\leq\delta\epsilon_{\mathrm{r},\mathrm{SXS}-\mathrm{RIT}}$ domain,
thus they could be affected the by NR errors — or other systematics — of each
code.
It is moreover noteworthy that the minimal $\epsilon$ values — or the turning
points on the $\mathcal{M}-\epsilon$ plane — occur close the boundary
delimited by the SXS radiative error $\delta\epsilon_{\mathrm{r}}$ (orange
areas) for both SXS waveforms analysed here. This could indicate that the
change of trend for $N_{\mathrm{max}}\geq
N_{\mathrm{max}}(\epsilon_{\mathrm{min}})$ for the SXS models may be dominated
by the NR uncertainties.
(a)
(b)
Figure 5: We show the best-fit ${\epsilon-\mathcal{M}}$ plane for a range of
models with $N_{\mathrm{max}}\in\left\\{0,\dots,16\right\\}$ and for two NR
waveforms from the SXS catalog (green) and two waveforms from the RIT catalog
(orange), with initial parameters $(q=1.22,\chi_{\mathrm{eff}}=-0.0165)$ (top
panel) and $(q=2.5,\chi_{\mathrm{eff}}=0)$ (bottom panel). The gray lines and
shaded areas on each panel delimit the mismatch and $\epsilon$ values that are
respectively smaller than the mismatch
$\mathcal{M}_{\mathrm{SXS}-\mathrm{RIT}}$ and radiative discrepancy on the
mass and spin $\delta\epsilon_{\mathrm{r},\mathrm{SXS}-\mathrm{RIT}}$ between
the two waveforms considered in the panel. The smaller orange shaded areas at
the lower-left corners show the same in terms of the maximum
resolution/extrapolation mismatch ${\rm
max}\left(\mathcal{M}_{\mathrm{res}},\mathcal{M}_{\mathrm{extr}}\right)$, and
of the radiative error $\delta\epsilon_{\mathrm{r}}$, of each SXS waveform.
The two green and orange points furthest on the upper-right corner of each
panel correspond to the $N_{\mathrm{max}}=0$ model. In the top panel, notice
that as $N_{\mathrm{max}}$ increases the ${\epsilon-\mathcal{M}}$ points are
progressively shifted to the left bottom corner until $N_{\mathrm{max}}=7$,
where the minimum $\epsilon$ is achieved for both NR simulations. Beyond
$N_{\mathrm{max}}=7$, $\mathcal{M}$ keeps decreasing —albeit more marginally—
while $\epsilon$ increases. Conversely, for the case shown in the bottom
panel, we observe that the trend and the values at which
$\epsilon_{\mathrm{min}}$ is achieved are significantly different between the
two simulations. In particular, $\epsilon$ now reaches its minimum at
$N_{\mathrm{max}}=13$ for the SXS simulation while the much larger minimal
value of $\epsilon$ for the RIT waveform is reached at $N_{\mathrm{max}}=5$.
The difference on the true parameters between the waveforms from both codes is
$\delta\epsilon_{\mathrm{l},\mathrm{SXS}-\mathrm{RIT}}\lesssim 10^{-4}$, thus
much smaller than the radiative errors
$\delta\epsilon_{\mathrm{r},\mathrm{SXS}-\mathrm{RIT}}$ (gray vertical lines).
#### IV.3.2 Mass and spin recovery biases for the set of non-precessing SXS
simulations
Once studied individually the above two NR cases, we extend this analysis to
the set121212We excluded $\fpeval{620-610}$ out of the 620 such waveforms in
the catalog, which did not appear reliable enough for our analysis. We list
these cases in Table 1 along with the reasons of their exclusion. of non-
precessing SXS binary-black hole waveforms The SXS Collaboration (2016). In
particular, we want to explore whether the consideration of
$N_{\mathrm{max}}>7$ models allows us to find which number of overtones is
statistically preferred over this set of NR waveforms. In Fig. 6 we show the
distributions of the values obtained for $\epsilon$ over the 610 waveforms
considered, for each of five RD models with
$N_{\mathrm{max}}\in\\{1,3,7,8,9\\}$. Consistently with the particular cases
shown on Fig. 5, we find that among these models the distributions for
$N_{\mathrm{max}}=1$ and $N_{\mathrm{max}}=3$ provide the largest values of
$\epsilon$, with the median values $\tilde{\epsilon}\simeq 10^{-1}$ and
$\tilde{\epsilon}\simeq 1.3\times 10^{-2}$ respectively, while the
distributions on $\epsilon$ for the $N_{\mathrm{max}}=7,8,9$ models are
shifted to significantly lower values. For instance, we have obtained a median
value $\tilde{\epsilon}=2.2\times 10^{-3}$ for $N_{\mathrm{max}}=7$,
consistent with Giesler _et al._ (2019); Finch and Moore (2021). We do not
observe significant differences between the $N_{\mathrm{max}}=7,8,9$ models,
where all three distributions overlap within the $10$–$90$ percentiles. We
also show on this figure the distribution of the NR radiative
($\delta\epsilon_{\mathrm{r}}$) and local ($\delta\epsilon_{\mathrm{l}}$)
errors. For the radiative errors, we have taken into account the resolution
and extrapolation errors131313274 out of the 610 SXS waveforms discussed here
are only available at a single resolution. Thus, these cases have not been
accounted for in our NR error estimates.. Notice that the distribution on the
NR local errors does slightly overlap with the $N_{\mathrm{max}}=7,8,9$
distributions. On the other hand, the radiative errors broadly overlap with
the $N_{\mathrm{max}}=7,8,9$ distributions of $\epsilon$. As described in Sec.
III.2, we have obtained $\widetilde{\delta\epsilon_{\mathrm{r}}}\simeq
8.1\times 10^{-4}$ for the radiative error, thus a slightly smaller but
comparable value to $\tilde{\epsilon}(N_{\mathrm{max}}=7)$. In contrast, we
have obtained a much smaller median value,
$\widetilde{\delta\epsilon_{\mathrm{l}}}\simeq 4.6\times 10^{-5}$, for the
local error.
Figure 6: We show the ${\epsilon}$ distribution for five models with
$N_{\mathrm{max}}\in\\{1,3,7,8,9\\}$ computed from the set of non-precessing
NR waveforms from the SXS catalog, together with the distribution of NR error
estimates for the waveforms for which multiple resolutions are available. The
models with $N_{\mathrm{max}}=1,3$ show significantly larger values for the
$\epsilon$ distributions, with $\tilde{\epsilon}=10^{-1},1.3\cdot 10^{-2}$
respectively. On the other hand, we have obtained $\tilde{\epsilon}\sim
2\times 10^{-3}$ for the $N_{\mathrm{max}}=7,8,9$ models, thus not showing
significant differences among them.
In Fig 7 we show the $\epsilon$ estimates (shaded colored curves) for the 610
cases we have analysed as a function of the number of overtones
$N_{\mathrm{max}}$ of each RD model. The corresponding median values
$\tilde{\epsilon}$ (diamonds) and the $10$–$90$ percentiles of the
distribution (bars) are also shown for each $N_{\mathrm{max}}$. The shaded
curves are split in terms of the final spin value as follows: $a_{f}>0.7$ in
light gray, $0\leq a_{f}<0.7$ in light blue and the 18 cases with $a_{f}<0$ in
light red141414Since we restrict our models to the corotating modes, we did
use in our fits the prior knowledge of the sign of the true parameter
$a_{f}^{\mathrm{true}}$ to select those corotating modes adapted to this sign.
For $a_{f}^{\mathrm{true}}<0$, these modes may then be directly obtained from
the positive-spin _counter-rotating_ solutions _via_ the symmetry relation
(4). Note that in this case $N_{\mathrm{max}}$ is still to be understood as
the total number of distinct overtones in the model, so that the
$N_{\mathrm{max}}=10$ model for instance will be comprised of the
$n=0,1,\dots,7,8,10,11$ $a_{f}>0$ counter-rotating branches in our notations,
since there is no distinct $n=9$ such branch (see Sec. II.3). For the
consistency of the mode selection, we restrict the allowed spin range on the
$(M_{f},a_{f})$ grid to spins of the same sign as $a_{f}^{\mathrm{true}}$ —
either $a_{f}\in[0,0.99]$ or $a_{f}\in[-0.99,0]$. In a few cases where
$a_{f}^{\mathrm{true}}$ is close to $0$ (with either sign) and with small
$N_{\mathrm{max}}$ values (when $\epsilon$ is large), this may lead to an
underestimated $\epsilon$ when the minimum-$\mathcal{M}$ solution lies at the
$a_{f}=0$ boundary of the allowed spin range. . First, notice that all
$\epsilon$ curves with $a_{f}\geq 0$ (light gray and light blue) show a
similar trend as we vary the number of overtones $N_{\mathrm{max}}$. This
allow us to disregard possible artifacts originating from the mode mixing,
i.e., those possible artifacts that result from decomposing the NR strain in
terms of spherical rather than spheroidal harmonics, and that would be
expected to mostly arise at high spins Cook (2020); Finch and Moore (2021). On
the other hand, we do observe a higher concentration of the negative spins
(light red curves) at high $\epsilon$. For comparison, at $N_{\mathrm{max}}=8$
as an example, the median value of $\epsilon$ over the negative-spin cases
(light red curves) is $\tilde{\epsilon}_{a_{f}<0}\,\sim 2.0\cdot 10^{-2}$,
substantially larger than the medians of the intermediate positive-spin cases
($0<a_{f}<0.7$, corresponding to the light blue curves) and of the high-spin
cases ($a_{f}>0.7$, corresponding to the light gray curves),
$\tilde{\epsilon}_{0<a_{f}<0.7}\,\sim 2.4\cdot 10^{-3}$ and
$\tilde{\epsilon}_{a_{f}>0.7}\,\sim 1.2\cdot 10^{-3}$ respectively. We have
found that the radiative errors $\delta\epsilon_{\mathrm{r}}$ obtained for
$a_{f}<0$ are the largest among the NR setup, hence the NR uncertainties could
explain the high $\epsilon$ values obtained in those cases. The minimum-
mismatch value also deteriorates for $a_{f}<0$ with respect to $a_{f}\geq 0$
cases, for $N_{\mathrm{max}}\geq 5$. Beyond this $N_{\mathrm{max}}$, the
median of the best-fit mismatch values over all negative-spin cases
approximately plateaus at $\widetilde{\mathcal{M}}_{a_{f}<0}\,\sim 4\cdot
10^{-6}$, while for intermediate positive spins ($0<a_{f}<0.7$) and high spins
($a_{f}>0.7$) the corresponding medians only saturate at larger
$N_{\mathrm{max}}$ and reach lower values,
$\widetilde{\mathcal{M}}_{0<a_{f}<0.7}\,\sim 2\cdot 10^{-7}$ and
$\widetilde{\mathcal{M}}_{a_{f}>0.7}\,\sim 7\cdot 10^{-8}$ respectively for
these two positive-spin classes. The source of these discrepancies may lie on
the numerical setup of the NR simulations and its full exploration may require
some further investigation. On the other hand, we find that $\tilde{\epsilon}$
decreases before flattening out at ${N_{\mathrm{max}}\sim 5,6}$. Beyond this
point, the values of $\tilde{\epsilon}$ remain approximately stable at
$\tilde{\epsilon}\sim 2\times 10^{-3}$. The 18 cases with $a_{f}<0$ do not
increase substantially the value of $\tilde{\epsilon}$ since they still
represent a small fraction of the NR simulations studied. However, this may
need to be reviewed if more NR simulations with negative final spin are added
to the catalog. The orange shaded area in the lower half of the plot accounts
for the $10$–$90$ percentiles obtained from the radiative error distribution
while the dashed black line stands for its median value. Note that the $90$th
percentile (upper bound) of this error lies above the median values
$\tilde{\epsilon}$ of the $\epsilon$ distribution for $N_{\mathrm{max}}\geq 6$
(with the exception of $\tilde{\epsilon}(N_{\mathrm{max}}=12)$ lying slightly
above this line), which suggests that the waveform inaccuracies could be
affecting the estimates of $\tilde{\epsilon}$ at high $N_{\mathrm{max}}$.
Furthermore, it is noticeable that the median $\epsilon$ values for the
$N_{\mathrm{max}}=3-4$ models lie within the $10$–$90$ percentile bands of the
$N_{\mathrm{max}}\geq 5-6$ models. For comparison, the black dotted line shows
the median of the local error estimate
$\widetilde{\delta{\epsilon}_{\mathrm{l}}}$. This value is far below the
estimates obtained for $\epsilon$, but we recall here that the radiative error
$\widetilde{\delta{\epsilon}_{\mathrm{r}}}$ provides a conceptually more
appropriate measure of the error since it is computed directly from the (22)
mode of the strain, _i.e._ , from the data used to compute our fits.
Figure 7: We show the median value ${\tilde{\epsilon}}$ (diamonds) of the
distribution of $\epsilon$ values over the SXS waveforms studied, as a
function of the number of overtones $N_{\mathrm{max}}$ included in the model.
For each $N_{\mathrm{max}}\geq 3$, a second value is shown (circles) which has
been computed from the median $\tilde{\epsilon}_{\mathrm{min}}$ of all the
studied NR cases for which $\epsilon$ is minimum at $N_{\mathrm{max}}$ among
the $N_{\mathrm{max}}=0,\dots,12$ models shown here (there are no such cases
for $N_{\mathrm{max}}<3$, and a single such case for $N_{\mathrm{max}}=3$).
The error bars represent the $10$–$90$ percentiles of the $\epsilon$
distribution obtained for each of the respective $N_{\mathrm{max}}$ models.
The values obtained for $\epsilon$ as a function of $N_{\mathrm{max}}$ for
each of the 610 cases considered in this work are also shown individually as
shaded colored curves. The different colors of these curves represent the
cases belonging to different classes of final spin values (see details in the
main text, Sec. IV.3.2). We observe that the median values reach an
approximate plateau regime at ${N_{\mathrm{max}}\sim 5-6}$ and
${N_{\mathrm{max}}\sim 7}$ for $\tilde{\epsilon}$ and
$\tilde{\epsilon}_{\mathrm{min}}$ respectively. The shaded orange band shows
the $10$–$90$ percentiles of the radiation error distribution, with the dashed
black horizontal line denoting the median value
$\widetilde{\delta\epsilon_{\mathrm{r}}}$. The dotted black horizontal line
accounts for the median value for the local error,
$\widetilde{\delta\epsilon_{\mathrm{l}}}$.
In addition, we present on the same figure a second estimate
$\tilde{\epsilon}_{\mathrm{min}}$ for each value of $N_{\mathrm{max}}\geq 3$
(circles), computed as the median of $\epsilon$ over all the cases for which
this value of $N_{\mathrm{max}}$ minimizes $\epsilon$ among the
$N_{\mathrm{max}}\in\\{0,\dots,12\\}$ models considered in this analysis. We
have not found any case among the SXS waveforms considered for which
$\epsilon$ reaches its minimum at an $N_{\mathrm{max}}<3$. The value of
$\tilde{\epsilon}_{\mathrm{min}}$ for each $N_{\mathrm{max}}$ is smaller than
$\tilde{\epsilon}$, since all the cases for which $\epsilon$ is not at its
minimum at $N_{\mathrm{max}}$ have been excluded from the distribution in
computing $\tilde{\epsilon}_{\mathrm{min}}$. Similarly to $\tilde{\epsilon}$,
the values of $\tilde{\epsilon}_{\mathrm{min}}$ decrease with
$N_{\mathrm{max}}$ before approximately stabilizing for
${N_{\mathrm{max}}\gtrsim 7}$ at $\tilde{\epsilon}_{\mathrm{min}}\simeq
6\times 10^{-4}$, which is smaller than the value of $\tilde{\epsilon}$ for
those models. Nevertheless, these values of $\tilde{\epsilon}_{\mathrm{min}}$
lie within the ${\epsilon}$ $10$–$90$ bands and well within the radiative
error ${\delta\epsilon_{\mathrm{r}}}$ distribution.
Figure 8: Fraction of the NR cases studied at which $\epsilon$ reaches its
minimum value (among the $N_{\mathrm{max}}\leq 12$ models) at a number of
overtones $N_{\mathrm{max}}$, as a function of $N_{\mathrm{max}}$. The color
function provides the logarithm of the median values over the cases selected
in this way $\log_{10}(\tilde{\epsilon}_{\mathrm{min}})$ for each
$N_{\mathrm{max}}$ as in Fig. 7 (displayed as circles there). We have not
found any model reaching its minimum $\epsilon$ at any $N_{\mathrm{max}}<3$.
Finally, in Fig. 8 we show in histogram form, the fraction of cases for which
$\epsilon$ reaches its minimum at $N_{\mathrm{max}}$ — among the
$N_{\mathrm{max}}\in\\{0,\dots 12\\}$ models considered for this figure —, for
each $N_{\mathrm{max}}$. Here, the color map recalls in log scale the median
value of $\epsilon$ among the corresponding cases for each $N_{\mathrm{max}}$,
that is, the $\log_{10}$ of the same values $\tilde{\epsilon}_{\mathrm{min}}$
as indicated by circles on Fig. 7. The bulk of the distribution peaks at
$N_{\mathrm{max}}\sim 7$ although we observe that a large fraction (about
$21\%$) of the cases have a minimum $\epsilon$ at $N_{\mathrm{max}}=12$. These
include many cases which do not actually reach their minimum $\epsilon$ within
the range $N_{\mathrm{max}}\leq 12$ considered here and that would ideally
require to be fit with $N_{\mathrm{max}}>12$ overtones, such as SXS:0259
reaching its minimum $\epsilon$ at $N_{\mathrm{max}}=13$ as shown on the lower
panel of Fig. 5. On the other hand, the corresponding median value of
$\epsilon$ for these cases,
$\tilde{\epsilon}_{\mathrm{min}}(N_{\mathrm{max}}=12)$, is moderately higher
than the values obtained for cases that reach their minimum earlier,
$\tilde{\epsilon}_{\mathrm{min}}(N_{\mathrm{max}}=7,\dots,11)$, and is still
compatible with the NR error estimates given by $\delta\epsilon_{\mathrm{r}}$.
Therefore, and based on the trend observed for
$\tilde{\epsilon}_{\mathrm{min}}$ in Fig. 7 and on the examples of Fig. 5, we
do not expect these values to get significantly smaller at
$N_{\mathrm{max}}>12$.
#### IV.3.3 Stability of the fit amplitudes
We study the behavior of the recovered (best-fit) amplitudes of the first five
tones $A_{n}^{N_{\mathrm{max}}}$, $n=0,...,4$, as we increase the number of
overtones $N_{\mathrm{max}}$ of our models. We require as a criterion for a
stable recovery of a given tone $n$, that $A_{n}^{N_{\mathrm{max}}}$ remain
approximately constant as we modify the number of overtones
$N_{\mathrm{max}}$. In Fig. 9, we show in log scale the relative variation (in
percent) of the best-fit amplitudes of each tone $\delta
A_{n}(N_{\mathrm{max}})=\left|A_{n}^{N_{\mathrm{max}}}-A_{n}^{N_{\mathrm{max}}-1}\right|/A_{n}^{N_{\mathrm{max}}-1}$
between successive models as a function of $N_{\mathrm{max}}$. The shaded
colored curves stand for the estimates of $\delta A_{n}$ for each of the $610$
SXS simulations used in this work while the dots stand for the median value
$\delta\tilde{A}_{n}$ of $\delta A_{n}$ for each ringdown model with a number
$N_{\mathrm{max}}$ of overtones.
For the fundamental mode, we observe that $\delta\tilde{A}_{0}$ decreases
exponentially with $N_{\mathrm{max}}$ before stabilizing at
$N_{\mathrm{max}}\geq 6$. Remarkably, the flattening of the curve is very
similar to the one observed for $\tilde{\epsilon}$ on Fig. 7. Beyond
$N_{\mathrm{max}}=6$ the median relative variation of the amplitude $A_{0}$
remains nearly constant with $\delta\tilde{A}_{0}~{}\sim 0.2\%$ . This is
consistent with the results shown in Appendix B, where we have performed a
similar analysis (focusing on $\delta\tilde{A}_{0}$ and $\delta\tilde{A}_{1}$)
but varying the fit starting time $t_{0}/M$ at fixed $N_{\mathrm{max}}$.
On the other hand, while an initial exponentially decreasing trend with
$N_{\mathrm{max}}$ is also observed for all the overtone modes shown here, the
relative variation on the overtone amplitudes is larger than that of the
fundamental mode, and increases with $n$. For instance, notice that the $n=1$
amplitude typically varies by about $10\%$ at $N_{\mathrm{max}}\sim 5$ and
that this variation only achieves the $\sim 1\%$ level at
$N_{\mathrm{max}}\gtrsim 8$. The deviations become increasingly larger for
$N_{\mathrm{max}}=2,3,4$, where $\delta\tilde{A}_{2}\gtrsim 5\%$,
$\delta\tilde{A}_{3}\gtrsim 20\%$ and $\delta\tilde{A}_{4}\gtrsim 50\%$ for
all $N_{\mathrm{max}}$ values. This symptom of instability seems not to be
affecting the estimates of $\tilde{\epsilon}$ for $N_{\mathrm{max}}\geq 5$,
which surprisingly coincides with the flattening of the $\delta\tilde{A}_{0}$
curve.
Figure 9: In this figure we show the relative variation of the best-fit
amplitudes of each tone
$\delta{A}_{n}(N_{\mathrm{max}})=\left|{A}_{n}^{N_{\mathrm{max}}}-{A}_{n}^{N_{\mathrm{max}}-1}\right|/{A}_{n}^{N_{\mathrm{max}}-1}$
as a function of the number of overtones $N_{\mathrm{max}}$ included in the
model, for the $610$ SXS waveforms used in this work (shaded colored curves)
and for $n=0,\dots,4$. As we have done for $\tilde{\epsilon}$ in Fig. 7, for
each tone $n$, the dots represent the median values $\delta\tilde{A}_{n}$ per
$N_{\mathrm{max}}$ model. Each color corresponds to a given tone index $n$,
consistently for the median values and the individual curves. Since we
evaluate the differences of the best-fit amplitudes $A_{n}$ between the
consecutive $N_{\mathrm{max}}$–overtone and $(N_{\mathrm{max}}-1)$–overtone
models, the first point we can evaluate for each $\delta{A}_{n}$ curve
corresponds to the variation with respect to the $N_{\mathrm{max}}=n+1$ model,
$\delta{A}_{n}(N_{\mathrm{max}}=n+1)$.
#### IV.3.4 Analysis at different fit starting times $t_{0}$
So far, we have studied the NR waveforms using a single starting time
$t_{0}=0$ for all fits as in Finch and Moore (2021); Giesler _et al._ (2019).
This specific time corresponds to the time of the peak of the $h_{22}(t)$
strain. However, since this particular time does not have any special physical
meaning151515One could reasonably choose $t_{0}$ as the time at which the
final common horizon is formed. However, this time is only well-defined
locally while it is causally disconnected from the events happening in the
radiation zone. See Mourier _et al._ (2021) and references therein., we
extend here the analysis for a broader range of fit starting times, with
$t_{0}/M=\left\\{-5,0,5,10,15\right\\}$. Thus, in Fig. 10 we show the median
value $\tilde{\epsilon}$ as a function of the number of overtones
$N_{\mathrm{max}}$ and for the five values of $t_{0}/M$ selected above.
First, notice that all the curves studied here, show at first a progressively
decreasing value for $\tilde{\epsilon}$ as we incorporate more tones
$N_{\mathrm{max}}$ into the model. This decreasing trend reaches approximately
a minimum $\tilde{\epsilon}\sim(2-3)\cdot 10^{-3}$ (with similar minimum
values for all five $t_{0}/M$ choices shown) at
$N_{\mathrm{max}}\sim\left\\{12,6,3,2,1\right\\}$, for the
$t_{0}/M=\left\\{-5,0,5,10,15\right\\}$ curves respectively161616In the last
two cases, $t_{0}/M=10,15$, $\tilde{\epsilon}$ displays two local minima as a
function of $N_{\mathrm{max}}$ within the range considered, with the second
minimum being slightly lower than the first. In either case, both minima are
nevertheless very similar, so that a value of $\tilde{\epsilon}$ close to its
global minimum is already reached at the first local minimum. .
We observe that as the starting time $t_{0}$ increases, a lower number of
tones is required to get close to the minimum value of $\tilde{\epsilon}$. In
particular, the models with $N_{\mathrm{max}}=3,2,1$ are appropriate at
$t_{0}/M=5,10,15$ respectively. Furthermore, the fact noted above that the
minimum value reached by $\tilde{\epsilon}$ does not vary significantly with
the starting time $t_{0}$ — and remains consistent with the distribution of
the NR radiative error $\delta\epsilon_{\mathrm{r}}$ indicated by the orange
shaded area — is particularly intriguing, especially given that this still
applies to the curve obtained at $t_{0}/M=-5$. Notice that at negative times,
the amplitude of the strain $(2,2)$ mode is still increasing, so that the
morphology of the waveform at such an early stage still differs significantly
from a typical exponentially decaying ringdown wave (see for instance Figs.
16-22 of Bhagwat _et al._ (2018)). On the other hand, the fact that
$\tilde{\epsilon}(N_{\mathrm{max}}=2)_{t_{0}/M=10}\simeq\tilde{\epsilon}(N_{\mathrm{max}}=12)_{t_{0}/M=-5}$
for instance, suggests that the lower tones $n\lesssim 2$ of the
$(N_{\mathrm{max}}=12)$ model may contribute significantly to the estimates of
$\epsilon$ obtained for $t_{0}/M=-5$ — with the addition of higher tones into
the model helping to better constrain the lower ones.
We justify this behavior relying on two main hypotheses: i) high overtones
could be fitting some fraction of the NR noise or ii) high-overtone amplitudes
and phases are flexible enough to fit well the early part of the waveform.
Hypothesis i) could only be better tested when more accurate waveforms are
added to the catalogs. On the other hand, hypothesis ii) would imply that the
high-tone degrees of freedom can capture the morphology of the waveform at
early times, while the values of $\epsilon$ result predominantly from
modelling increasingly better the lower tones $n=0,1..$. This could be the
case even irrespective of the NR errors. For instance, the short and similar
damping times of the high overtones could induce strong correlations between
the higher tones amplitudes combined to a very short time range where they are
still measurable, thus impeding one from getting physically reliable
information from them. The latter point is also reinforced by the results of
the amplitude stability analysis of Sec. IV.3.3.
This consideration is also relevant for deciding which starting time and model
should be preferred to estimate the final mass and the final spin of a given
GW event from its RD phase. As a rule of thumb, the statistical uncertainty on
a given parameter $\sigma_{\lambda}$ scales as the inverse of the RD signal-
to-noise ratio (SNR) $\rho\propto 1/\sigma_{\lambda}$. For an event consistent
with GW150914, about half of the total ringdown SNR is lost at $t_{0}/M=10$
and about $70\%$ at $t_{0}/M=15$ Bhagwat _et al._ (2018, 2020); Jiménez
Forteza _et al._ (2020). Therefore, given the minor variation of the minimum
$\tilde{\epsilon}$ with different values of $t_{0}$ observed here, we expect
that for real GW events, only the RD waves with a large number of overtones
$N_{\mathrm{max}}$, at early starting times and that are compatible with or
slightly dominated by the statistical error — i.e., with
$\sigma_{\lambda=M_{f},a_{f}}\gtrsim\epsilon(t_{0}/M,N_{\mathrm{max}})$ — will
be appropriate to place as accurate constraints on the mass and the spin as
possible.
Figure 10: We show the median value $\tilde{\epsilon}$ of $\epsilon$ as a
function of the number of overtones $N_{\mathrm{max}}$ in the RD model, for a
set of normalised fit starting times $t_{0}/M=\left\\{-5,0,5,10,15\right\\}$.
Notice that at $t_{0}/M=\left\\{5,10,15\right\\}$, the curves already nearly
hit their minimum at $N_{\mathrm{max}}\sim\left\\{3,2,1\right\\}$
respectively. We show again as a shaded orange band the 10–90 percentiles of
the distribution of the radiation error $\delta\epsilon_{\mathrm{r}}$ (cutting
part of the lowest values), as well as its median value as a black dashed
line.
## V On the interpretation of the fit models
The magnitude $\epsilon$ measures systematic deviations on the recovered final
mass and final spin with respect to the true parameters of each NR simulation.
These deviations may be produced either by i) an insufficient number of tones
in our ringdown model, Eq. (1); ii) numerical errors propagated to the strain
$h_{22}(t)$; or iii) the possible presence of nonlinearities in the waveform
Bhagwat _et al._ (2020); Okounkova (2020). We have observed in Figs. 6 and 7
that missing tones on Eq. (1) become the dominant source of deviations on
$(M_{f},a_{f})$ for models with $N_{\mathrm{max}}\lesssim 4-5$, and that such
deviations stabilize on average at $N_{\mathrm{max}}\gtrsim 6$. Furthermore,
there exists some nontrivial correlations between the values of
$\tilde{\epsilon}$ and the amplitudes of the tones. In particular, the
fundamental-mode best-fit amplitude $A_{0}$ stabilises at roughly the same
$N_{\mathrm{max}}$ as $\tilde{\epsilon}$ does. The amplitudes and phases of
the tones are not predicted from the solutions of black hole ringdown
perturbation theory but rather fixed by the initial conditions of each NR
simulation, _i.e._ , they do not hold any _a priori_ unique relation with the
final mass and final spin Berti _et al._ (2006); London _et al._ (2014);
Jiménez Forteza _et al._ (2020). Therefore, the improvement on the
$(M_{f},a_{f})$ estimate at high $N_{\mathrm{max}}$ is achieved by adding
further information to the RD models through the complex frequencies
$\omega_{lmn}$, which depend uniquely on the mass and the spin. Concerning the
physical reliability of the amplitudes, we observe that the $n>1$ overtones
typically suffer from larger than $5\%$ variations on their values when
varying the number of overtones $N_{\mathrm{max}}$ of the model, in agreement
with Jiménez Forteza _et al._ (2020).
As discussed in the main text, with $t_{0}=0$, the biases on $(M_{f},a_{f})$
decrease exponentially up to $N_{\mathrm{max}}\sim{5}$. The gain in accuracy
in the estimates of these parameters occurs irrespective of the stability
issues observed for the high-overtone amplitudes. Therefore, if one assumes GR
to be correct, and assuming a high enough SNR, those models shall provide
accurate estimates of the final mass and spin of real GW events, at least in a
majority of cases. In line with that argument, one could perform IMR
consistency tests, where any inconsistency observed on the final mass and spin
(as measured by $\epsilon$) between the inspiral and merger-ringdown regimes
could be hinting for new physics as it would suggest a violation of the no-
hair theorem. The results obtained in Giesler _et al._ (2019); Finch and
Moore (2021) and complemented in this work, suggest that an QNM model with
${N_{\mathrm{max}}\sim 3-6}$ overtones would be able to constrain the final
parameters up to $\epsilon\sim 10^{-2}-10^{-3}$ in many cases (although some
signals would only reach such constraints with more overtones). This level of
accuracy is beyond the current LIGO-Virgo typical SNR-limited uncertainties on
the mass and the spin Abbott _et al._ (2021b), which together result in
$\epsilon\sim 0.1$. However, this accuracy may be achieved and surpassed with
the third-generation detectors LISA, Einstein Telescope and Cosmic Explorer.
On the other hand, the stability issues observed on the amplitudes, together
with the variable $t_{0}$ analysis, become relevant in order to assess to
which extent these models and, in particular, high–overtone number models can
be used for performing black hole spectroscopy. This would imply estimating
_independently_ the frequencies and damping times of _each tone_ together with
phases and amplitudes. It is likely that the instabilities observed in the
tone amplitudes may become even larger when adding the frequencies and damping
times as extra free parameters. This would thus eventually induce systematic
errors that may have an important impact on the final estimate of the QNM
spectrum itself Bhagwat _et al._ (2016); Capano _et al._ (2021); Jiménez
Forteza _et al._ (2021).
## VI Conclusions
The aim of this work has been to study the behavior of high overtones for a
set of $610$ nonprecessing NR waveforms, extending the fit results obtained in
Giesler _et al._ (2019); Finch and Moore (2021) to higher than
$N_{\mathrm{max}}=7$ overtones. To this end, we have computed the quasinormal
mode frequencies for the overtones with indices $n=8$ and $n=9$ both for the
corotating and the counter-rotating branches.
The $n=8$, $n=9$ corotating modes have been computed for a range of spins
$a_{f}\in\left[a_{f,n}^{\mathrm{start}},1\right]$ with
$a_{f,8}^{\mathrm{start}}=3.6\cdot 10^{-3}$ and
$a_{f,9}^{\mathrm{start}}=5.4\cdot 10^{-3}$, while the one counter-rotating
mode associated to these tones is provided for spins ranging from
$a_{f}=10^{-6}$ (extended by assumption to $a_{f}=0$ with the Schwarzschild
$\omega_{228}=-2\,\iota$ solution) to $0.997$. Our results are consistent with
Onozawa (1997); Berti _et al._ (2003); Cook and Zalutskiy (2014, 2016a,
2016b) for these three branches, and are made available here: Mourier and
Jimenez Forteza , completing the results provided by Berti _et al._ (2006,
2009); Berti ; Cardoso ; Cook and Zalutskiy (2014); Cook (2019); Stein (2019).
First, we have used these results to extend the RD fits to the SXS waveform
SXS:0305 to $N_{\mathrm{max}}>7$, as a function of the fit starting time
$t_{0}$, with the final mass and spin fixed to the simulation’s true final
parameters. We observe that the mismatch $\mathcal{M}$ keeps marginally
decreasing with $N_{\mathrm{max}}$ for the models with $N_{\mathrm{max}}=8,9$
and beyond. On this respect, we have found that the first local minimum in
mismatch $\mathcal{M}$ as a function of $t_{0}$ occurs at negative starting
times $t_{0}<0$ for $N_{\mathrm{max}}\geq 8$, which is possibly due to data
overfitting.
Second, we have estimated the value of the final mass – final spin recovery
bias $\epsilon$ for QNM models with
$N_{\mathrm{max}}\in\left\\{0,\dots,16\right\\}$ overtones, starting at the
peak of the $(2,2)$ strain component, $t_{0}=0$, and for two pairs of SXS and
RIT waveforms with identical parameters, $(a_{f},M_{f})=(0.692,0.952)$ for the
first pair {SXS:0305, RIT:0062} and $(a_{f},M_{f})=(0.581,0.966)$ for the
second pair {SXS:0259, RIT:0118}. We have found that the trend on $\epsilon$
can be significantly different between the two simulations and that it is, in
general, case-dependent. We estimate a very similar minimum $\epsilon$ of
$\epsilon_{\mathrm{min}}\sim 3\times 10^{-4}$ for the two SXS waveforms, at
$N_{\mathrm{max}}=7$ for SXS:0305 and at $N_{\mathrm{max}}=13$ for SXS:0259.
For the RIT waveforms with the same parameters, we have obtained
$\epsilon_{\mathrm{min}}\sim 4\times 10^{-4}$ at $N_{\mathrm{max}}=7$ and
$\epsilon_{\mathrm{min}}\sim 3\times 10^{-3}$ at $N_{\mathrm{max}}=6$, for
RIT:0062 and RIT:0118 respectively.
Then, we have applied the fitting algorithm described in Sec. IV.1 to 610 out
of the 620 SXS non-precessing binary black hole simulations and for
$N_{\mathrm{max}}\in\left\\{0,\dots,12\right\\}$, still with $t_{0}=0$.
Specifically, our results for $\epsilon$ are consistent with the
$N_{\mathrm{max}}=3,7$ models shown in Finch and Moore (2021). We observe that
the median value $\tilde{\epsilon}$ of the distribution of $\epsilon$ over
these simulations decreases exponentially with $N_{\mathrm{max}}$ to about
$\tilde{\epsilon}\simeq 2\times 10^{-3}$ at $N_{\mathrm{max}}\sim 5-6$ —
although there is a significant overlap between $N_{\mathrm{max}}=3,4,5,6$ in
the distribution of $\epsilon$ values between the multiple SXS cases.
Moreover, $\tilde{\epsilon}$ does not change significantly beyond
$N_{\mathrm{max}}\sim 6$, which also applies to our new $N_{\mathrm{max}}=8$,
$N_{\mathrm{max}}=9$ and $N_{\mathrm{max}}>9$ models. We noted nevertheless
that for about $21\%$ of the cases, models with $N_{\mathrm{max}}\geq 12$ were
required to hit the (similar) minimum value on $\epsilon$. The value of
$\tilde{\epsilon}$ appears to always be bounded by the NR errors. We provide
optimistic and pessimistic estimates of the NR errors which we have here
referred to respectively as i) local errors $\delta\epsilon_{\mathrm{l}}$ and
ii) radiative errors $\delta\epsilon_{\mathrm{r}}$. The latter should be a
more accurate representation of the NR on the strain since it is directly
derived from it. We notice in particular that our $90$th percentiles of the
radiation error distribution are above the plateau value $\tilde{\epsilon}\sim
2\times 10^{-3}$, hence they could be affecting or even dominating the
$\tilde{\epsilon}$ values at $N_{\mathrm{max}}\geq 5$.
Furthermore, we have studied the stability of the best-fit amplitude values
$A_{n}$ for a range of tones $n\in\left\\{0,...,4\right\\}$. For the
fundamental $n=0$ mode and for the first overtone $n=1$, we have found that
the median relative amplitudes variations $\delta\tilde{A}_{0}$ and
$\delta\tilde{A}_{1}$ between successive $N_{\mathrm{max}}$-overtone and
$(N_{\mathrm{max}}-1)$-overtone models are below the $1\%$ level for RD models
with $N_{\mathrm{max}}\geq 4$ and $N_{\mathrm{max}}\geq 8$, respectively. On
the other hand, we observe that the amplitudes of the overtones with $n>2$ are
unstable. We have also found a significant correlation between the typical
variation $\delta\tilde{A}_{0}$ of $A_{0}$ as a function of
$N_{\mathrm{max}}$, and the value of $\tilde{\epsilon}$. These elements could
indicate that, for a majority of the studied cases, the improvement on
$\epsilon$ is predominantly achieved by increasingly improving the constraints
on $A_{0}$ — and possibly on the first few overtones to a lesser extent.
Finally, we have repeated the $N_{\mathrm{max}}\in\\{0,\dots,12$} RD models
study over the 610 SXS cases considered over a few different values of the fit
starting time $t_{0}$, with $t_{0}/M\in\\{-5,0,5,10,15\\}$. We have found that
the minimum value reached by $\tilde{\epsilon}$ as a function of
$N_{\mathrm{max}}$ does not vary significantly if we vary the fit starting
time $t_{0}$; a model with $N_{\mathrm{max}}=7$ overtones at $t_{0}/M=0$
provides, on average, a similar (slightly higher) accuracy on $\epsilon$ as a
model with $N_{\mathrm{max}}=1$ at $t_{0}/M=15$. This is relevant since the
effects of the overtones $n\geq 1$ are expected to be small at $t_{0}/M\gtrsim
10$ due to their short damping times. This further supports the hypothesis
that the constraints on $\epsilon$ may be predominantly induced by an
improvement in modelling the low tones $n=0,1,\dots$, regardless of the weak —
or unstable — constraints one obtains for the higher tone amplitudes. In this
regard, the ansätze and, in particular, the higher tones, appear to be
sufficiently flexible to accurately fit the strain at times around the peak
even when those high overtones take amplitude values that are likely unstable,
or even nonphysical.
We note that our ringdown models Jimenez Forteza and Mourier may be suitable
for performing IMR consistency tests for current and next-generation GW
observatories, where the gain in sensitivity may allow us to hit and surpass
the accuracy levels observed here for numerical data. On the other hand, based
on the results of this paper, we are more skeptical about using overtone
models of a given $(l,m)$ mode to robustly perform black hole spectroscopy due
to the instabilities observed on the amplitudes of the overtones with $n>1$ —
which are likely to propagate to frequencies and damping times when those are
added as free parameters. For the first overtone ($n=1$), such amplitude
instabilities are reduced to the $1\%$ level for models with
$N_{\mathrm{max}}\gtrsim 8$. Thus, a two-tone $n=0,1$ spectroscopy may remain
possible provided that one considers a large number of additional tones in the
model, at the expense of adding a large number of free parameters.
###### Acknowledgements.
We acknowledge the Max Planck Gesellschaft for support and we are grateful to
the Atlas cluster computing team at AEI Hannover for their help. The authors
are also thankful to Swetha Bhagwat, Collin Capano, Sumit Kumar, Alex Nitz and
Paolo Pani for useful discussions and comments on this paper. We also thank
the anonymous referee for insightful comments and suggestions that led to
further improvements to this document.
## References
* Abbott _et al._ (2019) B. P. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. X 9, 031040 (2019), arXiv:1811.12907 [astro-ph.HE] .
* Abbott _et al._ (2021a) R. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. X 11, 021053 (2021a), arXiv:2010.14527 [gr-qc] .
* Giesler _et al._ (2019) M. Giesler, M. Isi, M. A. Scheel, and S. A. Teukolsky, Phys. Rev. X 9, 041060 (2019), arXiv:1903.08284 [gr-qc] .
* London _et al._ (2014) L. London, D. Shoemaker, and J. Healy, Phys. Rev. D90, 124032 (2014), [Erratum: Phys. Rev.D94,no.6,069902(2016)], arXiv:1404.3197 [gr-qc] .
* Bhagwat _et al._ (2020) S. Bhagwat, X. J. Forteza, P. Pani, and V. Ferrari, Phys. Rev. D101, 044033 (2020), arXiv:1910.08708 [gr-qc] .
* Leaver (1985) E. Leaver, Proc. Roy. Soc. Lond. A A402, 285 (1985).
* Detweiler (1980) S. L. Detweiler, Astrophys. J. 239, 292 (1980).
* Kokkotas and Schmidt (1999) K. D. Kokkotas and B. G. Schmidt, Living Rev. Rel. 2, 2 (1999), arXiv:gr-qc/9909058 [gr-qc] .
* Finch and Moore (2021) E. Finch and C. J. Moore, Phys. Rev. D 103, 084048 (2021), arXiv:2102.07794 [gr-qc] .
* Dhani (2020) A. Dhani, (2020), arXiv:2010.08602 [gr-qc] .
* Berti _et al._ (2009) E. Berti, V. Cardoso, and A. O. Starinets, Class. Quant. Grav. 26, 163001 (2009), arXiv:0905.2975 [gr-qc] .
* Jiménez Forteza _et al._ (2020) X. Jiménez Forteza, S. Bhagwat, P. Pani, and V. Ferrari, Phys. Rev. D 102, 044053 (2020), arXiv:2005.03260 [gr-qc] .
* Cook (2020) G. B. Cook, Phys. Rev. D102, 024027 (2020), arXiv:2004.08347 [gr-qc] .
* Dhani and Sathyaprakash (2021) A. Dhani and B. S. Sathyaprakash, (2021), arXiv:2107.14195 [gr-qc] .
* Cook and Zalutskiy (2014) G. B. Cook and M. Zalutskiy, Phys. Rev. D 90, 124021 (2014), arXiv:1410.7698 [gr-qc] .
* Abbott _et al._ (2021b) R. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. D 103, 122002 (2021b), arXiv:2010.14529 [gr-qc] .
* Capano _et al._ (2021) C. D. Capano, M. Cabero, J. Westerweck, J. Abedi, S. Kastha, A. H. Nitz, A. B. Nielsen, and B. Krishnan, (2021), arXiv:2105.05238 [gr-qc] .
* Isi _et al._ (2019) M. Isi, M. Giesler, W. M. Farr, M. A. Scheel, and S. A. Teukolsky, Phys. Rev. Lett. 123, 111102 (2019), arXiv:1905.00869 [gr-qc] .
* Isi and Farr (2021) M. Isi and W. M. Farr, (2021), arXiv:2107.05609 [gr-qc] .
* London and Fauchon-Jones (2019) L. London and E. Fauchon-Jones, Class. Quant. Grav. 36, 235015 (2019), arXiv:1810.03550 [gr-qc] .
* Berti and Klein (2014) E. Berti and A. Klein, Phys. Rev. D90, 064012 (2014), arXiv:1408.1860 [gr-qc] .
* Berti _et al._ (2006) E. Berti, V. Cardoso, and C. M. Will, Phys. Rev. D73, 064030 (2006), arXiv:gr-qc/0512160 [gr-qc] .
* (23) E. Berti, https://pages.jh.edu/~eberti2/ringdown/.
* (24) V. Cardoso, https://centra.tecnico.ulisboa.pt/network/grit/files/ringdown/.
* Cook (2019) G. B. Cook, “Kerr quasinormal modes: s=-2, n=0–7 (version 1),” Zenodo, https://doi.org/10.5281/zenodo.2650358 (2019).
* Stein (2019) L. C. Stein, J. Open Source Softw. 4, 1683 (2019), arXiv:1908.10377 [gr-qc] .
* Onozawa (1997) H. Onozawa, Physical Review D 55, 3593–3602 (1997).
* Berti _et al._ (2003) E. Berti, V. Cardoso, K. D. Kokkotas, and H. Onozawa, Phys. Rev. D 68, 124018 (2003), arXiv:hep-th/0307013 [hep-th] .
* Cook and Zalutskiy (2016a) G. B. Cook and M. Zalutskiy, Phys. Rev. D 94, 104074 (2016a), arXiv:1607.07406 [gr-qc] .
* Cook and Zalutskiy (2016b) G. B. Cook and M. Zalutskiy, Classical and Quantum Gravity 33, 245008 (2016b), arXiv:1603.09710 [gr-qc] .
* The SXS Collaboration (2016) The SXS Collaboration, “SXS Gravitational Waveform Database,” (2016).
* Campanelli _et al._ (2016) M. Campanelli, J. Healy, C. Lousto, and Y. Zlochower, “CCRG@RIT Catalog of Numerical Simulations,” (2016).
* Teukolsky and Press (1974) S. A. Teukolsky and W. H. Press, Astrophys. J. 193, 443 (1974).
* Chandrasekhar (1985) S. Chandrasekhar, _The mathematical theory of black holes_ (Oxford Classic Texts in the Physical Sciences, 1985).
* Chandrasekhar and Detweiler (1975) S. Chandrasekhar and S. L. Detweiler, Proc. Roy. Soc. Lond. A344, 441 (1975).
* (36) P. Mourier and X. Jimenez Forteza, https://codeberg.org/GW_Ringdown.
* (37) X. Jimenez Forteza and P. Mourier, https://github.com/frcojimenez/GW_Rdown.
* Maassen van den Brink (2000) A. Maassen van den Brink, Phys. Rev. D 62, 064009 (2000), arXiv:gr-qc/0001032 [gr-qc] .
* Rezzolla _et al._ (2008) L. Rezzolla, E. Barausse, E. N. Dorband, D. Pollney, C. Reisswig, J. Seiler, and S. Husa, Phys. Rev. D78, 044002 (2008), arXiv:0712.3541 [gr-qc] .
* Jiménez-Forteza _et al._ (2017) X. Jiménez-Forteza, D. Keitel, S. Husa, M. Hannam, S. Khan, and M. Pürrer, Phys. Rev. D95, 064024 (2017), arXiv:1611.00332 [gr-qc] .
* Hofmann _et al._ (2016) F. Hofmann, E. Barausse, and L. Rezzolla, Astrophys. J. 825, L19 (2016), arXiv:1605.01938 [gr-qc] .
* Szilagyi _et al._ (2009) B. Szilagyi, L. Lindblom, and M. A. Scheel, Phys. Rev. D80, 124010 (2009), arXiv:0909.3557 [gr-qc] .
* Ashtekar and Krishnan (2004) A. Ashtekar and B. Krishnan, Living Rev. Rel. 7, 10 (2004), arXiv:gr-qc/0407042 [gr-qc] .
* Iozzo _et al._ (2021) D. A. Iozzo, N. Khera, L. C. Stein, K. Mitman, M. Boyle, N. Deppe, F. Hébert, L. E. Kidder, J. Moxon, H. P. Pfeiffer, and et al., Physical Review D 103 (2021), 10.1103/physrevd.103.124029.
* Christodoulou (1970) D. Christodoulou, Phys. Rev. Lett. 25, 1596 (1970).
* Keitel _et al._ (2017) D. Keitel, X. Jimenez-Forteza, _et al._ , Phys. Rev. D96, 024006 (2017), arXiv:1612.09566 [gr-qc] .
* Hinder _et al._ (2014) I. Hinder _et al._ , Class. Quant. Grav. 31, 025012 (2014), arXiv:1307.5307 [gr-qc] .
* Hinder _et al._ (2011) I. Hinder, B. Wardell, and E. Bentivegna, Phys. Rev. D84, 024036 (2011), arXiv:1105.0781 [gr-qc] .
* Mourier _et al._ (2021) P. Mourier, X. Jiménez Forteza, D. Pook-Kolb, B. Krishnan, and E. Schnetter, Phys. Rev. D 103, 044054 (2021), arXiv:2010.15186 [gr-qc] .
* Bhagwat _et al._ (2018) S. Bhagwat, M. Okounkova, S. W. Ballmer, D. A. Brown, M. Giesler, M. A. Scheel, and S. A. Teukolsky, Phys. Rev. D97, 104065 (2018), arXiv:1711.00926 [gr-qc] .
* Okounkova (2020) M. Okounkova, (2020), arXiv:2004.00671 [gr-qc] .
* Bhagwat _et al._ (2016) S. Bhagwat, D. A. Brown, and S. W. Ballmer, Phys. Rev. D94, 084024 (2016), [Erratum: Phys. Rev.D95,no.6,069906(2017)], arXiv:1607.07845 [gr-qc] .
* Jiménez Forteza _et al._ (2021) X. Jiménez Forteza _et al._ , (2021), in preparation.
## Appendix A Outliers
Index | Issue
---|---
0002 | Large extrapolation error
0084 | Large extrapolation error
0090 | Large extrapolation error
0091 | Large extrapolation error
0158 | Large extrapolation error
0170 | Reported $M_{f}>1$
0171 | Reported $M_{f}>1$
0218 | Large extrapolation error
1110 | Large extrapolation error
1134 | Reported $M_{f}>1$
Table 1: List of the $\fpeval{620-610}$ out of 620 non-precessing SXS binary-
black hole waveforms (labeled under the form SXS:BBH:_index_) that we do not
consider in our analysis. These waveforms are excluded either due to an
unphysical value being reported for $M_{f}$ ($M_{f}>1$) in their respective
metadata files, or due to a large extrapolation error (as measured by a
mismatch value $\mathcal{M}\geq 10^{-3}$ between the waveforms provided at
extrapolation orders $N=2$ and $N=3$), as per indicated in the second column.
(a)
(b)
Figure 11: Relative variation of the best-fit amplitudes
$\delta\tilde{A}_{0}(N_{\mathrm{max}})$ and
$\delta\tilde{A}_{1}(N_{\mathrm{max}})$ at $N_{\mathrm{max}}=5$ (left panel)
and at $N_{\mathrm{max}}=12$ (right panel) as a function of the starting time
$t_{0}/M$. The solid curves represent the median values of the 610 cases
studied in this work while the shadowed areas stand for the 10–90 percentiles
of the corresponding distributions.
We list in Table 1 the $\fpeval{620-610}$ non-precessing SXS waveforms that we
have removed from our analysis. We used the mismatch as a landmark to identify
the cases with larger uncertainty. In Fig. 3 we have seen that the dominant
contribution to the numerical uncertainty comes from the extrapolation of the
waveform to null infinity. Accordingly, for each of the non-precessing SXS
cases, we have computed the mismatch $\mathcal{M}$ as given by Eq. (12)
between the waveforms with successive extrapolation orders $N=2$ and $N=3$. To
this end, we aligned beforehand the two numerical waveforms
$h_{22}^{N=2,3}(t)$ in time and in phase so that the peak of the strain is
located at time $t=0$ for both, with the same initial phase. We then excluded
the cases for which we found an extrapolation mismatch $\mathcal{M}\geq
10^{-3}$. We moreover excluded another three cases with a a seemingly
incorrect reported final mass $M_{f}>1$ (with in fact even $M_{f}>2$ in each
of these cases).
## Appendix B Stability of the fit amplitudes at varying starting times
In this section we study the respective fractional variations $\delta A_{0}$,
$\delta A_{1}$ of the best-fit amplitudes of the fundamental mode and of the
first overtone between successive models with $N_{\mathrm{max}}$ and
$N_{\mathrm{max}}-1$ overtones — as in Fig. 9 — at different starting times
$t_{0}/M$ and for two separate values of $N_{\mathrm{max}}$. The first value
chosen is $N_{\mathrm{max}}=5$, _i.e._ , a value at which
$\delta\tilde{A}_{0}$ has not yet plateaued at $t_{0}/M=0$. The second value
is $N_{\mathrm{max}}=12$ for which we expect the values of
$\delta\tilde{A}_{0}$ to have plateaued for all the starting times considered
in this work, and $\delta\tilde{A}_{1}$ to have also reached a plateau at
$t_{0}/M=0$. In Fig. 11 we show the results for the fractional variations (in
percent), with $N_{\mathrm{max}}=5$ on the left panel and
$N_{\mathrm{max}}=12$ on the right panel. The solid curves represent the
median values $\delta\tilde{A}_{n}$ as shown in Fig. 9 while the shadowed
areas stand for the the 10–90 percentiles of the distributions over the 610
SXS cases considered in this work. The resolution on $t_{0}/M$ in this figure
is $\Delta(t_{0}/M)=2.5$.
For $N_{\mathrm{max}}=5$, we obtain that $\delta\tilde{A}_{0}$ decreases with
$t_{0}$ until $t_{0}/M\simeq 2.5$, beyond which it approximately stabilizes at
$\delta\tilde{A}_{0}\sim 0.3\%$ (slightly increasing again towards later
times). The larger values at earlier times $t_{0}/M<2.5$ are due to the need
for a larger number of tones to accurately fit the data — while the
insufficient number of tones induces the further instabilities observed on
$\delta\tilde{A}_{0}$ (_cf._ Fig. 9 at $t_{0}/M=0$). On the other hand, the
values for $\delta\tilde{A}_{1}(N_{\mathrm{max}}=5)$ decay until reaching a
minimum of about $2\%$ at $t_{0}/M\sim 7.5$ to thereafter grow back at late
times. As for the case of the $n=0$ amplitude, the early decreasing of
$\delta\tilde{A}_{1}$ is sourced by the lack of higher overtones in the RD
model, while the late increase may be due to the effects of the NR noise
together with the suppression of the first overtone amplitude at late times
(see the further discussion of this tone below).
For $N_{\mathrm{max}}=12$, the values of $\delta\tilde{A}_{0}$ remain
approximately constant (or very slightly increasing), around $0.1\%$ to
$0.2\%$, for all the starting times studied, where the little variations on
their values are consistent with the values of the dispersion of the data
given by the 10–90 percentiles. We recover approximately the same values as in
the large–$N_{\mathrm{max}}$ plateau regime as a function of
$N_{\mathrm{max}}$ shown for $t_{0}/M=0$ in Fig. 9. Like for
$N_{\mathrm{max}}=5$, we observe an increasing trend for
$\delta\tilde{A}_{1}(N_{\mathrm{max}}=12)$, at $t_{0}/M>0$. Since here the
number of tones is much larger, this indicates that the $n=1$ tone is less
stable compared to $n=0$ at late times regardless of the number of tones
included in our models. This lack of stability at late times may be originated
by the suppression of the first overtone at these times, that is sourced by
its high damping factor $\exp(-t/\tau_{1})$. Recall that for instance, for a
GW150914–like event, $\tau_{1}/M\sim 3.8$ and the first overtone amplitude is
reduced by $\sim{e^{-4}}$ between $t/M=0$ and $t/M\sim 15$, thus leaving the
amplitude values of this tone more exposed to the effects of the NR noise.
| arxiv-papers | 2021-07-25T15:33:22 | 2024-09-04T03:07:16.915487 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Xisco Jim\\'enez Forteza, Pierre Mourier",
"submitter": "Xisco Jim\\'enez Forteza",
"url": "https://arxiv.org/abs/2107.11829"
} |
2107.11830 | # Critical and geometric properties of magnetic polymers across the globule-
coil transition
Kamilla Faizullina Ilya Pchelintsev Evgeni Burovski HSE University, 101000
Moscow, Russia
###### Abstract
We study a lattice model of a single magnetic polymer chain, where Ising spins
are located on the sites of a lattice self-avoiding walk in $d=2$. We consider
the regime where both conformations and magnetic degrees of freedom are
dynamic, thus the Ising model is defined on a dynamic lattice and
conformations generate an annealed disorder. Using Monte Carlo simulations, we
characterize the globule-coil and ferromaget-to-paramagnet transitions, which
occur simultaneously at a critical value of the spin-spin coupling. We argue
that the transition is continuous—in contrast to $d=3$ where it is first-
order. Our results suggest that at the transition the metric exponent takes
the theta-polymer value $\nu=4/7$ but the crossover exponent $\phi\approx
0.7$, which differs from the expected value for a $\theta$-polymer.
## I Introduction
A linear polymer in thermal equilibrium in a solvent can be either extended
(“swollen”), or collapsed into a dense globule, depending on the interplay
between the excluded volume effects, van der Waals attraction between monomers
and its screening by the solvent [1]. The physics of the phase transition
between these two states, the so-called globule-coil transition or
$\theta$-transition, is well captured by a simple lattice model of an
interacting self-avoiding walk (ISAW), with an attractive interaction between
monomers on the nearest neighboring sites of the lattice [2].
For magnetic polymers, where monomers carry magnetic moments (“spins”), the
key parameter is the ratio of the relaxation times of magnetic and
conformational degrees of freedom [3]: if spins are fast, conformations
generate a quenched disorder for the magnetic subsystem [3, 4, 5, 6]; in the
opposite limit, the chain with quenched spins is qualitatively equivalent to a
disordered copolymer; several models of this kind have been discussed in the
literature [7, 8, 9, 10].
The regime where both spins and conformations have comparable relaxation times
has so far received much less attention. In this regime, spins are defined on
a dynamic lattice, whose thermal fluctuations need to be taken into account
self-consistently, on an equal footing with spin fluctuations. In this
direction, Ref. [11] introduced a model where monomers of a SAW carry Ising
spins, which interact via a short-range ferromagnetic interaction. The model
is investigated on a three-dimensional (3D) cubic lattice using a mean-field
approximation and Monte-Carlo (MC) simulations. In the absence of external
magnetic field, Ref. [11] finds a first-order magnetic induced collapse
transition—from a swollen paramagnetic phase to a ferromagnetic globular
phase. (Upon increasing the magnetic field, the transition is reported to
become continuous.) In Ref. [12] we considered a dynamic Hydrophobic-polar
(HP) model in two dimensions (2D). The collapse transition was found to be
consistent with a (continuous) $\theta$-transition of a nonmagnetic ISAW.
In this paper, we consider a ferromagnetic Ising model with spins placed on a
self-avoiding walk (SAW) on a 2D square lattice. Using MC simulations, we also
find a joint ferromagnetic and globule-coil transition, however our results
indicate that it is continuous—unlike the 3D model, where it is first order
[11]. We argue that the transition is characterized by the theta-point metric
exponent $\nu$, but the crossover exponent $\theta$ is markedly different. We
also explore geometric properties of the model, and stress the role of the
surface terms.
## II Model and method
We consider the model of Ref. [11]: Let $\mathcal{U}_{N}$ be a set of all SAW
conformations of $N$ monomers joined by $N-1$ links on a 2D square lattice.
Each monomer $i$ in a conformation $u\in\mathcal{U}_{N}$ carries an Ising
spin, $s_{i}=\pm 1$, see Fig. 1. The spin-spin interaction is short-ranged:
two spins interact if they are nearest neighbors on the lattice. Given a SAW
conformation $u\in\mathcal{U}_{N}$ and a sequence of $N$ spins, $\\{s\\}$, the
Hamiltonian is
$E(\\{s\\},u)=-J\sum_{\langle i,j\rangle\in u}s_{i}s_{j}-h\sum_{j\in
u}s_{j}\;.$ (1)
Here the summation in the first term runs over pairs of spins, $i,j\in u$,
which are nearest neighbors on the 2D lattice, and $J>0$ is the ferromagnetic
exchange coupling. In the second term, $h$ is the magnetic field.
The partition function corresponding to Eq. (1) reads
$Z=\sum_{u\in\mathcal{U}_{N}}\sum_{\\{s\\}}e^{-\beta E(\\{s\\},u)},$ (2)
where $\beta=1/kT$ is the inverse temperature. To set the energy units, we
take $\beta=1$ without loss of generality. Note that the summations in Eq. (2)
run over both conformations and spin configurations.
For $h=J=0$, spins decouple from conformations, and the model (1)-(2) reduces
to a non-interacting SAW. In the limit $h\gg J$, all spins are aligned, and
Eq. (1)-(2) reduces to the ISAW model. In this work we only consider the case
$h=0$. In the limit $J\ll 1$, the model (1)–(2) describes Ising spins located
on a non-interacting SAW—for the spins, the geometry is effectively one-
dimensional and spontaneous magnetization is absent in the thermodynamic limit
[3, 4, 5]. For $J\gg 1$, it is natural to expect a dense ferromagnetically
ordered globule.
We note that since Eq. (1) only involves a single coupling constant, it is
natural to expect that the ferromagnetic ordering sets in simultaneously with
the globule-coil transition. In the next sections we verify this expectation
and characterize the corresponding transition.
Method.— Most popular methods for Monte Carlo (MC) simulations of SAW-like
model are based on chain growth techniques with pruning and enrichment [13],
and their flat-histogram generalizations [14]. We use a different strategy: we
work directly with fixed-length configurations and employ a variant of the
worm algorithm [15] for interacting SAW-like models [16]. Specifically, the
method uses two sets of MC updates. First is a bilocal reptation update, where
we simultaneously remove a monomer from one end of a chain and add a monomer
to the other end— the direction of the new edge and the value of the new spin
are selected at random, see Fig. 1(a)-(b). This is nothing but the BEE move of
Ref. [17]. Second, to render the reptation dynamics ergodic and improve
convergence for dense configurations, we also use the “reconnect” update,
where we rotate a single edge in the middle of the chain and attach it to the
end of the chain—which needs to be adjacent to an internal monomer, see Fig.
1(a)-(c). The reconnect update is non-local since it reverses directions of
$O(N)$ links of the SAW. However the Metropolis acceptance probability [18]
equals unity since the update does not change the energy, Eq. (1). The
reconnect update allows the simulation to escape from conformations where the
end of the chain is trapped inside a dense configuration [16]. Furthermore, to
improve convergence of magnetic observables, we also use standard Wolff
cluster updates [19] for spins which keep the conformation fixed.
Figure 1: Spin/SAW configurations and MC updates. Straight lines show a
sample SAW, open circles denote spins-up, $s_{j}=+1$, and closed circles
denote spins-down, $s_{j}=-1$. The BEE move is changing (a) to (b), where the
edge shown in dashed red line in (a) is removed and the edge shown in dashed
red line in (b) is added. The reconnect update is changing the configuration
between (a) and (c). Note that configurations (a) and (c) have the same energy
Eq. (1).
## III Numerical simulations
We simulate our model on a square 2D lattice for chains of up to $N=10^{4}$
monomers. We typically use up to $10^{9}$ MC updates for thermalization and
collect statistics for $10^{10}$ to $10^{11}$ MC steps. Here in a single MC
step we select an update (a BEE move, a reconnect or a spin cluster update) at
random.
We perform simulations for $h=0$ and $0<J<2$. We collect statistics for the
mean energy, Eq. (1), per spin, $\epsilon=\langle E\rangle/N$, the mean
magnetization per spin, $\langle m\rangle\equiv\langle\sum_{j\in
u}s_{j}\rangle/N$ and its powers, $\langle m^{2}\rangle$ and $\langle
m^{4}\rangle$. To characterize the structural properties of the model, we
measure the mean end-to-end distance of the SAW, $\langle R^{2}_{N}\rangle$.
111In the literature, the gyration radius is often considered instead; however
the asymptotic properties of the radius of gyration and end-to-end distance
are expected to be the same (see, e.g. [17]), and the latter is simpler to
work with numerically. Here and elsewhere in the text, angular brackets
denote the MC average approximating the average over the Gibbs distribution
(2).
Figure 2: (top) mean squared magnetization as a function of $J$ for several
values of $N$. Solid squares with errorbars are MC results, lines are to guide
an eye only. Errorbars are estimated via binning analysis. In these
simulations we use at least $7\times 10^{9}$ MC steps per data point. (bottom)
Mean energy as a function of $J$ for several values of $N$. Squares are MC
data with errorbars, and lines are to guide an eye. See text for discussion.
Fig. 2(top) shows simulation results for mean square magnetization, $\langle
m^{2}\rangle$, as a function of $J$ for several representative values of the
SAW lengths $N$. At small values of $J$, $\langle m^{2}\rangle\to 0$ at
increasing $N$, which is consistent with the spontaneous magnetization being
zero in the thermodynamic limit [3, 4, 5]. For larger values of the coupling
constant, magnetization increases with increasing $J$ and starts saturating
for $J\gtrsim 0.88$, which suggests a ferromagnetic ordering for large $J$.
Fig. 2(bottom) illustrates the behavior of the mean energy, which approaches
the asymptotic $N\to\infty$ value of $-2J$ for a densely packed fully
magnetized walk. Finite-size corrections are clearly visible for both $\langle
m^{2}\rangle$ and $\langle\epsilon\rangle$, and we note that corrections are
more pronounced for $J\gtrsim 0.82$, especially in Fig. 2(bottom).
Figure 3: Mean squared end-to-end distance as a function of $N$ from $N=100$
to $N=3000$ for several values of $J$. Stars are MC data with errorbars,
dashed lines are to guide an eye, and solid lines are $R^{2}\sim N^{2\nu}$
with $\nu=3/4$ (the solid red line) and $\nu=4/7$ (the solid black line). See
text for discussion.
Fig. 3 shows the dependence of the mean end-to-end distance, $\langle
R^{2}_{N}\rangle$, on $N$ for several values of the coupling constant $J$. For
$N\gg 1$ the scaling is visually consistent with a power-law,
$\langle R^{2}_{N}\rangle\sim N^{2\nu}(1+\cdots)\;,$ (3)
where dots represent corrections-to-scaling. For comparison, Fig. 3 also shows
the asymptotic power laws $N^{2\nu}$ with $\nu=3/4$—which is a non-interacting
SAW value (see e.g.,[21]),— and $\nu=4/7$—which is the exact value for the 2D
ISAW at the $\theta$-point [22].
Numerical data in Fig. 3 seem to indicate that the scaling of the end-to-end
distance for our model crosses over from a non-interacting SAW limit for small
$J$ to a $\theta$-point scaling for $J\sim 0.83$, and further on towards
$\nu=1/2$, which is expected for a dense globular phase. 222 Following Ref.
[39], we also fit the data shown in Fig. 3 with a four-parameter model,
$\log(R_{N}^{2}+k_{1})=2\nu\log(N+k_{2})+b$, with fit parameters $\nu$, $b$,
$k_{1}$ and $k_{2}$. Here $b$, $k_{1}$ and $k_{2}$ are phenomenological
parameters meant to mimic corrections to scaling. While this model is not
expected to be fully accurate—it misrepresents corrections-to-scaling
exponents and thus produces wrong results for $\nu$ close to the globular
phase—it does support the expectation from a visual inspection of Fig. 3 that
the metric exponent $\nu$ agrees with the $\theta$-point value $\nu=4/7$
around $J\approx 0.83$. Taken together, our numerical results shown in Figs.
2 and 3, indicate that both magnetic and structural properties of the model
undergo a change at around $J\sim 0.83$.
Figure 4: (top) Binder cumulants (4) as a function of $J$ for several values
of $N$. Solid squares with errorbars are MC results, lines are to guide an eye
only. Errorbars are estimated via a Gaussian resampling from errorbars of
$\langle m^{4}\rangle$ and $\langle m^{2}\rangle$. (bottom) Scaled mean end-
to-end distance (3) with $\nu=4/7$, which is the exact value for the 2D ISAW
at the $\theta$-point [22]. Squares are MC data with errorbars, and lines are
to guide an eye. See text for discussion.
The joint transition.—To locate the magnetic transition between paramagnetic
and ferromagnetic phases, we compute the fourth-order Binder cumulant,
$U_{4}=1-\frac{\langle m^{4}\rangle}{3\langle m^{2}\rangle^{2}}\;,$ (4)
which is expected to become scale-independent at the transition [24].
Fig. 4(top) shows the dependence of the Binder cumulant (4) on interaction $J$
for several values of $N$. For large values of the coupling constant (not
shown in Fig. 4), $U_{4}$ tends to the value $2/3$ from below, as expected for
a ferromagnetic state [24]. Curves of the cumulant $U_{4}$ for varying $N$
cross around $J\approx 0.834$, indicative of the paramagnetic-to-ferromagnetic
phase transition. Finite-size corrections are clearly visible in Fig. 4(top),
thus to get a more precise estimate for the transition temperature, we analyze
the pairwise crossings of the $U_{4}$ vs $N$ curves for a series of $N$ values
from $N=2000$ to $N=9000$. The final estimate for the critical values is
$J_{c}=0.8340(5)\,,\qquad U_{4}^{(c)}=0.308(8)\;.$ (5)
This result (5) is close to, but distinct from the estimate
$J_{c}=1/1.18\approx 0.847$, stated as preliminary without much discussion in
Ref. [11].
Fig. 4(bottom) shows the dependence of the mean squared end-to-end distance
(3). Here we rescale the values of $R^{2}_{N}$ by $N^{2\nu}$ with $\nu=4/7$,
as suggested by the analysis in the previous section. With this rescaling,
$\langle R^{2}\rangle/N^{2\nu}$ becomes $N$-independent (modulo corrections-
to-scaling) at $J_{\theta}=0.833(1)$ which is consistent with Eq. (5) within
the combined errorbars.
We also checked that the existence of the crossing is sensitive to the value
of the metric exponent $\nu$: if $\nu$ is changed by more then 0.07, the
crossing disappears.
We thus conclude that our numerical data suggest that (i) the ferromagnetic
and globule-coil transition occur simultaneously at the critical coupling
constant given by Eq. (5), and (ii) the scaling of the end-to-end distance at
the transition is consistent with the $\theta$-point metric exponent
$\nu=4/7$.
The crossover exponent.—We turn our attention to estimating the crossover
exponent $\phi$ which quantifies the deviation from criticality via the scaled
coupling $x=(J-J_{c})/N^{-\phi}$ [21]. Specifically, the end-to-end distance
is expected to follow $\langle R^{2}_{N}\rangle=N^{2\nu}f(x)$ where $f(\cdot)$
is a dimensionless function of a dimensionless variable. To probe this Ansatz,
we perform data collapse of the end-to-end distance, where we keep $\nu=4/7$
fixed at its theta-point value [22], and vary $J_{c}$ and $\phi$. This
procedure is illustrated in Fig. 5.
Figure 5: Data collapse for the scaled end-to-end distance, $\langle
R^{2}_{N}\rangle/N^{2\nu}$, vs the scaled coupling $x=(J-J_{c})N^{\phi}$. We
fix $\nu=4/7$ and vary $J_{c}$ and $\phi$. On this plot, $J_{c}=0.832$ and
$\phi=0.7$. From visual inspection of the quality of the collapse, we estimate
$J_{c}=0.833(1)$ and $\phi=0.7(1)$. See text for discussion.
We find that our MC data are consistent with $J_{c}=0.833(1)$ and
$\phi=0.7(1)$, where the errorbars are conservative estimates from visual
inspection of the quality of the data collapse. We note that the value of
$J_{c}$ is consistent with Eq. (5). The crossover exponent clearly differs
from the $\theta$-point value for the ISAW model, where the Coulomb gas
prediction is $\phi=3/7$ [22] and numerical estimates are somewhat larger (see
Ref. [25] and the discussion therein).
We also perform a similar data collapse analysis for the magnetization, where
the scaling Ansatz is $\langle m^{2}\rangle=N^{-2\beta\phi}g(x)$, where $g(x)$
is a scaling function and $\beta$ is the order parameter exponent. Fig. 6
illustrates the procedure where we take $\beta=1/8$—which is the value for the
2D Ising universality class. While the quality of our numerical data does not
allow for estimating critical exponents with accuracy of any less then, say,
50%, we find that our data are consistent with the order parameter exponent
taking the 2D Ising value, and the crossover exponent $\phi\approx 0.7$.
Figure 6: Data collapse for the second moment of magnetization $\langle
m^{2}\rangle$. In this plot we use $\phi=0.71$, $J_{c}=0.832$ and $\beta=1/8$.
See text for discussion.
We stipulate that a high-precision estimate of the crossover exponent and/or
the order parameter exponent should take into account two sources of
corrections. First, for a disordered Ising model, logarithmic corrections
[26], are known to lead to apparently varying exponents [27]. Second, non-
universal corrections due to the surface tension are strong for 2D SAWs [28]
because the surface-to-volume ratio in 2D scales as $\sim N^{-1/2}$ which is
close to the universal $\theta$-point values $\nu=4/7$ and $\phi=3/7$.
Bulk to surface ratio.— Strictly speaking, the very notions of bulk and
surface are not well defined for $J<J_{c}$, where typical conformations are
coil-like. To come up with a quantitative characteristic which is meaningful
across the globule-coil transition and can be interpreted as a bulk-to-surface
ratio in the globular phase, we consider a local neighborhood of a monomer. We
note that each monomer (apart from two endpoints of the chain) can be
classified according to the number of its neighbor monomers as being either
1D-like (two neighbors), 2D-like (four neighbors) or surface-like (3
neighbors).
For a length-$N$ conformation, we count the numbers of monomers of each kind;
dividing by $N$ we obtain the fractions, $n_{\alpha}$ ($\alpha=2,3,4$), so
that $n_{2}+n_{3}+n_{4}=1-2/N$. Qualitatively, the ratio $n_{2}/(n_{3}+n_{4})$
characterizes a blob-and-link structure of a coil-type conformation, and
$n_{4}/n_{3}$ can be interpreted as a proxy for a bulk-to-surface ratio.
Fig. 7 shows the fractions of each kind of monomers as a function of $J$ for
chains of length $N=1000$ to $4900$. For comparison, we also compute the
corresponding fractions for an ISAW model (i.e., Eqs. (1)-(2) with $h\gg J$).
Figure 7: Fractions of monomers with two neighbors, $n_{2}$, (left), three
neighbors, $n_{3}$, (center) and four neighbors, $n_{4}$, (right). Solid
circles are the MC data for the Ising model (1)-(2), stars are the MC data for
the ISAW model, and dotted lines are to guide an eye. The vertical solid black
line is the theta-point for the ISAW, taken from Ref.[25]. The vertical dashed
brown line is Eq. (5).
Several features stand out in Fig. 7. First, even in the non-interacting SAW
limit, $J\to 0$, conformations are not fully 1D-like, as $n_{2}\approx 0.75$
only (the finite-size corrections become negligible for $N\gtrsim 100$). The
“bulk” fraction, $n_{4}$, is vanishingly small in the $J\to 0$ regime, and the
fraction of the “surface” monomers, $n_{3}$, tends to 0.25 for $J\to 0$. In
the opposite limit of large $J$, the 1D-like fraction tends to zero and the
“bulk” fraction grows. Most surprisingly, the “surface” fraction, $n_{3}$,
develops a peak for both ISAW and Ising models in the vicinity of their
respective collapse transitions.
While the relation between these results to a bulk/surface ratio of real
polymer chains is qualitative at best, and that more work is needed to
understand the nature of the peaks of $n_{3}(J)$, these results do illustrate
the importance of surface effects and stress the qualitative difference
between the magnetic SAW models and spin networks with mixed 1D / 2D local
connectivity [29].
Relation to the Ising model on rectangular lattices.—
It is instructive to compare the critical value of the Binder cumulant,
$U_{4}^{(c)}$, Eq. (5), to the values for a usual Ising model on a regular
grid. For the Ising model on a rectangular $L\times W$ lattice, the critical
value of $U_{4}$ depends on the boundary conditions and on the aspect ratio of
the lattice, $L/W$ [30, 31]. The dependence on the boundary conditions is
strong: on an $L\times L$ lattice with periodic boundary conditions,
$U^{(c)}\approx 0.61$, while open boundary conditions lead to $U^{(c)}\approx
0.4$. Furthermore, on the lattice with open boundary conditions, $U^{(c)}$
decreases continuously for increasing aspect ratio $L/W$ down to $\approx
0.35$ for $L/W=2$ [31] and further down for larger aspect ratios.
The critical value $U_{4}^{(c)}$, Eq. (5), is approximately compatible with
the result for the Ising model on a rectangular lattice with open boundary
conditions and the aspect ratio given by the ratio of the eigenvalues of the
gyration tensor of an interacting SAW at the $\theta$-point [25]. More work is
needed to accurately trace this connection.
The nature of the transition.— In 3D, the transition is clearly first-order
[11]. Our simulations indicate that the transition is continuous in 2D. First
of all, the Binder cumulant (4) is a monotonic function of $J$ for fixed $N$,
cf Fig. 4(top). This is consistent with a continuous transition, and is in
contrast to the expected behavior for a first-order transition, where the
cumulant is non-monotonic and develops a dip at $J_{c}$ as $N$ increases [32].
We then perform simulations for the specific heat capacity per monomer, which
is given by the second moment of the energy, Eq. (1)–(2),
$C=\frac{1}{N}\left(\langle E^{2}\rangle-\langle E\rangle^{2}\right)$ (6)
For finite values of $N$, the heat capacity is expected to have a peak in the
critical region. The peak can be rounded and shifted by finite-size
corrections, and the evolution of the peak height and shape is expected to be
very different for first-order and continuous transitions: For a first order
transition, the height of the peak of $C(J)$ is expected to be linear in $N$,
while the width is expected to shrink as $\sim N^{-1}$ [32]. For continuous
transitions, the structure of $C(J)$ in the vicinity of $J_{c}$ is controlled
by the heat capacity exponent $\alpha$, which is typically different from
unity.
Figure 8: The specific heat capacity per monomer, Eq. (6), as a function of
the coupling constant $J$. Errorbars are estimated via statistical resampling
from MC data for the first and second moments of the energy. See text for
discussion.
Fig. 8 shows our numerical results for the specific heat capacity. We note
that numerical cancellations in Eq. (6) magnify statistical errors of MC
simulations, thus limiting the values of $N$ accessible in these simulations
to be about an order of magnitude smaller then those in Figs. 2-6—which is
comparable to the values reported in Ref. [11]. At these values of $N\leqslant
500$, shown in Fig. 8, finite-corrections are very strong. Nevertheless, the
available numerical data suggest that the peak height dependence on $N$ is
sublinear and the peak widths shrinks slower then $1/N$. The overall shape of
$C(J)$ curves in Fig. 8 is drastically different from those observed for a
first-order transition in 3D in Ref. [11]. We interpret these observations,
however limited, as an additional indication of a transition being continuous,
with the heat capacity exponent $\alpha<1$.
We also note that we observe a single peak of $C(J)$, not a two-peak structure
reported for a site-diluted Ising model [33] and a network of Ising spins with
mixed 1D/2D local connectivity [29]. The difference with the latter is not
surprising given the role of the surface-like spins, cf Fig. 7.
To further check the nature of the transition, we compute distributions of
observables. Fig. 9 shows the distribution of the magnetization for $N=10^{4}$
in the vicinity of the transition, Eq. (5). The distribution is Gaussian-like
on the paramagnetic side, $J<J_{c}$, broadens on approach to the critical
coupling, and develops a clear ferromagnetic structure ($m=\pm 1$) for
$J>J_{c}$. In the critical region, we see no signs of a phase coexistence
which would signal a first-order transition.
Figure 9: Distribution of the magnetization $m=\sum_{j\in u}s_{j}$/N for
$N=10000$. The coupling constants are $J=0.830<J_{c}$ (blue points),
$J=0.833\approx J_{c}$ (orange), $J=0.836$ (just above the $J_{c}$, green),
and $J=0.840>J_{c}$ (red). Each simulation uses $\sim 7\times 10^{9}$ MC
steps.
## IV Conclusions and outlook
Concluding, we study a 2D model of a magnetic polymer chain where monomers of
a self-avoiding walk on a lattice carry Ising spins [11]. We use a variant of
the worm algorithm to simulate fixed-length chains of up to $10^{4}$ monomers.
We find a joint transition—where both spins order ferromagnetically and the
SAW collapses into a globular phase—at $J/T=0.8340(5)$. The very fact that the
transitions occur simultaneously can be traced to the specifics of the model,
which only has a single coupling constant, the exchange integral for the
short-range spin-spin interaction. What is less clear a priori, is the nature
of the transition. Our results suggest that the transition is continuous, in
contrast to a similar 3D model, where it is reported to be first-order [11].
Our numerical results suggest that some critical exponents (but not all of
them) are inherited from the “parent” models, namely the $\theta$-polymer ISAW
model, and the Ising model. Specifically, we present numerical evidence that
the metric exponent $\nu$ at the transition takes the $\theta$-point value
$\nu=4/7$, but the crossover exponent $\phi\approx 0.7$, which is clearly
different from the $\theta$-polymer value of $3/7$. We also present
indications that the magnetic order parameter exponent $\beta$ is consistent
with the 2D Ising universality class value $\beta=1/8$, however the accuracy
of this observation given our simulation results is relatively weak.
We study geometric properties of the model and classify the local connectivity
of monomers of the chain into 1D-like, bulk-like and surface-like. A possible
interpretation of our numerical results is that the surface-to-bulk ratio has
a peak in the vicinity of the transition. Incidentally, we also find numerical
evidence that for a non-interacting SAW, the fraction of 1D-like monomers is
1/4 in the thermodynamic limit. To the best of our knowledge, this was
previously not discussed in the literature. More work is needed to clarify the
status and physical meaning of these numerical results.
Concerning future work, it would be interesting to explore more realistic
models of magnetic polymers, e.g. by considering Potts or Heisenberg type
models and general dipole-dipole couplings in two and three dimensions. Models
with separate coupling constants might generate richer phase diagrams with
separate globule-coil and magnetic transitions.
Possible experimental realizations of magnetic polymers, for which our model
and its suggested generalizations may be applicable, include magnetic
filaments where magnetic nanoparticles are either cross-linked by a polymer to
form linear structures—these can be realized via e.g. biotemplaing [34]— or
self-organize into one-dimensional like structures at liquid-liquid interfaces
[35]. Monte Carlo simulations of models of magnetic polymers may complement
molecular dynamics studies of magnetic filaments [36].
When this work was completed, we became aware of an independent study of the
same model in Ref. [37]. Our estimates of the location of the transition and
critical exponents and those of Ref. [37] are consistent within the combined
errorbars.
## V Acknowledgments
We acknowledge financial support by RFBR according to the research project No
19-07-01117. K.F. and I.P. acknowledge support within the Project Teams
framework of MIEM HSE. Numerical simulations were performed using the
computational resources of HPC facilities at HSE University [38]. Multiple
illuminating discussions with Lev Shchur and Yury Budkov are gratefully
acknowledged.
## References
* [1] P-G de Gennes. Scaling concepts in polymer physics. Cornell University Press, 1979.
* [2] C. Vanderzande. Lattice models of polymers. Cambridge University Press, 1998.
* [3] M. Aerstens and C. Vanderzande. Ising model on a SAW. J. Phys. A: Math. Gen., 25:735, 1992.
* [4] B. K. Chakrabarti and S. Bhattacharya. Study of an Ising model on a self-avoiding walk lattice. J. Phys. C: Solid State Physics, 16:L1025, 1983.
* [5] B. K. Chakrabarti and S. Bhattacharya. A real-space renormalization group study of the Ising model on self-avoiding walk chains. J. Phys. A: Math. Gen., 18:1037, 1985.
* [6] A. Papale and A. Rosa. The Ising model in swollen vs. compact polymers: Mean-field approach and computer simulations. Eur. Phys. J. E, 41, 12 2018.
* [7] G. Z. Archontis and E. I. Shakhnovich. Phase transitions in heteropolymers with “secondary structure”. Phys. Rev. E, 49:3109, 1994.
* [8] A. R. Khokhlov and P. G. Khalatur. Protein-like copolymers: computer simulation. Physica A, 249(1):253–261, 1998.
* [9] H.K. Murnen, A.R.Khokhlov, P.G. Khalatur, R.A. Segalman, and R.N. Zuckermann. Impact of hydrophobic sequence patterning on the coil-to-globule transition of protein-like polymers. Macromolecules, 45(12):5229–5236, 2012.
* [10] V. Blavatska and W. Janke. Conformational transitions in random heteropolymer models. J. Chem. Phys., 140:034904, 2014.
* [11] T. Garel, H. Orland, and E. Orlandini. Phase diagram of magnetic polymers. Eur. Phys. J. B, 12:261–268, 1999.
* [12] K. Faizullina and E. Burovski. Globule-coil transition in the dynamic HP model. J. Phys.: Conf. Ser., 1740:012014, 1 2021.
* [13] P. Grassberger. Pruned-enriched Rosenbluth method: Simulations of $\theta$ polymers of chain length up to 1 000 000. Phys. Rev. E, 56:3682–3693, Sep 1997.
* [14] T. Prellberg and J. Krawczyk. Flat histogram version of the pruned and enriched Rosenbluth method. Phys. Rev. Lett., 92:120602, Mar 2004.
* [15] N. Prokof’ev and B. Svistunov. Worm algorithms for classical statistical models. Phys. Rev. Lett., 87:160601, Sep 2001.
* [16] N. Prokof’ev E. Burovski and B. Svistunov. unpublished, 2002.
* [17] S. Caracciolo, M. Papinutto, and A. Pelissetto. Dynamic critical behavior of an extended reptation dynamics for self-avoiding walks. Phys. Rev. E, 65:031106, Feb 2002.
* [18] N. Metropolis, A.W. Rosenbluth, M.N. Rosenbluth, A.H. Teller, and Teller.E. Equation of state calculations by fast computing machines. J. Chem. Phys., 21(6):1087–1092, 1953.
* [19] U. Wolff. Collective Monte Carlo updating for spin systems. Phys. Rev. Lett., 62:361–364, Jan 1989.
* [20] In the literature, the gyration radius is often considered instead; however the asymptotic properties of the radius of gyration and end-to-end distance are expected to be the same (see, e.g. [17]), and the latter is simpler to work with numerically.
* [21] E.J.J.v. Rensburg. The Statistical Mechanics of Interacting Walks, Polygons, Animals and Vesicles. Oxford Lecture Series in Mathe. Oxford University Press, 2015.
* [22] B. Duplantier and H. Saleur. Exact tricitical exponents for polymers at the theta point in two dimensions. Phys. Rev. Lett., 59:539, 1987.
* [23] Following Ref. [39], we also fit the data shown in Fig. 3 with a four-parameter model, $\mathop{log}\nolimits(R_{N}^{2}+k_{1})=2\nu\mathop{log}\nolimits(N+k_{2})+b$, with fit parameters $\nu$, $b$, $k_{1}$ and $k_{2}$. Here $b$, $k_{1}$ and $k_{2}$ are phenomenological parameters meant to mimic corrections to scaling. While this model is not expected to be fully accurate—it misrepresents corrections-to-scaling exponents and thus produces wrong results for $\nu$ close to the globular phase—it does support the expectation from a visual inspection of Fig. 3 that the metric exponent $\nu$ agrees with the $\theta$-point value $\nu=4/7$ around $J\approx 0.83$.
* [24] K. Binder. Finite size scaling analysis of Ising model block distribution functions. Z. Phys. B, 43:119, 1981.
* [25] S. Caracciolo, M Gherardi, M. Papinutto, and A. Pelissetto. Geometrical properties of two-dimensional interacting self-avoiding walks at the $\theta$-point. J. Phys. A: Math. Theor., 44(11):1–24, 2011.
* [26] V. S. Dotsenko and V. S. Dotsenko. Critical behaviour of the phase transition in the 2D Ising model with impurities. Adv. Phys., 32:129, 1983.
* [27] H. G. Ballesteros, L.A. Fernandez, V. Martín-Mayor, A.M. Sudupe, G. Parisi, and J.J. Ruiz-Lorenzo. Ising exponents in the two-dimensional site-diluted Ising model. J. Phys. A: Math. Gen., 30:8379, 1997.
* [28] P. Grassberger and R. Hegger. Simulations of $\theta$-polymers in 2 dimensions. Journal de Physique I, 5(5):597–606, 1995.
* [29] O.T. Valls A.N. Malmi-Kakkada and C. Dasgupta. Ising model on a random network with annealed or quenched disorder. Phys. Rev. B, 90:024202, Jul 2014.
* [30] W. Selke and L. N. Shchur. Critical Binder cumulant in two-dimensional anisotropic Ising models. J. Phys. A: Math. Gen., 38(44):L739–L744, oct 2005.
* [31] W. Selke. Critical Binder cumulant of two-dimensional Ising models. Eur. Phys. J. B, 51(2):223–228, 2006.
* [32] K. Binder and D.P. Landau. Finite-size scaling at first-order transitions. Phys. Rev. B, 30:1477, 1984.
* [33] W. Selke, L.N. Shchur, and O.A. Vasiliev. Specific heat of two-dimensional diluted magnets. Physica A, 259:338, 1998.
* [34] F. Vonderviszt E.Bereczk-Tompa, B. Horvath, I. Szalaid, and M. Posfai. Biotemplated synthesis of magnetic filaments. Nanoscale, 9:15062, 2017.
* [35] J.J. Benkoski, S.E. Bowles, R.L. Jones, J.F. Douglas, J. Pyun, and A. Karim. Self-assembly of polymer-coated ferromagnetic nanoparticles into mesoscopic polymer chains. J. Polym. Sci., Part B: Polym. Phys., 46:2267, 2008.
* [36] D. Mostarac, P.A. Sanches, and S. Kantorovich. Characterisation of the magnetic responce of nanoscale magnetic filaments in applied fields. Nanoscale, 12:13933–13947, 2020.
* [37] D.P. Foster and D. Majumdar. Critical behavior of magnetic polymers in two and three dimensions. Phys. Rev. E, 104:024122, Aug 2021.
* [38] P. S. Kostenetskiy, R. A. Chulkevich, and V. I. Kozyrev. HPC resources of the Higher School of Economics. J. Phys.: Conf. Ser., 1740:012050, jan 2021.
* [39] A. Berretti and A.D. Sokal. New Monte Carlo method for the self-avoiding walk. Journal of Statistical Physics, 40(3-4):483–531, 1985.
| arxiv-papers | 2021-07-25T15:38:34 | 2024-09-04T03:07:16.935003 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Kamilla Faizullina, Ilya Pchelintsev, Evgeni Burovski",
"submitter": "Kamilla Faizullina",
"url": "https://arxiv.org/abs/2107.11830"
} |
2107.11832 | # A Holistic Analysis of Datacenter Operations:
Resource Usage, Energy, and Workload Characterization
Extended Technical Report
Laurens Versluis111Coresponding author: [email protected]
Vrije Universiteit Amsterdam
The Netherlands Mehmet Cetin
Vrije Universiteit Amsterdam
The Netherlands Caspar Greeven
Surf
The Netherlands Kristian Laursen
Vrije Universiteit Amsterdam, Surf
The Netherlands Damian Podareanu
Surf
The Netherlands Valeriu Codreanu
Surf
The Netherlands Alexandru Uta
Leiden University
The Netherlands Alexandru Iosup
Vrije Universiteit Amsterdam
The Netherlands
###### Abstract
Improving datacenter operations is vital for the digital society. We posit
that doing so requires our community to shift, from operational aspects taken
in isolation to holistic analysis of datacenter resources, energy, and
workloads. In turn, this shift will require new analysis methods, and open-
access, FAIR datasets with fine temporal and spatial granularity. We leverage
in this work one of the (rare) public datasets providing fine-grained
information on datacenter operations. Using it, we show strong evidence that
fine-grained information reveals new operational aspects. We then propose a
method for holistic analysis of datacenter operations, providing statistical
characterization of node, energy, and workload aspects. We demonstrate the
benefits of our holistic analysis method by applying it to the operations of a
datacenter infrastructure with over 300 nodes. Our analysis reveals both
generic and ML-specific aspects, and further details how the operational
behavior of the datacenter changed during the 2020 COVID-19 pandemic. We make
over 30 main observations, providing holistic insight into the long-term
operation of a large-scale, public scientific infrastructure. We suggest such
observations can help immediately with performance engineering tasks such as
predicting future datacenter load, and also long-term with the design of
datacenter infrastructure.
## 1 Introduction
Figure 1: Number of correlated metric pairs with a Spearman coefficient $\geq
0.9$ across 50 days. The black line depicts the number of pairs that are
consistently present on all days. Because only 40 pairs are consistently
correlated, we need to consider the other hundreds of metrics, taken
individually.
Datacenters have become the main computing infrastructure for the digital
society [14]. Because datacenters are supporting increasingly more users and
more sophisticated demands, their workloads are changing rapidly. Although
our community has much data and knowledge about HPC and supercomputing
workloads [18, 2], we have relatively much less information on emerging
workloads such as machine-learning, which seem to differ significantly from
past workloads [35, 28]. We posit in this work that, to design the efficient
datacenters of tomorrow, we need comprehensive yet low-level machine metrics
from datacenters. Such metrics could be key to optimization [38], performance
analysis [39, 61], and uncovering important phenomena [24]. Yet,
comprehensive datasets of low-level datacenter metrics are rare [64, 58, 10,
49]. Commercial providers are reluctant to publish such datasets, for reasons
that include the need for commercial secrecy, adherence to privacy
legislation, and lack of strong incentives to compensate for the additional
effort. Often, published datasets are collected over short periods of time,
with coarse time-granularity, not including low-level machine metrics. Some
datasets have hardware specifications and rack topologies omitted and values
obfuscated through normalization or other processes; only coarse, narrowly
focused analysis can result from them. In contrast, in this work we propose a
method for holistic analysis of datacenter operations, and apply it to the
only long-term, fine-grained, open-access dataset [33, 60] that is currently
available in the community. This dataset contains long-term and fine-grained
operational server metrics gathered from a scientific computing
infrastructure over a period of nearly 8 months at 15-second intervals. We
show that such data are key in understanding datacenter behavior and
encourage all datacenter providers to release such data and join our effort.
We focus on addressing three key challenges related to holistic understanding
of datacenter operations. First, the lack of work on diverse operational
metrics. For decades, the community has successfully been optimizing computer
systems only for the metrics we measured—e.g., throughput [57], job completion
time [63], latency [12], fairness [20]—and biased toward the workloads and
behavior that have been open-sourced [18, 2, 64, 58, 10, 49, 65]. In Figure 1,
we show evidence motivating the need to capture diverse sets of datacenter
metrics. Using the uniquely fine-grained open-source dataset, we perform an
all-to-all correlation analysis on 300+ low-level metrics. To get a reasonable
idea for the number of correlating pairs per day, we investigate 50 separate
days. This number is sufficient to highlight that the number of correlations
varies greatly, likely depending on the daily workload of the datacenter. This
suggests that capturing only a few metrics is insufficient to get a
comprehensive view on the datacenter operation, as most metrics cannot be
reliably derived from another. Instead, to capture possibly vital information,
we should aim to include as much data as possible, from hardware sensors, to
operating systems, and further to the application level. (In Section 7.2, we
assess the additional storage costs for fine-grained data sampling at
15-second intervals and, together with the datacenter operators that published
the open-access dataset, we interpret the results as indicative that these
costs are worthwhile for the additional insight they enable.)
We identify as the second main challenge the lack of holistic analysis
methods, able to combine and then work on diverse operational metrics such
as workload and machine metrics. Previous research already points out that
large bodies of modern research might be biased toward the available datasets
[7, 2], and that effort to measure “one level deeper” is still missing [42].
Next to operational bias, this also results in understudying other metrics and
limits our ability to fully understand large-scale computer systems. For
example, only since the 2000s and more intensively only after the mid-2010s,
has energy consumption become a focus point [11, 21]. In pioneering work in
operational data analytics in the late-2010s, Bourassa et al. [6] propose to
conduct extensive data collection and feed the results back into running
datacenters for improving operations. Pioneering software infrastructures
such as GUIDE [62] and DCDB Wintermute [40] take first steps in this
direction. However, much more research is needed to understand the kinds of
data and analysis feasible (and necessary) in this field. Similarly, many
studies and available datasets focus only on computational aspects, e.g., [2,
65, 53, 18], but details on the operation of machine-learning workloads on
infrastructure equipped with GPUs (and, further, TPUs, FPGAs, and ASICs) are
still scarce.
As the third main challenge, we consider the relative lack of relevant, fine-
grained, and public datasets. In practice, collecting holistic data has been
feasible at the scale of datacenters for nearly a decade, with distributed
monitoring [34], tracing [52], and profiling [71] tools already being used in
large-scale datacenters. Unfortunately, such data rarely leaves the premises
of the datacenter operator. From the relatively few traces that are shared
publicly, many are focused on important but specific kinds of workloads, such
as tightly-coupled parallel jobs [18], bags of tasks [26], and workflows [65].
Other datasets only include a limited subset of metrics such as power
consumption [44], or high-level job information [43]. Only a handful of
datasets include low-level server metrics, such as the Microsoft Azure
serverless traces [49] or the Solvinity business-critical traces [50].
Recently, in 2020, the largest public infrastructure for scientific computing
in the Netherlands has released as Findable, Accessible, Interoperable,
Reusable (FAIR) [66], open-access data a long-term, fine-grained dataset about
their operations [33, 60]. In this work, we take on the challenge of
conducting the first holistic analysis of the datacenter operations captured
by this dataset.
Addressing these challenges, we advocate for a holistic view of datacenter
operations, with a four-fold contribution:
1. 1.
We analyze whether diverse operational metrics are actually needed (Section
2). We conduct a pair-wise correlation study across hundreds of server
metrics, and analyze whether correlations are enough to capture datacenter
behavior. Our results show strong evidence about the need for a more diverse
set of metrics, to capture existing operational aspects.
2. 2.
Motivated by the need for diverse operational metrics, we propose a holistic
method for the analysis of datacenter operations (Section 3). Our method
considers information about machine usage, energy consumption, and incoming
workload, and provides comprehensive statistical results.
3. 3.
We show the benefits of our method in understanding the long-term operations
of a large public provider of scientific infrastructure (Sections 4–6). We
provide the first holistic insights into a large-scale, fine-grained and, most
importantly, public dataset [33, 60]—over 60 billion data-points collected
over 7.5 months at the high frequency of 15 seconds. Unique features include a
comparison of generic and machine-learning workloads and nodes, per-node
analysis of power consumption and temperature, and glimpses at the COVID-19
period.
4. 4.
We explore ways to leverage holistic-analysis results to improve datacenter
operation (Section 7). We first investigate short-term use, quantifying how
higher-frequency information leads to better online load prediction. We
propose actionable insights, assessing overheads of collecting more data and
metric correlations. We also exemplify long-term use for design and tuning.
## 2 Are Just a Few Metrics Enough?
Figure 2: The correlation matrix of node metrics. See text for an explanation
of the sub-plots.
In this section we show that more metrics are needed when analyzing datacenter
behavior, and thus also that more metrics should be recorded and shared. We
reach this conclusion by analyzing correlations for a rich set of over 300
low-level metrics collected from a scientific and engineering datacenter
(details in Section 3.1). Although the dataset includes low-level metrics
collected by servers, OS, and applications, we focus in this section on
metrics mostly as context-agnostic information, that is, without a structure
or ontology that attaches them to specific datacenter components or processes.
This allows us to understand whether more metrics can provide new information.
(We address metrics in their context in our analysis method, in the next
section.)
Method overview: Correlations can lead to improvements in system monitoring
and find interesting relationships for, e.g., predictions [67]. In particular,
we are interested if all metrics in the dataset we consider are necessary or
can be obtained from others through correlation, and if these correlations are
persistent or workload-dependent. First, we compute all valid correlation-
pairs during a day and inspect if pairs which are considered “very strong” by
literature are persistent, as these pairs are the most likely candidates to
reduce the size of the dataset through derivation and likely most robust.
Second, we analyze visually the distribution and correlations of several
commonly used high-level node metrics.
Conclusion: A small set of metrics cannot capture the information provided by
diverse metrics. We urge datacenter practitioners to collect as much fine-
grained data as possible for enabling valuable analyses, and to open-source
such data for the benefit of all.
### 2.1 More Metrics Needed
To observe if metrics are workload dependent, we compute the Pearson,
Spearman, and Kendall correlations for all metric pairs, for 50 different
days. This results in over 14,000 valid correlation pairs per day222For some
metrics, no valid data is available or all values are the same.. Next, we
compute per day the number of pairs with “very strong” correlation, i.e., with
Spearman coefficient $\geq$0.9 [48]. To verify that all coefficients are
significant, we verify the probability of an uncorrelated system producing a
correlation as extreme as in our dataset is negligible, i.e., all p-values of
the pairs depicted in the figure are equal to
0333docs.scipy.org/doc/scipy/reference/generated/scipy.stats.spearmanr.html.
Figure 1 depicts the number of correlated metric-pairs, per day. We observe
the number of pairs fluctuates significantly, with only 40 pairs present on
all days. This indicates that correlations, even very strong ones, change
daily—because workloads are the most variable aspect of a datacenter, we
conjecture correlations are workload-dependent. This suggests metric
information should be collected across many metrics, and over long periods of
time. Second, this shows, combined with observations from Section 2.2, that we
cannot (significantly) reduce the amount of metrics, as many of these metrics
cannot be reliably derived nor predicted from others.
### 2.2 Correlations Are Not Enough
To understand what correlations can reveal about the correlated metric-pairs,
we depict in Figure 2 a correlation matrix of power usage, ambient
temperature, number of processes running, amount of memory used, and UNIX
load1. For all these metrics, the input dataset has valid data, so we are able
to accurately compute all correlations.
The correlation matrix in Figure 2 includes: (i) distribution plots on the
diagonal, (ii) pair-wise scatter plots and linear regressions in its sub-
diagonal elements; (iii) pair-wise Pearson, Spearman, and Kendall correlations
between metrics, in mirrored elements of (i).
Based on (i), we observe most metrics have a long tail. We also observe that
the majority of values for temperature and somewhat for memory used is
confined to a constrained area. From (ii), we observe that most combinations
of metrics do not seem to have a linear relationship. Four pairs of metrics
seem to have either some or a strong linear correlation. If we look at the
Pearson, Spearman, and Kendall correlations corresponding to figures in (ii),
we observe some additional insights going by the suggestion of Schober et al.
to use these correlations as “a measure of the strength of the relationship”
[48]. (All p-values are $<10^{-13}$, so the results are meaningful.)
load1 and power usage seem to correlate somewhat going by the Spearman
correlation which does not show in (ii), and second, the reverse shows for
memory usage and number of running processes where relation in the regplot
does not appear in any of the correlations. Even though one might assume a
(seemingly) linear regression would also show in a ranking correlation such as
Spearman, this does not always hold.
The temperature seems to correlate somewhat with the power usage of a node.
This makes sense as initially as power usage increases, the temperature goes
up due to heat dissipation. Naturally, the temperature eventually starts to
stabilize and even goes down as the components within the system start to get
cooled by the cooling system. The second pair that shows some relationship is
memory used and number of processes running. The scatterplot shows a linear
regression curve, yet as the data is not normal distributed, the Pearson
correlation is close to 0. The Spearman correlation shows a moderate
relationship between these two metrics. As can be observed from the regression
curve, the amount of memory used does go up with the number of processes
running, yet many outliers exist. The scatter plot shows that sometimes the
amount of memory used in the system reaches the maximum when the number of
processes running observed is low. We also observe that there are many
measurements where the number of processes running is high, yet the total
memory used by the node remains low, indicating that whenever many processes
are running, they are lightweight in terms of memory usage. The third pair
that shows a strong correlation is load1 and the number of processes running,
with a Spearman coefficient of 0.82 and Kendall coefficient of 0.63. The load1
metric roughly depicts the average number of active processes in the last
minute, which naturally should correlate well with the number of processes
running. As the regression curve almost has a slope of 1, this indicates that
bursts are infrequent; as the load1 is an average over the past minute and
processes running is real-time, bursts may be dampened if they are very short
running processes. We observe that many measurements are just below this
curve, i.e., the number of processes running is higher than load1. This
indicates that some processes are not awaiting resources and are e.g.,
suspended. We also observe some measurements where the load is higher than the
number of processes running, this indicates a possible burst of short running
processes that causes the average of load1 to spike, yet do not reflect in the
current number of processes running. The other pairs of metrics do not seem to
correlate, indicating that these combinations can’t be used to predict a
counterpart. This highlights the complexity of these systems and the
difficulty in understanding the parameter space on a system’s behavior.
## 3 Method for Holistic Analysis
We propose in this section a holistic analytical method for datacenter
operations. In our method, obtaining a holistic view requires combining
machine, energy, and workload data; doing so with long-term and fine-grained
data enables meaningful findings. Our method is data-driven, and thus we
address the input data (Section 3.1) and its cleanup (Section 3.2). The
highlight of this section is the data analysis, for which we describe the main
research questions and how we address them (Section 3.3). We also cover in
this section the practical aspects (Section 3.4), e.g., the software and our
provisions to ensure the reproducibility of this work, and the main
limitations we see to our method (Section 3.5).
### 3.1 Input Data
Although our method does not depend on specific metrics, we are mindful of the
information currently available as public datasets. We take as model the
public dataset with the finest temporal and spatial granularity available— a
dataset open-sourced by the largest public provider of scientific
infrastructure in the Netherlands. The datacenter operators have shared low-
level server metrics collected every 15 seconds for a period of nearly 8
months [33, 60].
Overall: Table 1 summarizes the public dataset: up to 1,26 million samples per
metric per node, and in total 66 billion individual, high- and low-level
metric measurements. The low-level metrics include server-level (e.g., power
consumption), hardware-sensor (e.g., fan speeds, temperature), and OS-level
metrics (e.g., system load).
Workload: The datacenter acts as infrastructure for over 800 users, who have
submitted in the period captured by the dataset over 1 million jobs. The
majority of these jobs originate from the bioinformatics, physics, computer
science, chemistry, and machine learning domains. Jobs are exclusive per user;
there are no multi-user jobs or workflows at the moment. SLURM is the cluster
manager used to allow users to queue jobs for these different types of nodes.
All jobs are scheduled using FIFO per stakeholder, with fairsharing across
stakeholders. Through the use of queues, the datacenter offers both co-
allocation of jobs on the same node and reserving of nodes for exclusive use.
The operator uses cgroups to enforce CPU and memory limits on multi-tenant
nodes.
Infrastructure: In total, the datacenter contains 341 nodes spread across 20
racks. Racks are either generic, including nodes only with CPUs, or for
machine learning (ML), including both CPUs and a number of GPUs per node. Over
90% of the workload on the GPU nodes is from the ML domain, a determination
based on the libraries used by each job and later checked by the datacenter
administrators Each rack includes up to 32 generic nodes or up to 7 ML nodes;
the counts depend on GPU types and on power-consumption limitations imposed by
the cooling system.
Table 1: Generic outline of the machine metric dataset.
Dataset Item Value Public data Start date 2019-12-29 (see $\S$3.1) End date
2020-08-07 Sampling frequency [s] 15 Max. samples per metric per node
1,258,646 Number of metrics 327 Number of measurements 66,541,895,243 Clean
data Number of valid racks 15 (see $\S$3.2) Number of valid nodes 315 Number
of valid measurements 63,978,689,791
### 3.2 Data Cleanup
After inspecting the data, we inquired with the dataset creators about
(in)valid and missing data, and, finally, created cleanup scripts. After
careful data-cleanup, the dataset we use in this work is unprecedentedly rich,
covering the operation of 15 racks containing 315 nodes with nearly 64 billion
measurements, spanning over 7 months. To clean the dataset in Table 1, we
focus on:
Clean node- and rack-data: We include only the 315 nodes in 15 racks that are
used for computation. Together, these nodes contain 5,352 CPU cores, 41.6 TB
of CPU memory, 128 GPUs, and 1.8 TB of GPU memory. Most nodes (283) only
contain CPUs; the others (32) also have GPUs attached.
Clean job-data: For the workload, we filter out the jobs based on their start
time if they are outside the start and end time range of the dataset.
Additionally, all jobs that are not related to the racks in the machine
dataset are filtered out. These jobs originate from nodes in the 5 racks used
as gateways for the public, as debug and testing resources, and as compile
farms.
Clean metric-data: When performing numerical analyses, we removed the NaN
values or set them to, e.g., zero when summing. Overall, the original dataset
contains over 66 billion measurements, with close to 2.6 billion NaN values
(3,85%). For some metrics, the dataset contains some gaps where the monitoring
system was down; for some others, data collection stopped halfway into May
2020.
Clean time-series: We filter out all missing measurements (not-a-numbers,
NaNs). In visual overviews, we mark missing data using special coloring.
Clean correlation-data: When computing correlations between pairs of metrics,
we omit pairs where one or both metrics’ measurements never change, because
such data is unfit for the ranking step required to compute the Spearman and
Kendall correlations.
Figure 3: Resource usage for various metrics. For this plot, we normalize the
metrics and color them accordingly (see text). Vertical dashed lines depict
the start of a month. Grey depicts lack of valid data (see section 3.2).
### 3.3 Data Analysis
Our method for holistic analysis proposes diverse research questions, answered
using fine-grained machine and workload data.
Machine and workload data: As main input dataset, we use the clean dataset
introduced in Section 3.2. For the COVID-19 analysis, we record that the Dutch
government declared the start of the (ongoing) pandemic on Feb 27, 2020 [15];
we thus consider all data before this date to be “non-covid” data. For the
workload analysis, the datacenter cannot publish the workload data due to
privacy constraints (the EU GDPR law); instead, we contacted the datacenter
operators and worked with them to run the analysis we need on the data.
Method FAIRness [66]: The scientific community is a powerful advocate for FAIR
data. The dataset used in this work is FAIRly stewarded by Zenodo, and comes
with a full specification and a data-schema that allow sharing and using the
data with low effort [60].
Novelty of our method: Previous work [2, 62, 40, 43] has performed individual
analyses that align and overlap with our holistic analysis. However, the kind
of analysis we propose in this work is novel through its all-encompassing
scope and detail of the data: we analyze workload (e.g., jobs) data and fine-
grained machine data, and show that this is needed to better understand job-
machine interaction and to perform predictions. We present three types of
research questions (RQ) addressed by our novel analysis and mark with a star
($\star$) the RQs which are not answered in any prior work:
A. Analysis of machine operations (results in Section 4): To analyze how the
datacenter machines behave over a long period of time, we use a variety of
low-level metrics as input for answering the following questions:
RQ1:
What is the general resource usage? We aim to understand the usage of each
server: the average system load; RAM, disk I/O, and GPU usage. We further
study the average power consumption, the temperature, and the fan speed.
RQ2:
What is the specific memory and network usage? The answer should include
common ranges and modes in the distribution of memory consumption, etc., per
node-measurement; linked when possible to known workload.
RQ3:
$\star$ What is the power consumption, per node and per rack? What is the rack
temperature? We seek the (instantaneous) power consumption, including common
ranges and modes. We want to further understand how the heat dissipates and if
the cooling system is overwhelmed.
RQ4:
How does the system load vary over time? We focus here on diurnal and longer-
term patterns. (The current dataset does not enable seasonality analysis, but
data keeps accumulating.)
RQ5:
$\star$ How do generic and ML nodes and racks differ?–orthogonal concern,
applies to all other machine-related question.
RQ6:
$\star$ What is the impact of the COVID-19 pandemic?, especially how
operations responded to workload changes.
B. Analysis of datacenter workload (results in Section 6): To understand if
the workload exhibits similar properties to other traces known in the
community, especially traces from scientific and Big Tech clusters, we
formulate the following questions:
RQ7:
What are the job characteristics?—job size in CPU-cores, job length, and
variability across these features.
RQ8:
What are the job arrival patterns? This question focuses on the basic
statistics and time-patterns of job submissions.
RQ9:
What is the peak demand?—explains the intensity of the peak demand, and
contrasts it to normal operation.
RQ10:
What are the patterns of job-failure?—fraction of jobs fail to complete and
their resource-waste.
RQ11:
How do long jobs behave? We consider this orthogonal concern for each of the
other workload-related questions.
C. Generating insights from data (results in Section 7):
RQ12:
$\star$ How can we leverage fine-grained data?, focusing on using fine-grained
data to perform better predictions.
RQ13:
$\star$ What are the implications of storing fine-grained data? This question
focuses on the feasibility of storage for fine-grained metric data as well as
how scalable its analysis is.
RQ14:
$\star$ How do metrics correlate? This question focuses on insights into low-
level metrics correlation and the implication for data collection and
analysis.
RQ15:
$\star$ What are the implications of holistic analysis for datacenter
operation and design? This focuses on leveraging fine-grained data to tune and
design efficient datacenters.
### 3.4 Software and Reproducibility
To enable reproducibility, we validate and open-source all the software
(scripts) used in this work. All scripts are checked for correctness by at
least two persons. They load raw data from the dataset available as FAIR [66],
open-access data at:
https://doi.org/10.5281/zenodo.4459519
In our analysis, we use Pandas 1.2.0, NumPy 1.19.4, SciPy 1.5.3, and
statsmodels 0.12.1. For some analyses, we use a distributed version of Pandas,
Koalas 1.5.0, deployed on a spark cluster running Spark 3.0.0 with Hadoop
2.7.7. All our analyses and plotting code is available open-source at
https://github.com/sara-nl/SURFace.
### 3.5 Known Limitations
We discuss here four known limitations to our method:
The most important limitation to our method derives from its holistic nature,
which is also its strength. This nature is reflected in the broad analysis of
several hundred metrics, which, as we show in the next three sections, helps
understand how the whole works and gives actionable insights. However,
datacenters can expose thousands of signals, so even our broad selection
imposes a bias. Finding a complete and general, holistic method of analysis is
beyond the scope of this work—a goal which we envision for the entire
community, for the next decade, which already includes award-winning work that
focuses on selecting meaningful signals [69] and large-scale data collection
[62, 44, 40]. Furthermore, the method proposed here can be contrasted with
methods from the other end of the holistic-reductionist spectrum; compared
with focused work on even one of the questions we address, our method cannot
produce the same depth for the same effort. Without rehashing the broad and
as-of-yet inconclusive debate of the entire scientific world about holism vs.
reductionism, we draw attention to its current stand-off: both add value and
should not be discarded, lest the community that does so fails in producing
scientific discoveries, long-term.
A second limitation derives from the statistical methods used in this work and
from the libraries that compute them. We use linear regression, because this
is the most common form of fitting and thus it is likely to be understood by
every member of the community. However, we envision that expert-level models
could be developed, e.g., leveraging machine learning or higher order
polynomials, giving better accuracy and precision. An example here could be to
develop non-linear models where failures and even performance anomalies [25]
are causally linked to signals from many metrics in the system, such as high
load, extreme temperature, or unusual [19] and/or fail-slow hardware failures
[24]. As discussed in Section 2, most metrics are not uniformly distributed,
which is required for the Pearson correlation; nonetheless, the three
correlation coefficients sketch a better picture together.
Another limitation is the vantage point, in that we look at data from a
specific datacenter. This could affect especially the workload-level, where
machine learning is emerging. However, more datasets as fine-grained as this
work analyzes are currently not available publicly—we encourage datacenter
operators to help!
Last, the dataset we analyze is much more fine-grained than others, but there
is still much room for additional data and further analysis of it. For
example, datasets could further include details on (i) the operational
policies, e.g., detailed scheduling queues and thresholds (e.g., in the
Parallel Workloads Archive, as defined by the community since the late-1990s
[9]); (ii) the trade-offs considered during the capacity planning and
datacenter design phases (e.g., of capability and cost); and (iii) the energy
sourcing and flows (e.g., how the datacenter operations link with the energy
markets and renewable energy-generation processes).
## 4 Datacenter Machine Operations
We present in this section a comprehensive characterization of machine
operations in datacenters, with the method from Section 3.3.
### 4.1 General Resource Usage
Observation-1:
Job arrivals do not consistently overlap with machine metrics, including load,
disk I/O. Jobs get queued.
O-2:
Average system load is high (44.6%) or very high (20.2%).
O-3:
Average RAM usage is low (33.3%) or very low (66.7%).
O-4:
Average Disk I/O activity is high (1.3%) or very high (0.8%).
O-5:
GPU metrics indicate low (12.5%-64.4%) to moderate (1.0%-80.7%) average GPU
usage.
To obtain a holistic view of the workload and how resources are being used, we
plot the number of jobs arriving and various resource-related metrics in
Figure 3. Each slice of a bar in the figure depicts an hour, where the color
of the given slice is set to maximum normalized value observed within that
hour. For the arrival of jobs, we count how many jobs arrive per 15 second
interval (aligned with the metric samples) and then normalize the data using
the 99th percentile and clip the values to 1. We use the 99th percentile to
avoid that a few outliers skew the normalization. We then label five intensity
classes—very low, low, moderate, high, and very high—, spread equally in the
normal range, [0,1].
Setup: To depict how the overall datacenter is utilized, we use UNIX load1 as
system load metric. UNIX load captures the ”number of threads that are working
or waiting to work” [22]. The load is an aggregate metric over time, e.g.,
load1 uses a one minute rolling window. The load can exceed the number of
available server cores indicating the system is likely overloaded. We sum the
load1 across all nodes and divide this number by the total available cores
within the cluster, clipped to 1 as the values can reach well above 1, since
there can be many more threads/processes running or queueing than available
cores.
Further, we show the average server power usage normalized to 5,500 Watts
which is the maximum the cooling system can handle per rack. The server
temperature is normalized to the minimum of the maximum allowed temperatures
for the different CPU models, which is the Intel® Xeon® Silver 4110 Processor
having a limit of 77 degrees
Celsius444https://ark.intel.com/content/www/us/en/ark/products/123547/intel-
xeon-silver-4110-processor-11m-cache-2-10-ghz.html.
The Server RAM usage shows the utilization of all the RAM in the datacenter.
To obtain disk I/O usage, we sum the bytes read and written from both local
storage and NFS mounts and divide this number by the peak bandwidth
achievable by a server. The datacenter does not contain burst buffers or a
distributed file system. The peak bandwidth of 1.8 GB/s, obtained from
benchmarks run in the datacenter, fits high-speed NVMe setups, or RAID-0 over
multiple disks or SSDs.
The GPU Power Usage, GPU temperature, and GPU fan Speed serve as proxy-metrics
for GPU load, for which there is no direct utilization metric. The GPU power
usage is normalized towards the Thermal Design Point (TDP) of each GPU
according to Nvidia’s official documentation.The temperature is normalized
against the limits of the GTX 1080ti, Titan V, and RTX Titan which all share
the thermal threshold of 91 degree Celsius according to Nvidia’s official
documentation. The GPU memory usage depicts how much of the GPU memory is
being consumed across the datacenter. The memory limits for the GPU models are
11GB (GTX 1080ti), 12GB (Titan V), and 24GB (RTX Titan).
Observations: From Figure 3, we gain several interesting insights that would
not have been possible only with high-level performance metrics. We observe
that the number of jobs incoming does not always overlap with any other metric
(O-4.1). Intuitively, one would assume that the load would increase based on
an increased number of incoming jobs, but as can be observed and further
discussed in Section 4.5, one or more nodes peak continuously to high
levels—the average system load is typically moderate (18.2% of the
measurements), high (44.6%), or very high (20.2%) (O- Observation-1: ). We
also observe that the power consumption reaches high levels most of the time.
This suggest that, combined with the observed CPU load, that there is little
to no room to deploy energy saving techniques such as dynamic voltage scaling.
Next, we observe that some resources are barely used to their full potential,
most notable RAM (O- O-2: ) and GPU memory. Overall, disk usage is high (O-
O-3: ). Interestingly, we do observe that, based on the GPU metrics, whilst
there are periods with moderate to heavy load on the GPUs, the load on the
GPUs is mainly low (O- O-4: ). Server temperatures are moderate, even with a
high rack power consumption, whereas GPU temperatures are moderate to high
most of the time. We also observe periods with heavy disk I/O and sub-moderate
CPU load, indicating the system is not used to its full potential; pipelining
approaches or other parallel methods could help.
### 4.2 Memory and Network
Figure 4: ECDF of used node RAM. The markers show the different RAM node
models in Lisa. Table 2: RAM usage in the datacenter.
Percentile 1% 25% 50% 75% 90% 99% 100% RAM [GB] 0.64 1.46 3.65 8.07 20.99
58.06 2,000
O-6:
99% (75%) of RAM measurements fit within 64 GB (8 GB).
O-7:
RAM usage has a very long tail, going up to 2 TB.
O-8:
Longer jobs transmit more network packets, albeit not proportionally with the
job duration.
O-9:
The longer the job duration, the higher the probability of high outliers for
the number of transmitted packets.
We characterize RAM usage for the entire dataset; Table 2 summarizes the basic
statistics. From this table, we observe the RAM usage is low to moderate
(O-4.2). Over 99% of all RAM measurements are below 59 GB of RAM,
significantly less than the lowest RAM model (96 GB) in the datacenter. Three-
quarters of all RAM measurements fit in 8 GB. Combined with the overview in
Section 4.1, this shows that when designing a datacenter, only few nodes with
a lot of RAM are required, reducing costs significantly and being more power
efficient. This is further underlined by the long-tail of RAM usage, with a
maximum of 2 TB (O- O-6: ), see Figure 4.
Figure 5: Transmitted packets versus job duration.
In Figure 5 we plot the number of transmitted packets versus the job time. We
observe that shorter jobs seldom send more packets than longer running jobs,
i.e., there are no extreme network-heavy yet short-running jobs (O- O-7: ).
This could indicate that the majority of the network traffic is in the initial
setup, e.g., downloading data. Furthermore, both the number of transmitted
packets and the outliers generally increase over time, but only marginally.
Outliers appear more likely for long-running jobs (O- O-8: ). We plot in blue
the curve found by the linear regression model fit, which shows that the
increase in number of packets transmitted vs job duration is minimal. This
could be due to, e.g., MPI jobs generating TCP traffic. Further analysis that
includes more sophisticated network models, e.g., traffic-congestion analysis,
is outside the scope of this work but would be possible because the dataset
also includes metrics such as TCP retransmission [60].
### 4.3 Power Consumption
O-10:
Generic nodes (racks) have more stable power consumption than ML nodes
(racks).
O-11:
Generic nodes consume 143 W on average, and up to 1,300 W. ML nodes consume
467 W, and up to $\approx$1,500 W.
O-12:
Most racks, both generic and ML, exceed the threshold of the cooling system
from time to time.
Figure 6: Distributions of rack power consumption grouped by generic nodes and
ML nodes. The labels show between parenthesis how many nodes each rack
contains. The distributions are sorted by median per group. The dotted red
line depicts the limit of the rack cooling system. Figure 7: Distributions of
node power consumption per rack grouped by generic nodes and ML nodes. The
labels show between parenthesis how many nodes each rack contains. The
distributions are sorted by median per category.
Energy consumption is becoming increasingly important [16]. To better
understand the power consumption within the datacenter, we observe power
consumption using two different levels. First we show the distribution of
power consumption per rack, in Figure 6. We additionally group together
generic nodes and ML nodes as the latter contain accelerators (GPUs).
Table 3: Power consumption (Watt) of generic and ML nodes.
1% 25% 50% mean 75% 99% 100% Generic 80.00 100.00 148.00 143.01 176.00 260.00
1,300.00 ML 130.00 260.00 364.00 467.16 624.00 1,274.00 1,508.00
Figure 6 shows that there is little to moderate variation in generic node rack
power consumption, with the exception of rack 23. Furthermore, the IQR ranges
of the boxplots within each violin plot show that most generic racks consume
more power compared to ML racks. The ML racks show more variation and have
higher extremes even though they contain fewer nodes (O-4.3), see Table 3. The
fluctuations are due to the power profile of GPUs: idle they consume as little
as 1 Watt, yet at full load their power consumption goes up as high as 416
Watts. As ML nodes have up to four GPUs, the power consumption can go
significantly higher than generic nodes. The reason for rack 23 being an
outlier is that it only hosts one node vs. 30-32 for the other generic node
racks. Hence, this causes a lower power consumption profile for the rack.
Next, we investigate the power consumption of individual nodes within each
rack. From Figure 7 and Table 3 we observe that generic nodes feature a small
range, typically between 80-260 Watts. One exception is rack 23 whose
distribution is more than 3x higher compared to the other generic nodes. This
is the only node with four sockets where its CPUs have a higher TDP than most
of the other nodes. The node contains 48 CPU cores, 4x more than the regular
generic nodes, in line with the 3x increase in power consumption( O- O-10: ).
Moreover, the node contains significantly more RAM (see also Section 4.2)
which means additional power draw.
Comparing the generic nodes with the ML nodes, we observe the generic nodes
power consumption range is constrained, which in turn limit the ranges of the
racks. As the generic node racks pack more nodes, they consume more energy,
leading to the higher average seen in the previous discussed image. We also
wondered if the lower number of nodes per ML rack is due to power supply unit
or cooling system limitations. After inquiring the datacenter operators, the
cooling system is indeed the limiting factor, only handling loads up to 5.5 kW
per rack. We observe these are occasionally exceeded (O- O-11: ). Datacenter
designs that include accelerators or aim for upgradeability have to consider
this power-limiting aspect, underlined by the recently announced GPUs by
Nvidia whose power consumption increased significantly555see e.g.,
https://www.tomshardware.com/news/nvidias-rtx-3000-power-supply-requirements-
PSU-shortage-2020 compared to older versions.
### 4.4 Rack Temperature
(a) 7 distinct nodes in an ML rack (rack number 32).
(b) 32 distinct nodes in a generic rack (rack number 10).
Figure 8: Max daily temperature, ML vs. generic nodes.
O-13:
Temperature is correlated between nodes in the same rack.
O-14:
Temperature and node position in rack are not correlated.
O-15:
GPU-racks run hotter than CPU-only racks, by $\approx$3°C.
The dataset we analyze in this paper contains multiple types of temperature-
related metrics: GPU temperature, as well as server ambient temperature. While
the former is the chip temperature, which is highly correlated with GPU
workload, the latter is the temperature inside the server enclosure, which is
influenced by many other factors: CPU workload, cooler (mal)functioning, as
well as warmer nearby nodes and distance from the datacenter floor. According
to the datacenter operator, all nodes in this study are air cooled.
We find that nodes in ML racks tend to be correlated in terms of temperature
(O-4.4). They are either mostly warmer, or mostly cooler. Figure 8 plots this
behavior. The graph shows the maximum temperature registered by servers in
rack 32 for the entire period the dataset was collected. The graph also
maintains the server ordering in the rack, with the smallest node id at the
top (see vertical axis). We notice that the node positioning in the rack does
not influence its temperature (O- O-13: ). This finding matches the type of
cooling used, i.e., air. Based on the experience of the datacenter operator,
water cooling would not change the conclusion, because water cooling has
superior heat dissipation. For the entire period, the lowest node temperature
is around 20° C, while the highest temperature is 35° C. This range is
significantly lower than reported by Netti et al. [40] where a range of 47-54°
C is reported. The difference could be caused by a different node hardware and
cooling system combination. The figure depicts clearly that hotter periods are
correlated over the entire rack. This type of behavior holds for all ML racks.
If water cooling is used, it’s likely that these temperatures would remain low
and thus will not correlate as observed, due to the efficiency of these
systems [70]. The generic racks are much cooler: most nodes operate at 23-25°
C, $\approx$3° C lower than most ML-rack nodes (O- O-14: ).
### 4.5 CPU Diurnal Load
Figure 9: The average UNIX load1, load5, and load15 metrics per hour of day.
The error bars depict the standard deviation. Figure 10: The average UNIX
load1, load5, and load15 metrics per day of week. The error bars depict the
standard deviation.
O-16:
The average system loads are stable. (See also O- O-28: .)
O-17:
Across all hours, ML nodes have an average load1 metric $\approx$40% lower
than generic nodes.
To investigate the daily and weekly trends that may appear in the datacenter,
we depict in Figure 9 the load1, load5, and load15 UNIX metrics across the
entire datacenter. We notice that the average load is very stable within the
datacenter (O-4.5). The averages range between 10.6 and 11.8 for load1.
Interestingly, this does not match the arrival pattern of jobs visible in
Figure 18. This might be due to the loads being regularly above 16, depicted
by the error bars. This behavior indicates that processes are getting delayed
as the most common node within the datacenter features 16 cores.
Figure 10 presents the load per day of week. We observe that the load in the
cluster is also stable through the weeks, which aligns with the average per
hour of day. There is a minimal elevation on Fridays and a small decrease in
the weekend. Similarly to hour of day, the arrival of jobs does not correlate
with the load.
When considering the load1 of ML nodes, we notice that it is stable, yet
significantly lower than the cluster average. The average load1 per hour
ranges between 6.3 and 7.4, which is around 40% lower than the average load
across all machines (O- O-16: ). This indicates that these machines are
utilized less. In Section 6, where we characterize the workload in-depth, we
notice in Figure 21 that indeed fewer users submit ML jobs.
## 5 Operations in the Time of COVID-19
We analyze how the datacenter operations changed during the 2020 COVID-19
pandemic, with the method from Section 3.3. We analyze data per rack and per
note. Figure 13 depicts the most important results. The results in this
section lead us to one main observation:
O-18:
During the covid period, average resource utilization, power consumption, and
temperature did not increase significantly. Covid operations continued without
large discrepancies.
This finding was surprising to the datacenter operation team, and could have
positive implications for workload procurement.
Figure 11: RAM utilization, power consumption, ambient temperature, and load1
value distribution for each node, per rack. The left side of the green
vertical line are the generic racks.
Figure 12: Load1, power consumption, RAM utilization and ambient temperature
value distribution for the covid and non-covid periods across all the nodes.
Figure 13: The average RAM utilization, power consumption, ambient
temperature, and load1 values for each node, aggregated per rack. The error
bars depict the standard deviation.
### 5.1 Memory Usage
O-19:
During covid, average RAM usage generally decreases in generic racks, but not
in ML racks.
The average RAM utilization is 1% to 5% higher during the non-covid period
than during the covid period, for the nine generic racks (O-5.1); only one
rack exhibits higher RAM utilization during the covid period. This can also be
observed in Figure 11 where both the IQRs and the whiskers are higher for the
respective boxplots. From the ML racks, changes in RAM usage are mixed: 3
racks exhibit a decrease, 2 racks an increase. We conjecture the specialist
use of ML racks makes it less likely to change behavior; in the Netherlands,
experts continued work without much disruption, remotely.
Across all nodes, the RAM utilization is slightly higher in the non-covid
period, see Figure 12.
### 5.2 Power Consumption and Temperature
O-20:
No difference in power consumption for generic nodes.
O-21:
Moderately increased power consumption, for ML.
O-22:
No to low temperature increase for generic nodes.
O-23:
Low temperature increase for ML nodes.
Figure 13 also shows that three ML node racks consume on average 50 W to 100 W
more power during the covid period (O- O-20: ). This is a moderate increase,
relatively to the values in Section 4.3.
Nodes in the generic racks do not exhibit a similar behavior (O-5.2). The
stability of the power consumption for the generic nodes, introduced in
Section 4.3, also appears here, including for the prior outlier rack r23. We
attribute this phenomenon to the datacenter continuing regular service during
covid, but onboarding fewer inexperienced users that could introduce variable
load while learning how to use the system.
Interestingly, rack 23 does show more and more extreme outliers in the covid
period, see Figure 11. With a significant RAM utilization (i.e., 50+%), the
node appears to be used more intensely during the covid period. For both
periods, ML node racks consume more power than the generic node racks (with
the exception of special rack 23).
The temperature for both periods is very close to 25°C on average, which is
the ideal temperature for servers [45]. The generic nodes do not exhibit
temperature increases in general; only rack 23 exhibits a 2-3°C increase (O-
O-21: ). Except for rack r30, the ML node racks have 1°C to 3°C higher
temperature during the covid period, especially rack r31 (O- O-22: ). These
elevations also show in the boxplots, see Figure 11. However, these increases
of just a few degrees still correspond to normal operation. We conclude there
are no significant temperature differences between the covid and non-covid
periods.
### 5.3 System Load
O-24:
Increased load for several generic racks, during covid.
O-25:
ML racks unchanged. Rack 30 decrease during covid.
The generic node racks, except for rack r23, have a higher average and
significantly higher outliers during the covid period. This can be observed in
Figure 11. This indicates nodes utilized heavier, and particularly using
short, heavy bursts, as the average remains low in comparison to the values of
the peaks, see Figures 13 and 12 (O-5.3). The reverse holds for Rack 23, which
is surprising giving the previous metrics show an elevation. As the node has
48 CPU cores, as outlined in Section 4.3, this node is rarely overloaded.
These results suggest the jobs submitted during the covid period generate
fewer tasks to be processed in parallel by the individual cores, yet they do
lead to more power consumption and RAM utilization, which in turn could cause
the elevation in temperature.
From the ML node racks, only Rack 30 has significantly different load—much
lower load during the covid period. Nodes in the other ML racks exhibit no
significant load differences during covid (O- O-24: ).
## 6 Workload Characterization
We characterize in this section the datacenter workload, with the method from
Section 3.3.
### 6.1 Job Characteristics
Figure 14: Frequency distribution of allocated CPU-cores.
O-26:
Most jobs are small. Most jobs request less than 100 CPU cores, with a mode of
16 cores and max $>$500.
O-27:
Most jobs are short: $\approx$90% of all completed jobs have a runtime
$\leq$300 seconds.
For job sizes, we depict the frequency of allocated CPU-cores in Figure 14
(next page). Most jobs are small (O-6.1). Considering the number of requested
cores (equal to the number of allocated cores in this system), Figure 14
features a peak for 16 cores. This is equal, for example, to the number of
requested cores in the Google trace [2]. As the most common nodes in the
system have 16 cores, we believe most users simply request one full node using
SLURM; the largest queue in SLURM enables this behavior. Most submitted jobs
request less than 100 CPU cores, with extremes using over 500 CPU cores
(O-6.1). Few users queue large jobs as, depending on the job-placement policy,
it can take a considerable amount of time before enough resources become
available.
Figure 15: Duration of completed jobs, CDF-PDF plot. Figure 16: Total job
runtime grouped by job length. Per bar, we stack the runtimes per job state.
Figure 17: Overview of the daily ’footprint’ of submitted jobs.
We inspect the runtime of jobs within the datacenter. Figure 15 shows the CDF
of job durations. Most jobs are short: 88.9% of all completed jobs have a
runtime of 5 minutes or less (O- O-26: ). Figure 16 shows short-jobs also
consume less, cumulatively, than long-running jobs. The cumulative runtime of
short jobs is more than $177\times$ smaller than for jobs running for a day or
longer. Interestingly, jobs lasting up and until one hour take up a noticeably
larger share when compared to other publicly available cluster traces [2, 26,
50].
### 6.2 Arrival Patterns
Figure 18: Number of submitted jobs per hour of day. The blue dots depict the
number of ML jobs. Figure 19: Number of submitted jobs day of week. Figure 20:
Overview of the daily number of submitted jobs. The maximum is annotated.
Logarithmic vertical axis.
O-28:
Arrival and demand are highly variable. The number of submitted jobs per day
varies by up to four orders of magnitude. Also, the number of consumed CPU-
hours varies by at most two orders of magnitude.
O-29:
Job submissions have a diurnal (office-like, e.g., 9 to 5) pattern. (See also
O-4.5.)
O-30:
The datacenter has a high job-arrival rate, with several days experiencing
over 100,000 job submissions, each.
O-31:
Significantly fewer ML jobs are submitted.
Combining data depicted in Figures 18, 19, and 20, we observe a highly
variable job-arrival process (O-6.2). In contrast, the number of consumed CPU-
core hours varies by at most two orders of magnitude, see Figure 17. Unlike
the Mustang and OpenTrinity traces, the trace we analyze does feature a clear
diurnal pattern in job submissions, depicted in Figure 18. We observe an
office-like daily pattern (O- O-28: ), with job submissions ramping up in the
morning after 9am and lasting until office closing time. This confirms the
expectations of the datacenter operational team. However, we also observe job-
submissions still occur, until 4am.Job submissions per day of week also vary
greatly, see Figure 19. The difference between Sun (lowest, 5,160.2) and
Friday (highest, 14,753.9) is $2.86\times$.
Following the method of Amvrosiadis et al. [2], we classify as high arrival
rate a rate of over 10,000 submitted jobs per day. Figure 20 shows the maximum
number of submitted jobs on a single day is 167,189, and the average rate is
above 10,000 (O- O-29: ).
We observe significantly fewer ML jobs arrive on average, compared to generic
jobs (O- O-30: ). Figure 20 depicts this phenomenon. The median number of ML-
job arrivals per day is only 320, an order of magnitude lower than the median
arrival rate for all jobs. We link this to the system setup, where users
require additional permission to submit jobs to ML nodes.
### 6.3 Peak Demand
Figure 21: CoV of the number of CPU cores requested per user. We show a marker
for every 25th user.
O-32:
There are periods with high, sub-second job arrivals.
O-33:
Low variability in the number of requested CPU-cores.
We analyze now the peak demand of the datacenter. The datacenter experiences
periods with high, sub-second job-arrival rates (O-6.3); these appear in
Figure 20 as daily peaks larger than $10^{5}$. These translate to resource
over-commitment; although the allocation of CPU-cores is limited using
cgroups, other resources such as network and disk I/O are not rate-limited.
Following the approach of Amvrosiadis et al. [2], we compute the coefficient
of variation (CoV) of CPU cores requested per user. We observe in Figure 21
the CoV is at most 2, with a rapid decrease below 1, low values (O- O-32: )
similar to those observed at Google as reported by Amvrosiadis et al.
Table 4: Fraction of jobs per job state.
COMPLETED FAILED CANCELLED TIMEOUT OUT OF MEMORY REQUEUED NODE FAILURE 92% 6%
1% 1% $<$1% $<$1% $<$1%
### 6.4 Failure Analysis
O-34:
Most (91.7%) jobs complete successfully.
O-35:
Longer-running jobs terminate unsuccessfully more often.
O-36:
Unsuccessful jobs consume a significant amount of resources, and at worst they
do so until they timeout.
O-37:
Among all classes of runtimes, ML jobs terminate unsuccessfully more often
than other jobs.
Relatively few jobs have unsuccessful job outcomes, see Table 4. As we
observe, more than 91% of jobs complete successfully (O-6.4), which is more
than the highest fraction reported by Amvrosiadis et al. [2].
In contrast, we observe that longer jobs and jobs that consume more resources
tend to fail more often (O- O-34: ), see Figure 16. For the latter category,
for all (ML) jobs, a high fraction of 51.2% (55.8%) of the runtime is spent on
non-completed jobs. For long-running jobs, (ML) jobs that do not complete
consume 13.8% (51.9%) (O- O-35: ).
Across all job durations, between 32.3% and 55.8% of the ML jobs complete
unsuccessfully; this is more often than all jobs (12.9-51.2%) (O- O-36: ). We
depict the total sum of job runtimes and their fraction per job state. The
behavior of longer jobs failing more often is mainly due to timeouts, as there
is a 5-day limit in the datacenter, as the operators reported. The data shows
clearly that larger jobs fail more often and consume more time than smaller
jobs.
We have presented an in-depth analysis of several of the metrics listed in the
archive we consider. We continue by presenting how these results can be
leveraged by the community at large to better understand datacenter behavior,
how to build more efficient datacenters and how to predict the artifacts of
their operations.
## 7 Implications of Our Results
For the principle of holistic analysis to gain traction, the community needs
to find useful guidelines and applications. Toward this end, but limited in
scope, this section presents several examples.
### 7.1 High-Frequency Data for Prediction (RQRQ12:)
Figure 22: Architecture of the LSTM model. (480 input items)
Online performance prediction is a well-established instrument for datacenter
operation, useful among others for optimization at both system [56] and
application-level [36]. In the past two decades, online performance prediction
using machine learning (ML) has become common [13]. Because ML methods such as
Long Short-Term Memory (LSTM) networks [4] can operate on any data, new
questions arise: Is higher-frequency data more useful for online prediction
than low-frequency data? How high should the frequency be?
To address this question, we focus in this section on the use of LSTM to
predict online performance data for the next 20 minutes of operation. LSTM is
common in practice, including for datacenter workloads [51], which allows us
to focus on the new research questions. We vary the granularity of the input,
from high frequency (15 seconds) to low (up to 10 minutes), and observe the
quality of the prediction provided by the LSTM predictor (using a common
metric, Huber loss). Common practice in datacenters and public clouds samples
at 5-/10-minutes, and even lower frequency.
We employ the LSTM model depicted in Figure 22. Using it requires two setup
elements. First, to select prediction metrics and prepare data for LSTM use,
we focus on data studied during pair-wise correlation analysis (in Section 2).
We select node_load1 and node_sockstat_sockets_used, which we find to
correlate well. We normalize data to make it suitable as LSTM input.
Second, to train the LSTM model for prediction (inference), we create four
different datasets from the same data: (1) the “raw” 15-second interval data
as present in the original SURFace dataset, and data resampled in (2)
1-minute, (3) 5-minute, and (4) 10-minute time intervals. We train the model
on each dataset, resulting in four separate predictors. We split each training
dataset into 2-hour chunks; as Figure 22 depicts, for the 15-second dataset
this results in 480 input tuples. (The three other datasets include 120, 24,
and 12 inputs, respectively.) We configure the model to generate a prediction
for 20-minute window, with predictions 15 seconds apart (80 predictions). We
use 10% of the entire data for evaluation purposes; this allows to evaluate
the generality of the model, by inspecting its performance on unseen data.
Table 5: Loss values (Huber) for different nodes per time granularity. Lower values are better. Aggregation at 5-min or higher are what datacenter operators commonly use. Node | 15-sec | 1-min | 5-min | 10-min
---|---|---|---|---
r10n13 | 0.0096 | 0.0100 | 0.0100 | 0.0163
r11n18 | 0.0175 | 0.0169 | 0.0170 | 0.0261
r12n6 | 0.0175 | 0.0165 | 0.0151 | 0.0266
r13n23 | 0.0102 | 0.0102 | 0.0113 | 0.0190
r14n2 | 0.0461 | 0.0311 | 0.0401 | 0.0560
r15n23 | 0.0185 | 0.0182 | 0.0175 | 0.0285
r25n32 | 0.0206 | 0.0215 | 0.0201 | 0.0308
r26n8 | 0.0117 | 0.0118 | 0.0117 | 0.0172
r27n16 | 0.0222 | 0.0234 | 0.0276 | 0.0320
r29n3 | 0.0026 | 0.0034 | 0.0030 | 0.0044
r30n6 | 0.0330 | 0.0319 | 0.0319 | 0.0477
r31n5 | 0.0249 | 0.0300 | 0.0268 | 0.0362
r38n1 | 0.0027 | 0.0029 | 0.0036 | 0.0097
Table 5 compares the loss values for the four trained models, with highlighted
cells indicating which model delivers the best prediction. We perform this
analysis on a randomly selected set of 13 general and ML nodes. We show here
results for 5 nodes; these are representative for all the results in this
analysis. The LSTM model trained with the 10-minute dataset is never the best
predictor, indicating datacenter operators should use higher-frequency data
for prediction. Among the 15-second and 1-/5-minute datasets, we find the
former is the best-performer 7 times, with 3 ties, suggesting choice in the
accuracy-performance trade-off. To conclude: Higher-frequency metric data
improves performance predictions when using LSTMs. We recommend datacenters
collect and provide such data.
### 7.2 Actionable Insights (RQRQ13:, RQRQ14:)
Computation and Storage Overheads. The computational load for training LSTM
models on 1- or 5-minute data is significantly lower than for 15-second
intervals; applied to 2-hour chunks, we find all training remains feasible at
datacenter-level, and inference imposes a negligible computational cost.
Additionally, the amount of storage required for the fine-grained data is non-
linear with the number of samples due to compression. Intuitively, storing a
$2\times$ larger dataset would require $2\times$ storage. However, with modern
storage formats, that leverage compression and columnar formats, this is not
the case. Using snappy compression (the default for parquet), the data
representing a 10-minute granularity snapshot for the two metrics used in this
example requires 32.77 MB of storage. In turn, only 277.56 MB is required to
store data with a granularity of 15 seconds, so increasing the volume of
uncompressed data $40\times$ increases the actual storage by only
$8.47\times$. Therefore, leveraging modern data storage techniques enables
storing high-frequency data with sub-linear overheads. To conclude, higher-
frequency metric data incurs both computational and storage overheads, but
these seem worthwhile when compared with the benefits they enable.
Metric Correlations. The analysis we depict in Figure 1 shows a novel insight:
only 40 pairs of low-level metrics are correlated over a time period of 50
days. The pairs of correlated metrics differ significantly per day, leading to
over 14,000 unique correlations over the entire period. Having so many pairs
that correlate infrequently shows strong evidence that correlations are
workload dependent, therefore as many metrics must be captured as frequently
as possible. Our guideline is to only eliminate the metrics that are
correlated over long periods of time.
Table 6: Correlated metrics identified by analyzing the dataset generated by analysis in Figure 1. Metric 1 | Metric 2
---|---
server swap memory | GPU temperature
network receive fifo | GPU temperature
TCP open sockets | GPU temperature
We conclude this section with anecdotal insight from our correlation analysis.
We find many metrics that, intuitively, correlate persistently: load1 with
load15, netstat TCP data with netstat IP data, and swap memory with free
memory. By manually inspecting the correlations that are not persistent over
time, we find other, more interesting correlations that would be difficult to
predict even by experts. Table 6 presents three metrics linking IO and GPU
processing, corroborating recent ML benchmarks [27]. Systematic insight into
(multi-)metric correlations remains an open challenge.
### 7.3 Fine-grained Data in Design, Tuning (RQRQ15:)
Our final guideline is to use fine-grained data for designing and tuning
datacenters, from individual chips to full-system procurement. We support this
guideline with qualitative analysis.
Datacenters are often acquiring homogeneous batches of hardware. Often, for
datacenters for scientific computing and engineering, nodes pack a large x86
CPU and large amounts of memory. Clusters equipped for HPC and machine
learning often also add GPUs and high-speed interconnects. The power envelope
of datacenters has constantly increased, and modern large-scale datacenters
approach the limits of what our society can leverage in terms of power while
being mindful of carbon emissions [31, 14]. Others have considered power
savings by means of reducing cooling [17], but that is only one example of the
many aspects that could be considered. In this paper, we have analyzed many
metrics, all with potential impact on how datacenters could be tuned and
designed. We posit that using such data for customizing datacenters suited to
their user’s needs is key for efficiency. Using the resource usage profiles
uncovered in this work one could, for example, build machine-learning clusters
more efficiently by leveraging lower-power CPUs (e.g., ARM and RISC-V) next to
power-hungry GPUs. In GPU-based ML workloads, power-hungry x86 CPUs are
underutilized, being mostly used in data pre-processing and data movement.
Moreover, as memory usage is low in our traces, for similar workloads the
designer need not to purchase large amounts of RAM. For inadvertent peak-
loads, designers could leverage software disaggregation methods [23, 59],
instead of hardware acquisition.
Uncovering inefficiencies in datacenters by holistic performance analysis
approaches can also lead to improved chip design. In the post-Moore era, this
is an avenue beginning to be explored by large tech companies and hardware
manufacturers. Google pioneered optimizing ML training with TPUs [29]. This
trend continues at organizations like Amazon or Nvidia, who are building
inference-tailored chips [1, 41], or even deep-learning programmable engines
[68]. Only with such analysis, practitioners could tackle both performance,
power consumption and other important metrics at the same time. Similar trends
have already started at the network level, where in-network computing is
already a reality [55]. Significantly improving network performance, and
releasing pressure from CPUs is something that our data already supports (see
Figures 3 and 5). Already, the analysis we have conducted in this work has
helped the datacenter operator improve the design of their next monitoring
system.
## 8 Related Work
In this section, we group related works by topic and discuss our contributions
with respect to each. Overall, we propose a holistic method of analysis, and
use it on a dataset with unprecedented temporal and spatial granularity among
public datasets.
Datacenter operations: Several articles provide a holistic view of datacenter
operations, including job allocation [3], cloud services [37], physical
network [32], etc. Different from related work, our article provides a view of
the effect of the workload on machine metrics. This complements prior work and
aids in understanding the operations within modern datacenters.
Characterizations of workloads: There are various articles on the topic of
characterizing workloads from Google [46, 47], FinTech [2, 65], scientific
computing environments [2, 65, 54], etc. Adding to this topic, we demonstrate
our workload is unique in terms of properties. Additionally, many of the jobs
are from the ML domain, which, combined with the machine metric
characterization, provides interesting (and sometimes contrasting) insights.
Characterizations of machine metrics: There is also a body of related work
focusing on machine metrics. Closest to this work is the work done on a subset
of the dataset we characterize [60]. Other related work focuses on few, high-
level metrics [30, 50, 5]. Different in our work is the various additional and
novel angles we use and the discussion of the implications in various
directions such as hardware design and societal aspects.
Metric correlations: Some related works make use of correlation coefficients.
There are many applications to finding correlations, e.g., finding metric
correlations that hold over longer periods of time [10], finding (virtual)
machines executing the same application [8], finding (virtual) machines that
correlate in resource utilization [30] to minimize contention, checking for
correlations between resources requested in datacenters [50], etc. We focus
not only on finding correlations that hold over a long period of time, but
also demonstrate that correlations are workload dependent. We use three
correlation methods to study how metric pairs are correlated, and use
significantly more metric pairs (over 14,000).
## 9 Conclusion and Future Directions
For decades we have been focusing on optimizing systems for only the metrics
we measure. Thus, to conquer the ever-increasing complexity of our
datacenters, we posit the need for holistic overview of such systems. In this
work we propose a holistic method of analysis for datacenter operations.
We applied the method on a public, long-term datacenter traces of
unprecedented temporal and spatial granularity. Poring billions of data points
in total, with samples collected at 15-second intervals covering hundreds of
operational metrics, we characterized the machines, power consumption, and
workload. We distinguished between generic and ML-specific information, and
between regular operation and operation during the 2020 COVID-19 pandemic. We
made over 30 main observations, which give detailed, holistic insight into the
operation of a public scientific infrastructure. Finally, we discussed the
implications of our findings on online ML-based prediction, and on long-term
datacenter design and tuning.
We envision our work, and similar pioneering efforts, as motivators for a
community-driven approach embracing holistic analysis. Concretely, we envision
our analysis will show organizations the potential of collecting, and
ultimately releasing, many more fine-grained datasets. We also envision
studies comparing such datasets, finding invariants and trends, and thus
bolstering fundamental knowledge in the field. Last, we envision new
techniques for datacenter operations, from dynamic scheduling to long-term
resource procurement, all enhanced by the use of holistic data and
considerations.
For future work, investigating different forecasting techniques can be
interesting to see what leverage this fine grained data can further offer. Due
to the richness of this dataset, we believe more interesting characterizations
can be done, which is another item for future work. Comparing the findings of
this – and potentially followup – work with another rich dataset would be very
interesting to observe if findings hold across multiple systems and workloads.
Such comparisons can lead to the development of new scheduling approaches or
the design of new systems. Furthermore, having access to multi-year data can
eliminate the effect of seasonality as discussed in our threats to validity.
Accounting for, eliminating, and comparing the effect of seasonality will
further contribute to our understanding of these systems.
## References
* [1] Amazon, Inc. AWS Inferentia: High performance machine learning inference chip, custom designed by AWS. https://aws.amazon.com/machine-learning/inferentia/, 2018-2021.
* [2] Amvrosiadis et al. On the diversity of cluster workloads and its impact on research results. In ATC, 2018.
* [3] Andreadis et al. A reference architecture for datacenter scheduling: design, validation, and experiments. In SC, 2018.
* [4] Baughman et al. Predicting amazon spot prices with LSTM networks. In ScienceCloud@HPDC, pages 1:1–1:7, 2018.
* [5] Birke et al. Data centers in the cloud: A large scale performance study. In CLOUD, 2012.
* [6] Bourassa et al. Operational data analytics: Optimizing the national energy research scientific computing center cooling systems. In ICPP Workshop, 2019.
* [7] Bouwers et al. Getting what you measure. CACM, 55, 2012.
* [8] Canali and Lancellotti. Identifying communication patterns between virtual machines in software-defined data centers. SIGMETRICS, 44, 2017.
* [9] Chapin, Cirne, Feitelson, et al. Benchmarks and standards for the evaluation of parallel job schedulers. In JSSPP, pages 67–90, 1999.
* [10] Cortez et al. Resource central: Understanding and predicting workloads for improved resource management in large cloud platforms. In SOSP, 2017.
* [11] Dayarathna et al. Data center energy consumption modeling: A survey. COMST, 18, 2015.
* [12] Dean and Barroso. The tail at scale. CACM, 56, 2013.
* [13] Dobber et al. A prediction method for job runtimes on shared processors: Survey, statistical analysis and new avenues. Perf. Eval., 64, 2007.
* [14] Dutch Data Center Association. State of the Dutch data centers. https://www.dutchdatacenters.nl/en/publications/state-of-the-dutch-data-centers-2020/, 2020\.
* [15] Dutch Government. Ontwikkeling COVID-19 in grafieken. https://www.rivm.nl/coronavirus-covid-19/grafieken, 2020.
* [16] Duy et al. Performance evaluation of a green scheduling algorithm for energy savings in cloud computing. In IPDPSW, 2010.
* [17] El-Sayed et al. Temperature management in data centers: why some (might) like it hot. In SIGMETRICS, 2012.
* [18] Feitelson et al. Experience with using the parallel workloads archive. JDPC, 74, 2014.
* [19] Ghiasvand and Ciorba. Anomaly detection in high performance computers: A vicinity perspective. In ISPDC, pages 112–120, 2019.
* [20] Ghodsi et al. Dominant resource fairness: Fair allocation of multiple resource types. In NSDI, volume 11, 2011.
* [21] Goiri et al. Greenslot: scheduling energy consumption in green datacenters. In SC, 2011.
* [22] Gregg. Linux load averages: Solving the Mystery. http://www.brendangregg.com/blog/2017-08-08/linux-load-averages.html, 2017\.
* [23] Gu et al. Efficient memory disaggregation with infiniswap. In NSDI, 2017.
* [24] Gunawi et al. Fail-slow at scale: Evidence of hardware performance faults in large production systems. TOS, 14, 2018.
* [25] Ibidunmoye, Hernández-Rodriguez, and Elmroth. Performance anomaly detection and bottleneck identification. ACM Comput. Surv., 48(1):4:1–35, 2015.
* [26] Iosup et al. The grid workloads archive. FGCS, 24, 2008.
* [27] Jansen et al. Ddlbench: Towards a scalable benchmarking infrastructure for distributed deep learning. In DLS at ICS, pages 31–39, 2020.
* [28] Jeon et al. Analysis of large-scale multi-tenant gpu clusters for dnn training workloads. In ATC, 2019.
* [29] Jouppi et al. Motivation for and evaluation of the first tensor processing unit. IEEE Micro, 38(3):10–19, 2018.
* [30] Kim et al. Correlation-aware virtual machine allocation for energy-efficient datacenters. In DATE, 2013.
* [31] Koomey et al. Recalibrating global data center energy-use estimates. Science, 367, 2020.
* [32] Lam et al. Fiber optic communication technologies: What’s needed for datacenter network operations. IEEE Communications Magazine, 48, 2010.
* [33] Laursen et al. Beneath the SURFace: An MRI-like View into the Life of a 21st Century Datacenter, 2020. Zenodo dataset, https://zenodo.org/record/3878143.
* [34] Legrand et al. Monitoring and control of large systems with monalisa. Commun. ACM, 52(9):49–55, 2009.
* [35] Li et al. Ease.ml: Towards multi-tenant resource sharing for machine learning workloads. Proc. VLDB Endow., 11, 2018.
* [36] Jiangtian Li et al. Machine learning based online performance prediction for runtime parallelization and task scheduling. In ISPASS, pages 89–100, 2009.
* [37] Liu et al. Nist cloud computing reference architecture. NIST special publication, 500, 2011.
* [38] Lockwood et al. A year in the life of a parallel file system. In SC, 2018.
* [39] Maricq et al. Taming performance variability. In OSDI, 2018.
* [40] Netti et al. DCDB wintermute: Enabling online and holistic operational data analytics on HPC systems. In HPDC, 2020.
* [41] NVIDIA. NVIDIA Deep Learning Accelerator (NVDLA). http://nvdla.org/, 2017-2021.
* [42] Ousterhout. Always measure one level deeper. CACM, 61, 2018.
* [43] Patel et al. Job characteristics on large-scale systems: long-term analysis, quantification, and implications. In SC, 2020.
* [44] Patel et al. What does power consumption behavior of HPC jobs reveal? : Demystifying, quantifying, and predicting power consumption characteristics. In IPDPS, 2020.
* [45] Pedram. Energy-efficient datacenters. TCAD, 31, 2012.
* [46] Rosà et al. Predicting and mitigating jobs failures in big data clusters. In CCGrid, 2015.
* [47] Rosà et al. Failure analysis and prediction for big-data systems. TSC, 10, 2017.
* [48] Schober et al. Correlation coefficients: appropriate use and interpretation. Anesthesia & Analgesia, 126, 2018.
* [49] Shahrad et al. Serverless in the wild: Characterizing and optimizing the serverless workload at a large cloud provider. In ATC, 2020.
* [50] Shen et al. Statistical characterization of business-critical workloads hosted in cloud datacenters. In CCGrid, 2015.
* [51] Siami-Namini et al. The performance of LSTM and bilstm in forecasting time series. In IEEE Big Data, pages 3285–3292, 2019.
* [52] Sigelman et al. Dapper, a large-scale distributed systems tracing infrastructure. 2010\.
* [53] Silva et al. Community resources for enabling research in distributed scientific workflows. In e-Science, 2014.
* [54] Silva et al. Workflowhub: Community framework for enabling scientific workflow research and development. In WORKS, 2020.
* [55] Stephens et al. Your programmable nic should be a programmable switch. In HotNets, 2018.
* [56] Swany and Wolski. Multivariate resource performance forecasting in the network weather service. In SC, pages 30:1–10, 2002.
* [57] Thain et al. Distributed computing in practice: the condor experience. CCPE, 17, 2005.
* [58] Tirmazi et al. Borg: the next generation. In EuroSys, 2020.
* [59] Uta et al. Towards resource disaggregation—memory scavenging for scientific workloads. In CLUSTER, 2016.
* [60] Uta et al. Beneath the surface: An mri-like view into the life of a 21st-century datacenter. USENIX ;login:, 45, 2020.
* [61] Uta et al. Is big data performance reproducible in modern cloud networks? In NSDI, 2020.
* [62] Vazhkudai et al. GUIDE: a scalable information directory service to collect, federate, and analyze logs for operational insights into a leadership HPC facility. In SC, 2017.
* [63] Verma et al. Two sides of a coin: Optimizing the schedule of mapreduce jobs to minimize their makespan and improve cluster performance. In MASCOTS, 2012.
* [64] Verma et al. Large-scale cluster management at google with borg. In EuroSys, 2015.
* [65] Versluis et al. The workflow trace archive: Open-access data from public and private computing infrastructures. TPDS, 31, 2020.
* [66] Wilkinson et al. The FAIR Guiding Principles for scientific data management and stewardship. Nature SciData, 3, 2016.
* [67] Xiao et al. Using spearman’s correlation coefficients for exploratory data analysis on big dataset. CCPE, 28, 2016.
* [68] XILINX. The Xilinx® Deep Learning Processor Unit (DPU). https://www.xilinx.com/products/intellectual-property/dpu.html, 2020-2021.
* [69] Xiong et al. vperfguard: an automated model-driven framework for application performance diagnosis in consolidated cloud environments. In ICPE, pages 271–282, 2013.
* [70] Zhang et al. Comparison and evaluation of air cooling and water cooling in resource consumption and economic performance. Energy, 2018.
* [71] Zhao et al. Non-intrusive performance profiling for entire software stacks based on the flow reconstruction principle. In OSDI, 2016.
| arxiv-papers | 2021-07-25T15:52:29 | 2024-09-04T03:07:16.948164 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Laurens Versluis, Mehmet Cetin, Caspar Greeven, Kristian Laursen,\n Damian Podareanu, Valeriu Codreanu, Alexandru Uta, Alexandru Iosup",
"submitter": "Laurens Versluis",
"url": "https://arxiv.org/abs/2107.11832"
} |
2107.11833 | # Demonstrating Majorana non-Abelian properties using fast adiabatic charge-
transfer
Svend Krøjer Center for Quantum Devices, Niels Bohr Institute, University of
Copenhagen, DK-2100 Copenhagen, Denmark Rubén Seoane Souto Center for
Quantum Devices, Niels Bohr Institute, University of Copenhagen, DK-2100
Copenhagen, Denmark Division of Solid State Physics and NanoLund, Lund
University, S-22100 Lund, Sweden Karsten Flensberg Center for Quantum
Devices, Niels Bohr Institute, University of Copenhagen, DK-2100 Copenhagen,
Denmark
(August 27, 2024)
###### Abstract
Demonstration of Majorana non-Abelian properties is a major challenge in the
field of topological superconductivity. In this work, we propose a minimal
device and protocol for testing non-Abelian properties using charge-transfer
operations between a quantum dot and two Majorana bound states combined with
reading the parity state using a second dot. We use an adiabatic perturbation
theory to find fast adiabatic paths to perform operations and to account for
nonadiabatic errors. We find the ideal parameter sweep and a region in
parameter space which reduces the charge-transfer operation time 1-2 orders of
magnitude with respect to constant velocity driving. Using realistic
parameters, we estimate that the lower bound for the time scale can be reduced
to $\sim 10$ ns. Deviations from the ideal parameters lead to the accumulation
of an undesired dynamical phase, affecting the outcome of the proposed
protocol. We furthermore suggest to reduce the influence from the dynamical
phase using a flux echo. The echo protocol is based on the $4\pi$-periodicity
of the topological state, absent for trivial bound states.
## I Introduction
The realization and verification of Majorana bound states (MBSs) have received
a substantial amount of attention in the past decade [1, 2, 3, 4, 5]. MBSs are
exotic zero-energy quasiparticle states appearing at the ends of one-
dimensional topological superconductors (TSs) or in vortices of two-
dimensional TSs [6, 7, 8].
Figure 1: Schematic of the proposed device for demonstrating MBSs non-Abelian
properties. Three long TS nanowires (light blue) extend from a trivial
superconducting backbone (blue). MBSs (red) form at the ends of the TSs. M1,
M2 and M3 are tunnel coupled to quantum dots (green) D1 and D2 with coupling
strengths $w_{i}$. The dot energies $\varepsilon_{i}$ are controlled with
nearby gates (orange). In our protocols, D1 is used for initialization and
read out of the M1/M2 pair using a charge sensor (purple). D2 is used for
charge-transfer processes involving the M2/M3 pair [9, 10]. Magnetic fluxes
$\Phi_{1},\Phi_{2}$ control the splitting between the even and odd parity
states. The remaining MBSs (M4, M5 and M6) are separated from M1, M2 and M3
and do not contribute to the system dynamics.
MBSs exhibit non-Abelian exchange properties contrary to topologically trivial
subgap states [11, 12]. Experimental demonstration of MBSs non-Abelian
properties is one of the key goals in the field as it will probe their
topological origin, distinguishing them from trivial states. An additional
promising feature of MBSs is their ability to store quantum information in
non-local fermionic degrees of freedom, becoming robust to local perturbations
[3]. In this way, MBSs can encode quantum information in the degenerate
ground-state manifold. Braiding operations (exchange of MBSs) can perform
Clifford gates, thus implementing (non-universal) topological quantum
computing [1].
To experimentally realize MBSs, a number of structures and devices have been
proposed [13]. Hybrid semiconductor-superconductor heterostructures are widely
used platforms in the attempt to realize one-dimensional spin-polarized
$p$-wave superconductors hosting MBSs at its ends [7, 8]. Recent progress on
fabrication techniques has made it possible to measure signatures consistent
with MBSs. Early observations include the measurement of a robust zero-bias
conductance peak [14, 15]. Later experiments indicated the
$2e^{2}/h$-quantization of the zero-bias peak [16]. Measurements have shown
coherent transport through a Majorana island [17], exponential scaling of
energy separation with length [18, 19], and hybridization characteristics with
quantum dot states [15, 20]. Despite the mounting signatures consistent with
MBSs, direct observation of their non-Abelian exchange properties remains a
challenge in the field. Such demonstration could provide smoking-gun evidence
for the topological origin of MBSs, while having the outlook of being a first
step in implementing protected gates in Majorana qubit devices.
In practice, showing non-Abelian exchange properties through real space
braiding of MBSs in T- or Y-junctions is expected to be a great experimental
challenge as it is difficult to tune in and out of the topological regime [12,
21]. For this reason, this paper instead focuses on implementing braiding-like
operations of MBSs in parameter space. Following Refs. [9, 10], we consider
manipulating the occupation of MBSs through charge-transfer processes with a
nearby quantum dot in the Coulomb-blockaded regime, see Fig. 1 for a device
schematic similar to Ref. [9]. In a successful charge-transfer process, an
electron is adiabatically exchanged between the gate-controlled quantum dot
and the MBSs, changing the Majorana parity. An advantage of this parameter
space operation is that it generalizes the real space braiding to rotations
through a continuum of angles, extending the space of possible operations
through braiding operations alone. The immediate downside, however, is that
charge-transfer operations are not topologically protected and require
accurate tuning of the parameters to achieve high fidelity.
noncommutativity of braiding-like operations can provide evidence for the non-
Abelian nature of MBSs. Concretely, we search for protocols where
interchanging two charge-transfer operations influence the measured parity of
the Majorana state. A protocol consists of two sequences with charge-transfer
operations applied in different order, testing the noncommutativity of the
operations. [9]. In the device shown in Fig. 1, the principal source of error
is due to splitting of the ground state degeneracy with imperfect tuning of
the parameters. This leads to a relative dynamical phase between the split
states, reducing the visibility of the geometric phase originated from non-
Abelian charge-transfer operations. As the charge-transfer process is meant to
operate on long, adiabatic time scales, even a small energy splitting can lead
to a substantial relative phase error, overwhelming the non-Abelian signal.
This presents a trade-off between driving the system slowly enough to remain
in the ground state and fast enough to avoid the effects of the splitting.
Figure 2: Diagram of the two sequences (top and middle panels), consisting of
three charge-transfer processes. In each diagram, both the protocols with and
without the echo effect are depicted. Top: Sequence A. Here, $|w_{4}|$ is
initially set to zero during the first charge-transfer process. For the two
subsequent charge-transfer processes it is ideally set to $|w_{4}|=|w_{3}|$.
As indicated, the echo protocol is achieved by adjusting the magnetic field
before the third charge-transfer process. Middle: Sequence B. Here, $|w_{4}|$
is instead set to zero during the second charge-transfer process, reversing
the order of the first two operations. Bottom: Level energy of D2 for both
sequences.
In this study, we propose an experiment for testing the non-Abelian properties
of MBSs. We simplify the device and reduce the number of operations needed
with respect to the original proposal in Ref. [9]. We improve the visibility
of the MBSs non-Abelian signature by optimizing the adiabatic charge-transfer
processes. We also design a $4\pi$-periodic flux echo protocol that cancels
the undesired dynamical phase of subsequent operations.
Specifically, our device and protocol proposals are minimal as they require
controlling a single quantum dot (D2) and one tunneling amplitude ($w_{4}$),
see Fig. 1. A second quantum dot, D1, is used to measure the parity of the
non-local fermion formed by M1 and M2 [22, 23, 24, 25, 26, 27]. We propose two
variants of the protocol: with and without the echo mechanism. Both protocols,
depicted in Fig. 2, require using one dot and three adiabatic charge-transfer
processes. In the flux echo protocol, the dynamical phase is canceled by
flipping the sign of the energy splitting in between charge-transfer
operations. This is accomplished by tuning the magnetic field $\Phi_{2}$ to
induce an additional superconducting (SC) phase difference, flipping the sign
of the energy splitting between the even and odd parity ground states. We find
that the echo protocol is robust to drifts in the SC phase difference and that
the deviations in the additional SC phase can be as large as $\sim$10% from
the ideal value, $2\pi$. As the flux echo relies on the $4\pi$-periodicity of
Majorana parity states, it also makes it possible to distinguish from
$2\pi$-periodic trivial states.
To mitigate nonadiabatic and phase errors, we formulate a consistent
theoretical framework for finding fast, adiabatic paths based on adiabatic
perturbation theory (APT) developed in Ref. [28]. Within the framework, we
find how to optimally control the level energy of the quantum dot to minimize
the dynamical phase without introducing nonadiabatic errors such as
transitions to excited states. Compared to driving the system with constant
(Landau-Zener) velocity, we find an adiabatic path that is one to two orders
of magnitude faster than a linear sweep of D2 energy, as used in Ref. [10]. We
provide numerical calculations supporting these results. Finding fast
adiabatic paths is crucial for adiabatic quantum computing as discussed by
previous attempts [29, 30, 31, 32]. Specifically in the context of Majorana-
based systems, the velocity of real space exchange and operations using
varying tunnel couplings between MBSs has been considered [33, 34, 35, 36, 37,
38, 39, 40, 41, 42, 43, 44, 45]. In this work, we instead consider the
nonadiabatic effects that occur when MBSs are coupled to a driven quantum dot.
## II Theory
We begin by reviewing the charge-transfer process following Ref. [9] and
formulate the non-Abelian operations in terms of the relative geometric phase
between the even and the odd parity ground states. This enables us to identify
the non-Abelian operations resulting from charge-transfer processes where the
ground states energy split.
Then, we review the adiabatic perturbation theory following Ref. [28] and
formulate a framework for studying fast adiabatic processes, resulting in
predictions for the optimal charge control.
### II.1 Charge-transfer process
To describe the charge-transfer process between the quantum dot D2 and the
MBSs M2 and M3 (see Fig. 1), we consider the low-energy Hamiltonian [9],
$H=\varepsilon_{2}d_{2}^{\dagger}d_{2}+(w_{3}^{*}d_{2}^{\dagger}-w_{3}d_{2})\gamma_{2}+(w_{4}^{*}d_{2}^{\dagger}-w_{4}d_{2})\gamma_{3}.$
(1)
The first term describes D2 with $\varepsilon_{2}$ being its time-dependent
energy and $d_{2}$ its electron annihilation operator. The second and third
terms in Eq. (1) describe the tunnel coupling to M2 and M3, with $w_{3}$ and
$w_{4}$ being the tunneling amplitudes. Here, $\gamma_{2}$ and $\gamma_{3}$
are the self-adjoint Majorana operators.
Our proposed protocol is based on operating on the state of M23 using D2. The
annihilation operator of the M23 fermion is defined by
$f_{23}=1/2(\gamma_{2}+i\gamma_{3})$, giving a Hilbert space of dimension
four. Due to the total parity conservation, the Hamiltonian matrix
corresponding to Eq. (1) is block diagonal with even and odd parity blocks
given by,
$\mathcal{H^{\rho}}=\begin{pmatrix}0&w^{\rho}\\\
(w^{\rho})^{*}&\varepsilon_{2}\end{pmatrix},$ (2)
where $w^{\rho}=w_{3}-\rho\,i\,w_{4}$. We use the even basis ($\rho=+$)
$\\{\ket{0}_{\text{D2}}\ket{0}_{\text{M23}},\ket{1}_{\text{D2}}\ket{1}_{\text{M23}}\\}$
and odd basis ($\rho=-$)
$\\{\ket{0}_{\text{D2}}\ket{1}_{\text{M23}},\ket{1}_{\text{D2}}\ket{0}_{\text{M23}}\\}$,
with $0(1)$ referring to the occupation of D2 and M23.
We parametrize the tunnel couplings as $w_{3}=w\,e^{i\phi/2}\cos\theta$ and
$w_{4}=w\sin\theta$ where the magnetic flux $\Phi_{2}$ controls the SC phase
difference $\phi=\Phi_{2}/(h/(2e))$. Here, $\theta$ controls the asymmetry on
the tunnel coupling strength. The eigenenergies of the Hamiltonian matrix in
Eq. (2) are
$E_{\pm}^{\rho}=\varepsilon_{2}/2\pm\sqrt{(\varepsilon_{2}/2)^{2}+w^{2}(1-\rho\sin(2\theta)\sin(\phi/2))},$
(3)
with the corresponding eigenstates
$\psi_{\pm}^{\rho}=\frac{1}{\sqrt{(E_{\pm}^{\rho})^{2}+|w^{\rho}|^{2}}}\begin{pmatrix}w^{\rho}\\\
E_{\pm}^{\rho}\end{pmatrix}.$ (4)
The energy spectrum of the system is $4\pi$-periodic, and the even and the odd
parity sectors are degenerate at integer values of $\phi/(2\pi)$.
In a successful charge-transfer process, an electron is transferred between D2
and the fermion formed by M23. This is accomplished by inverting the energy on
D2 from $\varepsilon_{0}$ to $-\varepsilon_{0}$, allowing the exchange of a
charge. The initial and final level energies are not required to be equal in
magnitude but they should be much larger than the coupling strength to D2. We
assume $\varepsilon_{0}>0$ in what follows and disregard the effect from the
continuum of states by taking the limit $\Delta_{\text{SC}}>\varepsilon_{0}\gg
w$. The effect of the continuum of states above the superconducting gap
$\Delta_{\text{SC}}$ has been discussed in Ref. [10]. We further assume that
the time $T$ of the charge-transfer process is shorter than the quasiparticle
poisoning time scale, yet long enough for the process to be adiabatic.
To understand the non-ideal charge-transfer operations, it is helpful to
consider the geometric phase acquired by the even parity ground state relative
to the odd parity ground state. Since the charge-transfer process is not a
loop in parameter space, the calculation of the geometric phase is slightly
subtle and can be found in Appendix A. The accrued relative geometric phase
between the even and odd parity ground states during a process where the dot
is filled
($\varepsilon_{2}:\varepsilon_{0}\to-\varepsilon_{0},\,\varepsilon_{0}>0$) is
$\theta^{G}=\arctan[\tan(2\theta)\cos(\phi/2)],$ (5)
with corrections of order $(w/\varepsilon_{0})^{2}$. The corresponding
operation on the MBSs is
$\displaystyle U^{G}$
$\displaystyle=e^{i\theta^{G}/2}f_{23}^{\dagger}+e^{-i\theta^{G}/2}f_{23}$
$\displaystyle=\cos(\theta^{G}/2)\gamma_{2}+\sin(\theta^{G}/2)\gamma_{3}.$ (6)
When the dot is filled, an electron tunnels from the superconductor to the
dot. In the odd parity sector, the electron jumps from the occupied M23
fermionic state ($d_{2}^{\dagger}f_{23}$). In the even sector, the M23 fermion
state is vacant. In this case, a Cooper pair splits with one electron
occupying the M23 state while the other tunnels to the dot
($d_{2}^{\dagger}f_{23}^{\dagger}$). Isolating the part acting on the M23
fermion and inserting the relative geometric phase, we arrive at Eq. (6). For
the reverse process, the sign of the geometric phase and the roles of even and
odd sectors with regards to the tunneling are both interchanged. For this
reason, Eq. (6) also holds when emptying the dot. In the ideal situation,
integer $\phi/(2\pi)$ and adiabatic dot energy sweep, our result simplifies to
$U^{G}=\cos\theta\,\gamma_{2}+\sin\theta\,\gamma_{3}$, agreeing with the
original result found in Ref. [9].
It is straightforward to relate the relative phase between the even and odd
ground states to a parity-measurement of the fermion formed by the M12 pair
using the dot D1. In the measurement-basis, we define
$f_{12}=1/2(\gamma_{1}+i\gamma_{2})$ and $f_{34}=1/2(\gamma_{3}+i\gamma_{4})$
with even
$\\{\ket{0}_{\text{M12}}\ket{0}_{\text{M34}},\ket{1}_{\text{M12}}\ket{1}_{\text{M34}}\\}$
and odd
$\\{\ket{0}_{\text{M12}}\ket{1}_{\text{M34}},\ket{1}_{\text{M12}}\ket{0}_{\text{M34}}\\}$
occupation states. We take as an example the ideal situation where
$\theta^{G}/2=\theta$. Our proposed device can only initialize the fermion M12
so we consider the initial state $\ket{0}_{\text{M12}}\ket{\psi}_{\text{M34}}$
where
$\ket{\psi}_{\text{M34}}=\alpha\ket{0}_{\text{M34}}+\beta\ket{1}_{\text{M34}}$
is a ground state. The final state after the charge-transfer operation is
found by applying $U^{G}$ to the initial state,
$\displaystyle U^{G}\ket{0}_{\text{M12}}\ket{\psi}_{\text{M34}}=i$
$\displaystyle\cos\theta\ket{1}_{\text{M12}}\ket{\psi}_{\text{M34}}$
$\displaystyle+$
$\displaystyle\sin\theta\ket{0}_{\text{M12}}\ket{\psi^{\prime}}_{\text{M34}},$
(7)
where
$\ket{\psi^{\prime}}_{\text{M34}}=\alpha\ket{1}_{\text{M34}}+\beta\ket{0}_{\text{M34}}$.
Using the dot D1 to measure the occupation of the M12 fermion gives the result
$f_{12}^{\dagger}f_{12}=0(1)$ with probability $\sin^{2}\theta$
$(\cos^{2}\theta)$, which does not depend on the initial state of the M34
pair. In this way, the relative phase between the even and odd ground states
could be experimentally inferred from statistics.
Away from the degeneracy point, integer $\phi/(2\pi)$, the even- and odd-
parity ground-states also acquire a relative dynamical phase, $\theta^{D}$,
affecting the outcome of the final measurement. In Sec. II.4, we compute the
relative dynamical phase for the charge-transfer process we consider, see Eq.
(51). The relative dynamical phase, unlike its geometric counterpart, does not
switch sign when reversing the charge-transfer process and its contribution
accumulates with successive processes. This makes a difference in the
operations on the MBSs when filling or emptying the dot. Including the
relative dynamical phase to Eq. (6), the operation depends on whether the dot
is emptied ($-$) or filled ($+$),
$\displaystyle U$
$\displaystyle=e^{i(\theta^{G}\mp\theta^{D})/2}f_{23}^{\dagger}+e^{-i(\theta^{G}\mp\theta^{D})/2}f_{23}$
$\displaystyle=\cos(\frac{\theta^{G}\mp\theta^{D}}{2})\gamma_{2}+\sin(\frac{\theta^{G}\mp\theta^{D}}{2})\gamma_{3}.$
(8)
This is the full operator acting on the ground state of the system after a
charge-transfer process away from the degeneracy point. The relative geometric
and dynamical phases $\theta^{G}$ and $\theta^{D}$ are given in Eqs. (5) and
(51).
### II.2 Protocol
A charge-transfer operation changes the parity of the superconductor
regardless of whether it is in its trivial or topological phase. It is
therefore insufficient to perform only a single operation to distinguish
between topologically trivial and nontrivial subgap states. To probe the non-
Abelian properties associated with topologically nontrivial states, we instead
test the noncommutativity of operations executed on the degenerate Majorana
subspace. In our proposed experiment, we compare the resulting states after
executing two sequences of operations. These sequences consist of the same set
of operations ordered in different ways, see Fig. 2. The dot D1 is used to
initialize and measure the occupation of the M12 Majorana pair. Applying the
two sequences on the initial state
$\ket{0}_{\text{M12}}\ket{\psi}_{\text{M34}}$ give the following final states,
Sequence A:
$\displaystyle U\,U\,\gamma_{2}\ket{0}_{\text{M12}}\ket{\psi}_{\text{M34}}=i$
$\displaystyle\cos\theta^{D}\ket{1}_{\text{M12}}\ket{\psi}_{\text{M34}}$
$\displaystyle+$
$\displaystyle\sin\theta^{D}\ket{0}_{\text{M12}}\ket{\psi^{\prime}}_{\text{M34}}.$
(9)
Sequence B:
$\displaystyle U\,\gamma_{2}\,U\ket{0}_{\text{M12}}\ket{\psi}_{\text{M34}}=i$
$\displaystyle\cos(\theta^{G}+\theta^{D})\ket{1}_{\text{M12}}\ket{\psi}_{\text{M34}}$
$\displaystyle+$
$\displaystyle\sin(\theta^{G}+\theta^{D})\ket{0}_{\text{M12}}\ket{\psi^{\prime}}_{\text{M34}}.$
(10)
Here, we assume that the energy sweeps during the charge-transfer processes
are adiabatic. We also take the parameters $\theta$ and $\phi$ to be the same
for the operations $U$. The operation $\gamma_{2}$ performs a charge-transfer
process where $w_{4}$ is turned off (corresponding to $\theta=0$), without
inducing any relative phase between the even and odd parity sectors. The order
of the first two operations in Eqs. (9) and (10) is switched between sequence
A and B. Due to the noncommutativity of $\gamma_{2}$ and $U$, each sequence
has a different geometric phase. This difference can be sampled statistically
by measuring the occupation of the M12 Majorana pair using the dot D1 [22]. In
the final measurement, the probability of measuring the state
$\ket{0}_{\text{M12}}$ is $\sin^{2}(\theta^{D})$ and
$\sin^{2}(\theta^{G}+\theta^{D})$ for the sequences A and B. In the ideal
situation, integer $\phi/(2\pi)$, the relative phases simplify to
$\theta^{D}=0$ and $\theta^{G}=2\theta$. The two sequences are maximally
distinguishable for $\theta=\pi/4$, corresponding to symmetric coupling
$w_{3}=w_{4}$. For these finely tuned values, the final state is
$\ket{1}_{\text{M12}}$ and $\ket{0}_{\text{M12}}$ for the sequences A and B.
The dynamical phase, $\theta^{D}$, acquired during the operations described in
Eqs. (9, 10) can overwhelm the Majorana signature, coming from $\theta^{G}$.
This effect of $\theta^{D}$ can be mitigated using a mechanism similar to the
spin-echo used in spin qubits [46]. In Majorana devices, parity echo or flux
echo have been proposed to increase the fidelity of certain operations [47,
48]. We consider implementing a flux echo based on the following observation:
the relative dynamical phase in Eq. (51) depends on the SC phase difference as
$\theta^{D}\propto\sin(\phi/2)$. Due to the $4\pi$-periodicity, changing
$\phi\to\phi+2\pi$, the sign of $\theta^{D}$ changes. In this way, the
dynamical phase contributions from subsequent operations cancel out.
Concretely, we propose to adjust the SC phase difference by tuning the
magnetic flux $\Phi_{2}$ and set its value to $\phi$ when performing the first
two charge-transfer process in Fig. 2. Ideally, $\phi/(2\pi)$ is integer, but
presumably it is difficult to assess its value in experiment and it may drift.
Then, for the last operation, the SC phase difference is tuned
$\phi\to\phi+2\pi$. Optimally, this cancels the dynamical phase in the two $U$
operations in sequences A and B. This is contrasted by trivial states whose
$2\pi$-periodic spectrum will not see the effect of the flux echo.
An advantage of this flux echo is that the required change in the SC phase
difference is independent of the (unknown) value of $\phi$. This is in
contrast to proposals such as $\phi\to-\phi$ which also flips the sign of the
relative dynamical phase [48]. A by-product of the change $\phi\to\phi+2\pi$
is that the sign of the relative geometric phase also changes, see Eq. (5). We
therefore define primed charge-transfer operators $U^{\prime}$ which are equal
to the original operators introduced in Eq. (8), replacing $\phi$ by
$\phi+2\pi$, which leads to a sign flip of $\theta^{G}$ and $\theta^{D}$ with
respect to $U$. Including the flux echo as described in sequence A and B gives
the following,
Sequence A’:
$\displaystyle
U^{\prime}\,U\,\gamma_{2}\ket{0}_{\text{M12}}\ket{\psi}_{\text{M34}}=i$
$\displaystyle\cos\theta^{G}\ket{1}_{\text{M12}}\ket{\psi}_{\text{M34}}$
$\displaystyle+$
$\displaystyle\sin\theta^{G}\ket{0}_{\text{M12}}\ket{\psi^{\prime}}_{\text{M34}}.$
(11)
Sequence B’:
$\displaystyle
U^{\prime}\,\gamma_{2}\,U\ket{0}_{\text{M12}}\ket{\psi}_{\text{M34}}=i$
$\displaystyle\ket{1}_{\text{M12}}\ket{\psi}_{\text{M34}}.$ (12)
Because of the $4\pi$-periodicity of the spectrum, we can design a flux echo,
equivalent to flipping the system parity. It increases the regime with maximal
visibility due to the cancellation of the dynamical phase. Also, the outcome
becomes insensitive to the operation time scale. In sequences A’ and B’, the
final state is $\ket{0}_{\text{M12}}$ with probability $\sin^{2}\theta^{G}$
and 0 respectively. Maximal visibility thus occurs for $\theta^{G}=\pi/2$.
To make a measure of the discernibility of the outcome of the two sequences,
we introduce the sequence visibility $\Lambda$. We define $\Lambda$ as the
difference in probability of measuring the state $\ket{0}_{\text{M12}}$ after
the two sequences where unit visibility corresponds to the ideal situation.
Thus, the sequence visibility for sequences A and B is
$\displaystyle\Lambda$
$\displaystyle=\sin^{2}(\theta^{G}+\theta^{D})-\sin^{2}(\theta^{D}).$ (13)
For sequences A’ and B’ the visibility would simply be
$\Lambda^{\prime}=\sin^{2}(\theta^{G}),$ (14)
due to the cancellation of dynamical phase. The sequence visibility quantifies
the degree to which the orders of operations can be distinguished to show the
MBS non-Abelian properties.
In a realistic experiment, tuning the additional SC phase contribution for the
flux echo is presumably simpler than tuning $\phi$ to the degeneracy point,
integer $\phi/(2\pi)$. However, inaccuracies and phase fluctuations can play a
role, leading to a nonzero dynamical phase. An additional complication is that
the relative dynamical phase is dependent on the exact dynamics of the
adiabatic transport. In the next section, we approach the problem of
minimizing the dynamical phase contribution using APT to study fast adiabatic
processes.
### II.3 Deriving adiabatic perturbation theory
The adiabatic theorem predicts that a system initialized in an eigenstate
$\ket{n(t=0)}$ of the initial Hamiltonian $H(t=0)$ will follow the
instantaneous eigenstate $\ket{n(t)}$ of the slowly varying time-dependent
Hamiltonian $H(t)$. The instantaneous eigenstates fulfill the instantaneous
Schrödinger equation,
$H(t)\ket{n(t)}=E_{n}(t)\ket{n(t)}.$ (15)
Typically, the adiabatic approximation is valid for
$\frac{\left|\innerproduct{m(t)}{\frac{\differential n(t)}{\differential
t}}\right|}{|E_{m}(t)-E_{n}(t)|}=\frac{\left|\bra{m(t)}\frac{\differential
H(t)}{\differential t}\ket{n(t)}\right|}{(E_{m}(t)-E_{n}(t))^{2}}\ll 1,\qquad
n\neq m.$ (16)
However, this is not always a sufficient condition to ensure adiabaticity
[49]. Adiabatic perturbation theory (APT) [28] attempts to determine the
validity of the adiabatic approximation, describing nonadiabatic corrections.
APT has previously been used in a variety of situations, including quench
dynamics through a quantum critical point [50], quasi-adiabatic Monte Carlo
algorithm [51], as well as corrections to non-Abelian processes involving
Majorana exchange [33]. Additionally, APT has also inspired Floquet adiabatic
perturbation theory [52, 53, 54].
APT is based on a perturbative expansion in the small parameter $1/T$ where
$T$ is the relevant time scale of the system [28]. In our case, $T$ is the
time of a single charge-transfer operation. The APT expansion parameter $1/T$
is not dimensionless as required by perturbation theories and should be
compared to a relevant energy scale. In our system, we have two energy scales
$\varepsilon_{0}$ and $w$ whose ratio $x_{0}=\varepsilon_{0}/(2w)$ we take to
be large. It is therefore not obvious how to a priori choose the proper
dimensionless expansion parameter.
In our study of APT, we simultaneously address this issue and find fast
adiabatic energy sweeps of the dot energy to perform efficient charge-transfer
operations. While our results are specific to the charge-transfer processes,
the framework we use is completely general and may be applied to any
nondegenerate quantum system. Further work can presumably extend the framework
to degenerate systems as well [55]. We begin our treatment by giving a brief
overview of APT as presented in Ref. [28]. Then, we apply it to the charge-
transfer process, addressing the issues due to the dimensionful expansion
parameter $1/T$, and studying fast adiabatic paths.
For a nondegenerate $N$-level quantum system, APT is based on the following
ansatz for the time-evolved state [28]:
$\ket{\Psi(s)}=\sum_{p}^{\infty}\frac{1}{T^{p}}\sum_{n,m=0}^{N-1}e^{-iT\omega_{m}(s)}e^{i\xi_{m}(s)}b_{nm}^{(p)}(s)\ket{n(s)},$
(17)
which is given in terms of the dimensionless time $s=t/T$. The quantities
$\omega_{m}(s)$ and $\xi_{m}(s)$ are the dynamical and geometric phases of the
instantaneous state $\ket{m(s)}$,
$\displaystyle\omega_{m}(s)$
$\displaystyle=\int_{0}^{s}E_{m}(s)\,\differential s^{\prime},$ (18)
$\displaystyle\xi_{m}(s)$
$\displaystyle=i\int_{0}^{s}\innerproduct{m(s^{\prime})}{\frac{\differential
m(s^{\prime})}{\differential s^{\prime}}}\differential s^{\prime}.$ (19)
The expansion in Eq. (17) introduces complex, time-dependent coefficients
$b_{nm}^{(p)}(s)$ to be determined. Due to the dimensionful expansion
parameter $1/T$, the coefficients also carry dimensions such that
$b_{nm}^{(p)}(s)/T^{p}$ is dimensionless. The ansatz in Eq. (17) recasts the
problem of solving the time-dependent Schrödinger equation,
$\frac{i}{T}\frac{\differential}{\differential
s}\ket{\Psi(s)}=H(s)\ket{\Psi(s)},$ (20)
into computing the coefficients $b_{nm}^{(p)}(s)$ from linear, recursive
equations. The initial conditions for the coefficients are determined by the
initial state. In the expansion, the zeroth-order terms correspond to the
adiabatic approximation at all times,
$b_{nm}^{(0)}(s)=0,\qquad n\neq m.$ (21)
It further implies that the initial state is described by the adiabatic
approximation, giving the initial constraint on the $p\geq 1$ order
coefficients,
$\sum_{m}b_{nm}^{(p)}(0)=0,\qquad p\geq 1.$ (22)
By inserting the ansatz in Eq. (17) into the time-dependent Schrödinger
equation (20) and taking the inner product with $\bra{m(s)}$ we get,
$\displaystyle
i\Delta_{nm}(s)b_{nm}^{(p+1)}(s)+\dot{b}_{nm}^{(p)}(s)+W_{nm}(s)b_{nm}^{(p)}(s)$
$\displaystyle+\sum_{k\neq n}M_{nk}(s)b_{km}^{(p)}(s)$ $\displaystyle=0.$ (23)
The following quantities have been defined,
$\displaystyle\Delta_{nm}(s)$ $\displaystyle=E_{n}(s)-E_{m}(s),$ (24)
$\displaystyle M_{nm}(s)$
$\displaystyle=\innerproduct{n(s)}{\dot{m}(s)}=\frac{\bra{n(s)}\dot{H}(s)\ket{m(s)}}{\Delta_{mn}(s)},$
(25) $\displaystyle W_{nm}(s)$ $\displaystyle=M_{nn}(s)-M_{mm}(s),$ (26)
where the dot denotes time differentiation, $\differential/\differential s$.
Eq. (23) is the main result of Ref. [28] from which the coefficients of order
$p+1$ can be recursively computed from the $p$-order coefficients.
For illustration purposes, we compute the first-order correction in a two-
level system initialized in the ground state. Using the initial condition
$b_{00}^{(0)}(0)=1$, the first-order coefficients are
$\displaystyle b_{01}^{(1)}(s)$ $\displaystyle=0,$ (27) $\displaystyle
b_{10}^{(1)}(s)$ $\displaystyle=\frac{iM_{10}(s)}{\Delta_{10}(s)},$ (28)
$\displaystyle b_{00}^{(1)}(s)$
$\displaystyle=i\int_{0}^{s}\frac{|M_{10}(s^{\prime})|^{2}}{\Delta_{10}(s^{\prime})}\,\differential
s^{\prime},$ (29) $\displaystyle b_{11}^{(1)}(s)$
$\displaystyle=-\frac{iM_{10}(0)}{\Delta_{10}(0)}$ (30)
These first-order coefficients will be the starting point of the next section
where we apply APT to the charge-transfer process. We find the optimal
adiabatic path and investigate what conditions must be satisfied to be
consistent with the adiabatic approximation.
### II.4 Applying adiabatic perturbation theory
Figure 3: Characteristics of a single charge-transfer process at the
degeneracy point (integer $\phi/(2\pi)$) for different values of $\eta$ and
$x_{0}=100$. Left: Operation time scale $T$ (relative to $w$) as a function of
$\eta$ for fixed dimensionless expansion parameter $\Sigma_{\eta}/(Tw)=0.5$,
see Eqs. (49) and (58). The panel shows an optimal region for $1<\eta\leq 2$
with an optimal point $\eta=2$, where the adiabatic time scale is the minimal.
Colored markers at $\eta=0,1,2$ are reference for the middle and right panels.
Middle: Dot occupation $\expectationvalue{d_{2}^{\dagger}d_{2}}=\partial
E_{-}/\partial\varepsilon_{2}$ as a function of dimensionless time $s=t/T$.
For the optimal path ($\eta=2$), charge is smoothly transferred during the
entire process. For the linear sweep ($\eta=0$), charge is transferred only
near the half-way point of the process ($s\approx 1/2$), necessitating a
longer operation time to ensure adiabatic charge-transfer. Right: Energy
sweeps $\varepsilon_{2}(s)$ (solid lines) and excitation energies
$\Delta_{10}(s)$ (dashed lines). For the optimal path ($\eta=2$), most of the
operation time is spend where the gap is smallest to avoid nonadiabatic
errors. For the linear sweep ($\eta=0$), most of the operation time is spend
where the gap is large, leading to a large time scale of the process.
We continue our study by applying APT to the two-level system given in Eq.
(2), which describes two MBSs coupled to a quantum dot. We use Eqs. (24-26) to
compute the relevant quantities in the expansion
$\Delta_{10}(s)=-\Delta_{01}(s),M_{10}(s)=-(M_{01}(s))^{*},W_{10}(s)=-W_{01}(s)$.
At the degeneracy point $\sin(2\theta)\sin(\phi/2)\ll 1$ we find,
$\displaystyle\Delta_{10}(s)$ $\displaystyle=2w\sqrt{x(s)^{2}+1},$ (31)
$\displaystyle M_{10}(s)$ $\displaystyle=\frac{\dot{x}(s)}{2(x(s)^{2}+1)},$
(32) $\displaystyle W_{10}(s)$ $\displaystyle=0.$ (33)
We have expressed the above quantities in terms of the dimensionless level
energy $x(s)=\varepsilon_{2}(s)/(2w)$. Notice that $M_{10}(s)$ is
dimensionless and $\Delta_{10}(s)$ has dimension of energy.
To find fast adiabatic paths, we minimize the first-order coefficient
$b_{00}^{(1)}(s)$, describing the leading correction to the adiabatic
evolution. That is, we minimize the integral
$\displaystyle I(s)$
$\displaystyle=\frac{1}{T}\int_{0}^{s}\frac{|M_{10}(s^{\prime})|^{2}}{\Delta_{10}(s^{\prime})}\,\differential
s^{\prime},$ (34)
$\displaystyle=\frac{1}{8Tw}\int_{0}^{s}\frac{\dot{x}(s^{\prime})^{2}}{(x(s^{\prime})^{2}+1)^{5/2}}\,\differential
s^{\prime}.$ (35)
We choose to minimize this coefficient as it describes the nonadiabatic
corrections accumulated during the operation. We could also have considered
$b_{10}^{(1)}(s)$ or $b_{11}^{(1)}(s)$ which depend on the instantaneous
configuration. Before APT, a condition corresponding to $b_{10}^{(1)}(s)$ and
Eq. (16) was heuristically chosen to find the so-called local adiabatic
evolution [29, 30, 31]. By minimizing Eq. (35), we find the optimal adiabatic
energy sweep $x_{\text{opt}}(s)$. Later, we check whether the found adiabatic
path is consistent with APT, i.e. the magnitude of the coefficients decrease
with the order $p$ and do not grow with $x_{0}\gg 1$.
The integral in Eq. (35) is straightforward to minimize by standard methods.
Using the Beltrami identity, we find that the optimal path fulfills
$\dot{x}_{\text{opt}}(s)=\pm\Omega_{\eta}\left[x_{\text{opt}}(s)^{2}+1\right]^{\eta/2}\propto[\Delta_{10}(s)]^{\eta},$
(36)
where the $\pm$ sign in front corresponds to emptying or filling the dot and
$\Omega_{\eta}>0$ is a constant dependent on the initial conditions. The
minimization of Eq. (35) leads to $\eta=5/2$ as the ideal adiabatic path. The
further analysis below, however, shows that $\eta=5/2$ is not optimal as
higher-order coefficients are significant for this $\eta$ value. In the
following of the section, we find the optimal $\eta$ value in Eq. (36)
consistent with APT constraints. Eq. (36) is the simplest parametrization
which can be physically motivated: the speed of the dot level sweep is
proportional to the energy gap between the ground and excited state raised to
a power. The energy sweep and the energy gap for $\eta=0,1,2$ is displayed in
the right panel of Fig. 3. The case $\eta=0$ corresponds to a linear energy
sweep of the quantum dot, independent from the gap to the excited state.
$\eta>0$ describes an increasing energy speed of the dot with the gap between
the ground and the excited states. APT also allows to describe more general
ansatzes than the one in Eq. (36).
The solution to Eq. (36) can be given in terms of the Gaussian hypergeometric
function ${}_{2}F_{1}(a,b;c;z)$, see Appendix B. This enables us to compute
the scaling of $\Omega_{\eta}$ to leading order in $1/x_{0}$ for $x_{0}\gg 1$,
$\Omega_{\eta}\approx\begin{cases}\frac{\sqrt{\pi}\Gamma\left(\frac{\eta-1}{2}\right)}{\Gamma\left(\frac{\eta}{2}\right)}&\text{for
}\eta>1,\\\ 2\sinh[-1](x_{0})&\text{for }\eta=1,\\\
\frac{2}{1-\eta}x_{0}^{1-\eta}&\text{for }\eta<1.\end{cases}$ (37)
We provide the complete analytic expressions in Appendix B. Importantly,
$\Omega_{\eta}$ scales with $x_{0}$ for $\eta\leq 1$. It can be problematic
for APT when evaluating Eq. (35) at $s=1$ in the limit $x_{0}\gg 1$. Using
$x_{\text{opt}}(s)$ from Eq. (36),
$I(1)=\frac{\Omega_{\eta}}{8Tw}\frac{\sqrt{\pi}\Gamma(\frac{4-\eta}{2})}{\Gamma(\frac{5-\eta}{2})},\qquad\text{for
}\eta<4.$ (38)
A necessary (but insufficient) condition for APT to hold is $I(1)\ll 1$, or
equivalently, $Tw\gg\Omega_{\eta}$. It means that for $\eta<1$, $Tw\gg
x_{0}^{1-\eta}$, which thus requires very slow processes to achieve
adiabaticity. For $\eta=1$, $\Omega_{\eta}$ scales logarithmically with
$x_{0}$. For $\eta>4$, Eq. (35) scales as $x_{0}^{\eta-4}$. This analysis
tells us that for $1<\eta<4$, we need $Tw\gg 1$ to satisfy $I(1)\ll 1$.
Outside this range, $T$ scales with $x_{0}$, meaning that the total time for
to complete the operation is sensitive to the large energy $\varepsilon_{0}$.
We may also check that the other first-order corrections are small,
$\frac{M_{10}(s)}{T\Delta_{10}(s)}=\frac{\Omega_{\eta}}{4Tw}(x_{\text{opt}}(s)^{2}+1)^{\frac{\eta-3}{2}}\ll
1,$ (39)
which decreases with $x_{0}$ for $\eta<3$ and grows as $x_{0}^{\eta-3}$ for
$\eta>3$, introducing a further restriction to APT validity: $\eta<3$. In
summary, this preliminary analysis suggests that the first-order corrections
are small for $Tw\gg 1$ when $1<\eta<3$. If $\eta$ is chosen outside this
range, $T$ grows with $x_{0}\gg 1$. In the following, we show that it is
insufficient to demand that the first-order corrections are small for APT to
be applicable. This was not mentioned in Ref. [28], but the sufficient
conditions are nevertheless contained in APT. Like in the above analysis, we
find that $Tw\gg 1$ is sufficient but only in the range $1<\eta\leq 2$.
Outside of this range, large $x_{0}$ values can make higher-order
contributions more significant than the lowest ones in the expansion in Eq.
(17). As exemplified in Eq. (38, 39), this is due to the $w$ and
$\varepsilon_{0}$ dependence of the dimensionful coefficients resulting from
the dimensionful expansion coefficient. To resolve this, we express the
coefficients in (17) of order $p+1$ in terms of $p$-order coefficients,
$\displaystyle b_{nm}^{(p+1)}(s)$
$\displaystyle=\frac{i}{\Delta_{nm}(s)}\frac{\differential}{\differential
s}b_{nm}^{(p)}(s)\qquad(n\neq m)$ $\displaystyle+\sum_{k\neq
n}\frac{iM_{nk}(s)}{\Delta_{nm}(s)}b_{km}^{(p)}(s),$ (40) $\displaystyle
b_{nn}^{(p+1)}(s)$ $\displaystyle=\sum_{k\neq
n}\int_{0}^{s}\frac{iM_{nk}(s^{\prime})}{\Delta_{nk}(s^{\prime})}\frac{\differential}{\differential
s^{\prime}}b_{kn}^{(p)}(s^{\prime})\,\differential s^{\prime}\qquad(n=m)$
$\displaystyle+\sum_{\begin{subarray}{c}k\neq n\\\ l\neq
k\end{subarray}}\int_{0}^{s}\frac{iM_{nk}(s^{\prime})M_{kl}(s^{\prime})}{\Delta_{nk}(s^{\prime})}b_{ln}^{(p)}(s^{\prime})\,\differential
s^{\prime}$ $\displaystyle-\sum_{k\neq n}b_{nk}^{(p+1)}(0).$ (41)
We demand that the sum of the magnitude of the coefficients of order $p+1$
should be smaller than the corresponding sum of order $p$,
$\sum_{n}\sum_{m}\frac{|b^{(p+1)}_{nm}(s)|}{T^{p+1}}\ll\sum_{n}\sum_{m}\frac{|b^{(p)}_{nm}(s)|}{T^{p}}.$
(42)
In Appendix C, we insert Eqs. (40, 41) into Eq. (42) and get the following
adiabatic convergence criteria,
$\displaystyle\frac{\Omega_{\eta}(x_{\text{opt}}(s)^{2}+1)^{\frac{\eta-1}{2}}}{T\Delta_{10}(s)}$
$\displaystyle\ll 1,$ (43) $\displaystyle\frac{|M_{10}(s)|}{T\Delta_{10}(s)}$
$\displaystyle\ll 1,$ (44)
$\displaystyle\int_{0}^{s}\Omega_{\eta}(x_{\text{opt}}(s^{\prime})^{2}+1)^{\frac{\eta-1}{2}}\frac{|M_{10}(s^{\prime})|}{T\Delta_{10}(s^{\prime})}\,\differential
s^{\prime}$ $\displaystyle\ll 1,$ (45)
$\displaystyle\int_{0}^{s}\frac{|M_{10}(s)|^{2}}{T\Delta_{10}(s)}\,\differential
s^{\prime}$ $\displaystyle\ll 1.$ (46)
Notice that Eq. (44) is identical to the usual adiabatic condition in Eq.
(16). Furthermore, Eqs. (44) and (46) correspond to the conditions found in
the first-order coefficients in Eqs. (38) and (39). Our extended analysis in
Appendix C have thus provided two additional conditions to satisfy
adiabaticity, Eqs. (43), (45). The additional conditions come from terms in
Eqs. (40-41) which do not appear when computing the first-order coefficients
but become relevant in higher-order ones.
In the regime $|x_{\text{opt}}(s)|\sim 1$, the conditions (43-46) result in
$\Omega_{\eta}/(Tw)\ll 1$, which gives the lower bound $\eta>1$ as discussed
above. For large $|x_{\text{opt}}(s)|$, the convergence of the integral in Eq.
(45) gives the upper bound $\eta<3$ which was the same as in the conditions
(39) and (44). Importantly, the first condition (43) gives a further
restriction for large $|x_{\text{opt}}(s)|$,
$\frac{\Omega_{\eta}}{Tw}x_{0}^{\eta-2}\ll 1$ (47)
This is the final restriction on $\eta$ and gets us the bound for optimal
operation time $Tw\gg 1$,
$1<\eta\leq 2.$ (48)
We note that both the linear energy sweep ($\eta=0$) and the best adiabatic
path ($\eta=5/2$) predicted by the first-order correction in Eq. (35) lie
outside the optimal range.
To make an unified statement about the proper dimensionless expansion
parameter, we define a quantity closely related to $\Omega_{\eta}$, including
the scaling for $\eta>2$,
$\Sigma_{\eta}=\begin{cases}\Omega_{\eta}x_{0}^{\eta-2}&\text{for }\eta>2,\\\
\Omega_{\eta}&\text{for }\eta\leq 2.\end{cases}$ (49)
We thus propose $\Sigma_{\eta}/(Tw)$ as the proper dimensionless expansion
parameter, fulfilling $\Sigma_{\eta}/(Tw)\ll 1$ for APT to hold. This
expansion parameter depends in a nontrivial way on $w$ and $\varepsilon_{0}$
and the chosen path parametrized by $\eta$.
Figure 4: Numerical results for a charge-transfer process with $\theta=\pi/4$
and $x_{0}=100$. Left: Color map of the transition probability after a single
charge-transfer operation at the degeneracy point ($\phi=0$) as a function of
$\eta$ and the inverse time $(Tw)^{-1}$. The two lines represent the
prediction from APT for $\Sigma_{\eta}/(Tw)=2$ (dashed white) and
$\Sigma_{\eta}/(Tw)=0.5$ (solid cyan). For $\eta\leq 2$, the dashed white line
separates the adiabatic region (dark blue) from the nonadiabatic region (green
and yellow). The solid cyan line lies well in the adiabatic region and is used
for reference to the right panel. Right: Plot of the relative phase for a
slight detuning $\phi=0.05\pi$ from the ideal phase ($\phi=0$) following the
cut at the solid cyan line in the left panel ($\Sigma_{\eta}/(Tw)=0.5$). We
display the numerical result (cyan), theoretical prediction (orange) and the
geometric phase (dashed) for reference to the ideal situation.
APT predicts that the fastest adiabatic path is the solution to Eq. (36) for
$\eta=2$, which minimizes the dimensionless expansion parameter
$\Sigma_{\eta=2}/(Tw)=\pi/(Tw)$, see left panel of Fig. 3. For $\eta=2$, the
solution to Eq. (36) has a particularly simple expression given by
$x_{\text{opt}}(s)=\pm\tan[\arctan(x_{0})\left(2s-1\right)].$ (50)
This result realizes the so-called local adiabatic evolution of the system
[29, 30, 31]. In Fig. 3 the optimal sweep ($\eta=2$) is compared to a linear
sweep ($\eta=0$). The ratio $\Sigma_{\eta=0}/\Sigma_{\eta=2}\approx
2x_{0}/\pi$ quantifies how much faster the optimal sweep of
$x_{\text{opt}}(s)$ can be with respect to a linear one. This means that, for
the same parameters, the ideal sweep is $\approx 64$ times faster than the
linear one for $x_{0}=100$. The intuition is that the charge is exchanged at a
nearly constant rate for $\eta=2$, see middle panel of Fig. 3. However, the
system spends most of the time in a region where no charge is transferred for
$\eta=0$. Finally, using Eq. (36), we compute the relative dynamical phase
considered in Sec. II.1 to first-order in $\sin(2\theta)\sin(\phi/2)$ and in
the limit $x_{0}\gg 1$,
$\displaystyle\theta^{D}$
$\displaystyle=-T\int_{0}^{1}(E_{-}^{+}(s)-E_{-}^{-}(s))\,\differential s,$
$\displaystyle=-\sin(2\theta)\sin(\phi/2)\,\frac{\sqrt{\pi}\,\Gamma(\frac{\eta}{2})}{\Gamma\left(\frac{\eta+1}{2}\right)}\,\frac{Tw}{\Omega_{\eta}}.$
(51)
This equation describes a decreasing undesired dynamical phase when $\eta$
increases. This further motivates the choice $\eta=2$ for the charge-transfer
process.
We conclude this section by outlining the presented framework for finding fast
adiabatic paths while checking adiabatic conditions. The method can be broken
down into the following five steps:
1. 1.
Write down the first-order corrections using APT, Eqs. (27-30).
2. 2.
From the first-order coefficients, choose a relevant functional, Eqs. (34) and
(35), and minimize it.
3. 3.
Extend the family of considered paths by parametrizing the minimizing
differential equation, Eq. (36).
4. 4.
Check the adiabatic conditions, constraining the parameters, Eqs. (37) and
(40-48).
5. 5.
Choose the set of parameters that minimizes the proper dimensionless expansion
parameter, Eq. (49). The path obtained through this procedure, Eq. (50), is
the optimal adiabatic one for the family considered in step 3.
This procedure thus provides an optimal adiabatic path, taking into account
nonadiabatic corrections. The framework is general and may be used to find
fast adiabatic paths in other systems. Future efforts may also expand the
framework to include degenerate quantum systems [55].
In general, higher time-derivatives of the Hamiltonian at $s=0$ and $s=1$ can
lead to additional nonadiabatic contributions not captured by APT. We have not
considered these effects as they appear to play a minor role due to the large
initial and final energy gaps between the ground and excited states. In the
case where these gaps are comparable to other energy scales in the system, the
contributions from the higher time-derivatives of the Hamiltonian can have
some influence in the result. In this case, boundary cancellation techniques
can be used to reduce such contributions [56]. Finally, we would like to
mention the existence of methods exploiting symmetry to improve the error-
scaling [57, 44]. It may further reduce the time scale of the charge-transfer
process.
Figure 5: Sequence visibility, Eq. (13), as a function of the initial detuning
$\phi$ and the coupling strength asymmetry $\cos^{2}\theta$. We compare
numerical simulations of the protocol proposed in Sec. II.2 (top panels) and
APT predictions (bottom panels). We show results with (right panels) and
without the flux echo protocol (left panels).
## III Numerical results
In this section, we test the predictions of APT numerically. We show that the
dimensionless expansion parameter $\Sigma_{\eta}/(Tw)$ describes the adiabatic
condition. We pick an optimal path based on the APT prediction, which
minimizes the operation time scale and the nonadiabatic errors. We simulate
numerically the protocol with and without the flux echo. We find that the echo
protocol substantially extends the parameter space where MBS non-Abelian
properties can be shown using charge-transfer operations.
In the left panel of Fig. 4, we display the probability of transitioning to
the excited state, $\Pi$, as a function of $\eta$ and the inverse operation
time, $(Tw)^{-1}$. We show results after a single charge-transfer operation in
the case where the even and odd parity sectors are degenerate. As expected,
the transition probability to the excited state decreases when the operation
time increases. The white line is a contour of the dimensionless expansion
parameter, $\Sigma_{\eta}/(Tw)=2$. As suggested from APT, the dimensionless
expansion parameter separates well the adiabatic (suppressed $\Pi$ region
below the line) and the nonadiabatic regimes (larger $\Pi$ region above the
line). APT agrees quantitatively with the numerical calculations for $\eta\leq
2$. For $\eta>2$, the contour avoids the regions of nonzero transition
probability in the lower right corner. In this region outside of the APT
regime, the system behavior is non-monotonic, as shown by the local $\Pi$
maxima as a function of the operation time. In Appendix C, we further discuss
the APT prediction at $\eta>2$.
The solid cyan line, given by $\Sigma_{\eta}/(Tw)=0.5$, lies in the adiabatic
region, where charge-transfer operations can be done with high accuracy. In
the right panel of Fig. 4, we show the relative phase between the even and odd
ground states after a single charge transfer operation following the cyan line
in the left panel for $\phi=0.05\pi$. For charge-transfer operations, small
deviations from the ideal conditions can lead to a significant relative
dynamical phase as illustrated by the difference between the dashed (ideal
result) and the solid lines. The agreement between the numerical result and
APT is good, except close to $\eta=0$. This is due to the approximation
$x_{0}\to\infty$ when computing $\theta^{D}$ in Eq. (51).
Combining the results obtained by the numerically simulated charge-transfer
operations, we conclude that $\Sigma_{\eta}/(Tw)\simeq 0.5$ and $\eta=2$ are
the best values, as suggested by APT. As for realistic parameters, we assume
that the induced superconducting gap is $\Delta_{\text{SC}}=0.1$ meV. To avoid
transitioning to the continuum of states, we take
$\varepsilon_{0}=0.5\,\Delta_{\text{SC}}=50\ \mu$eV. Using a value of
$x_{0}=\varepsilon_{0}/(2w)=100$, we get $w=0.25\ \mu$eV and $T\approx 17$ ns.
It is thus possible to perform fast adiabatic charge-transfer operations on
the $\sim 10$ ns scale. The transition probability for these parameters is
$\Pi<10^{-5}$. Using the same parameters, but with a linear sweep ($\eta=0$),
the corresponding time scale is approximately $1\ \mu$s with similar
transition probability. Previous experiments have shown that parity lifetime
in trivial superconducting islands are $\sim 1\ \mu$s [58], illustrating that
it might not be possible to perform accurate operations using a linear sweep.
Using the optimal path found, $\Sigma_{\eta}/(Tw)=0.5$ and $\eta=2$, we
simulate the protocols described in Sec. II.2 to demonstrate MBS non-Abelian
properties. The results are shown in Fig. 5. Here we make color maps of the
sequence visibility $\Lambda$ as a function of $\phi$ and the coupling
asymmetry $\cos^{2}\theta$. As explained around Eq. (13), $\Lambda$ measures
how well the sequences in Eqs. (9-12) can be distinguished by the measured
parity of the M12 fermion. It thus quantifies the confidence of demonstrating
non-Abelian properties. Here, $\Lambda=\pm 1$ means that the parity of M12
fermion can distinguish between the two sets of operations, while the protocol
fails for $\Lambda=0$.
In the top left panel of Fig. 5, we display numerical results for the
visibility for the protocol without the echo. Note that the optimal parameter
values $\theta=\pi/4$ and $\phi=0$ lie at the central yellow sliver with
maximal visibility. The narrow width ($\approx 0.1\pi$) of this high-
visibility region is due to the contribution of the dynamical phase and
illustrates the importance of accurately tuning $\phi$. It appears less
important to tune the coupling asymmetry $\theta$. In Appendix D, we display
the sequence visibility for different $T$ values to show that the width of the
high-visibility regions decreases as $T$ is increased. The top left panel
should be compared to the numerical results for the echo protocol displayed in
the top right panel. Here the central yellow region is significantly extended
due to the cancellation of the dynamical phase, making the experiment rather
insensitive to $\phi$. The outcome is also insensitive to $T$, as shown in
Appendix D. The echo protocol, however, depends on tuning
$\phi\to\phi+\delta\phi$ with $\delta\phi=2\pi$ ideally and is thus robust to
drifts in $\phi$. In Appendix E, we offset the parameter $\delta\phi$ and find
that the echo protocol is robust up to deviation of $\sim 0.2\pi$ in
$\delta\phi$. For completeness, we show the probability to end up in the state
$\ket{0}_{\text{M12}}$ after each sequence in Appendix F.
In the bottom panels of Fig. 5, we display the visibility obtained from APT,
in good agreement with the numerical results shown in the top row panels.
However, there is a discrepancy in the region $\sin(2\theta)\sin(\phi/2)\sim
1$. The disagreement between theory and the numerical results is due to the
closing of the gap between the ground and the excited states as
$w\sqrt{1-\rho\sin(2\theta)\sin(\phi/2)}$, Eq. (3). This results in
transitions and large nonadiabatic errors to the phase in that region.
## IV Conclusions and discussions
In this work, we have proposed a minimal experiment for demonstrating Majorana
non-Abelian properties. The experiment requires three Majorana bound states
(MBSs), the minimal number to measure non-Abelian signatures. Our proposal is
based on charge-transfer operations between a quantum dot and two MBSs.
Another quantum dot is used for the initialization and readout. We also devise
a minimal protocol relying on two sequences of three adiabatic charge-transfer
operations. The final result depends on the order of operations due to
Majorana non-Abelian properties.
We study the robustness of the protocol as a function of the model parameters,
taking into account nonadiabatic effects. To this end, we develop a framework
based on adiabatic perturbation theory (APT) for finding fast adiabatic paths
in nondegenerate quantum systems. This framework describes the optimal
adiabatic energy sweep for the charge-transfer operation. We find that the
experiment is sensitive to the SC phase difference, $\phi$. Small deviations,
$\sim 0.05\pi$ from the degeneracy point ($\phi=0$) lead to a substantial
dynamical phase that can dominate over the non-Abelian signal. To solve this
issue, we propose a flux echo protocol that significantly reduces the
sensitivity on $\phi$. The flux echo relies on increasing the superconducting
phase difference by $2\pi$ between subsequent operations, exploiting the
$4\pi$-periodicity of the topological state. The tolerance on the additional
phase is $\sim 0.2\pi$, while the outcome of the protocol is insensitive to
the operation time and robust to drifts in $\phi$.
Since our proposal relies on parameter space operations rather than real space
braiding, it is relevant to discuss the uniqueness of the MBS signature in the
proposed experiment. A system hosting trivial subgap states may also acquire
geometric and dynamical phases during charge-transfer operations. As a result,
charge-transfer operations might not commute, leading to potentially large
$\Lambda$ values for some parameters. However, the flux echo, exploiting MBSs
$4\pi$-periodicity, leads to a robust non-Abelian signal over a wide range of
parameters. This is in contrast to trivial bound states, which are
$2\pi$-periodic, where large $\Lambda$ values only appear at fine-tuned
situations due to the dynamical phase. Other than trivial states, the
experiment might also suffer from various sources of error that can lead to a
reduction of the non-Abelian signal. First, fluctuations in the
superconducting phase difference will introduce a random phase. However, the
flux echo protocol reduces their effect if the operations are faster than the
timescale of phase fluctuation. Second, the coupling between MBSs will split
the ground state degeneracy introducing a constraint on the upper limit for
the charge-transfer operations. However, as shown in Ref. [10], this effect is
likely not a limiting factor. Additionally, quasiparticle poisoning is
detrimental to the experiment and its timescale should therefore be longer
than that of the experiment. Finally, non-zero temperature and electric
fluctuations in the gates will reduce the non-Abelian signal. In these cases,
the tunnel coupling strength should be larger than the temperature and
electric variations. Also, the optimal path found, minimizing the operation
timescale reduces their impact.
## V Acknowledgments
This research was supported by the Danish National Research Foundation, the
Danish Council for Independent Research $|$ Natural Sciences. This project has
received funding from the European Research Council (ERC) under the European
Union’s Horizon 2020 research and innovation programme under Grant Agreement
No. 856526. We acknowledge support from the Deutsche Forschungsgemeinschaft
(DFG) – project grant 277101999 – within the CRC network TR 183 (subproject
C03). R.S.S. acknowledges funding from QuantERA project 2D hybrid materials as
a platform for topological quantum computing” and from NanoLund.
## Appendix A The geometric phase
There is a technical subtlety when computing the relative geometric phase in
Eq. (5): a single charge-transfer process does not constitute a loop in
parameter space. It makes difficult to determine the acquired geometrical
phase. We instead compare the geometric phases collected by the even and odd
ground states during a charge-transfer process. However, the even and odd
parity ground states live in different Hilbert spaces. Since there is a clear
one-to-one mapping between these two spaces, we treat the ground state vectors
as living in the same Hilbert space.
The gauge choice in Eq. (4) is such that for each parity, there is no
mathematical contribution to the geometric phase when changing
$\varepsilon_{2}:\varepsilon_{0}\to-\varepsilon_{0}$ in time $T$,
$i\int_{0}^{T}\differential t\
(\psi_{-}^{\rho})^{\dagger}\frac{\differential\psi_{-}^{\rho}}{\differential
t}=0,$ (52)
This is easy to see as the ground states have the form
$(\psi_{-}^{\rho})^{\dagger}=\left(e^{i\xi}\cos(\lambda(t)),\sin(\lambda(t))\right)$.
The gauge choice in Eq. (4), however, is different for the two parity sectors
and this gives a relative geometric phase between the even and odd parity
ground states. To compute this relative geometric phase contribution, we
evaluate the phase difference between the ground states using
$\arctan\left[\frac{\imaginary[(\psi_{-}^{+})^{\dagger}\cdot\,\psi_{-}^{-}]}{\real[(\psi_{-}^{+})^{\dagger}\cdot\,\psi_{-}^{-}]}\right]$
and compare the results at initial and final values of the level energy. This
calculation leads to the result in Eq. (5).
The relative geometric phase can also be understood as a proper loop in
parameter space by noticing that the even and odd parity Hamiltonian and
eigenvectors can be transformed into each other by $\theta\to-\theta$. We can
thus compute the relative geometric phase by considering the loop
$\varepsilon_{0}\to-\varepsilon_{0}$, $\theta\to-\theta$,
$-\varepsilon_{0}\to\varepsilon_{0}$, $-\theta\to\theta$. This can be
understood as performing a charge-transfer operation in the even parity state,
inverting $\theta$ to transform it to the odd parity state. We then perform
another operation and invert again the sign of $\theta$ to return to the even
subspace.The geometric phase due to this loop corresponds to the relative
geometric phase acquired between the even and odd parity ground states due to
a single charge-transfer process. There is no contribution to the geometric
phase for large negative level energies as the ground states become
$(\psi_{-}^{\rho})^{\dagger}=(0,-1)$ in this limit. At the other side of the
loop, where the level energy has a large positive value, the ground states are
$(\psi_{-}^{\rho})^{\dagger}=(w^{\rho}/|w^{\rho}|,0)$. Using the gauge in Eq.
(4) no geometrical phase is acquired by the system when varying
$\varepsilon_{2}$. The relative geometric phase is given by
$\theta^{G}=i\int_{-\theta}^{\theta}\differential\theta^{\prime}\
(\psi_{-}^{-})^{\dagger}\frac{\differential\psi_{-}^{-}}{\differential\theta^{\prime}},$
(53)
in the limit of large positive level energies. This approach provides an
alternative picture of how to calculate the geometric phase, but
mathematically it is tedious to carry out. Performing the integration in Eq.
(53) and envoking the identity
$\displaystyle 2\arctan(\tan(x)\cos(y))=$
$\displaystyle\arctan(\frac{\tan{x}}{\cos{y}}-\frac{\tan{y}}{\cos{x}})$
$\displaystyle+$
$\displaystyle\arctan(\frac{\tan{x}}{\cos{y}}+\frac{\tan{y}}{\cos{x}}),$ (54)
we arrive at Eq. (5).
## Appendix B Solution in terms of the Gaussian hypergeometric function
For a symmetric charge-transfer following,
$\dot{x}_{\text{opt}}(s)=\pm\Omega_{\eta}\left[x_{\text{opt}}(s)^{2}+1\right]^{\eta/2},$
(55)
the solution is
$\pm\Omega_{\eta}(s-1/2)=x_{\text{opt}}(s)\,_{2}F_{1}\left(\frac{1}{2},\frac{\eta}{2};\frac{3}{2};-x_{\text{opt}}(s)^{2}\right),$
(56)
where the Gaussian hypergeometric function is defined by
$_{2}F_{1}(a,b;c;z)=\frac{\Gamma(c)}{\Gamma(a)\Gamma(b)}\sum_{n}^{\infty}\frac{\Gamma(a+n)\Gamma(b+n)}{\Gamma(c+n)n!}z^{n},\
|z|<1.$ (57)
The initial and final conditions determine $\Omega_{\eta}$,
$\Omega_{\eta}=2x_{0}\,{}_{2}F_{1}\left(\frac{1}{2},\frac{\eta}{2};\frac{3}{2};-x_{0}^{2}\right).$
(58)
To get the approximation for large $x_{0}$ in Eq. (37), we use the
transformation rule
${}_{2}F_{1}(a,b;c;z)=$ (59)
$\displaystyle\frac{\Gamma(c)\Gamma(b-a)}{\Gamma(b)\Gamma(c-a)}(-z)^{-a}\,_{2}F_{1}(a,a-c+1;a-b+1;1/z)$
(60) $\displaystyle+(a\leftrightarrow b),\qquad\text{for}\ |\arg(-z)|<\pi.$
(61)
## Appendix C Deriving adiabatic conditions
In this section, we derive the adiabatic conditions, Eqs. (43-46) in the main
text, starting from Eqs. (40-42). We omit in the following the time variable
for simplicity.
In Eq. (42), we split the left hand side term into contributions from $n=m$
and $n\neq m$,
$\sum_{n}\sum_{m}\frac{|b^{(p+1)}_{nm}|}{T^{p+1}}=\sum_{n}\left(\sum_{m\neq
n}\frac{|b^{(p+1)}_{nm}|}{T^{p+1}}+\frac{|b^{(p+1)}_{nn}|}{T^{p+1}}\right).$
(62)
The condition (42) is satisfied if each term is individually smaller than its
right hand side,
$\displaystyle\sum_{n}\sum_{m\neq
n}\frac{|b^{(p+1)}_{nm}|}{T^{p+1}}\ll\sum_{n}\sum_{m}\frac{|b^{(p)}_{nm}|}{T^{p}},$
(63)
$\displaystyle\sum_{n}\frac{|b^{(p+1)}_{nn}|}{T^{p+1}}\ll\sum_{n}\sum_{m}\frac{|b^{(p)}_{nm}|}{T^{p}}.$
(64)
We study these two cases separately. We begin with the $n\neq m$ case,
substituting Eq. (40) in Eq. (63)
$\displaystyle\sum_{n}\sum_{m\neq n}\frac{|b^{(p+1)}_{nm}|}{T^{p+1}}$
$\displaystyle=\sum_{n}\sum_{m\neq
n}\left|\frac{i}{T\Delta_{nm}}\frac{\differential}{\differential
s}\frac{b_{nm}^{(p)}}{T^{p}}+\sum_{k\neq
n}\frac{iM_{nk}}{T\Delta_{nm}}\frac{b_{km}^{(p)}}{T^{p}}\right|,$ (65)
$\displaystyle\leq\sum_{n}\sum_{m\neq
n}\left(\frac{1}{T|\Delta_{nm}|}\left|\frac{\differential}{\differential
s}\frac{b_{nm}^{(p)}}{T^{p}}\right|+\sum_{k\neq
n}\frac{|M_{nk}|}{T|\Delta_{nm}|}\frac{|b_{km}^{(p)}|}{T^{p}}\right).$ (66)
Again, the condition (42) is satisfied if each term fulfills
$\displaystyle\sum_{n}\sum_{m\neq
n}\frac{1}{T|\Delta_{nm}|}\left|\frac{\differential}{\differential
s}\frac{b_{nm}^{(p)}}{T^{p}}\right|\ll\sum_{n}\sum_{m}\frac{|b^{(p)}_{nm}|}{T^{p}},$
(67) $\displaystyle\sum_{n}\sum_{m}\left(\sum_{k\neq
n,m}\frac{|M_{nk}|}{T|\Delta_{mk}|}\right)\frac{|b_{nm}^{(p)}|}{T^{p}}\ll\sum_{n}\sum_{m}\frac{|b^{(p)}_{nm}|}{T^{p}},$
(68)
where we have relabelled the sums. Similarly, by substituting Eq. (41) to the
left hand side of Eq. (64) and considering each term separately, we get
$\displaystyle\sum_{n}\sum_{m\neq
n}\int_{0}^{s}\frac{|M_{nm}|}{T|\Delta_{nm}|}\left|\frac{\differential}{\differential
s^{\prime}}b_{nm}^{(p)}\right|\,\differential s^{\prime}$
$\displaystyle\ll\sum_{n}\sum_{m}\frac{|b^{(p)}_{nm}|}{T^{p}},$ (69)
$\displaystyle\sum_{n}\sum_{m}\int_{0}^{s}\left|\sum_{k\neq
n,m}\frac{M_{mk}M_{kn}}{T\Delta_{mk}}\right|\frac{|b_{nm}^{(p)}|}{T^{p}}\,\differential
s^{\prime}$ $\displaystyle\ll\sum_{n}\sum_{m}\frac{|b^{(p)}_{nm}|}{T^{p}},$
(70) $\displaystyle\sum_{n}\sum_{m\neq n}\frac{|b_{nm}^{(p+1)}(0)|}{T^{p+1}}$
$\displaystyle\ll\sum_{n}\sum_{m}\frac{|b^{(p)}_{nm}|}{T^{p}}.$ (71)
Note that the last of these conditions is included in Eq. (63).
We first focus on Eqs. (68) and (70), which are the simplest inequalities.
They are satisfied for
$\displaystyle\sum_{k\neq n,m}\frac{|M_{nk}|}{T|\Delta_{mk}|}$
$\displaystyle\ll 1,$ (72) $\displaystyle\int_{0}^{s}\left|\sum_{k\neq
n,m}\frac{M_{mk}M_{kn}}{T\Delta_{mk}}\right|\,\differential s^{\prime}$
$\displaystyle\ll 1.$ (73)
For a two level system as the one considered in Sec. II.4, Eqs. (72) and (73)
results in the conditions in Eqs. (44) and (46).
Figure 6: Sequence visibility $\Lambda$ obtained from numerical simulation
with $x_{0}=100$ and $\eta=2$. The dimensionless expansion parameter is varied
from top to bottom: $\Sigma_{\eta}/(Tw)=0.25,0.5,1$.
To continue with Eqs. (67) and (69), we need to understand how $\differential
b_{nm}^{(p)}/\differential s$ relates to $b_{nm}^{(p)}$ for $n\neq m$. For
that, we restrict ourselves to the example of a two level system, Eq. (2). In
the following, we make an argument based on induction for the approximation
$\left|\frac{\differential}{\differential
s}b_{nm}^{(p)}\right|\sim\Omega_{\eta}(x^{2}+1)^{\frac{\eta-1}{2}}\,|b_{nm}^{(p)}|\qquad
n\neq m.$ (74)
The argument relies on the basic observation that all operators $\Delta_{10}$,
$M_{10}$ and $\differential/\differential
s=\dot{x}\,(\partial\sqrt{x^{2}+1}/\partial
x)\,\partial/\partial\sqrt{x^{2}+1}$, used to compute the coefficients
$b_{nm}^{(p)}$, are polynomial in $\sqrt{x^{2}+1}$ with rational exponents,
see Eqs. (31), (32) and (36). We begin the argument by checking that Eq. (74)
holds for the first-order coefficients found in Sec. II.3. Taking the
derivative of the only $n\neq m$, non-constant, first-order coefficient, we
get
$\left|\frac{\differential}{\differential
s}b_{10}^{(1)}\right|=\Omega_{\eta}(x^{2}+1)^{\eta/2}\left|\frac{\partial\sqrt{x^{2}+1}}{\partial
x}\right|\left|\frac{\partial(M_{10}/\Delta_{10})}{\partial\sqrt{x^{2}+1}}\right|.$
(75)
Since $\Delta_{10}$ and $M_{10}$ are polynomials in $\sqrt{x^{2}+1}$, we make
the assertion
$\displaystyle\left|\frac{\partial(M_{10}/\Delta_{10})}{\partial\sqrt{x^{2}+1}}\right|$
$\displaystyle=|3-\eta|\left|\frac{M_{10}/\Delta_{10}}{\sqrt{x^{2}+1}}\right|$
(76)
$\displaystyle\sim\left|\frac{M_{10}/\Delta_{10}}{\sqrt{x^{2}+1}}\right|=\frac{|b_{10}^{(1)}|}{\sqrt{x^{2}+1}}.$
(77)
Combining this with Eq. (75) and dropping $|\partial\sqrt{x^{2}+1}/\partial
x|$ as it is unimportant, we conclude that $b_{10}^{(1)}$ fulfills Eq. (74).
To complete the induction, we show that if the coefficients of order $p$
fulfill Eq. (74), then also the $p+1$ order coefficients should fulfill Eq.
(74). We rewrite Eq. (40) using the hypothesis in Eq. (74),
$\displaystyle b_{nm}^{(p+1)}$
$\displaystyle\sim\frac{i\Omega_{\eta}(x^{2}+1)^{\frac{\eta-1}{2}}}{\Delta_{nm}}b_{nm}^{(p)}(s)$
$\displaystyle+\sum_{k\neq
n,m}\frac{iM_{nk}(s)}{\Delta_{nm}(s)}b_{km}^{(p)}+\frac{iM_{nm}(s)}{\Delta_{nm}(s)}b_{mm}^{(p)}$
(78)
This equation consists of polynomials in $\sqrt{x^{2}+1}$ and $n\neq m$
coefficients of order $p$, which by the hypothesis fulfills Eq. (74).
Therefore also the coefficients of order $p+1$ obeys Eq. (74). The only
exception in Eq. (78) is the last term with the $n=m$ coefficient. However,
for large $|x|$, this coefficient is almost constant as the tails of the
integrals are very close to zero and it is unimportant. For $|x|\sim 1$, all
of the $p$-order coefficients are of the same magnitude,
$(\Omega_{\eta}/w)^{p}$, and thus the coefficient of order $p+1$ still
fulfills Eq. (74). This completes the argument.
A heuristic argument that leads to the same scaling behavior for large $x$ is
that whatever $\differential/\differential
s=\dot{x}\,\differential/\differential x$ acts on, gets multiplied by
$\dot{x}$ while a power of $x$ gets subtracted from the differentiation
$\differential/\differential x$.
Figure 7: Sequence visibility $\Lambda$ obtained from numerical simulation
with parameters $x_{0}=100,\Sigma_{\eta}/(Tw)=0.5$ and $\eta=2$ for the echo
protocol. The additional phase $\delta\phi$ used is varied from top left to
bottom right with increasing offset from the ideal point:
$\delta\phi=2\pi,1.05\cdot(2\pi),1.1\cdot(2\pi),1.15\cdot(2\pi)$.
Figure 8: The probability of finding the state $\ket{0}_{\text{M12}}$ after
each sequence. Numerical results with parameters
$\eta=2,\Sigma_{\eta}/(Tw)=0.5$ and $x_{0}=100$.
We may now use Eq. (74) to rewrite Eqs. (67) and (69) and extract the
corresponding adiabatic conditions,
$\displaystyle\frac{\Omega_{\eta}(x^{2}+1)^{\frac{\eta-1}{2}}}{T|\Delta_{nm}|}$
$\displaystyle\ll 1\qquad n\neq m,$ (79)
$\displaystyle\int_{0}^{s}\Omega_{\eta}(x^{2}+1)^{\frac{\eta-1}{2}}\frac{|M_{nm}|}{T|\Delta_{nm}|}\,\differential
s^{\prime}$ $\displaystyle\ll 1\qquad n\neq m.$ (80)
That is, if Eqs. (79) and (80) are satisfied, then also Eqs. (67) and (69) are
satisfied. By inserting $\Delta_{10}$ and $M_{10}$ we arrive at the conditions
in Eqs. (43) and (45).
As a final remark, we discuss the APT prediction for $\eta>2$. The conditions
in Eqs. (43) and (79), that gives the APT prediction for $\eta>2$, rely on Eq.
(74) whose proof is somewhat heuristic. The APT prediction for $\eta>2$ is
therefore approximated but still required to achieve adiabaticity as shown in
Fig. 4. The conditions in Eqs. (43) and (79) are important to ensure that
higher-order contributions in the adiabatic expansion do not grow with the
order. These conditions do not appear in the first-order coefficients. It may
therefore be possible to relax the requirement in Eq. (42), replacing the
$\ll$ with $<$, while still requiring that the first-order coefficients are
small. Convergence of the adiabatic expansion in Eq. (17) is then ensured by
the geometric series. This would relax the condition for adiabaticity in the
region $2<\eta\leq 3$ from $\Omega_{\eta}/(Tw)\ll x_{0}^{\eta-2}$ to
$\Omega_{\eta}/(Tw)<x_{0}^{\eta-2}$. The other conditions in Eqs. (44, 46)
would still be in effect.
## Appendix D Sequence visibility at different time scales
In Fig. 6, we display the sequence visibility from numerical simulation for
different values of the dimensionless expansion parameter. We show results for
decreasing $T$ values from top to bottom. The panels in the left column show
the protocol without the flux echo. Since this protocol is sensitive to the
dynamical phase, we observe an increased number of fringes in the top left
panel where the operation time is slower. In the bottom left panel, we see
fewer fringes but also distortions due to nonadiabatic errors. In the right
column, we show results for the flux echo protocol that cancels out the
contribution from the dynamical phase. For this reason, we only see the
contribution from the geometric phase which is insensitive to the time of
operation as long as it is adiabatic.
The number of fringes $\nu$ in the left column panels can be theoretically
estimated. For symmetric couplings, $\theta=\pi/4$, the sequence visibility
simply becomes
$\displaystyle\Lambda$ $\displaystyle=\cos(2\,\theta^{D})$ (81)
$\displaystyle=\cos(\frac{2\sqrt{\pi}\Gamma(\frac{\eta}{2})}{\Gamma(\frac{\eta+1}{2})}\frac{Tw}{\Omega_{\eta}}\sin(\phi/2)).$
(82)
The number of fringes can then be counted by the number of times $\Lambda$ is
$\pm 1$. In the region $-\pi<\phi<\pi$, the number of fringes is well-
approximated by
$\nu=2\left\lfloor\frac{2\,\Gamma(\frac{\eta}{2})}{\sqrt{\pi}\Gamma(\frac{\eta+1}{2})}\frac{Tw}{\Omega_{\eta}}\right\rfloor+1,$
(83)
for the optimal path found in this paper. Here, $\lfloor\cdot\rfloor$ is the
floor function. In agreement with the left column in Fig. 6, Eq. (83) predicts
$11$, $5$ and $3$ fringes for the top, middle and bottom panels.
## Appendix E Robustness of flux echo
In Fig. 7, we display the sequence visibility $\Lambda$ for the echo protocol
at different values of the additional SC phase $\phi\to\phi+\delta\phi$. In
the top left panel, we show the ideal situation of $\delta\phi=2\pi$. In top
right and bottom panels we tune slightly away from the optimal point
($\delta\phi=2\pi$) by $5\%$, $10\%$ and $15\%$. A $5\%$ offset, as shown in
the top right panel, still results in a large region in parameter space with
good visibility. At a $10\%$ offset, as shown in the bottom left panel, the
region size and visibility is slightly reduced and shifted to nonzero coupling
asymmetry. However, even for $10\%$ error in $\delta\phi$, a high visibility
can be reached by tuning $\theta$, which gives the ratio between $w_{3}$ and
$w_{4}$. At $15\%$ offset, as shown in the bottom right panel, the dynamical
phase plays a significant role and reduces the visibility.
## Appendix F Measurement signature for each sequence
In Fig. 8, we resolve the sequence visibility into the specific probabilities
after each sequence. We display the probability $\kappa$ to end up in the
$\ket{0}_{\text{M12}}$ state. In the top panels, we show $\kappa$ for
sequences A and B. Besides weak nonadiabatic corrections, sequence A only gets
contributions from the dynamical phase and sequence B gets contributions from
both geometric and dynamical phases. For sequences A’ and B’, where the flux
echo is in effect, there is no contribution from the dynamical phase. In this
case, only sequence A’ gets a contribution from the geometric phase, this is
the reason why $\kappa$ remains zero after sequence B’.
## References
* Nayak _et al._ [2008] C. Nayak, S. H. Simon, A. Stern, M. Freedman, and S. Das Sarma, Rev. Mod. Phys. 80, 1083 (2008).
* Leijnse and Flensberg [2012] M. Leijnse and K. Flensberg, Semicond. Sci. Technol. 27, 124003 (2012).
* Aguado [2017] R. Aguado, La Rivista del Nuovo Cimento 40, 523 (2017).
* Lutchyn _et al._ [2018] R. M. Lutchyn, E. P. A. M. Bakkers, L. P. Kouwenhoven, P. Krogstrup, C. M. Marcus, and Y. Oreg, Nature Review Materials 3, 52 (2018).
* Beenakker [2020] C. W. J. Beenakker, SciPost Phys. Lect. Notes , 15 (2020).
* Fu and Kane [2008] L. Fu and C. L. Kane, Phys. Rev. Lett. 100, 096407 (2008).
* Lutchyn _et al._ [2010] R. M. Lutchyn, J. D. Sau, and S. Das Sarma, Phys. Rev. Lett. 105, 077001 (2010).
* Oreg _et al._ [2010] Y. Oreg, G. Refael, and F. von Oppen, Phys. Rev. Lett. 105, 177002 (2010).
* Flensberg [2011] K. Flensberg, Phys. Rev. Lett. 106, 090503 (2011).
* Seoane Souto _et al._ [2020] R. Seoane Souto, K. Flensberg, and M. Leijnse, Phys. Rev. B 101, 081407(R) (2020).
* Ivanov [2001] D. A. Ivanov, Phys. Rev. Lett. 86, 268 (2001).
* Alicea _et al._ [2011] J. Alicea, Y. Oreg, G. Refael, F. von Oppen, and M. P. A. Fisher, Nat. Phys. 7, 412 (2011).
* Flensberg _et al._ [2021] K. Flensberg, F. von Oppen, and A. Stern, Nature Reviews Materials 6, 944 (2021).
* Mourik _et al._ [2012] V. Mourik, K. Zuo, S. M. Frolov, S. R. Plissard, E. P. A. M. Bakkers, and L. P. Kouwenhoven, Science 336, 1003 (2012).
* Deng _et al._ [2016a] M. T. Deng, S. Vaitiek, E. B. Hansen, J. Danon, M. Leijnse, K. Flensberg, P. Krogstrup, and C. M. Marcus, Science 354, 1557 (2016a).
* Nichele _et al._ [2017] F. Nichele, A. C. C. Drachmann, A. M. Whiticar, E. C. T. O’Farrell, H. J. Suominen, A. Fornieri, T. Wang, G. C. Gardner, C. Thomas, A. T. Hatke, P. Krogstrup, M. J. Manfra, K. Flensberg, and C. M. Marcus, Phys. Rev. Lett. 119, 136803 (2017).
* Whiticar _et al._ [2020] A. Whiticar, A. Fornieri, E. O’Farrell, A. Drachmann, T. Wang, C. Thomas, S. Gronin, R. Kallaher, G. Gardner, M. Manfra, C. Marcus, and F. Nichele, Nature Communications 11, 3212 (2020).
* Albrecht _et al._ [2016] S. M. Albrecht, A. P. Higginbotham, M. Madsen, F. Kuemmeth, T. S. Jespersen, J. Nygård, P. Krogstrup, and C. M. Marcus, Nature 531, 206 (2016).
* Vaitiekėnas _et al._ [2020] S. Vaitiekėnas, G. W. Winkler, B. van Heck, T. Karzig, M. T. Deng, K. Flensberg, L. I. Glazman, C. Nayak, P. Krogstrup, R. M. Lutchyn, and C. M. Marcus, Science 367, 1442 (2020).
* Deng _et al._ [2018] M. T. Deng, S. Vaitiekenas, E. Prada, P. San-Jose, J. Nygård, P. Krogstrup, R. Aguado, and C. M. Marcus, Phys. Rev. B 98, 085125 (2018).
* Harper _et al._ [2019] F. Harper, A. Pushp, and R. Roy, Phys. Rev. Research 1, 033207 (2019).
* Munk _et al._ [2020] M. I. K. Munk, J. Schulenborg, R. Egger, and K. Flensberg, Phys. Rev. Research 2, 033254 (2020).
* Steiner and von Oppen [2020] J. F. Steiner and F. von Oppen, Phys. Rev. Research 2, 033255 (2020).
* Smith _et al._ [2020] T. B. Smith, M. C. Cassidy, D. J. Reilly, S. D. Bartlett, and A. L. Grimsmo, PRX Quantum 1, 020313 (2020).
* Széchenyi and Pályi [2020] G. Széchenyi and A. Pályi, Phys. Rev. B 101, 235441 (2020).
* Khindanov _et al._ [2021] A. Khindanov, D. Pikulin, and T. Karzig, SciPost Phys. 10, 127 (2021).
* Schulenborg _et al._ [2021] J. Schulenborg, M. Burrello, M. Leijnse, and K. Flensberg, Phys. Rev. B 103, 245407 (2021).
* Rigolin _et al._ [2008] G. Rigolin, G. Ortiz, and V. H. Ponce, Phys. Rev. A 78, 052508 (2008).
* Roland and Cerf [2002] J. Roland and N. J. Cerf, Phys. Rev. A 65, 042308 (2002).
* Schaller _et al._ [2006] G. Schaller, S. Mostame, and R. Schützhold, Phys. Rev. A 73, 062307 (2006).
* Rezakhani _et al._ [2009] A. T. Rezakhani, W.-J. Kuo, A. Hamma, D. A. Lidar, and P. Zanardi, Phys. Rev. Lett. 103, 080502 (2009).
* Martinis and Geller [2014] J. M. Martinis and M. R. Geller, Phys. Rev. A 90, 022307 (2014).
* Cheng _et al._ [2011] M. Cheng, V. Galitski, and S. Das Sarma, Phys. Rev. B 84, 104529 (2011).
* Scheurer and Shnirman [2013] M. S. Scheurer and A. Shnirman, Phys. Rev. B 88, 064515 (2013).
* Karzig _et al._ [2013] T. Karzig, G. Refael, and F. von Oppen, Phys. Rev. X 3, 041017 (2013).
* Karzig _et al._ [2015a] T. Karzig, A. Rahmani, F. von Oppen, and G. Refael, Phys. Rev. B 91, 201404 (2015a).
* Karzig _et al._ [2015b] T. Karzig, F. Pientka, G. Refael, and F. von Oppen, Phys. Rev. B 91, 201102 (2015b).
* Knapp _et al._ [2016] C. Knapp, M. Zaletel, D. E. Liu, M. Cheng, P. Bonderson, and C. Nayak, Phys. Rev. X 6, 041003 (2016).
* Rahmani _et al._ [2017] A. Rahmani, B. Seradjeh, and M. Franz, Phys. Rev. B 96, 075158 (2017).
* Sekania _et al._ [2017] M. Sekania, S. Plugge, M. Greiter, R. Thomale, and P. Schmitteckert, Phys. Rev. B 96, 094307 (2017).
* Ritland and Rahmani [2018] K. Ritland and A. Rahmani, New Journal of Physics 20 (2018).
* Nag and Sau [2019] A. Nag and J. D. Sau, Phys. Rev. B 100, 014511 (2019).
* Zhang _et al._ [2019] Z.-T. Zhang, F. Mei, X.-G. Meng, B.-L. Liang, and Z.-S. Yang, Phys. Rev. A 100, 012324 (2019).
* Posske _et al._ [2020] T. Posske, C.-K. Chiu, and M. Thorwart, Phys. Rev. Research 2, 023205 (2020).
* Breckwoldt _et al._ [2022] N. Breckwoldt, T. Posske, and M. Thorwart, New Journal of Physics 24, 013033 (2022).
* Jones _et al._ [2000] J. A. Jones, V. Vedral, A. Ekert, and G. Castagnoli, Nature 403, 869 (2000).
* Karzig _et al._ [2016] T. Karzig, Y. Oreg, G. Refael, and M. H. Freedman, Phys. Rev. X 6, 031019 (2016).
* Liu _et al._ [2021] J. Liu, W. Chen, M. Gong, Y. Wu, and X. Xie, Science China Physics, Mechanics & Astronomy 64, 117811 (2021).
* Marzlin and Sanders [2004] K.-P. Marzlin and B. C. Sanders, Phys. Rev. Lett. 93, 160408 (2004).
* De Grandi _et al._ [2010] C. De Grandi, V. Gritsev, and A. Polkovnikov, Phys. Rev. B 81, 012303 (2010).
* Liu _et al._ [2013] C.-W. Liu, A. Polkovnikov, and A. W. Sandvik, Phys. Rev. B 87, 174302 (2013).
* Weinberg _et al._ [2017] P. Weinberg, M. Bukov, L. D’Alessio, A. Polkovnikov, S. Vajna, and M. Kolodrubetz, Physics Reports 688, 1 (2017), adiabatic Perturbation Theory and Geometry of Periodically-Driven Systems.
* Rodriguez-Vega _et al._ [2021] M. Rodriguez-Vega, M. Vogl, and G. A. Fiete, Annals of Physics 435, 168434 (2021).
* Deng _et al._ [2016b] C. Deng, F. Shen, S. Ashhab, and A. Lupascu, Phys. Rev. A 94, 032323 (2016b).
* Rigolin and Ortiz [2010] G. Rigolin and G. Ortiz, Phys. Rev. Lett. 104, 170406 (2010).
* Passos _et al._ [2020] M. Passos, M. Taddei, and R. de Matos Filho, Annals of Physics 418, 168172 (2020).
* Wiebe and Babcock [2012] N. Wiebe and N. S. Babcock, New Journal of Physics 14, 013024 (2012).
* Albrecht _et al._ [2017] S. M. Albrecht, E. B. Hansen, A. P. Higginbotham, F. Kuemmeth, T. S. Jespersen, J. Nygård, P. Krogstrup, J. Danon, K. Flensberg, and C. M. Marcus, Phys. Rev. Lett. 118, 137701 (2017).
| arxiv-papers | 2021-07-25T15:55:12 | 2024-09-04T03:07:16.966741 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Svend Kr{\\o}jer, Rub\\'en Seoane Souto and Karsten Flensberg",
"submitter": "Svend Kr{\\o}jer M{\\o}ller",
"url": "https://arxiv.org/abs/2107.11833"
} |
2107.11834 | # Independence, infinite dimension, and operators
Nizar El Idrissi and Samir Kabbaj
###### Abstract
In [Appl. Comput. Harmon. Anal., 46(3):664–673, 2019] O. Christensen and M.
Hasannasab observed that assuming the existence of an operator $T$ sending
$e_{n}$ to $e_{n+1}$ for all $n\in\mathbb{N}$ (where
$(e_{n})_{n\in\mathbb{N}}$ is a sequence of vectors) guarantees that
$(e_{n})_{n\in\mathbb{N}}$ is linearly independent if and only if
$\dim\\{e_{n}\\}_{n\in\mathbb{N}}=\infty$. In this article, we recover this
result as a particular case of a general order-theory-based model-theoretic
result. We then return to the context of vector spaces to show that, if we
want to use a condition like $T(e_{i})=e_{\phi(i)}$ for all $i\in I$ where $I$
is countable as a replacement of the previous one, the conclusion will only
stay true if $\phi:I\to I$ is conjugate to the successor function
$succ:n\mapsto n+1$ defined on $\mathbb{N}$. We finally prove a tentative
generalization of the result, where we replace the condition
$T(e_{i})=e_{\phi(i)}$ for all $i\in I$ where $\phi$ is conjugate to the
successor function with a more sophisticated one, and to which we have not
managed to find a new application yet.
00footnotetext: 2020 _Mathematics Subject Classification._ 15A03; 15A04;
06A12; 03C07.00footnotetext: _Key words and phrases._ vector space, operator,
linear independence, dimension, ordered structures, $\sigma$-structure.
###### Contents
1. 1 Introduction
2. 2 Notations
3. 3 Lemmas in order theory
4. 4 Application to model theory
5. 5 The only possible countable extensions
6. 6 A tentative generalization
## 1 Introduction
Linear algebra is an entrenched subject of mathematics that started with the
introduction of coordinates in geometry by René Descartes. Its modern theory
emerged in the late nineteenth century after Peano gave the definition of a
vector space. This theory makes heavy use ot the concepts of linear
independence and dimension, which often allow to state important theorems and
conjectures.
Generally, linear independence of an infinite sequence implies that it spans
an infinite-dimensional space, but not the opposite. As a result, it is
interesting to consider the conditions of a reverse statement. Such reverse
statements may allow to solve standing problems on linear independence.
In [1], O. Christensen and M. Hasannasab observed that assuming the existence
of an operator $T$ sending $e_{n}$ to $e_{n+1}$ for all $n\in\mathbb{N}$
(where $(e_{n})_{n\in\mathbb{N}}$ is a sequence of vectors) guarantees that
$(e_{n})_{n\in\mathbb{N}}$ is linearly independent if and only if
$\dim\\{e_{n}\\}_{n\in\mathbb{N}}=\infty$. To wit:
###### Proposition 1.1.
(O. Christensen and M. Hasannasab)
Let $E$ be a vector space and $(e_{n})_{n\in\mathbb{N}}$ a family in $E$
indexed by $\mathbb{N}$. Then
$\left(\exists T\in
L(\operatorname{span}\\{e_{n}\\}_{n\in\mathbb{N}},E):\forall
n\in\mathbb{N}:T(e_{n})=e_{n+1}\right)\text{ and
}\dim\operatorname{span}\\{e_{n}\\}_{n\in\mathbb{N}}=+\infty]\Rightarrow$
$\quad(e_{n})_{n\in\mathbb{N}}\text{ is free.}$
In this article, we prove some additional results related to proposition 1.1.
First, we recover proposition 1.1 as a particular case of a general order-
theory-based model-theoretic result. We then return to the context of vector
spaces to show that, if we want to use a condition like $T(e_{i})=e_{\phi(i)}$
for all $i\in I$ where $I$ is countable as a replacement of the previous one,
the conclusion will only stay true if $\phi:I\to I$ is conjugate to the
successor function $succ:n\mapsto n+1$ defined on $\mathbb{N}$. We finally
prove a tentative generalization of the result, where we replace the condition
$T(e_{i})=e_{\phi(i)}$ for all $i\in I$ where $\phi$ is conjugate to the
successor function with a more sophisticated one, and to which we have not
managed to find a new application yet.
Plan of the article. We dedicate section 2 to the notations. We then prove in
section 3 some order-theoretic lemmas. These lemmas will allow us to prove in
the next section 4 a model-theoretic result and recover proposition 1.1 as a
particular case. We then return in section 5 to the context of vector spaces
and show that proposition 1.1 can at most be generalized in the countable case
to families $(e_{i})_{i\in I}$ indexed by a countable set $I$ and maps
$\phi:I\to I$ that are conjugate to the successor function $succ:n\mapsto n+1$
defined on $\mathbb{N}$, at least if we want to preserve a condition like
$T(e_{i})=e_{\phi(i)}$ for all $i\in I$. We finally prove in section 6 a
tentative generalization of the result, where we replace the condition
$T(e_{i})=e_{\phi(i)}$ for all $i\in I$ where $\phi$ is conjugate to the
successor function with a more sophisticated one.
## 2 Notations
In the sequel, $\mathbb{N}$ denotes the set $\\{0,1,2,\cdots\\}$ of natural
numbers including 0. $\mathbb{N}^{*}$ denotes $\mathbb{N}\setminus\\{0\\}$.
If $A$ is a set, we denote by $|A|$ the cardinality of $A$, $\mathcal{P}(A)$
the powerset of $A$, $\mathcal{P}_{\omega}(A)$ the set $\\{B\subseteq
A:|B|<\infty\\}$, and $\mathcal{P}_{\omega,*}(A)$ the set $\\{B\subseteq
A:0<|B|<\infty\\}$.
If $A$ is a set, $\phi:A\to A$ a self map and $n\in\mathbb{N}^{*}$, we denote
by $\phi^{n}$ the composition of $\phi$ with itself $n$ times :
$\phi\circ\cdots\circ\phi:A\to A$. In addition, we define $\phi^{0}$ to be the
identity function on $A$. Moreover, if $a\in A$, we denote by $Orb_{\phi}(a)$
the forward orbit of $a$ under the iterates of $\phi$ :
$\\{\phi^{n}(a):n\in\mathbb{N}\\}$.
If $E$ and $F$ are two vector spaces, $L(E,F)$ denotes the set of linear
operators from $E$ to $F$. When $E=F$, we simply write $L(E)$. If $E$ is a
vector space, we denote by $L(*,E)$ the class $\\{T\in L(E^{*},E):E^{*}\text{
is a vector space}\\}$.
## 3 Lemmas in order theory
###### Definition 3.1.
Consider some set $P$ and a binary relation $\leq$ on $P$. Then $\leq$ is a
preorder if it is reflexive and transitive; i.e., for all $a$, $b$ and $c$ in
$P$, we have that:
* •
$a\leq a$ (reflexivity)
* •
if $a\leq b$ and $b\leq c$ then $a\leq c$ (transitivity)
A set that is equipped with a preorder is called a preordered set.
###### Definition 3.2.
Consider a preordered set $(P,\leq)$ and a map $p:P\to P$. Then $p$ is called
a projection if for all $a$ and $b$ in $P$, we have that:
* •
$a\leq b$ implies $p(a)\leq p(b)$ (p is monotone/increasing/order-
preserving/isotone)
* •
$p(p(a))=p(a)$ (idempotence)
###### Lemma 3.1.
Let $(P,\leq)$ be a preordered set, $(a_{n})_{n\in\mathbb{N}}$ a sequence in
$P$, $b\in P$ and $p$ a projection on $P$.
Suppose there exists an increasing map $f:P\to P$ such that $f(p(b))\leq
p(f(b))$, $\forall n\in\mathbb{N}:a_{n+1}\leq f(a_{n})$, and $f(b)\leq a_{0}$.
Then: $(a_{0}\leq p(b))\Rightarrow(\forall n\in\mathbb{N}:a_{n}\leq p(b))$.
###### Proof.
Indeed, suppose that $a_{0}\leq p(b)$. Let’s show by induction that $\forall
n\in\mathbb{N}:a_{n}\leq p(b)$. The base case is the hypothesis $a_{0}\leq
p(b)$. Suppose we have that $a_{n}\leq p(b)$ for some $n\in\mathbb{N}$. Then
$a_{n+1}\leq f(a_{n})\leq f(p(b))\leq p(f(b))\leq p(a_{0})\leq p(p(b))=p(b)$.
Hence $a_{n}\leq p(b)$ holds for all $n\in\mathbb{N}$ and the lemma is proved.
∎
###### Definition 3.3.
Consider some set $P$ and a binary relation $\leq$ on $P$. Then $\leq$ is a
partial order if it is a preorder and for all $a$ and $b$ in $P$, we have
$a\leq b\leq a$ implies $a=b$ (antisymmetry).
A set that is equipped with a partial order is called a partially ordered set
or poset.
###### Definition 3.4.
A set $S$ partially ordered by the binary relation $\leq$ is a join-
semilattice if for all elements $x$ and $y$ of $S$, the smallest upper bound
of the set $\\{x,y\\}$ exists in $S$.
The smallest upper bound of the set $\\{x,y\\}$ is called the join of $x$ and
$y$, denoted $x\vee y$.
###### Lemma 3.2.
Let $(S,\leq,\vee)$ be a join-semilattice, $p$ a projection on $S$,
$(a_{m,n})_{(m,n)\in\mathbb{N}^{2}}$ a double sequence in $S$,
$(b_{m})_{m\in\mathbb{N}}$ an increasing sequence in $S$ such that $\forall
m\in\mathbb{N}:a_{m,0}\leq p(b_{m+1})$.
Suppose there exists $m_{\bullet}\in\mathbb{N}$ and an increasing map $f:S\to
S$ such that $f(p(b_{m_{\bullet}}))\leq p(f(b_{m_{\bullet}}))$,
$\forall(m,n)\in\mathbb{N}^{2}:a_{m,n+1}\leq f(a_{m,n})$, and
$f(b_{m_{\bullet}})\leq\bigvee_{i=0}^{m_{\bullet}}a_{i,0}$.
Then: $(a_{m_{\bullet},0}\leq p(b_{m_{\bullet}})\Rightarrow(\forall
n\in\mathbb{N}:a_{m_{\bullet},n}\leq p(b_{m_{\bullet}}))$.
###### Proof.
For all $(m,n)\in\mathbb{N}^{2}$, let
$\widetilde{a_{m,n}}=\bigvee_{i=0}^{m}a_{i,n}$. Suppose that
$a_{m_{\bullet},0}\leq p(b_{m_{\bullet}})$. Then
$\widetilde{a_{m_{\bullet},0}}=\bigvee_{i=0}^{m_{\bullet}}a_{i,0}\leq
p(b_{m_{\bullet}})$ since $\forall i\in[\\![0,m_{\bullet}-1]\\!]:a_{i,0}\leq
p(b_{i+1})$, $a_{m_{\bullet},0}\leq p(b_{m_{\bullet}})$, and
$(p(b_{i}))_{i\in\mathbb{N}}$ is increasing. Moreover, $f$ being increasing
implies $\forall a,b\in S:f(a)\vee f(b)\leq f(a\vee b)$, and so $\forall
n\in\mathbb{N}:\widetilde{a_{m_{\bullet},n+1}}=\bigvee_{i=0}^{m_{\bullet}}a_{i,n+1}\leq\bigvee_{i=0}^{m_{\bullet}}f(a_{i,n})\leq
f(\bigvee_{i=0}^{m_{\bullet}}a_{i,n})=f(\widetilde{a_{m_{\bullet},n}})$.
Therefore, applying lemma 3.1 to
$(\widetilde{a_{m_{\bullet},n}})_{n\in\mathbb{N}}$, $b_{m_{\bullet}}$, and
$f$, we have that $\forall n\in\mathbb{N}:\widetilde{a_{m_{\bullet},n}}\leq
p(b_{m_{\bullet}})$ which implies $\forall
n\in\mathbb{N}:a_{m_{\bullet},n}\leq p(b_{m_{\bullet}})$. ∎
###### Lemma 3.3.
Let $(S,\leq,\vee)$ be a join-semilattice, $p$ a projection on $S$,
$(e_{n})_{n\in\mathbb{N}}$ and $(b_{n})_{n\in\mathbb{N}}$ two sequences in $S$
such that $(b_{n})_{n\in\mathbb{N}}$ is increasing and $\forall
n\in\mathbb{N}:e_{n}\leq p(b_{n+1})$.
Suppose there exists $m_{\bullet}\in\mathbb{N}$ and an increasing map $f:S\to
S$ such that $f(p(b_{m_{\bullet}}))\leq p(f(b_{m_{\bullet}}))$, $\forall
n\in\mathbb{N}:e_{n+1}\leq f(e_{n})$, and
$f(b_{m_{\bullet}})\leq\bigvee_{i=0}^{m_{\bullet}}e_{i}$.
Then: $(e_{m_{\bullet}}\leq p(b_{m_{\bullet}}))\Rightarrow(\forall
n\in\mathbb{N}:e_{m_{\bullet}+n}\leq p(b_{m_{\bullet}}))$.
###### Proof.
Define $a_{m,n}$ as $e_{m+n}$ for all $(m,n)\in\mathbb{N}^{2}$. Then
$((a_{m,n})_{(m,n)\in\mathbb{N}^{2}},(b_{n})_{n\in\mathbb{N}},f)$ is a triple
satisfying the conditions of lemma 3.2 and so we deduce the result. ∎
## 4 Application to model theory
In this section, we will use lemma 3.3 to prove a model-theoretic result. For
a quick reference on model theory, see the book [2]. The model-theoretic
result allows to recover proposition 1.1 when we choose the $\sigma$-structure
to be a vector space over a field. The idea of the following model-theoretic
proposition is to consider an unsorted algebraic $\sigma$-structure
$\mathcal{A}=(A,\sigma)$ and regard the map sending a set $X\subseteq A$ to
the set $\operatorname{Terms}^{\mathcal{A}}[X]$ of interpreted terms with
variables taken from $X$ as a special projection map on the boolean algebra
$\mathcal{P}(A)$.
###### Proposition 4.1.
Let $\sigma$ be an algebraic signature and $\mathcal{A}=(A,\sigma)$ a
$\sigma$-structure.
Let $(e_{n})_{n\in\mathbb{N}}$ be a sequence of elements in $A$.
Suppose there exists a map $f\in End_{\sigma}(A)$ such that $\forall
n\in\mathbb{N}:f(e_{n})=e_{n+1}$.
Then: $(\exists
m\in\mathbb{N}:e_{m}\in\operatorname{Terms}^{\mathcal{A}}[e_{0},\cdots,e_{m-1}])\Rightarrow(\exists
m\in\mathbb{N}:\forall
n\in\mathbb{N}:e_{m+n}\in\operatorname{Terms}^{\mathcal{A}}[e_{0},\cdots,e_{m-1}])$.
###### Proof.
Notice that $(\mathcal{P}(A),\subseteq,\cup,\cap,\emptyset,A)$ is a boolean
algebra and so a join-semilattice $(\mathcal{P}(A),\subseteq,\cup)$. Define
$p$ as the map sending a set $X\subseteq A$ to the set
$\operatorname{Terms}^{\mathcal{A}}[X]$ of interpreted terms with variables
taken from $X$, and $b_{n}=\\{e_{0},\cdots,e_{n-1}\\}$. The sequence
$(e_{n})_{n\in\mathbb{N}}$ in $A$ induces a sequence of singletons
$(\\{e_{n}\\})_{n\in\mathbb{N}}$ in $\mathcal{P}(A)$. Also, the endomorphism
$f$ induces the direct image map
$f_{\bullet}=\begin{cases}\mathcal{P}(A)&\to\mathcal{P}(A)\\\ X&\mapsto
f(X)\end{cases}$ which is increasing, satisfies $\forall
X\in\mathcal{P}(A):f_{\bullet}(p(X))=p(f_{\bullet}(X))$, $\forall
i\in\mathbb{N}:\\{e_{i+1}\\}=f_{\bullet}(\\{e_{i}\\})$, and
$f_{\bullet}(\\{e_{0},\cdots,e_{m-1}\\})=\\{f(e_{0}),\cdots,f(e_{m-1})\\}=\\{e_{1},\cdots,e_{m}\\}\subseteq\bigcup_{i=0}^{m}\\{e_{i}\\}$.
Moreover $(b_{n})_{n\in\mathbb{N}}$ is increasing and $\forall
n\in\mathbb{N}:\\{e_{n}\\}\subseteq\operatorname{Terms}^{\mathcal{A}}[e_{0},\cdots,e_{n}]$.
Suppose $\exists
m_{\bullet}\in\mathbb{N}:e_{m_{\bullet}}\in\operatorname{Terms}^{\mathcal{A}}[e_{0},\cdots,e_{m_{\bullet}-1}]$.
Then the implication follows from lemma 3.3 applied to
$(S,\leq,\vee):=(\mathcal{P}(A),\subseteq,\cup)$, $p$,
$(\\{e_{n}\\})_{n\in\mathbb{N}}$, $(b_{n})_{n\in\mathbb{N}}$, $f_{\bullet}$,
and $m_{\bullet}$. ∎
The previous proposition can be better appreciated after considering its
contrapositive:
###### Corollary 4.1.
Let $\sigma$ be an algebraic signature and $\mathcal{A}=(A,\sigma)$ a
$\sigma$-structure.
Let $(e_{n})_{n\in\mathbb{N}}$ be a sequence of elements in $A$.
Suppose there exists a map $f\in End_{\sigma}(A)$ such that $\forall
n\in\mathbb{N}:f(e_{n})=e_{n+1}$.
Then: $(\forall m\in\mathbb{N}:\exists\varphi(m)\geq
m:e_{\varphi(m)}\notin\operatorname{Terms}^{\mathcal{A}}[e_{0},\cdots,e_{m-1}])\Rightarrow(\forall
m\in\mathbb{N}:e_{m}\notin\operatorname{Terms}^{\mathcal{A}}[e_{0},\cdots,e_{m-1}])$.
###### Example 4.1.
Consider the case of a vector space over a field, with its classical signature
described for instance in [2] pp. 3-4. Then the corollary means that if we
have an infinite sequence $(e_{n})_{n\in\mathbb{N}}$ of vectors and a linear
map with the property $f(e_{n})=e_{n+1}$ for all $n\in\mathbb{N}$, then in
order to show the linear independence of the sequence
$(e_{n})_{n\in\mathbb{N}}$, it is sufficient to prove that it spans an
infinite-dimensional space. This is the result appearing in the paper [1] by
O. Christensen and M. Hasannasab (proposition 1.1 of the present article).
###### Corollary 4.2.
Let $E$ be an infinite-dimensional vector space, $e\in E$, and $S$ a linear
operator in $E$. Define the infinite sequence $(e_{n})_{n\in\mathbb{N}}$ in
$E$ as $e_{n}=S^{n}(e)$ for all $n\in\mathbb{N}$. Suppose that
$\dim\operatorname{span}(e_{n})_{n\in\mathbb{N}}=\infty$. Then
$(e_{n})_{n\in\mathbb{N}}$ is free.
###### Proof.
We have $\forall n\in\mathbb{N}:S(e_{n})=e_{n+1}$ and we conclude by
proposition 1.1. ∎
We do not know if it is possible to formulate a definition of infinite
dimension or independence in the context of general algebraic
$\sigma$-structures as in corollary 4.1. If possible, corollary 4.1 may be
advantageously applied to other algebraic $\sigma$-structures like groups,
rings, algebras, etc., and have the same intuitive meaning of establishing a
link between infinite dimension and independence.
## 5 The only possible countable extensions
Before establishing the main proposition 5.1, we need to recall some well-
known lemmas.
###### Lemma 5.1.
Let $I$ be an infinite set, $a\in I$ and $\phi:I\to I$.
Suppose that $Orb_{\phi}(a)$ is infinite.
Then $a,\phi(a),\phi(\phi(a)),\cdots$ are distinct.
###### Proof.
We use euclidean division. Suppose that $\phi^{n}(a)=\phi^{m}(a)$ for $n<m$.
By induction, we have $\phi^{n+j}(a)=\phi^{m+j}(a)$ for all $j\in\mathbb{N}$.
Let $e\geq n$. Let $e-n=q(m-n)+r$ be the division with remainder of
$e-n\in\mathbb{N}$ by $m-n\in\mathbb{N}^{*}$. If $q\geq 1$, we have
$\phi^{e}(a)=\phi^{n+q(m-n)+r}(a)=\phi^{m+(q-1)(m-n)+r}(a)=\phi^{n+(q-1)(m-n)+r}(a)$.
By immediate induction, we have that $\phi^{e}(a)=\phi^{n+r}(a)$, where $0\leq
r<m-n$. So $Orb_{\phi}(a)=\\{a,\phi(a),\cdots,\phi^{m-1}(a)\\}$ is finite,
contradiction. ∎
###### Lemma 5.2.
Let $I$ be an infinite set, $(a,b)\in I^{2}$ and $\phi:I\to I$.
Suppose that $Orb_{\phi}(a)$ is infinite and $Orb_{\phi}(b)$ is cofinite.
Then $(\exists(m,n)\in\mathbb{N}^{2}):\phi^{m}(a)=\phi^{n}(b)$.
###### Proof.
Assume by way of contradiction that
$(\forall(m,n)\in\mathbb{N}^{2}:\phi^{m}(a)\neq\phi^{n}(b))$. Then
$Orb_{\phi}(a)\subseteq I\setminus Orb_{\phi}(b)$. But this is impossible
since $Orb_{\phi}(a)$ is infinite and $I\setminus Orb_{\phi}(b)$ is finite.
Hence the result. ∎
###### Lemma 5.3.
Let $I$ be a countably infinite set and $\phi:I\to I$. Then
$\displaystyle\left(\exists a\in I:Orb_{\phi}(a)=I\right)$
$\displaystyle\Leftrightarrow(\phi\text{ is conjugate to
}succ:\begin{cases}\mathbb{N}&\to\mathbb{N}\\\ n&\mapsto n+1\end{cases}\text{
in the sense that }$ $\displaystyle\quad\exists\alpha:\mathbb{N}\to I\text{
such that }\alpha\text{ is bijective and }\alpha\circ succ=\phi\circ\alpha).$
###### Proof.
($\Rightarrow$) Suppose that $\exists a\in I:Orb_{\phi}(a)=I$. Define
$\alpha:\begin{cases}\mathbb{N}&\to I\\\ n&\mapsto\phi^{n}(a)\end{cases}$. By
lemma 5.1, $\alpha$ is bijective. Moreover, we have clearly $\alpha\circ
succ=\phi\circ\alpha$.
($\Leftarrow$) Suppose $\phi$ is conjugated to
$succ:\begin{cases}\mathbb{N}&\to\mathbb{N}\\\ n&\mapsto n+1\end{cases}$ by
$\alpha$. Then we have
$Orb_{\phi}(\alpha(0))=\\{\phi^{n}(\alpha(0))\\}_{n\in\mathbb{N}}=\\{\alpha(succ^{n}(0))\\}_{n\in\mathbb{N}}=\\{\alpha(n)\\}_{n\in\mathbb{N}}=I$.
∎
The following proposition is the main result of this section.
###### Proposition 5.1.
Let $E$ be an infinite dimensional vector space and $I$ a countably infinite
set.
For all $(e_{i})_{i\in I}\in E^{I}$ and $\phi:I\to I$, let $P((e_{i})_{i\in
I},\phi)$ be the proposition
$\displaystyle(\exists T\in L(\operatorname{span}(e_{i})_{i\in I},E):$
$\displaystyle\forall i\in I:T(e_{i})=e_{\phi(i)}\text{ and
}\dim\operatorname{span}(e_{i})_{i\in I}=+\infty)$
$\displaystyle\Rightarrow(e_{i})_{i\in I}\text{ is free}.$
Then we have
$\forall\phi:I\to I:\left[\left(\forall(e_{i})_{i\in I}\in
E^{I}:P((e_{i})_{i\in I},\phi)\right)\Leftrightarrow\exists a\in
I:Orb_{\phi}(a)=I\right]$
###### Proof.
Let $\phi:I\to I$.
($\Rightarrow$) : Suppose that $\forall(e_{i})_{i\in I}:P((e_{i})_{i\in
I},\phi)$.
Let’s show first that all the orbits of $\phi$ are cofinite. Suppose by way of
contradiction that $\exists a\in I:|I\setminus Orb_{\phi}(a)|=+\infty$. Let
$(a_{n})_{n\in\mathbb{N}}$ be a free family in $E$. We let $u:I\setminus
Orb_{\phi}(a)\to\mathbb{N}$ be a bijection, and set for all $i\in I$,
$e_{i}=\begin{cases}0\text{ if }i\in Orb_{\phi}(a)\\\ a_{u(i)}\text{
otherwise}\end{cases}$. We define an operator on
$\operatorname{span}(e_{i})_{i\in
I}=\operatorname{span}(a_{n})_{n\in\mathbb{N}}$ by setting
$T(a_{n})=e_{\phi(u^{-1}(n))}$ for all $n\in\mathbb{N}$ and extending
linearly. Then we have $\forall i\in I:T(e_{i})=e_{\phi(i)}$. Indeed, if $i\in
Orb_{\phi}(a)$, then $\phi(i)\in Orb_{\phi}(a)$ and so $e_{i}=e_{\phi(i)}=0$
by definition which makes the relation true. Otherwise, we have
$e_{i}=a_{u(i)}$ and so
$T(e_{i})=T(a_{u(i)})=e_{\phi(u^{-1}(u(i)))}=e_{\phi(i)}$. Besides, we have
$\dim\operatorname{span}(e_{i})_{i\in I}=+\infty$ since
$\operatorname{span}(e_{i})_{i\in
I}=\operatorname{span}(a_{n})_{n\in\mathbb{N}}$ and
$\dim\operatorname{span}(a_{n})_{n\in\mathbb{N}}=+\infty$. Since
$P((e_{i})_{i\in I},\phi)$ is true, it follows that $(e_{i})_{i\in I}$ is free
which is impossible since $e_{a}=0$.
Now take $a\in I$ such that $|I\setminus Orb_{\phi}(a)|$ is minimal. From
lemma 5.2, $\forall b\in
I:\exists(m(b),n(b))\in\mathbb{N}^{2}:\phi^{m(b)}(b)=\phi^{n(b)}(a)$. If we
choose $m(b)$ and $n(b)$ such that $m(b)+n(b)$ is minimal, then $n(b)\geq
m(b)$ by minimality of $I\setminus Orb_{\phi}(a)$. Let
$(a_{n})_{n\in\mathbb{N}}$ be a free family in $E$. For all $i\in I$, we set
$e_{i}=a_{n(i)-m(i)}$. We define an operator on
$\operatorname{span}(e_{i})_{i\in
I}=\operatorname{span}(a_{n})_{n\in\mathbb{N}}$ by setting $T(a_{n})=a_{n+1}$
for all $n\in\mathbb{N}$ and extending linearly. Then we have $\forall i\in
I:T(e_{i})=e_{\phi(i)}$. Indeed, if $i\in Orb_{\phi}(a)$, then
$m(i)=m(\phi(i))=0$ and $n(\phi(i))=n(i)+1$ which implies
$T(e_{i})=T(a_{n(i)})=a_{n(i)+1}=a_{n(\phi(i))}=e_{\phi(i)}$. Otherwise,
$m(i)\geq 1$, $m(\phi(i))=m(i)-1$ and $n(\phi(i))=n(i)$ since
$\phi^{m(i)}(i)=\phi^{n(i)}(a)$ with $m(i)+n(i)$ minimal and $m(i)\geq 1$
implies $\phi^{m(i)-1}(\phi(i))=\phi^{n(i)}(a)$ with $(m(i)-1)+n(i)$ minimal.
So
$T(e_{i})=a_{n(i)-m(i)+1}=a_{n(i)-(m(i)-1)}=a_{n(\phi(i))-m(\phi(i))}=e_{\phi(i)}$.
Besides, we have $\dim\operatorname{span}(e_{i})_{i\in I}=+\infty$ since
$\operatorname{span}(e_{i})_{i\in
I}=\operatorname{span}(a_{n})_{n\in\mathbb{N}}$ and
$\dim\operatorname{span}(a_{n})_{n\in\mathbb{N}}=+\infty$. Since
$P((e_{i})_{i\in I},\phi)$ is true, it follows that $(e_{i})_{i\in I}$ is free
which implies $Orb_{\phi}(a)=I$ (otherwise, $\exists b\in I\setminus
Orb_{\phi}(a)$, and so $e_{b}=a_{n(b)-m(b)}=e_{\phi^{n(b)}(a)}$ with
$b\neq\phi^{n(b)}(a)$, contradicting the independence of $(e_{i})_{i\in I}$).
($\Leftarrow$) : Suppose that $\exists a\in I:Orb_{\phi}(a)=I$. By lemma 5.3,
$\phi$ is conjugated to $succ:\begin{cases}\mathbb{N}&\to\mathbb{N}\\\
n&\mapsto n+1\end{cases}$ by $\alpha$. Let $(e_{i})_{i\in I}\in E^{I}$ and
suppose there exists $T\in L(\operatorname{span}(e_{i})_{i\in I},E)$ such that
for all $i\in I:T(e_{i})=e_{\phi(i)}$ and
$\dim\operatorname{span}(e_{i})_{i\in I}=+\infty$. Define
$(f_{n})_{n\in\mathbb{N}}$ by $f_{n}=e_{\alpha(n)}$ for all $n\in\mathbb{N}$.
Then $(f_{n})_{n\in\mathbb{N}}$ satisfies $\forall
n\in\mathbb{N}:T(f_{n})=f_{n+1}$ and
$\dim\operatorname{span}(f_{n})_{n\in\mathbb{N}}=+\infty$ which by proposition
1.1 implies that $(f_{n})_{n\in\mathbb{N}}$ is free. Since $\alpha$ is a
bijection, it follows that $(e_{i})_{i\in I}$ is free. ∎
## 6 A tentative generalization
###### Definition 6.1.
Consider two sets $X$ and $Y$. A binary relation $R$ on $X$ and $Y$ is a
subset of the cartesian product $X\times Y$. The direct image of a subset
$S\subseteq X$ under a binary relation $R$ on $X$ and $Y$ is written $R[S]$
and refers to $\\{y\in Y:\exists x\in S:(x,y)\in R\\}$. If $X=Y$, a binary
relation on $X$ and $Y$ is simply called a relation on $X$. The relation
$\Delta_{X}:=\\{(x,x):x\in X\\}$ is called the identity relation on $X$. The
composition of two binary relations $R_{1}$ and $R_{2}$ over $X$ and $Y$, and
$Y$ and $Z$ (respectively), is the binary relation over $X$ and $Z$, denoted
$R_{1}\circ R_{2}$, and given by the subset $\\{(x,z)\in X\times Z:\exists
y\in Y:(x,y)\in R_{1}\wedge(y,z)\in R_{2}\\}$. If $R$ is a binary relation on
$X$, we define $R^{0}$ as $\Delta_{X}$ and for all $n\geq 1$, $R^{n}$ as
$R\circ R^{n-1}$.
The following proposition which uses the language of functions with set-valued
inputs or/and outputs is the main result of this section.
###### Proposition 6.1.
Let $E$ be an infinite dimensional vector space, $V$ a finite dimensional
subspace of $E$, $(e_{i})_{i\in I}$ a family of vectors in $E$, and $J$ an
infinite set. Suppose that
1. 1.
There exist two functions $u:\mathcal{P}_{\omega,*}(I)\to I$ and
$G:\mathcal{P}_{\omega,*}(I)\to\mathcal{P}_{\omega,*}(I)$ such that for all
$I^{*}\in\mathcal{P}_{\omega,*}(I)$, $u(I^{*})\in I^{*}$ and $I^{*}\subseteq
G(I^{*})$,
2. 2.
There exists a function $T:\mathcal{P}_{\omega,*}(I)\times J\to L(*,E)$ such
that for all $(I^{*},j)\in\mathcal{P}_{\omega,*}(I)\times J$, $T(I^{*},j)\in
L(\operatorname{span}(e_{i})_{i\in G(I^{*})},E)$,
3. 3.
There exists a finite subset $J_{0}$ of $J$ and a relation $R$ on $J$ such
that $R[j]\subseteq J_{0}$ for all $j\in J_{0}$ and $(\forall j\in J)(\exists
n_{j}\in\mathbb{N})R^{n_{j}}[j]\subseteq J_{0}$,
4. 4.
$\forall i\in
I:\operatorname{dim}\operatorname{span}\\{T(I^{*},j)e_{i}\\}_{j\in J}=\infty$,
5. 5.
$\forall(I^{*},j)\in\mathcal{P}_{\omega,*}(I)\times J:\forall i\in
G(I^{*})\setminus\\{u(I^{*})\\}:$
$T(I^{*},j)e_{i}\in\operatorname{span}\\{T(I^{*},j^{\prime})e_{i^{\prime}}\\}_{(j^{\prime},i^{\prime})\in
R[j]\times G(I^{*})}+V.$
Then $(e_{i})_{i\in I}$ is free.
###### Proof.
(of proposition 6.1) Assume by way of contradiction that $(e_{i})_{i\in I}$ is
dependent. Then, there exists $I^{*}\in\mathcal{P}_{\omega,*}(I)$ and
coefficients $\\{c_{i}\\}_{i\in I^{*}}$ in $\mathbb{C}^{*}$ such that
$\sum_{i\in I^{*}}c_{i}e_{i}=0,$
which implies
$e_{u(I^{*})}=\sum_{i\in
I^{*}\setminus\\{u(I^{*})\\}}\frac{-c_{i}}{c_{u(I^{*})}}e_{i}.$
By linearity of $T(I^{*},j)$, we then have for all $j\in J$
$T(I^{*},j)e_{u(I^{*})}=\sum_{i\in
I^{*}\setminus\\{u(I^{*})\\}}\frac{-c_{i}}{c_{u(I^{*})}}T(I^{*},j)e_{i}.$
($*$)
We will prove by induction on $n\in\mathbb{N}$ that
$\forall(n,j,i)\in\mathbb{N}\times
J\times(G(I^{*})\setminus\\{u(I^{*})\\}):T(I^{*},j)e_{i}\in\operatorname{span}\\{T(I^{*},j^{\prime})e_{i}^{\prime}\\}_{(j^{\prime},i^{\prime})\in
R^{n}[j]\times G(I^{*})}+V.$ ($**$)
* •
For $n=0$, the relation is true since $R^{0}(j)=\Delta_{J}[j]=\\{j\\}$ and
$i\in G(I^{*})$ (take $(j^{\prime},i^{\prime}):=(j,i)$ and $v:=0$).
* •
Suppose the induction hypothesis is true at the order $n\in\mathbb{N}$. So for
all $(j,i)\in J\times(G(I^{*})\setminus\\{u(I^{*})\\})$, there exist complex
coefficients
$\\{\alpha_{j^{\prime},i^{\prime}}^{j,i}\\}_{(j^{\prime},i^{\prime})\in
R[j]\times G(I^{*})}$ and
$\\{\beta_{j^{\prime},i^{\prime}}^{j,i}\\}_{(j^{\prime},i^{\prime})\in
R^{n}[j]\times G(I^{*})}$, and vectors $v^{j,i}$ and $w^{j,i}$ in $V$ such
that
$T(I^{*},j)e_{i}=\sum_{(j^{\prime},i^{\prime})\in R[j]\times
G(I^{*})}\alpha_{j^{\prime},i^{\prime}}^{j,i}T(I^{*},j^{\prime})e_{i^{\prime}}+v^{j,i},$
($***$)
and
$T(I^{*},j)e_{i}=\sum_{(j^{\prime},i^{\prime})\in R^{n}[j]\times
G(I^{*})}\beta_{j^{\prime},i^{\prime}}^{j,i}T(I^{*},j^{\prime})e_{i^{\prime}}+w^{j,i},$
($****$)
because of condition 5 and the induction hypothesis.
Let $(j,i)\in J\times(G(I^{*})\setminus\\{u(I^{*})\\})$. We have
$\displaystyle T(I^{*},j)e_{i}$
$\displaystyle=\sum_{(j^{\prime},i^{\prime})\in R^{n}[j]\times
G(I^{*})}\beta_{j^{\prime},i^{\prime}}^{j,i}T(I^{*},j^{\prime})e_{i^{\prime}}+w^{j,i}$
$\displaystyle=\sum_{(j^{\prime},i^{\prime})\in
R^{n}[j]\times(G(I^{*})\setminus\\{u(I^{*})\\}}\beta_{j^{\prime},i^{\prime}}^{j,i}T(I^{*},j^{\prime})e_{i^{\prime}}$
$\displaystyle\quad+\sum_{j^{\prime}\in
R^{n}[j]}\beta_{j^{\prime},u(I^{*})}^{j,i}T(I^{*},j^{\prime})e_{u(I^{*})}+w^{j,i}$
$\displaystyle=\sum_{(j^{\prime},i^{\prime})\in
R^{n}[j]\times(G(I^{*})\setminus\\{u(I^{*})\\}}\beta_{j^{\prime},i^{\prime}}^{j,i}T(I^{*},j^{\prime})e_{i^{\prime}}$
$\displaystyle\quad\quad+\sum_{(j^{\prime},i^{\prime})\in
R^{n}[j]\times(G(I^{*})\setminus\\{u(I^{*})\\})}\beta_{j^{\prime},u(I^{*})}^{j,i}\frac{-c_{i^{\prime}}}{c_{u(I^{*})}}T(I^{*},j^{\prime})e_{i^{\prime}}+w^{j,i},$
where we have used equation ($*$ ‣ 6) and extended $\\{c_{i}\\}_{i\in I^{*}}$
to $\\{c_{i}\\}_{i\in G(I^{*})}$ by setting $\forall i^{\prime}\in
G(I^{*})\setminus I^{*}:c_{i^{\prime}}=0$. Simplifying, we have
$\displaystyle T(I^{*},j)e_{i}$
$\displaystyle=\sum_{(j^{\prime},i^{\prime})\in
R^{n}[j]\times(G(I^{*})\setminus\\{u(I^{*})\\}}(\beta_{j^{\prime},i^{\prime}}^{j,i}-\beta_{j^{\prime},u(I^{*})}^{j,i}\frac{c_{i^{\prime}}}{c_{u(I^{*})}})T(I^{*},j^{\prime})e_{i^{\prime}}+w^{j,i}.$
Using equality ($***$ ‣ • ‣ 6) for each term
$T(I^{*},j^{\prime})e_{i^{\prime}}$ and then rearranging the resulting sum, we
have that $T(I^{*},j)e_{i}$ is equal to
$\displaystyle\sum_{(j^{\prime\prime},i^{\prime\prime})\in R^{n+1}[j]\times
G(I^{*})}\left[\sum_{(j^{\prime},i^{\prime})\in F(j^{\prime\prime},j,n)\times
G(I^{*})\setminus\\{u(I^{*})\\}}\alpha_{j^{\prime\prime},i^{\prime\prime}}^{j^{\prime},i^{\prime}}\left(\beta_{j^{\prime},i^{\prime}}^{j,i}-\beta_{j^{\prime},u(I^{*})}^{j,i}\frac{c_{i^{\prime}}}{c_{u(I^{*})}}\right)\right]$
$\displaystyle\cdot
T(I^{*},j^{\prime\prime})f_{i^{\prime\prime}}+\left[\sum_{(j^{\prime},i^{\prime})\in
R^{n}[j]\times(G(I^{*})\setminus\\{u(I^{*})\\}}(\beta_{j^{\prime},i^{\prime}}^{j,i}-\beta_{j^{\prime},u(I^{*})}^{j,i}\frac{c_{i^{\prime}}}{c_{u(I^{*})}})v^{j^{\prime},i^{\prime}}+w^{j,i}\right]$
$\displaystyle\in\operatorname{span}\\{T(I^{*},j^{\prime})e_{i^{\prime}}\\}_{(j^{\prime},i^{\prime})\in
R^{n+1}[j]\times G(I^{*})}+V,$
where $F(j^{\prime\prime},j,n):=\\{j^{\prime}\in R^{n}[j]:j^{\prime\prime}\in
R[j^{\prime}]\\}$.
Hence the claim is proved. Now letting $j\in J$ and using condition 3, there
exists $n_{j}\in\mathbb{N}$ such that $R^{n_{j}}[j]\subseteq J_{0}$, which
implies by ($*$ ‣ 6) and the claim ($**$ ‣ 6) that
$T(I^{*},j)e_{u(I^{*})}\in\operatorname{span}\\{T(I^{*},j^{\prime})e_{i^{\prime}}\\}_{(j^{\prime},i^{\prime})\in
J_{0}\times G(I^{*})}+V.$
Therefore
$\operatorname{span}\\{T(I^{*},j)e_{u(I^{*})}\\}_{j\in
J}\subseteq\operatorname{span}\\{T(I^{*},j^{\prime})e_{i^{\prime}}\\}_{(j^{\prime},i^{\prime})\in
J_{0}\times G(I^{*})}+V.$
Since
$\operatorname{span}\\{T(I^{*},j^{\prime})e_{i^{\prime}}\\}_{(j^{\prime},i^{\prime})\in
J_{0}\times G(I^{*})}+V$ is finite-dimensional, this contradicts condition 4.
∎
###### Example 6.1.
(from O. Christensen and M. Hasannab [1])
Let $E$ be an infinite-dimensional vector space and $(e_{n})_{n\in\mathbb{N}}$
a sequence in $E$.
Suppose that
$\operatorname{dim}\operatorname{span}(e_{n})_{n\in\mathbb{N}}=\infty$ and
there exists an operator $S:\operatorname{span}(e_{n})_{n\in\mathbb{N}}\to E$
such that $S(e_{n})=e_{n+1}$ for all $n\in\mathbb{N}$. Then
$(e_{n})_{n\in\mathbb{N}}$ is free.
Indeed, define $u:\mathcal{P}_{\omega,*}(\mathbb{N})\to\mathbb{N}$ and
$G:\mathcal{P}_{\omega,*}(\mathbb{N})\to\mathcal{P}_{\omega,*}(\mathbb{N})$ by
$u(I^{*})=\max(I^{*})$ and $G(I^{*})=[\\![0,\max(I^{*})]\\!]$ for all
$I^{*}\in\mathcal{P}_{\omega,*}(\mathbb{N})$. Moreover, define
$T:\mathcal{P}_{\omega,*}(\mathbb{N})\times\mathbb{N}\to L(*,E)$ by
$T(I^{*},m)=(S^{m})_{|\operatorname{span}(e_{n})_{n\in[\\![0,\max(I^{*})]\\!]}}$
for all $(I^{*},m)\in\mathcal{P}_{\omega,*}(\mathbb{N})\times\mathbb{N}$. Take
$J_{0}=\\{0\\}$ and define
$R=(0,0)\bigcup\left(\bigcup_{m\in\mathbb{N}^{*}}(m,m-1)\right)\subseteq\mathbb{N}\times\mathbb{N}$.
Examining the conditions of proposition 6.1, we see that conditions 1-2 are
valid, 3 is true because $R^{m}[m]=\\{0\\}=J_{0}$ for all $m\in\mathbb{N}$, 4
is valid because
$\\{T(I^{*},m)e_{u(I^{*})}\\}_{m\in\mathbb{N}}=\\{e_{u(I^{*})+m}\\}_{m\in\mathbb{N}}$
spans an infinite dimensional space since $(e_{m})_{m\in\mathbb{N}}$ does, and
finally condition 5 is also valid since for all
$(I^{*},m)\in\mathcal{P}_{\omega,*}(\mathbb{N})\times\mathbb{N}^{*}$ and for
all $n\in[\\![0,\max(I^{*})-1]\\!]$ we have
$T(I^{*},m)e_{n}=e_{n+m}=T(I^{*},m-1)e_{n+1}$ (notice that $m-1\in R[m]$ and
$n+1\in[\\![0,\max(I^{*})]\\!]$), and for all
$I^{*}\in\mathcal{P}_{\omega,*}(\mathbb{N})$ and
$n\in[\\![0,\max(I^{*})-1]\\!]$ we have
$T(I^{*},0)e_{n}=e_{n}=T(I^{*},0)e_{n}$ (notice that $0\in R[0]$ and
$n\in[\\![0,\max(I^{*})]\\!]$). Hence the result.
Before we move on to the next example, we need to prove two additional lemmas.
###### Lemma 6.1.
Let $I$ be an infinite set, $(a,b)\in I^{2}$ and $\phi:I\to I$.
Suppose that $Orb_{\phi}(a)$ is cofinite. Then
1. 1.
If $\exists n\in\mathbb{N}:b=\phi^{n}(a)$, then $Orb_{\phi}(b)$ is cofinite,
2. 2.
If $\exists n\in\mathbb{N}:a=\phi^{n}(b)$, then $Orb_{\phi}(b)$ is cofinite.
###### Proof.
First, notice that by lemma 5.1, $a,\phi(a),\phi^{2}(a),\cdots$ are distinct.
$(1):$ Suppose $\exists n\in\mathbb{N}:b=\phi^{n}(a)$. Then $I\setminus
Orb_{\phi}(b)=I\setminus\\{\phi^{m}(a)\\}_{m\geq n}=\left(I\setminus
Orb_{\phi}(a)\right)\cup\\{\phi^{m}(a)\\}_{m<n}$ is finite.
$(2):$ Suppose $\exists n\in\mathbb{N}:a=\phi^{n}(b)$. Then $I\setminus
Orb_{\phi}(b)=\left(I\setminus\\{\phi^{m}(b)\\}_{m\geq
n}\right)\setminus\\{\phi^{m}(b)\\}_{m<n}=\left(I\setminus
Orb_{\phi}(a)\right)\setminus\\{\phi^{m}(b)\\}_{m<n}$ is finite. ∎
###### Corollary 6.1.
Let $I$ be an infinite set, $a\in I$ and $\phi:I\to I$.
Suppose that $Orb_{\phi}(a)=I$.
Then $\forall b\in I:Orb_{\phi}(b)$ is cofinite
###### Lemma 6.2.
Let $I$ be an infinite set and $\phi:I\to I$ such that $\forall a\in
I:Orb_{\phi}(a)$ is infinite. Then we have
$\displaystyle\left(\exists a\in I:Orb_{\phi}(a)=I\right)\Rightarrow$
$\displaystyle\quad\quad(\text{there exist two functions
}u:\mathcal{P}_{\omega,*}(I)\to I\text{ and
}G:\mathcal{P}_{\omega,*}(I)\to\mathcal{P}_{\omega,*}(I)\text{ such that}$
$\displaystyle\quad\quad\forall I^{*}\in\mathcal{P}_{\omega,*}(I):u(I^{*})\in
I^{*},I^{*}\subseteq G(I^{*}),\text{ and
}\phi(G(I^{*})\setminus\\{u(I^{*})\\})\subseteq G(I^{*})).$
###### Proof.
Define
$n:\begin{cases}\mathcal{P}_{\omega,*}(I)&\to\mathbb{N}\\\
I^{*}&\mapsto\max\\{n\in\mathbb{N}:\phi^{n}(a)\in I^{*}\\}\end{cases}$
which is well-defined because $\\{a,\phi(a),\phi^{2}(a),\cdots\\}$ are
distinct (lemma 5.1) and let
$u:\begin{cases}\mathcal{P}_{\omega,*}(I)&\to I\\\
I^{*}&\mapsto\phi^{n(I^{*})}(a)\end{cases}$
and
$G:\begin{cases}\mathcal{P}_{\omega,*}(I)&\to\mathcal{P}_{\omega,*}(I)\\\
I^{*}&\mapsto\\{a,\phi(a),\cdots,\phi^{n(I^{*})}(a)\\}\end{cases}.$
With this choice, since $Orb_{\phi}(a)=I$, $u$ and $G$ do satisfy the
requirements. ∎
###### Example 6.2.
Let $E$ be an infinite dimension vector space, $I$ a countably infinite set,
$(e_{i})_{i\in I}$ a family in $E$ and $\phi:I\to I$ such that $\exists a\in
I:Orb_{\phi}(a)=I$.
Suppose that $\operatorname{dim}\operatorname{span}\\{e_{i}\\}_{i\in
I}=\infty$ and there exists an operator
$S:\operatorname{span}\\{e_{i}\\}_{i\in I}\to E$ such that
$S(e_{i})=e_{\phi(i)}$ for all $i\in I$. Then $\\{e_{i}\\}_{i\in I}$ is free.
Indeed, from lemma 6.2, there exist two functions
$u:\mathcal{P}_{\omega,*}(I)\to I$ and
$G:\mathcal{P}_{\omega,*}(I)\to\mathcal{P}_{\omega,*}(I)$ such that for all
$I^{*}\in\mathcal{P}_{\omega,*}(I)$, $u(I^{*})\in I^{*}$, $I^{*}\subseteq
G(I^{*})$ and $\phi(G(I^{*})\setminus\\{u(I^{*})\\})\subseteq G(I^{*})$.
Define $T:\mathcal{P}_{\omega,*}(I)\times\mathbb{N}\to L(*,E)$ by
$T(I^{*},m)=(S^{m})_{|\operatorname{span}\\{e_{i}\\}_{i\in G(I^{*})}}$ for all
$(I^{*},m)\in\mathcal{P}_{\omega,*}(I)\times\mathbb{N}$. Take $J_{0}=\\{0\\}$
and define
$R=(0,0)\bigcup\left(\bigcup_{m\in\mathbb{N}^{*}}(m,m-1)\right)\subseteq\mathbb{N}\times\mathbb{N}$.
Examining the conditions of proposition 6.1, we see that conditions 1-2 are
valid, 3 is true because $R^{m}[m]=\\{0\\}=J_{0}$ for all $m\in\mathbb{N}$, 4
is valid because
$\\{T(I^{*},m)e_{u(I^{*})}\\}_{m\in\mathbb{N}}=\\{e_{\phi^{m}(u(I^{*}))}\\}_{m\in\mathbb{N}}$
spans an infinite-dimensional space since $(e_{i})_{i\in I}$ does and
$|I\setminus\\{\phi^{m}(u(I^{*}))\\}_{m\in\mathbb{N}}|<\infty$ (corollary
6.1), and finally condition 5 is also valid since for all
$(I^{*},m)\in\mathcal{P}_{\omega,*}(I)\times\mathbb{N}^{*}$ and for all $i\in
G(I^{*})\setminus\\{u(I^{*})\\}$ we have
$T(I^{*},m)e_{i}=e_{\phi^{m}(i)}=T(I^{*},m-1)e_{\phi(i)}$ (notice that $m-1\in
R[m]$ and $\phi(i)\in G(I^{*})$), and for all
$I^{*}\in\mathcal{P}_{\omega,*}(I)$ and $i\in G(I^{*})\setminus\\{u(I^{*})\\}$
we have $T(I^{*},0)e_{i}=e_{i}=T(I^{*},0)e_{i}$ (notice that $0\in R[0]$ and
$i\in G(I^{*})$). Hence the result.
## Acknowledgement
The first author is financially supported by the Centre National pour la
Recherche Scientifique et Technique of Morocco.
## Conflict of interest
On behalf of all authors, the corresponding author states that there is no
conflict of interest.
## References
* [1] O. Christensen and M. Hasannasab. Frame properties of systems arising via iterated actions of operators. Appl. Comput. Harmon. Anal., 46(3):664–673, 2019.
* [2] W. Hodges. A Shorter Model Theory. Cambridge University Press, 2017.
* [3] J. S. Moghaddam, A. Najati, and Y. Khedmati. Fibonacci representations of sequences in hilbert spaces. U.P.B. Sci. Bull., Series A, 83(1), 2021.
Nizar El Idrissi.
Laboratoire : Equations aux dérivées partielles, Algèbre et Géométrie
spectrales.
Département de mathématiques, faculté des sciences, université Ibn Tofail,
14000 Kénitra.
E-mail address : [email protected]
Pr. Samir Kabbaj.
Laboratoire : Equations aux dérivées partielles, Algèbre et Géométrie
spectrales.
Département de mathématiques, faculté des sciences, université Ibn Tofail,
14000 Kénitra.
E-mail address : [email protected]
| arxiv-papers | 2021-07-25T15:55:19 | 2024-09-04T03:07:16.984289 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Nizar El Idrissi and Samir Kabbaj",
"submitter": "Nizar El Idrissi",
"url": "https://arxiv.org/abs/2107.11834"
} |
2107.11836 | # Adaptive Identification of Legged Robotic Kinematic Structure
Bolun Dai Department of Mechanical Engineering
Carnegie Mellon University
Pittsburgh, PA 15217
[email protected]
###### Abstract
Model-based control usually relies on an accurate model, which is often
obtained from CAD and actuator models. The more accurate the model the better
the control performance. However, in bipedal robots that demonstrate high
agility actions, such as running and hopping, the robot hardware will suffer
from impacts with the environment and deform in vulnerable parts, which
invalidates the predefined model. Thus, it is desired to have an adaptable
kinematic structure that takes deformation into consideration. To account for
this we propose an approach that models all of the robotic joints as 6-DOF
joints and develop an algorithm that can identify the kinematic structure from
motion capture data. We evaluate the algorithm’s performance both in
simulation – a three link pendulum, and on a bipedal robot – ATRIAS. In the
simulated case the algorithm produces a result that has a $3.6\%$ error
compared to the ground truth, and on the real life bipedal robot the
algorithm’s result confirms our prior assumption where the joint deform on
out-of-plane degrees of freedom. In addition our algorithm is able to predict
torque and forces using the reconstructed joint mode.
## 1 INTRODUCTION
Model-based control is ubiquitous in legged robotic systems [1], [2], [3],
[4]. Given that legged robotic systems are more complex compared to robotic
arms and wheeled robots in controller structures, implementing model-based
control helps to achieve close-to-optimal performance and also improves
robustness while providing a physical insight of the system. Because of the
many benefits of model-based control various teams in the DARPA Robotics
Challenge implemented model-based control on their humanoid robots [5], [6],
[7], [8], [9]. However, model-based control relies on a specific kinematic
model and its correlated dynamic parameters, no matter explicit or implicitly
given. Without an accurate enough mathematical model of the system, model-
based control will be less effective. Work has been done in identifying the
dynamic parameters of a robotic system [10], [11], [12]. However, little has
been done in identifying the kinematic parameters of legged robotics systems.
Current works mostly focuses on identifying the manipulator stiffness of
industrial robotic arms [13], [14], [15] which are much more rigid compared to
legged robots. In addition, work has been done in identifying flexible joint
stiffnesses on the Canadarm [16]. This paper aims to extend the work on to
legged robots.
Kinematic models of robots are often presumed from CAD models and actuator
models. The underlying assumption for most model-based control methods is that
they do not change over time. However, in reality the robot themselves often
shows bending and twisting during movement. One example is the ATRIAS bipedal
robot [17], when experimenting with its ability in locomotion it is observed
that the knee joint experiences rotation about axis other than the designed
rotation axis, this rotation is especially large when demonstrating running
gaits or hopping. The legs of ATRIAS consists of four links which constructs a
plane, rotation about any axis at the joints that is not perpendicular to the
leg plane will deform the legs. Such deformation will produce a force that is
out of the leg plane which results in a torque that causes the torso of ATRIAS
to tilt, which eventually leads to the robot falling down due to large pitch
or roll movements. Thus, the mismatch between the suggested kinematic model
and the actual kinematic model may lead to serious issues.
It would be preferable for identifying the kinematic structure of the robot
adaptively by observing the movement. For model-based control to be more
stable and effective we need to develop novel methods in obtaining a more
accurate model of the robotic system. One approach for improving the modelling
accuracy is to make more generalized assumptions. Work has been done in
identifying actuator models using a data driven approach to capture the
nonlinear effects [18]. This paper presents an approach to get a more accurate
kinematic model by assuming that all robot joints are essentially 6 degrees-
of-freedom (DOF) joints instead of the widely used prismatic joints and
revolute joints, and proposed a model for the interaction inside the joint.
Using this model we can take into account the deformation of robotic joints
during high impact movements such as running and hopping.
This remainder of this paper is organized into three parts. In section II, We
first provide an overview of the joint model we proposed, the kinematic
equations of the links when using the proposed joint model and the
corresponding method for identifying the parameters of the joint model. Then
we show results for the joint parameter identification in simulation. In
section III we present the results for the joint parameter identification on
ATRIAS biped. And in section IV we will discuss the effectiveness of the model
and future directions.
## 2 APPROACHES
This section is structured as the follow, first the model of a 6 DOF joint
will be given, then the kinematic structure of a robotic link with 6 DOF
joints will be shown, then a joint parameter reconstruction algorithm will be
presented, finally the simulation result of the reconstruction algorithm will
be demonstrated.
### 2.1 Joint Model
We proposed a robotic joint model that takes the deformation of robotic joints
during movement into consideration. A joint connects two links, the two links
can move relatively depending on the the type of the joint. The movement of
the two links is modeled as the movement of two frames: the base frame and the
follower frame. Unlike a traditional prismatic joint, where the follower frame
only moves along an axis defined in the base frame, or a revolute joint, where
the follower frame can only rotate about an axis defined in the base frame, we
make no constrains on the relative movement between the base and follower
frame in our joint model. This means that the links that are connected by a
6DOF joint can move relatively on six DOF, three for translation and three for
rotation. The movement of each DOF is governed by a spring-damper system and
we can mathematically model the movement of the follower frame relative to the
base frame as
$\begin{cases}F_{x}=k_{px}\Delta{x}+k_{dx}\dot{x}&\\\
F_{y}=k_{py}\Delta{y}+k_{dy}\dot{y}&\\\
F_{z}=k_{pz}\Delta{z}+k_{dz}\dot{z}&\end{cases}$ (1)
$\begin{cases}\tau_{x}=k_{p\theta x}\Delta{\theta_{x}}+k_{d\theta
x}\dot{\theta_{x}}\\\ \tau_{y}=k_{p\theta y}\Delta{\theta_{y}}+k_{d\theta
y}\dot{\theta_{y}}\\\ \tau_{z}=k_{p\theta z}\Delta{\theta_{z}}+k_{d\theta
z}\dot{\theta_{z}}\end{cases}$ (2)
where equation 1 governs the translational movement of the follower frame
relative to the base frame. The $k_{px}$, $k_{py}$ and $k_{pz}$ represents the
translational spring stiffness along the $x$, $y$ and $z$ axis, the $k_{dx}$,
$k_{dy}$ and $k_{dz}$ represents the translational damping coefficient.
Similarly equation 2 governs the rotational movement of the follower frame
relative to the base frame, with $k_{p\theta x}$, $k_{p\theta y}$ and
$k_{p\theta z}$ representing the rotational spring stiffness and $k_{d\theta
x}$, $k_{d\theta y}$ and $k_{d\theta z}$ representing the rotational damping
coefficient. For a 6DOF joint given that the original design of a robot joint
is not to let it freely move along 6 degrees of freedom, therefore we can see
that using such a method we can see that the spring stiffness and damping
coefficient among different degrees of freedom varies greatly. For those
degrees of freedom that the joint is originally designed to have motion we can
observe a low spring stiffness and damping coefficient, we say that this is
the actuator DOF. And for some degrees of freedom the spring stiffness and
damping coefficient is higher than the actuator DOF, but smaller than those
that observe little movement, we say these are the unexpected movement. And
for those DOF that have really high spring stiffness and damping coefficient
we say that they are rigid movement. Note that since both equation 1 and 2
describes the movement of the follower frame relative to the base frame, the
$x$, $y$ and $z$ axis makes up the body frame which aligns with the base
frame. Using the aforementioned joint model we can describe the motions of the
robotic joints on DOF’s that are other than its designed DOF, which is a
common phenomenon in legged robotics.
### 2.2 Kinematic Structure
$\mathbf{z}$$\mathbf{x}$$\mathbf{y}$$\mathbf{F_{1}}$$\mathbf{\tau_{1}}$$\mathbf{F_{1}}$$\mathbf{\tau_{1}}$$mg$$\mathbf{r_{1}}$$\mathbf{r_{2}}$
Figure 1: This graph shows how the parameters in equation 3 are defined, with
$r_{1}$ being the distance between the center-of-mass (CoM) of the link and
the follower frame of the head joint where $F_{1}$ is applied at, similarly
$r_{2}$ is the distance between the CoM and the base frame of the tail joint
where $F_{2}$ is applied at. $\tau_{1}$ and $\tau_{2}$ are the torques that
are applied on the head and tail joint, $\tau_{1}$ is applied at the same end
as $F_{1}$, the same goes for $\tau_{2}$ and $F_{2}$. All of these parameters
are given using the world coordinate.
Given the 6 DOF joint model, we need to define the movement of robotic links
in terms of the joint parameters and measurable or already known link
parameters. First, we need to clarify the conventions that will be used here.
A typical robotic linkage systems will be serially positioned, one can pre-
define a head and a tail for the whole linkage system. Following such a
guidance we can define the relation between a specific link and the links that
it is connected with: we call the link that is before the link in interest in
such definition as the previous link, the link in interest as the current link
and the following link as the next link. Also we have the joint that connects
the current link with the previous link as the head joint and the link that
connects the current link and the next link as the tail joint. We assume that
the link itself has forces and torques applied on both ends of the link. Using
the Newton-Euler equation we can get
$r_{1}\times F_{1}+r_{2}\times F_{2}+\tau_{1}+\tau_{2}=\sum{\tau}$ (3)
$\mathbf{z}$$\mathbf{x}$$\mathbf{y}$$\mathbf{x_{b}}$$\mathbf{z_{b}}$$\mathbf{y_{b}}$$\mathbf{x_{f}^{{}^{\prime}}}$$\mathbf{z_{f}^{{}^{\prime}}}$$\mathbf{y_{f}^{{}^{\prime}}}$$\mathbf{x_{f}}$$\mathbf{z_{f}}$$\mathbf{y_{f}}$
Figure 2: This graph shows how the base frame and follower frame is defined,
where we have the base frame defined as $\\{x_{b},y_{b},z_{b}\\}$ and the
follower frame defined as $\\{x_{f},y_{f},z_{f}\\}$. Note that when
calculating forces $F=k_{p}\Delta{x}+k_{d}\dot{x}$, the $\Delta{x}$ is the
translation of the follower frame relative to the base frame given in world
frame coordinates, the same goes to $\Delta{y}$ and $\Delta{z}$. And for
$\dot{x}$ it is the velocity of the follower frame relative to the base frame
given in world frame coordinates. The orientation of the links are given in
quaternions, therefore the relative rotation between the two links are also
given in quaternions and one can use $\omega=2\dot{q}q^{*}$ to get the
relative angular velocity, where $q$ is the quaterion that represents the
relative rotation, and can obtain the relative angular acceleration using
$\alpha=2(\ddot{q}q^{*}-(\dot{q}q^{*})^{2})$. We can transform the quaternions
to a set of Z-Y-X Euler angles and using the Euler angles we can get the
corresponding torques as
$\tau=k_{p\theta}\Delta{\theta}+k_{d\theta}\dot{\theta}$.
the right part of the equation is the sum of all external torques, which
include pure torques and the torques generated by forces, which can also be
obtained by
$\sum{\tau}=I^{\prime}\alpha+\omega\times(I^{\prime}\omega)$ (4)
with $I^{\prime}=RIR^{\prime}$, where $I$ is the inertia tensor given in a
frame that is rigidly connected to the link, $R$ being the rotation matrix
between the body frame of the current link and the inertial frame and $\omega$
being the angular velocity of the body frame of the current link relative to
the world frame given in world frame coordinates. Note that here except for
$I$ everything else are given in world frame coordinates, therefore $F$ and
$\tau$ are different from the forces and torques given in equation 1 and 2. To
connect the two we have to apply a rotation matrix, $F_{\rm world}=R\cdot
F_{\rm body}$ and $\tau_{\rm world}=R\cdot\tau_{\rm body}$. After combining
equation 3 and 4 we can predict the movement of any robotic link and see its
connection with the forces and torques that are applied to it.
### 2.3 Reconstruction Algorithm
Using the equation above we can shuffle its structure so that we can perform
linear regression in reconstructing the joint parameters. We can transform
equation 3 and 4 into
$\sum{\tau_{F}}+\sum{\tau_{\tau}}=I^{\prime}\alpha_{s}+\omega_{s}\times(I^{\prime}\omega_{s})$
(5)
with
$\displaystyle\sum{\tau_{F}}$ $\displaystyle=(R_{1}\cdot
r_{b1})\times(R_{1}\cdot F_{b1})-(R_{2}\cdot r_{b2})\times(R_{2}\cdot F_{b2})$
$\displaystyle\sum{\tau_{\tau}}$
$\displaystyle=R_{1}\cdot\tau_{b1}-R_{2}\cdot\tau_{b2}$
we also can get $F_{bi}$, $\tau_{bi}$ and $r_{bi}$ as
$\displaystyle F_{bi}$
$\displaystyle=\begin{bmatrix}\Delta{x_{i}}&\dot{x_{i}}&0&0&0&0\\\
0&0&\Delta{y_{i}}&\dot{y_{i}}&0&0\\\
0&0&0&0&\Delta{z_{i}}&\dot{z_{i}}\end{bmatrix}\begin{bmatrix}k_{pxi}\\\
k_{dxi}\\\ k_{pyi}\\\ k_{dyi}\\\ k_{pzi}\\\ k_{dzi}\end{bmatrix}$
$\displaystyle\tau_{bi}$
$\displaystyle=\begin{bmatrix}\Delta\theta_{xi}&\dot{\theta_{xi}}&0&0&0&0\\\
0&0&\Delta\theta_{yi}&\dot{\theta_{yi}}&0&0\\\
0&0&0&0&\Delta\theta_{zi}&\dot{\theta_{zi}}\end{bmatrix}\begin{bmatrix}k_{p\theta_{xi}}\\\
k_{d\theta_{xi}}\\\ k_{p\theta_{yi}}\\\ k_{d\theta_{yi}}\\\
k_{p\theta_{zi}}\\\ k_{d\theta_{zi}}\end{bmatrix}$ $\displaystyle r_{bi}$
$\displaystyle=\begin{bmatrix}r_{ix}\\\ r_{iy}\\\ r_{iz}\end{bmatrix}$
with $i=1,2$, where $1$ denotes the head joint and $2$ denotes the tail joint.
Also we lets have $R_{1}$ and $R_{2}$ as
$\displaystyle R_{1}$ $\displaystyle=\begin{bmatrix}m_{11}&m_{12}&m_{13}\\\
m_{21}&m_{32}&m_{33}\\\ m_{31}&m_{32}&m_{33}\\\ \end{bmatrix}$ $\displaystyle
R_{2}$ $\displaystyle=\begin{bmatrix}n_{11}&n_{12}&n_{13}\\\
n_{21}&n_{32}&n_{33}\\\ n_{31}&n_{32}&n_{33}\\\ \end{bmatrix}$
where we have $R_{1}$ denoting the rotation matrix of the link that is rigidly
connected to the base frame of the head joint, and $R_{2}$ being the rotation
matrix of the link that is rigidly connected to the base frame of the tail
joint, which is typically the current link. The linear regression is in the
form of
$A\cdot c=b\rightarrow c=(A^{T}\cdot A)^{-1}\cdot A^{T}\cdot b$
therefore, we need to restructure equation 5 into a linear-regression-able
form. We can get the $A$ matrix as,
$A=\begin{bmatrix}A_{1}&A_{2}&A_{3}\end{bmatrix}$
where we have
$\displaystyle A_{1}$ $\displaystyle=A_{11}.*A_{12}$ $\displaystyle A_{2}$
$\displaystyle=A_{21}.*A_{22}$ $\displaystyle A_{3}$
$\displaystyle=\begin{bmatrix}\theta_{1}.*\begin{bmatrix}R_{1}&R_{1}\end{bmatrix}&\theta_{2}.*\begin{bmatrix}R_{2}&R_{2}\end{bmatrix}\end{bmatrix}$
with
$\displaystyle A_{11}=$ $\displaystyle\begin{bmatrix}m_{31}&m_{32}&m_{33}\\\
m_{11}&m_{12}&m_{13}\\\ m_{21}&m_{32}&m_{33}\\\
\end{bmatrix}.*\begin{bmatrix}r_{1y}\\\ r_{1z}\\\ r_{1x}\end{bmatrix}$
$\displaystyle-$ $\displaystyle\begin{bmatrix}m_{21}&m_{22}&m_{23}\\\
m_{31}&m_{32}&m_{33}\\\ m_{11}&m_{12}&m_{13}\\\
\end{bmatrix}.*\begin{bmatrix}r_{1z}\\\ r_{1x}\\\ r_{1y}\end{bmatrix}$
$\displaystyle A_{12}=$
$\displaystyle\begin{bmatrix}\Delta_{x1}&\Delta_{y1}&\Delta_{z1}&v_{x1}&v_{y1}&v_{z1}\end{bmatrix}$
$\displaystyle A_{21}=$ $\displaystyle\begin{bmatrix}n_{31}&n_{32}&n_{33}\\\
n_{11}&n_{12}&n_{13}\\\ n_{21}&n_{32}&n_{33}\\\
\end{bmatrix}.*\begin{bmatrix}r_{2y}\\\ r_{2z}\\\ r_{2x}\end{bmatrix}$
$\displaystyle-$ $\displaystyle\begin{bmatrix}n_{21}&n_{22}&n_{23}\\\
n_{31}&n_{32}&n_{33}\\\ n_{11}&n_{12}&n_{13}\\\
\end{bmatrix}.*\begin{bmatrix}r_{2z}\\\ r_{2x}\\\ r_{2y}\end{bmatrix}$
$\displaystyle A_{22}=$
$\displaystyle\begin{bmatrix}\Delta_{x2}&\Delta_{y2}&\Delta_{z2}&v_{x2}&v_{y2}&v_{z2}\end{bmatrix}$
$\displaystyle\theta_{1}=$
$\displaystyle\begin{bmatrix}\theta_{x1}&\theta_{y1}&\theta_{z1}&\dot{\theta}_{x1}&\dot{\theta}_{y1}&\dot{\theta}_{z1}\end{bmatrix}$
$\displaystyle\theta_{2}=$
$\displaystyle\begin{bmatrix}\theta_{x2}&\theta_{y2}&\theta_{z2}&\dot{\theta}_{x2}&\dot{\theta}_{y2}&\dot{\theta}_{z2}\end{bmatrix}$
where we have $.*$ as element wise multiplication. Also we have
$b=I^{\prime}\alpha_{s}+\omega_{s}\times(I^{\prime}\omega_{s})$
and
$c=\begin{bmatrix}K_{1}\\\ K_{2}\\\ K_{\theta_{1}}\\\
K_{\theta_{2}}\end{bmatrix}$
with
$K_{i}=\begin{bmatrix}k_{pxi}\\\ k_{dxi}\\\ k_{pyi}\\\ k_{dyi}\\\ k_{pzi}\\\
k_{dzi}\end{bmatrix}$ $K_{\theta_{i}}=\begin{bmatrix}k_{p\theta_{xi}}\\\
k_{d\theta_{xi}}\\\ k_{p\theta_{yi}}\\\ k_{d\theta_{yi}}\\\
k_{p\theta_{zi}}\\\ k_{d\theta_{zi}}\end{bmatrix}$
where $i=1,2$, with denotes the head and tail joint respectively.
$\Delta$dis/m0.12-0.06t/s$\Delta_{x1}$$\Delta_{x1^{\prime}}$$\Delta_{y1}$$\Delta_{y1^{\prime}}$$\Delta_{z1}$$\Delta_{z1^{\prime}}$$\Delta_{x2}$$\Delta_{x2^{\prime}}$$\Delta_{y2}$$\Delta_{y2^{\prime}}$$\Delta_{z2}$$\Delta_{z2^{\prime}}$$\Delta\theta$/rad1.5-1t/s$\Delta\theta_{x1}$$\Delta\theta_{x1^{\prime}}$$\Delta\theta_{y1}$$\Delta\theta_{y1^{\prime}}$$\Delta\theta_{z1}$$\Delta\theta_{z1^{\prime}}$$\Delta\theta_{x2}$$\Delta\theta_{x2^{\prime}}$$\Delta\theta_{y2}$$\Delta\theta_{y2^{\prime}}$$\Delta\theta_{z2}$$\Delta\theta_{z2^{\prime}}$
Figure 3: This graph shows the performance of the proposed reconstruction
algorithm using simulated data. Note that we are in interest of the joints
that connects the middle link to the upper and lower link. The upper figure
shows the evolution of relative translation inside the joints over time, where
the subscript $x1$ denotes the relative translation along the $x$-axis in the
body frame for upper joint, and $x2$ denotes the same for the lower joint
(note that both joints are on the second link when counting from up to down),
and $x1^{\prime}$ denotes the reconstructed version. The same goes for the
lower graph where the evolution of relative rotation is shown. The comparison
in the top shows the different poses of the three link system at time 1s, 4s,
7s and 10s.
### 2.4 Simulation Validation
Figure 4: On the left we can see the markers attached to the leg links, we
currently have 3 marker mounts that each provides a coordinate frame that is
rigidly attached to the robot. On the right the camera positions are shown,
the robot will hop at place which gives the best capture view for the motion
capture system.
We built a serial-link-open-chain model in simulation to test the validity of
the aforementioned reconstruction algorithm. Simulink is a graphical
programming environment for modeling, simulating and analyzing multidomain
dynamic systems, which is the ideal candidate for our task. Using the Simscape
Multibody environment we built a three-link pendulum model where each link is
connected through a six-DOF joint, for simulation tolerance we have a relative
tolerance of $1e^{-6}$ and an absolute tolerance of $1e^{-8}$, ode15 is the
integration solver in use and the sample time is $1/120s$. We obtained all of
the measurements required to perform the reconstruction from the simulation
sensory data, using such data we got the reconstructed joint parameters and
compared them with the pre-defined joint parameters that are used to construct
the model. We noticed that they are approximately the same. To make the
simulation setup more alike to the hardware experiment, we use only the
position and orientation data (given in quaternions) of the links in interest
as the input of the algorithm. The velocity and acceleration data both linear
and rotational is obtained from the position data and orientation data. After
exploring with multiple algorithms we used what is proposed in [19]. The
simulation result is shown in Fig 3. We can see that in the top we have poses
of the three link system, the first three shows little difference between the
poses from the simulation and the reconstructed version, however for the
fourth one the difference is more significant. The reason for this is the
first three are closer to the neutral position, and the difference grows as
the three link system deviates from the neutral position.
## 3 EXPERIMENTAL EVALUATION
### 3.1 Hardware Setup
Here we introduce the OptiTrack motion capture system and the ATRIAS bipedal
robot as the experiment platform. The OptiTrack system is developed by Natural
Point, we use an array of five Flex 13 cameras which are mounted to
approximately 1.5 meters high and captures frames at 120Hz, which provides
full coverage for the leg links. The ATRIAS bipedal robot is a human-scale
bipedal robot designed and built by the Oregon State University Dynamic
Robotics Lab, which has been demonstrated to have agile walking, running and
hopping gaits. To obtain the position and orientation of the leg links we
added 3D printed marker mounts, which gives a coordinate system that is
rigidly attached to the link, we use this coordinate system to estimate the
orientation of the leg links. The whole experiment setup is shown in figure 4.
The inertia matrix and the center-of-mass location of the leg links are
determined from the original design drawing.
### 3.2 Method
Algorithm 1 Get Relative Translation Between Two Links
1:Obtain linkage rotation data $\mathbf{R_{1}}$ and $\mathbf{R_{2}}$
2:Find a tracking point on each link $x_{1}$ and $x_{2}$ in world frame
coordinates
3:Get the distance of the tracking point and the link-joint connection point
in body frame coordinates $r_{1}$ and $r_{2}$
4:Get the distance between the tracking points in world frame coordinates
$\mathbf{X}=x_{1}-x_{2}$
5:Assume no relative translation the distance between tracking points is
$\mathbf{X}_{\rm img}=-R_{1}r_{1}-R_{2}r_{2}$ in world frame coordinates
6:The joint relative translation in world frame coordinates can be obtained
from $\mathbf{X_{\rm rel}}=\mathbf{X}_{\rm img}-\mathbf{X}$
7:The joint relative translation in base frame coordinates can be obtained
from $\mathbf{X}_{\rm relbody}=R_{1}^{T}\mathbf{X}_{\rm rel}$
$x$$y$$y$$x$ Figure 5: This figure shows the requiring measurement for
estimating the relative translation and relative rotation among the joints.
The cyan frame on each joint represents the body frame where the $x$-axis
points along the red line, and the $y$-axis is perpendicular to the red line
which is the same frame that is define by the three markers on each link.
Using a pair of red and green measurements along with algorithm 1 we can get
the relative translation.
(a)
(b)
Figure 6: This figure shows the filtering process, figure 6(a) shows the
frequency information of the relative translation for the upper joint, which
is shown in figure 5. From obtained white noise frequency information we can
draw a line that indicates whether the corresponding frequency component can
be considered as white noise. Using this information the cutoff frequency is
determined to be 5Hz, and the post-filtering result is shown in figure 6(b),
where the dashed lines are the post-filtered data and the solid lines are the
pre-filtered data. We can see that after filtering the noise has been greatly
reduced while the loss of information is minimal.
During the experiment ATRIAS performs a low speed running gait [20] while an
external force limits the forward motion, the result is a gait that is similar
to hopping at place. After obtaining the motion capture data we extract the
relative translation and relative rotation of each joint. After obtaining the
relative translation and rotation for both the knee and ankle joints we can
put all the measurements into the reconstruction algorithm mentioned in the
previous section and obtain the corresponding joint coefficients. Note that in
the current setting the reconstruction is only for the middle link shown in
figure 5, this is because the forced acting on the middle link is only
produced by the knee and ankle joints. Whereas the other links may have forces
and torques produced by actuation or interaction with the external
environment. The hip joint is relatively rigid thus the displacement inside
the joint is much more difficult to measure using a motion capture system.
Also we lack a method for measuring the ground reaction force for the link
that touched the ground.
From the markers we can obtain the orientation of the link using the
connecting lines of each marker as the axis of the body frame. However using
this method we usually cannot obtain an orthonormal matrix, we then find the
nearest orthonormal matrix in terms of Frobenius norm, and replace that as the
rotation matrix. Then it is trivial to obtain the relative rotation: after
obtaining the orientation of each leg link that are connected to a joint
$R_{1}$ and $R_{2}$, the relative rotation is obtained by $R_{\rm
rel}=R_{1}^{T}R_{2}$. To obtain the relative translation we use algorithm 1.
The idea for getting the relative translation is to compare the difference
between two points when there is relative translation in the joints and when
there is not. The difference between the two will be the relative translation,
which can be shown in figure 5.
Figure 7: This figure shows the reconstruction result using data obtained from the ATRIAS biped. The data can be separated into a training set and a testing set. We obtain the joint coefficients from the training set and use them with the testing set measurements to get the corresponding net torque estimations. We see that both the training set and the testing set fits the data well which can also be indicated by the RMSE error. $k_{px}$ | $k_{py}$ | $k_{pz}$ | $k_{dx}$ | $k_{dy}$ | $k_{dz}$ | $k_{p\theta x}$ | $k_{p\theta y}$ | $k_{p\theta z}$ | $k_{d\theta x}$ | $k_{d\theta y}$ | $k_{d\theta z}$
---|---|---|---|---|---|---|---|---|---|---|---
1.76e3 | 2.65e4 | 2.15e2 | 5.53e2 | -18.26 | 7.78 | 1.58 | -2.15 | -1.06 | -0.06 | -0.01 | 0.02
6.60e-7 | -65.12 | -9.05 | -3.54e-9 | 22.72 | 0.25 | 0.52 | 0.80 | 2.94 | 0.01 | 0.04 | -0.02
Table 1: This table gives the reconstructed joint coefficients, the first row
corresponds to the upper joint shown in figure 5 and the second row
corresponds to the lower joint.
Before inputting the measurements into the reconstruction algorithm filtering
is required. High frequency noise in the data can be magnified after
differentiating the position and orientation data into both linear and angular
velocity and acceleration. Filtering requires a cutoff frequency given that we
want the data to go through a lowpass filter. This cutoff frequency is
determined by comparing the power of each frequency of the data where the
robot is moving and data where the robot is standing still, which is shown in
figure 6(a). When ATRIAS is standing still the relative translation should be
a fix value, and the Fourier transform would show the power of the white
noise. Assuming that the non-white-noise information should have a much higher
power than white noise we can then determine the cutoff frequency by a simple
criteria: the power of a frequency that is in the region of the white noise
should be filtered out.
After get the getting the filtered position and orientation data we need to
get the corresponding velocity and acceleration for both the translational and
rotational components. We do so by using the sliding-window regression
algorithm proposed in [19]. Note that the orientation is first represented
using quaternions $\mathbf{q}$ and after passing them into the sliding-window
regression algorithm what we obtain is the first and second derivative of the
quaternions $\dot{q}$ and $\ddot{q}$. The corresponding angular velocity and
acceleration can be obtained as
$\displaystyle\omega$ $\displaystyle=2\dot{q}q^{*};$ $\displaystyle\alpha$
$\displaystyle=2(\ddot{q}q^{*}-(\dot{q}q^{*})^{2})$
where $q^{*}$ is the conjugate quaternion of $q$, $\omega$ is the angular
velocity and $\alpha$ is the angular acceleration.
The moment of inertia, the link mass, and the distances between the markers
and the joints are obtained using a combination of measurements from the
SolidWorks model and on ATRIAS. Which can be inaccurate due to previous
repairs and measurement error. To get a more accurate measurement we added a
bias term for each measurement in the regression to compensate. However, for
the link mass and moment of inertia we would need to disassemble ATRIAS to
perform system identification, therefore we rely on the SolidWorks model for
these measurements.
### 3.3 Results
Using the measurements obtained from the motion capture system and other
sources as mentioned in the previous sections we can obtain a set of joint
coefficients. To validate the accuracy of the reconstructed joint coefficients
we compare the measured net torque and the net torque reconstructed using the
obtained joint coefficients the result is shown in figure 7. As we can see the
reconstruction has a relatively low root mean squared error (RSME), which
indicates that the reconstructed net torque can capture the real motion. This
result also indicates the joint model of the robot and the joint coefficient
changed little over time using previously obtained joint model and joint
coefficients we can online monitor the torques and forces in the unactuated
joints. The reconstructed joint coefficients are shown in table 1. As we can
see the joint coefficients have negative terms which does not align with our
joint model, for a more detailed discussion regarding this please see the
discussion section.
## 4 DISCUSSIONS
Figure 8: This figure shown the relative translation and relative rotation of
the lower joint shown in figure 5. We can observe that the displacement on the
$x$-axis deviates from 0 a lot. Also the magnitude of the relative rotation
are about $10^{-1}$, while the magnitude of the relative translation are
amongst $10^{-3}$.
This work focuses on identifying the kinematic structure of legged robots
adaptively. We model each joint as a 6 DOF first-order spring-damper system,
where the joint coefficients can be reconstructed using the method described
in section II. From the results we can see that the reconstruction algorithm
can build a meaningful model of the system which is able to predict the net
torque using only partial measurements from the motion capture system.
Knowledge from previous work on ATRIAS shows that during high impact motions
such as running and hopping the knee joint experiences out-of-plane bending
which leads to fatal failures for the system. This observation is confirmed in
this work from the obtained motion capture data. What can also be observed is
that this deformation can be found in all DOFs of the joints. Which
consolidates our belief that the knee and ankle joints of ATRIAS can be better
modeled using a 6 DOF joint model. This remainder of this section is
structured as follows, first we will elaborate on the motion observations,
then we will discuss the effectiveness of our current model, finally we will
talk about the limits of the current model and possible future directions.
### 4.1 Observed Motion
From figure 7 and the motion capture data we can see both the knee and ankle
joints have movements in previously considered rigid DOFs. Previous
experiments have observed out-of-plane movement at the knee joint, which is
magnified by the non-perpendicular placement of the leg links with respect to
the ground during walking and running. In this work this observation is
confirmed by observing nonzero relative rotation on all three DOFs of the knee
joint, though the motion is small in scale. The movement is smaller compared
to what is observed in previous works which is mainly due to gait selection.
What is worth pointing out is the deformation in the lower joint, which is
shown in figure 8. The relative translation among the $x$-axis is larger in
range compared to the other axes, this phenomenon is due to the mechanical
design of ATRIAS. Applying force by hand we can move slightly along the
$x$-axis for the ankle joint, while the same amount of movement cannot be
achieved on any other degrees of freedom.
### 4.2 Joint Model
Figure 9: This figure shows the range of torques generated by both the
translational and rotational spring dampers of each joint. Here the force
torques represent the torques generated by force which can be calculated as
$r\times F$.
The current joint model is a 6 DOF joint model, which has a spring damper
system on each DOF to govern its movement. Using the reconstruction algorithm
in section II we can obtain the corresponding joint coefficients using motion
capture data which are shown in table 1. And then we reconstructed the torques
produced by joint deformation, which is shown in figure 9. We can see that the
$k_{p}$ and $k_{d}$ terms for the upper joint are relatively large and for the
lower joint they are much smaller. This indicates the upper joint is more
rigid in the translational DOF. This can also be verified by inspecting the
joints themselves. The $k_{p\theta}$ and $k_{d\theta}$ terms are small due to
the inaccuracies in relative rotation measurements, which has a much larger
magnitude when compared with translation measurements. Another anomaly is that
some of the $k_{p}$ and $k_{d}$ terms are negative, where the current model
assumes positivity for all of the joint coefficients. A possible explanation
for this would be due to inaccurate estimations for the neutral points of the
joints along with using a non-representative joint model. From Hooke’s law we
know the force produced by a spring is proportional with the displacement
relative to the neutral position. This explains why the $k_{px}$ and $k_{d}x$
term are significantly smaller for the lower joint in figure 5 compared to
other force related joint coefficients. The measured displacements on the
$x$-axis for the second joint ranges between -2 and -8 millimeters, while all
the other force related displacements are in the sub-millimeter regime, which
is shown in figure 6(b). Additionally, translational displacements are of the
magnitude of $10^{-4}$ while the rotational displacements given in radians are
among $10^{-1}$. This also may be the reason which leads to disproportion in
magnitude between force-related and torque-related joint coefficients.
### 4.3 Limitations & Future Directions
There are a few limitations to the current approach. This work models the
joints as a connection between links which uses a spring-damper system to
govern the movement on all 6 DOF. In reality, most springs acts linearly only
in a certain region, the overall model is nonlinear. We tried fitting a third
order and fifth order model to the system, but the results did not show a
significant improvement. Though by looking into the reconstruction results we
observed higher order models has the ability to fit high frequency components
in the data better, thus slightly reducing the RMSE. However, the use of these
models lacks physical intuition for its mechanism, further research is
required before commiting to these models. Previous work on deformation in
elastic materials uses a nonlinear elastic model to describe the force-
deformation relationship. Work in neuromuscular control [21], [22] have been
using many complex versions of spring-damper systems to model forces in
muscles. Since the springs are inherently nonlinear experimenting with
nonlinear models might produce promising results.
The current validation method is to separate the data into two parts: one used
for training and the other used for testing (validation). The RSME of the
training set shows how well the reconstruction algorithm can fit the data,
while the testing set shows whether the reconstructed joint coefficients
overfits to the training set. This provides a metric to evaluate the
performance of the reconstruction algorithm. However, this only validates the
ability for finding a model which explains the net torque of the link. A more
desirable validation method would also give you the accuracy for the
reconstruction of the torques and forces for a specific joint (the knee and
ankle joint). To achieve this we need to measure the ground truth of the
forces and torques for each separate joint. We can achieve this by measuring
the ground reaction force (GRF) using a force plate. Once the GRF is known we
can perform inverse kinematics to get the corresponding torques and forces on
the knee and ankle joint. Then we can compare it with the reconstructed force
and torques to get a better understanding for the accuracy of the
reconstruction algorithm. Which also will give us a method for validating the
accuracy of the joint model.
## 5 CONCLUSIONS
We present work to automate identification for humanoid kinematic structure
which takes the possible deformation into consideration, and constructed an
algorithm to reconstruct the kinematic structure of the robotic system from
obtained joint parameters. Initially in simulation the reconstruction
algorithm is validated. Then we experimented on the ATRIAS biped and collected
the data using an array of OptiTrack motion capture cameras. We showed that
our method can fit a model to the data which is able to reconstruct the net
torque accurately. However, the current method presents some limitations.
Firstly, the calibration depends largely on measurements which can be improved
by using the motion capture system to assist the calibration, also adding a
bias term into the regression would also aid to this. Secondly, the current
method uses a first order linear joint model which does not capture the
nonlinearity in the system well, this can be improved by using a higher order
linear model or even nonlinear joint models. Additionally, the current
validation method could only only evaluate the accuracy for reconstructing the
net torque generated by both the knee and ankle joint, while knowing the
accuracy for each separate joint would be more ideal. This can be improved by
measuring the GRF.
## 6 ACKNOWLEDGMENT
I want to thank Ashwin Khadke, Timothy Kyung, Tianyu Li, Justin Macey, William
Martin, Akshara Rai, Avinash Siravuru and Nitish Thatte for their support on
operating ATRIAS, tuning the motion capture system and many inspiring
discussions. I also want to thank Taiyan Liu for her tremendous support during
my entire academic career at Carnegie Mellon University, which I am forever
grateful.
## References
* [1] R. Desai and H. Geyer, “Robust swing leg placement under large disturbances,” in _2012 IEEE International Conference on Robotics and Biomimetics, ROBIO 2012, Guangzhou, China, December 11-14, 2012_ , pp. 265–270.
* [2] M. Rutschmann, B. W. Satzinger, M. Byl, and K. Byl, “Nonlinear model predictive control for rough-terrain robot hopping,” in _2012 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2012, Vilamoura, Algarve, Portugal, October 7-12, 2012_ , pp. 1859–1864.
* [3] W. Martin, A. Wu, and H. Geyer, “Robust spring mass model running for a physical bipedal robot,” in _IEEE International Conference on Robotics and Automation_ , June 2015, pp. 6307 – 6312.
* [4] Z. Batts, S. Song, and H. Geyer, “Toward a virtual neuromuscular control for robust walking in bipedal robots,” in _2015 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS)_.
* [5] S. Feng, E. C. Whitman, X. Xinjilefu, and C. G. Atkeson, “Optimization-based full body control for the DARPA robotics challenge,” _J. Field Robotics_ , vol. 32, no. 2, pp. 293–312, 2015.
* [6] S. Feng, X. Xinjilefu, W. Huang, and C. G. Atkeson, “3d walking based on online optimization,” in _2013 13th IEEE-RAS International Conference on Humanoid Robots (Humanoids)_ , 2013, pp. 21–27.
* [7] S. Feng, X. Xinjilefu, C. G. Atkeson, and J. Kim, “Optimization based controller design and implementation for the atlas robot in the darpa robotics challenge finals,” in _2015 IEEE-RAS 15th International Conference on Humanoid Robots (Humanoids)_ , 2015, pp. 1028–1035.
* [8] M. DeDonato, F. Polido, K. Knoedler, B. P. W. Babu, N. Banerjee, C. P. Bove, X. Cui, R. Du, P. Franklin, J. P. Graff, P. He, A. Jaeger, L. Li, D. Berenson, M. A. Gennert, S. Feng, C. Liu, X. Xinjilefu, J. Kim, C. G. Atkeson, X. Long, and T. Padir, “Team wpi-cmu: Achieving reliable humanoid behavior in the darpa robotics challenge,” _Journal of Field Robotics_ , vol. 34, no. 2, pp. 381–399.
* [9] M. Johnson, B. Shrewsbury, S. Bertrand, T. Wu, D. Duran, M. Floyd, P. Abeles, D. Stephen, N. Mertins, A. Lesman, J. Carff, W. Rifenburgh, P. Kaveti, W. Straatman, J. Smith, M. Griffioen, B. Layton, T. Boer, T. Koolen, P. Neuhaus, and J. Pratt, “Team ihmc’s lessons learned from the darpa robotics challenge trials,” _Journal of Field Robotics_ , vol. 32, no. 2, pp. 192–208.
* [10] J. Ting, A. D’Souza, and S. Schaal, “Bayesian robot system identification with input and output noise,” _Neural Networks_ , vol. 24, no. 1, pp. 99–108, 2011.
* [11] L. Ding, H. Wu, Y. Yao, and Y. Yang, “Dynamic model identification for 6-dof industrial robots,” _J. Robotics_ , vol. 2015, pp. 471 478:1–471 478:9, 2015.
* [12] M. Gautier, A. Janot, and P. Vandanjon, “DIDIM: A new method for the dynamic identification of robots from only torque data,” in _2008 IEEE International Conference on Robotics and Automation, ICRA 2008, May 19-23, 2008, Pasadena, California, USA_ , pp. 2122–2127.
* [13] “Modeling and identification of an industrial robot for machining applications,” _CIRP Annals_ , vol. 56, no. 1, pp. 387 – 390, 2007.
* [14] “Joint stiffness identification of six-revolute industrial serial robots,” _Robotics and Computer-Integrated Manufacturing_ , vol. 27, no. 4, pp. 881 – 888, 2011.
* [15] L. Sun and L. Fang, “An approximation method for stiffness calculation of robotic arms with hybrid open- and closed-loop kinematic chains,” _Advances in Mechanical Engineering_ , vol. 10, no. 2, p. 1687814018761297, 2018.
* [16] S. Ulrich, J. Z. Sasiadek, and I. Barkana, “Nonlinear adaptive output feedback control of flexible-joint space manipulators with joint stiffness uncertainties,” _Journal of Guidance, Control, and Dynamics_ , vol. 37, no. 6, pp. 1961–1975, 2014.
* [17] C. Hubicki, J. Grimes, M. Jones, D. Renjewski, A. Spröwitz, A. Abate, and J. Hurst, “Atrias: Design and validation of a tether-free 3d-capable spring-mass bipedal robot,” _The International Journal of Robotics Research_ , vol. 35, no. 12, pp. 1497–1521.
* [18] J. Hwangbo, J. Lee, A. Dosovitskiy, D. Bellicoso, V. Tsounis, V. Koltun, and M. Hutter, “Learning agile and dynamic motor skills for legged robots,” vol. 4, no. 26, 2019.
* [19] F. Sittel, J. Müller, and W. Burgard, “Computing velocities and accelerations from a pose time sequence in three-dimensional space,” _Technical Report 272, University of Freiburg, Department of Computer Science_ , 2013.
* [20] W. C. Martin, A. Wu, and H. Geyer, “Experimental evaluation of deadbeat running on the ATRIAS biped,” _IEEE Robotics and Automation Letters_ , vol. 2, no. 2, pp. 1085–1092, 2017.
* [21] S. Song and H. Geyer, “Generalization of a muscle-reflex control model to 3d walking,” in _2013 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC)_ , 2013.
* [22] “Hill-type muscle model with serial damping and eccentric force–velocity relation,” _Journal of Biomechanics_ , vol. 47, no. 6, pp. 1531 – 1536, 2014\.
| arxiv-papers | 2021-07-25T16:26:17 | 2024-09-04T03:07:16.995719 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Bolun Dai",
"submitter": "Bolun Dai",
"url": "https://arxiv.org/abs/2107.11836"
} |
2107.11837 | # How sticky are our proteins? Quantifying hydrophobicity of the human
proteome
Juami Hermine Mariama van Gils Dea Gogishvili Center for Integrative
Bioinformatics (IBIVU), Computer Science Department, VU University, Amsterdam,
1081 HV, The Netherlands Jan van Eck Center for Integrative Bioinformatics
(IBIVU), Computer Science Department, VU University, Amsterdam, 1081 HV, The
Netherlands Robbin Bouwmeester Center for Integrative Bioinformatics
(IBIVU), Computer Science Department, VU University, Amsterdam, 1081 HV, The
Netherlands Erik van Dijk Center for Integrative Bioinformatics (IBIVU),
Computer Science Department, VU University, Amsterdam, 1081 HV, The
Netherlands Sanne Abeln
(July 2021)
Proteins tend to bury hydrophobic residues inside their core during the
folding process to provide stability to the protein structure and to prevent
aggregation. Nevertheless, proteins do expose some ’sticky’ hydrophobic
residues to the solvent. These residues can play an important functional role,
for example in protein-protein and membrane interactions. Here, we investigate
how hydrophobic protein surfaces are by providing three measures for surface
hydrophobicity: the total hydrophobic surface area, the relative hydrophobic
surface area, and - using our MolPatch method - the largest hydrophobic patch.
Secondly, we analyse how difficult it is to predict these measures from
sequence: by adapting solvent accessibility predictions from NetSurfP2.0, we
obtain well-performing prediction methods for the THSA and RHSA, while
predicting LHP is more difficult. Finally, we analyse implications of exposed
hydrophobic surfaces: we show that hydrophobic proteins typically have low
expression, suggesting cells avoid an overabundance of sticky proteins.
## 1 Introduction
Figure 1: Outline of the study. 1) Structure-based definition represents the
three hydrophobic measures: The red colour indicates the surface of
hydrophobic residues. The total hydrophobic surface area (THSA) is calculated
by summing the area of all hydrophobic residues. The relative hydrophobic
surface area (RHSA) is calculated by dividing the THSA by the total accessible
surface area (TASA). The largest hydrophobic patch surface area is the largest
area of adjacent hydrophobic residues. 2) We train and benchmark sequence-
based prediction methods of the three hydrophobic measures. 3) THSA, RHSA and
LHP values for the human proteome were predicted by the best performing
methods and used to estimate the abundance of hydrophobic proteins in various
diseases and tissues.
Hydrophobic residues tend to be buried inside the core of a protein to avoid
contact with their hydrophilic surroundings (the hydrophobic effect) [1, 2].
Hydrophobic residues that do occur on the protein surface often play a
functional role, e.g. for protein-protein interactions and membrane binding
[3, 4, 5]. Additionally, exposed hydrophobic residues can play a role in the
progression of diseases. For example, it has become apparent that
hydrophobicity may play a major role in the formation and stabilisation of
amyloid fibrils [6, 7, 8], which are linked to aggregation diseases such as
Alzheimer and Parkinson [9, 10, 11, 12]. In fact, burying the hydrophobic
residues inside the folded protein is also thought to prevent aggregation [13,
14, 15]. Abundant exposed hydrophobic residues can also affect experimental
outcomes: exposed hydrophobic residues may cause gel formation and prevent
crystallisation for protein structure determination [16]; in liquid
chromatography surface hydrophobicity is used to separate proteins for further
experiments [17]. All these examples suggests that the more hydrophobic a
protein surface, the more “sticky” this protein is to its surrounding (see
also panel 1 in Figure 1).
The hydrophobic surface area can be defined in different ways. Here, we use
three different structure-based measures to describe surface hydrophobicity
(see panel 1 in Figure 1):
1. 1.
The total hydrophobic surface area (THSA) is the absolute area of all the
exposed hydrophobic residues.
2. 2.
The relative hydrophobic surface area (RHSA) is the fraction of the protein
surface that is hydrophobic, i.e. the THSA divided by the total accessible
surface area (TASA).
3. 3.
The largest hydrophobic patch (LHP) is the largest connected hydrophobic area
on the protein surface (and is therefore always smaller than or equal to the
THSA). It has been shown that LHP size affects protein solubility [18, 19, 20]
and function [21, 22].
Note that THSA, RHSA and LHP may not always correlate. For example, a large
THSA value can be due to the size of the protein, and a protein with many
scattered hydrophobic residues on its surface may have a small LHP but a large
THSA and RHSA.
Experimentally, the exposed hydrophobic surface area can be estimated using
differential scanning calorimetry (DSC), for which the heat capacity
temperature relation for the folded protein is directly related to the THSA
[23, 24].
In this work, our main goal is to investigate _how_ hydrophobic protein
surfaces are within the human proteome. We also provide some insight how
hydrophobicity is related to cellular expression levels, giving an idea of the
overall hydrophobicity in the cellular environment. The question _why_ some of
the human proteome is hydrophobic is not the main focus of our investigation,
but is considered in some cases to interpret results.
We use 3D structural information from the PDB to determine the THSA, RHSA and
LHP from structure. The THSA and RHSA can be derived by summing over the
exposed surface area per residue calculated by DSSP [25]. To calculate the
LHP, we introduce a novel method named MolPatch, which is loosely based on a
method developed by Lijnzaad et al. [26, 18].
Since many protein structures have not yet been determined experimentally, we
subsequently use the values we obtain from the PDB structures to train/assess
predictors for these three measures. There is a wide range of methods that can
predict the surface accessibility for a single residue [27, 28, 29, 30, 31].
However, to predict whether a _hydrophobic_ residue will be exposed to the
surface is not a trivial task: the earlier methods tended to predict the
majority of hydrophobic residues to be fully buried (see Figure S1), as may be
expected since the hydrophobicity of residues is strongly associated with
being buried inside the protein [32]. The current generation of residue-based
surface accessibility predictors use deep neural networks. For example,
NetSurfP2.0 is a deep learning-based multitask predictor, which uses
evolutionary profiles to make sequence-based predictions of structural
features [31]. It uses both convolutional and long short-term memory neural
layers in the deep learning architecture, with the ability to predict both
secondary structure and solvent accessibility [31]. Here we will show
NetSurfP2.0 is able to make accurate enough surface accessibility predictions
for hydrophobic residues, which in turn can be used to predict the global
hydrophobic surface measures described above.
Finally, we use the best-performing prediction methods to predict the THSA,
RHSA and LHP of all proteins in the human proteome, and correlate this to
cellular expression levels, providing effectively an indication of proteome
hydrophobicity per cell type. Subsequently, we use our predictions to provide
a glance into the potential implications of a highly hydrophobic proteome in
terms of human disease.
## 2 Results
### 2.1 Structure-based definitions - MolPatch
To quantify the exposed hydrophobic areas on the protein surface, we defined
three different structure-based measures for surface hydrophobicity, the THSA,
RHSA and LHP. Using DSSP [25], we can calculate the THSA and RHSA directly
from the surface area per residue (Figure 2), see methods for futher details.
To define the largest hydrophobic patch on a protein surface, we developed a
novel tool named MolPatch. This tool takes the 3D coordinates in PDB format
and identifies networks of adjacent hydrophobic residues to find hydrophobic
patches on the protein surface. Hydrophobic patches of 4,250 structures of
soluble proteins were analysed using MolPatch (see Methods). Figure 2
highlights the importance of having three measures by observing the LHP of two
proteins with very different surface areas. Although the difference in RHSA
between the two proteins is only 6%, the THSA and LHP of SabA are
approximately 1.5 and 3 times larger than the LHP of Leishmanolysin.
Generally, we see that there is no trivial correlation between THSA, RHSA and
LHP (Figure S2).
Figure 2: THSA, RHSA and LHP, as identified by MolPatch for two different
protein structures. Top: SabA, PDB=4O5J. Bottom: Leishmanolysin, PDB=1LML. The
surface of hydrophobic residues are displayed in yellow and red. Those in the
largest hydrophobic patch (LHP) are displayed in red. The surfaces of the
hydrophilic residues are displayed in blue. Note that Leishmanolysin is much
larger (465 residues) and has a much larger THSA (5046 Å) compared to SabA
(370 residues, 3691 Å), while the RHSA is quite similar between the two
proteins, 26% vs 20%. The difference in the LHP is even larger, with 2459 Åvs.
877 Å, respectively; a nearly three-fold difference.
To determine whether our structure-based largest patch definition is
reasonable in biological terms, we overlapped the residues in the 20 largest
hydrophobic patches of each protein in our database with those in the PiSITE
protein interaction database (also see SI Methods). We would expect that large
hydrophobic patches, functionally may serve as a protein-protein interaction
interfaces. Indeed, we found that overall, the three largest patches in a
protein were significantly enriched in protein interaction sites (Figure S3
and Table S1).
### 2.2 Sequence-based predictions - THSA and RHSA can be predicted with
reasonable accuracy
Since there are many more protein sequences available than structures, it is
highly valuable to be able to predict the THSA, RHSA and LHP from sequence,
which will allow us to characterise much broader set of proteins. Thus, we
aimed to determine how well we can currently predict the three measures, and
identify which sequence features contribute most to the accuracy of these
predictions. We used our structure-based definition set to develop sequence-
based predictors in a double cross-validation scheme (see Methods).
Figure 3: Accuracy of the predictions of the total, relative and largest patch
hydrophobic surface area for NetSurfP2.0-based models, the LBM, TFM and GFM.
The fraction of correctly predicted proteins within a certain error margin for
each of the methods are shown as calculated over the test set.
To predict the THSA and RHSA, we used NetSurfP2.0, a neural-network-based
method that takes evolutionary conservation profiles as input, and is
currently one of the best (non-ensemble) predictors for surface accessibility
and secondary structure [31, 33, 34]. NetSurfP2.0 provides surface area
predictions per residue. To obtain the THSA, we summed over the predicted
accessible surface areas of all hydrophobic residues. To obtain the RHSA, we
summed over the predicted accessible surface area of all residues and divided
the THSA by this value. Previous results (Figure S1) indicate that the
sequence length and hydrophobicity are strong predictors for the THSA and
RHSA, and even outperformed a previous version of NetsurfP2.0 (Figure S4).
Therefore, we trained two additional models, one that incorporates the
sequence length, the number of hydrophobic residues and the number of
hydrophilic residues (three-feature model, TFM), and one that includes a
larger number of features derived from the sequence (global feature model
(GFM) see Methods). Figure 3 and Table 1 show that the NetSurfP2.0 based
predictions are clearly superior.
The TFM, which only includes the features sequence length, number of
hydrophobic and number of hydrophilic residues, also performs significantly
better than random for both the THSA and RHSA, indicating that these features
are of major significance for predicting these two properties. The GFM, which
includes 31 features, performs only marginally better than the TFM, indicating
that sequence length and sequence hydrophobicity are some of the main
determinants for the hydrophobic surface area. Since it is difficult to obtain
feature importance from neural network models such as NetSurfP2.0, we also
analysed the feature importance measures from the GFM. This analysis showed
that the hydrophobicity of the sequence is another major predictor for the
THSA and RHSA (Figure S4, gravy score [35], aromaticity [36], hydr_count).
To predict the LHP from sequence, the LHP determined by MolPatch was used as a
gold standard. The training procedure for the TFM and GFM for predicting the
LHP, was performed in a similar fashion to the training for THSA and RHSA.
Since the NetSurfP2.0 predictions cannot readily be used to predict the LHP, a
model was trained that uses the THSA and RHSA predicted by NetSurfP2.0 as
input features to predict the LHP (NetSurfP-based model, NBM). The results are
shown in Figure 3 and Table 1. One can see that the NBM outperforms the other
two methods. The sequence hydrophobicity again appears to have a major
contribution to the prediction results (Figure S4). Nevertheless, each of
these prediction models perform significantly worse than the models for the
THSA and RHSA predictions (Table 1), suggesting LHP prediction is less
straightforward than THSA or RHSA predictions.
Table 1: $R^{2}$ of each of the prediction models for the THSA, RHSA and LHP for the four different prediction models as calculated over the test set. | THSA | RHSA | LHP | Features
---|---|---|---|---
NetsurfP2.0 | 0.92 | 0.77 | - | Evolutionary profiles
NBM | - | - | 0.43 | THSA and RHSA predictions
| | | | by NetSurfP2.0
TFM | 0.71 | 0.13 | 0.00 | Sequence length, number of
| | | | hydrophobic residues, number of
| | | | hydrophilic residues
GFM | 0.75 | 0.49 | 0.12 | 31 sequence-based features
### 2.3 Human Proteome Mapping
#### 2.3.1 Transmembrane proteins - the most hydrophobic part of the human
proteome
For 14,533 proteins in the human proteome, we were able to predict THSA, RHSA
and LHP values (see Methods). Figure 4 shows a comparison of the distributions
of the definitions of these values on the structural data set and of the
predicted values on the human proteome data set. Proteins in the structure-
based data set appear to be smaller compared to those in the curated human
proteome. In line with this, we see that the THSA and LHP distributions are
strongly shifted towards the right-hand side compared to the structure-based
data, most likely due to the larger size of proteins in the human proteome.
Figure 4: The distribution of the protein length, THSA, RHSA and LHP values
from the whole curated human proteome (red), annotated transmembrane (yellow)
and multimeric (grey) proteins (predicted) and the same values in the
structure-based data set (blue) for the comparison. The structure-based data
set contains smaller proteins that the human proteome datasets, as may be
expected. Values on the legend indicates the size of the data sets analysed.
The figure indicates that transmembrane proteins are predicted to have large
hydrophobic surface areas (observed on the LHP plot: $\sim 2000$ Å; $\sim
6500$ Å), which can be seen as a known positive control for the human proteome
predictions.
Moreover, the structure-based set (blue) does not show a peak of very large
hydrophobic patches (LHP, $\sim 6500$ Å) as observed for the human proteome
data set (red). Importantly, structure-based data analysed by MolPatch neither
contains proteins with more than one chain in the PDB structure nor
transmembrane proteins; both groups of proteins maybe expected to have a very
large hydrophobic patch. To investigate if this peak for the human proteome
may be due to transmembrane or multimeric proteins, we selected those proteins
annotated by UniProt [37] as ’transmembrane’ (yellow), or ’part of the protein
complex’ (grey). Indeed, after selecting transmebrane proteins from the human
proteome data set, the composition of peak of the large hydrophobic patches,
as well as the shoulder in the RHSA distribution can be explained
predominantly through the transmembrane annotated proteins in the humen
proteome (Figure 4). Multimeric proteins mostly follow the distribution of the
whole human proteome and do not appear to be much more hydrophobic in general.
The results in Figure 4 also suggest that our ML model (NBM) successfully
predicted transmembrane proteins to have large hydrophobic patches, despite
the lack of transmembrane proteins in the training data set.
#### 2.3.2 Cells avoid the over expression of proteins with a large
hydrophobic surface area
Since hydrophobic characteristics are associated with the aggregation
tendencies, we wanted to investigate whether proteins with large hydrophobic
surface areas have different expression levels. We used the RNA consensus
tissue gene data from the Human Proteome Atlas to explore a link with
expression levels. For this we relate normalised expression (NX) data to
measures for surface hydrophobicity. To obtain a single expression value for
each gene we took the highest expression level in any tissue. Figure 5 shows
that the higher the expression level of the protein, the lower the THSA, RHSA
and LHP value.
We also explored the highly expressed genes based on a median NX value (across
all the tissues that a particular gene appears in): these values show a
similar trend (Figure S5). Interestingly, proteins that do not follow the
general trend, i.e. those that are highly expressed while having a large THSA,
RHSA and LHP value, are typically protein subunits assembling large multimeric
complexes. In such complexes the proteins are likely to be stably bound, and
are hence able to shield the hydrophobic surfaces from the solvent.
Figure 5: Relationship between normalised expression (NX) and THSA, RHSA and
LHP values. For each gene the highest NX value was selected across all
tissues. The genes were grouped in deciles based on their expression levels.
The groups with the lowest NX values were associated with signficantly higher
THSA, RHSA and LHP values, compared to groups with the highest NX values.
Significance was calculated using Wilcoxon signed-rank test.The three asteriks
indicate p-values: $<$ 2.22e-16.
#### 2.3.3 The brain- and kidney-specific proteomes are enriched with
hydrophobic proteins
To investigate if genes that are enriched in specific tissues are associated
with the hydrophobic properties of the proteins, we carried out Gene Set
Enrichment Analysis (GSEA). We downloaded 5 tissue enriched gene sets from the
Human Protein Atlas (HPA) [38, 39]. Table 2 shows that the brain and kidney
tissue-enriched gene sets have a high enrichment in predicted THSA and LHP
values. Kidney-enriched genes show the highest enrichment in THSA, RHSA and
LHP of the ranked gene lists (p-values ¡ 0.001). A possible explanation for
this is the major role of kidney tissue in maintaining homeostasis through
various membrane-bound receptors and transporters [40]. Indeed, 79% of the
kidney enriched proteome is annotated as transmembrane by UniProt [37].
Interestingly, liver tissue revealed no enrichment. The skin and blood tissue
enriched gene sets exhibited significant enrichment in the RHSA ranked list.
Furthermore, both tissue groups were significantly depleted in the THSA ranked
list, indicating that they may contain the smaller proteins in the human
proteome.
Table 2: Pre-ranked GSEA enrichment statistics in different tissues. Various tissue-enriched gene sets were obtained from the HPA [38, 39]. THSA, RHSA and LHP values were central-scaled prior to the GSEA analysis. The enrichment score (ES) is the maximum deviation from zero showing the degree to which the gene set is over-represented at the top (positive ES score) or bottom (negative ES score) of the entire ranked list of genes. The fraction of transmembrane and multimeric proteins in the following gene sets is shown in percentages. * P ¡ 0.05 ** P ¡ 0.001 Gene set | ES (THSA) | ES (RHSA) | ES (LHP) | Transmembrane (%) | Multimeric (%)
---|---|---|---|---|---
Brain (488) | 0.33∗∗ | 0.14 | 0.64∗∗ | 47.0 | 47.0
Kidney (53) | 0.62∗∗ | 0.53∗∗ | 0.78∗∗ | 79.2 | 35.8
Skin (113) | -0.46∗ | 0.30∗∗ | 0.44 | 7.9 | 15.9
Liver (242) | -0.22 | -0.16 | 0.45 | 26.0 | 59.9
Blood (57) | -0.41∗ | 0.40∗∗ | 0.68∗ | 47.4 | 28.0
To investigate the overall tissue hydrophobicity, we introduced TASH - tissue
specific average surface hydrophobicity for _all proteins_ based on the
expression levels in a specific tissue (Eqn. 5 and Figure S6). TASH-THSA value
provides an indication of the total hydrophobic surface area present in a
specific cell type. The tissues with the highest TASH-THSA values occur in the
brain, such as the cerebellum, corpus callosum, thalamus, cerebral cortex, and
basal ganglia (Figure S6).
#### 2.3.4 Increased relative hydrophobicity is associated with (aggregation)
diseases
To investigate the association of surface hydrophobicity with human diseases,
a GSEA pre-ranked analysis of 375 various disease-associated gene sets was
carried out, of which 44 gene sets show a significant (p-value ¡ 0.05)
enrichment (¡ -0.2 (negative enrichment) and ¿0.2 (positive enrichment) in at
least two hydrophobic measures (see Figure S7). Among the enriched gene sets
we can observe several KEGG [41] pathways that are associated with
neurological disorders. The RHSA showed a significant (p-value ¡ 0.05)
enrichment in Parkinson’s (ES=0.43), Alzheimer’s (ES=0.24) and Huntington’s
disease (ES=0.23) gene sets. The analysis shows a significant (p-value ¡
0.001) enrichment of sticky proteins (based on LHP) in the KEGG Parkinson’s
disease map (ES=0.66). In contrast to the GSEA analysis results on tissue-
specific proteome, the THSA shows a negative enrichment in these sets,
suggesting that the proteins involved in pathological pathways have large
hydrophobic surfaces and patches, but are smaller in size (median length
171-180 residues).
## 3 Discussion
In this work, we analysed the predictability of hydrophobic areas on protein
surfaces, which until recently was a difficult problem. We show that THSA and
RHSA values can be predicted with high accuracy (¿75% within a 20% error
margin, Figure 3). The improved predictions of NetSurfP2.0, compared to the
earlier secondary structure prediction methods (Figure S1), make this possible
by straightforward calculations of the THSA and RHSA using the predictions of
the surface accessibility per residue from NetSurfP2.0. On the other hand, the
LHP cannot be directly obtained from NetSurfP2.0 [31] and needs additional
model training. Nevertheless, we believe that recent advances in deep neural
nets, contact map prediction and structure prediction [42, 43, 33, 44] should
make it possible to make these predictions more accurate in the near future,
for example by using structure or contact predictions to predict the
hydrophobic patches, or by training a purpose specific deep neural net.
When investigating the link between tissue-based expression levels and the
measures for surface hydrophobicity, we clearly observe that highly expressed
proteins typically do not have a large hydrophobic surface area (THSA, RHSA
and LHP as seen in Figure 5). A similar trend has previously been observed for
proteins with a strong tendency to form amyloid fibrils [45], suggesting an
evolutionary pressure to avoid proteins with high aggregation propensities
being present at high concentrations in the cell. Based on our data, if we
assume that the high expression values correlate with high protein abundance
in the cell, it is conceivable that there is also an evolutionary pressure
against proteins with a large hydrophobic surface area to be overly abundant
in the cell.
Note that while the THSA and RHSA sequence-based predictions show a reasonable
correlation with the structure-based definitions, this does not necessarily
mean that the predicted amount of hydrophobic surface accessible area is
actually exposed to the cellular environment. For example, a hydrophobic patch
may be buried in a stable macro-molecular complex, or may be buried inside a
membrane. Additionally, a high hydrophobic surface area does not necessarily
mean a protein will be insoluble; this will also be very much dependent on the
amount of polar and charged residues that may surround the hydrophobic
residues or patches [46], as well as disordered regions [14].
Despite the general tendency to avoid highly expressed proteins with a large
hydrophobic surface area, the brain appears to be highly hydrophobic in its
overall expression patterns (THSA in cerebellum, cerebral and cortex as shown
in Figure S6) and in proteins enriched in the brain (THSA and LHP as shown in
Table 2). This high expression of proteins with a large hydrophobic surface
area may be rationalised by functional requirements: genes enriched in brain
tissue are involved in organising and maintaining synaptic signalling,
requiring various cell adhesion proteins with large hydrophobic surface areas
[47]; the cellular morphology of neurons including the dendrite means that
there is a relatively large transmembrane surface area per cell. Additionally,
the structural integrity of neuronal axons is facilitated by myelin [48], a
fatty substance surrounding neurons, and by myelin-associated proteins, which
are all very hydrophobic.
Furthermore, brain tissue has been associated with various aggregation
diseases [9, 10, 11, 12]. Based on our data, it may be hypothesised that the
brain is specifically vulnerable to such diseases due to its high expression
of proteins with a large hydrophobic surface. Hydrophobic patches play a role
in the folding and/or misfolding of proteins [22, 11], and can possibly
provide nucleation sites for the formation of oligomers and amyloid fibrils.
This hypothesis would be supported by the relatively high hydrophobic surface
area in molecular pathways associated with Parkinson’s, Huntington’s and
Alzheimer’s disease (as observed for the RHSA and LHP, see Figure S7).
## 4 Conclusion
In summary, we defined measures for surface hydrophobicity: THSA, RHSA and
LHP. For the definition of the LHP, we created a new tool, MolPatch, that can
identify the LHP of a protein given its PDB structure. Secondly, we have shown
that the THSA and RHSA can be predicted with high accuracy by adapting the
output of NetSurfP2.0, whereas the LHP is more difficult to predict using
currently existing methods. Finally, we showed that a high hydrophobicity of a
protein surface is associated with lower general expression levels, suggesting
that evolutionary pressure keeps the abundance of such proteins low. In
addition, we show that brain tissue expresses relatively many proteins with a
large hydrophobic surface area, giving a possible explanation for why the
brain is relatively prone to diseases that are associated with misfolding and
aggregation.
## 5 Methods and Materials
Figure 1 indicates how our approach is split into three stages. Firstly, we
created a database of filtered PDB structures (Figure 6) using PISCES. We used
this culled set to define measures for surface hydrophobicity: THSA, RHSA and
LHP. For the latter, we used a newly developed tool named MolPatch. Secondly,
using the same dataset, we investigated how well we can predict these measures
from sequence using the output generated by NetSurfP2.0. Finally, we
determined the biological impact of the THSA, RHSA and LHP. To this end, we
created a dataset of human proteins in Uniprot [37]. We used the best
prediction models to predict the THSA, RHSA and LHP for each of these
proteins. Subsequently, we correlated gene expression to the hydrophobicity in
the human proteome for different cell types.
Figure 6: Data curation scheme representing the main steps used to generate
data sets for this study. The boxes show the filtering steps and the arrows
indicate the number of entries (structures or sequences) passed through. The
structure-based definitions data set used the protein 3D structure information
and the human proteome data set was constructed of protein sequences. The
distribution of the measures for surface hydrophobicity within the data sets
are represented by the Figure 4 and are colour-coded.
### 5.1 Introducing measures for hydrophobicity
We define the Total Hydrophobic Surface Area (THSA) as the sum of the surface
areas of all hydrophobic residues in the protein. For proteins with an
available 3D structure in the PDB, this quantity can be determined by
calculating the surface area of each residue using DSSP (we used the DSSP
module in Biopython version 1.76) [49]. The Relative Hydrophobic Surface Area
(RHSA) is the caclulated as the THSA was divided by the total surface area of
all residues in the protein. Residues, $r$, were considered hydrophobic in
this work, if: $r\in\left\\{A,C,F,I,L,M,V,W,Y\right\\}$
In order to calculate the surface area of the Largest Hydrophobic Patch (LHP)
based on a protein structure, we need to find the largest connected
hydrophobic surface area on a protein surface. For this purpose, we developed
the tool MolPatch. Given the PDB structure of a protein, MolPatch creates a
point cloud on the solvent-excluded protein surface (SES) using MSMS [50]. In
this work, the SES was constructed using a probe of 1.5 Å and a density of 1.5
points per Å2. Each point on the point surface was labelled hydrophobic or
hydrophilic based on the hydrophobicity classification of the closest
_residue_. Initial edges between points were then created if the points
existed within a range of 1.25Åof each other. This search was performed with
the KDTree algorithm to speed up the process [51]. Finally, only the edges
between hydrophobic labeled node pairs were retained. This created a network
of isolated hydrophobic patches. The individual network components were then
extracted for accessible surface area estimation. MolPatch can also carry out
hydrophobic patch identification using atom-based definitions of
hydrophobicity for each SES point rather than residue-based definitions, as
available on GitHub. In this work we only use the residue-based method.
### 5.2 Sequence-based predictions
#### 5.2.1 Data curation
To predict the THSA, RHSA and LHP, a dataset of PDB structures was generated
using PISCES. PISCES is a public server for culling sets of protein sequences
from the Protein Data Bank (PDB) by sequence identity and structural quality
criteria [52]. This is important, because using structures with a high
sequence identity can introduce bias in the dataset, and factors such as the
resolution can affect the accuracy of the results. The chosen parameters were
as follows: sequence percentage identity lower or equal to 25%, resolution
lower or equal to $3.0\text{\,}\mathrm{\SIUnitSymbolAngstrom}$, R-factor lower
or equal to 0.3, sequence length within the range of 40-10,000 amino acids,
and non-X-ray entries and C$\alpha$-only entries were excluded. The culled
data set consisted of 13,858 unique protein structures with a selection of
14,604 chains. Two obsolete PDB chains were removed. Multimeric proteins were
filtered out, which resulted in a data set of 5,110 unique monomeric protein
structures. Transmembrane proteins have a relatively large hydrophobic surface
area. This clashes with our model which was made for soluble proteins where
hydrophobic residues tend to be buried. TMHMM [53, 54] was used to filter
transmembrane proteins from the data set (¿18 amino acids in transmembrane
helices).
#### 5.2.2 Machine Learning models
The final data set for training and testing of the models contained 4,917
monomers. For the THSA and RHSA, the values calculated by DSSP (as described
above) were used as training output labels. MolPatch was used to create
training output labels for evaluating the LHP predictions. Predictions for the
THSA, RHSA and LHP were acquired with the following models:
1. 1.
The three feature model (TFM) uses the sequence length, number of hydrophobic
amino acids and number of hydrophilic amino acids as input. This model is
trained using a cubist regression in the CARET module [55].
2. 2.
The global feature model (GFM) uses 31 global features (counts of each of the
20 amino acids, sequence length, entropy, hydrophobic amino acid count, polar
amino acid count, molecular weight, aromaticity, instability index, gravy
score, buried, isoelectric point and molar extinction coefficient) as input.
This model is trained using an XGBoost regressor [56].
3. 3.
(THSA and RSHA only) NetSurfP2.0 was used to predict the accessible surface
area of all the amino acids in a protein. Subsequently, the THSA was
calculated by summing over the predicted surface areas of the hydrophobic
residues in the protein sequence. The RHSA was calculated by dividing the
predicted THSA by the sum of the surface areas of all the residues in the
protein as predicted by NetSurfP2.0.
4. 4.
(LHP only) Since NetSurfP2.0 cannot predict the LHP directly, an XGBoost
regressor model was trained using the RHSA and THSA predicted by NetSurfP2.0
(as described above) as input features. This model was called the NetSurfP-
based model (NBM).
To assess the models, a double cross-validation loop was used. The data was
randomly split into a training and test set of 80% and 20%, respectively. The
training set was used to deploy a three-fold cross-validation scheme, in which
the parameters for each of the models were optimised using a grid search
method (code available on GitHub). The final accuracy estimates were
calculated over the test set.
#### 5.2.3 Estimation of prediction errors
In order to evaluate the predictions, the structure-based definitions and
sequence-based predictions can be compared, by calculating the correlation
coefficient $R^{2}$. Nevertheless, for difficult regression tasks this value
will put a lot of weight on the outliers, and will not produce results that
are easy to interpret. In addition to the $R^{2}$ measure, we also evaluated
the performance of the prediction model by examining the relative error
threshold curve given a certain threshold, partially inspired by the GDT_TS
score [57]. A major benefit of this method is that it is robust against
extreme outliers. For each prediction, the relative THSA error
($\delta_{THSA_{i}}$), RHSA error ($\delta_{RHSA_{i}}$), and LHP error
($\delta_{LHP_{i}}$) for each protein $i$ are defined by the following
formulas:
$\delta_{THSA_{i}}=\frac{\left|THSA_{pred_{i}}-THSA_{DSSP_{i}}\right|}{THSA_{DSSP_{i}}}$
(1)
$\delta_{RHSA_{i}}=\frac{\left|RHSA_{pred_{i}}-RHSA_{DSSP_{i}}\right|}{RHSA_{DSSP_{i}}}$
(2)
$\delta_{LHP_{i}}=\frac{\left|LHP_{pred_{i}}-LHP_{MolPatch_{i}}\right|}{LHP_{MolPatch_{i}}}$
(3)
where $THSA_{pred_{i}}$, $RHSA_{pred_{i}}$, and $LHP_{pred_{i}}$ are the
predicted THSA, RHSA, and LHP of a protein. $THSA_{DSSP_{i}}$ and
$RHSA_{DSSP_{i}}$ are the THSA and RHSA of a protein estimated using DSSP.
$LHP_{MolPatch_{i}}$ is the predicted LHP of a protein, determined by
MolPatch. The performance of the methods over the whole set of structures is
evaluated by plotting the percentage correctly predicted instances (protein
chains) versus a varying error threshold $t$. The threshold curve, $F(t)$,
shows the percentage of correctly predicted THSA and RHSA of proteins for a
given relative error threshold,$t$:
$F\left(t\right)=\frac{\left|\\{i|i\in
chains\wedge\delta<t\\}\right|}{\left|\\{i|i\in chains\\}\right|}\cdot 100$
(4)
The relative error for all chains in the chain dataset is calculated to
determine the fraction of correctly predicted chains for the threshold, see
also Figure S8. The $\delta$ is here interchangeably used for
$\delta_{THSA_{i}}$, $\delta_{RHSA_{i}}$, or $\delta_{LHP_{i}}$. Unlike in a
ROC-curve, the amount of correctly predicted chains does not necessarily have
to be 100% when the threshold $t=1.0$, since the size of the relative error
can be $>100\%$.
### 5.3 Human proteome mapping
#### 5.3.1 Data curation
All reviewed protein sequences for the human genome were extracted from
UniProt [37] (accessed 1st Oct 2020). In total 20,384 sequences were analysed
with NetSurfP2.0 for predicting solvent accessibility and structural disorder
among other characteristics. THSA and RHSA values were calculated from
NetSurfP2.0 predictions as described above. The LHP for each protein has been
predicted using the NBM. The following data curation steps have been
administered to remove unreliable predictions: (1) highly disordered proteins
have been discarded (more than a half of the residues have been classified as
disordered); (2) large proteins (¿ 800 AA residues) have been discarded in
order to match the protein sizes in the structure-based definitions data set.
(3) duplicate gene IDs were filtered out and the ones with the highest THSA
value were retained. This quality filter resulted in a curated data set of
14,533 proteins. Seperate data sets were created with 4,913 proteins annotated
as transmembrane and 6,825 - as multimeric by UniProt (Figure 6).
Additionally, the final curated data set described above was used to analyse
the link between the expression levels and measures for surface
hydrophobicity. RNA consensus tissue gene data was downloaded from Human
Protein Atlas [38, 39] (accessed on
https://www.proteinatlas.org/about/download 24 Dec 2020).
#### 5.3.2 Gene set enrichment analysis
THSA, RHSA, and LHP values were centered (such that 0 fell between two parts
of a bimodal distribution or between the main bulk and the tail of the
distribution, see Figure S9) and scaled ( S1) prior to the pre-ranked GSEA
analysis [58, 59]. Tissue-enriched gene sets were downloaded from the Human
Protein Atlas (accessed 10 Nov 2020). 375 Disease associated gene sets were
extracted from the GSEA website (accessed on https://www.gsea-
msigdb.org/gsea/msigdb/search.jsp 5 Nov 2020). GSEA was used with the
following parameters: number of permutations = 1000; collapse; chip platform:
human UniProt IDs MSigDB.v7.2.chip”; enrichment statistic: weighted; max
size=1000, min size=15.
#### 5.3.3 Tissue-specific average surface hydrophobicity
Tissue-specific average surface hydrophobicity (TASH) was calculated across
all the genes with the following formula with and without transmembrane
proteins:
$\text{TASH}_{t}=\frac{\sum_{g}\text{NX}_{g,t}\cdot
h_{g}}{\sum_{g}\text{NX}_{g,t}}$ (5)
Where $\text{TASH}_{t}$ is the tissue-specific average surface hydrophobicity
for tissue $t$, $\text{NX}_{g,t}$ is the normalised expression of gene $g$ in
tissue $t$ and $h$ is the predicted hydrophobicity of gene $g$ for one of the
three measures (THSA, RHSA or LHP). The results are shown in Figure S6.
## 6 Author contributions
JvE, RB and EvD performed the benchmark analyses for the prediction methods.
JvE developed MolPatch. DG and JvG performed the expression and enrichment
analyses. JvG, DG and SA wrote the manuscript. SA supervised and oversaw the
project and was responsible for conceptualisation and funding acquisition. All
authors reviewed the manuscript.
## 7 Acknowledgments
We would like to thank Dr. Bent Petersen for providing us with NetSurfP2.0
predictions for human genome data set, Prof. Jaap Heringa and Dr. Bernd Brandt
for helpful discussion.
## 8 Funding
JvG and SA thank the Nederlandse Organisatie voor Wetenschappelijk Onderzoek
(https://www.nwo.nl/over-nwo/organisatie/nwo-onderdelen/enw) for funding under
project number 680-91-112 (NWO). DG and SA received funding from the European
Union’s Horizon 2020 research and innovation programme under the Marie
Skłodowska-Curie grant agreement No 860197, the MIRIADE project. RB has
received funding from the Vlaams Agentschap Innoveren en Ondernemen under
project number HBC.2020.2205.
## References
* [1] Dill, K. A. Theory for the folding and stability of globular proteins. _Biochemistry_ 24, 1501–1509 (1985).
* [2] Dill, K. A. Dominant forces in protein folding. _Biochemistry_ 29, 7133–7155 (1990).
* [3] Gowder, S. M., Chatterjee, J., Chaudhuri, T. & Paul, K. Prediction and analysis of surface hydrophobic residues in tertiary structure of proteins. _The Scientific World Journal_ 2014 (2014).
* [4] Chothia, C. & Janin, J. Principles of protein–protein recognition. _Nature_ 256, 705–708 (1975).
* [5] Young, L., Jernigan, R. L. & Covell, D. G. A role for surface hydrophobicity in protein‐protein recognition. _Protein Science_ 3, 717–729 (1994).
* [6] Iadanza, M. G. _et al._ The structure of a $\beta$2-microglobulin fibril suggests a molecular basis for its amyloid polymorphism. _Nature Communications_ 9, 4517 (2018). URL http://www.nature.com/articles/s41467-018-06761-6.
* [7] Tuttle, M. D. _et al._ Solid-state NMR structure of a pathogenic fibril of full-length human $\alpha$-synuclein. _Nature Structural & Molecular Biology_ 23, 409–415 (2016). URL http://www.nature.com/articles/nsmb.3194.
* [8] van Gils, J. H. M. _et al._ The hydrophobic effect characterises the thermodynamic signature of amyloid fibril growth. _PLOS Computational Biology_ 16, 1–25 (2020). URL https://doi.org/10.1371/journal.pcbi.1007767.
* [9] Dobson, C. M. The structural basis of protein folding and its links with human disease. _Philosophical Transactions of the Royal Society of London. Series B: Biological Sciences_ 356, 133–145 (2001).
* [10] Koo, E. H., Lansbury, P. T. & Kelly, J. W. Amyloid diseases: abnormal protein aggregation in neurodegeneration. _Proceedings of the National Academy of Sciences_ 96, 9989–9990 (1999).
* [11] Ross, C. A. & Poirier, M. A. Protein aggregation and neurodegenerative disease. _Nature medicine_ 10, S10–S17 (2004).
* [12] Chiti, F. & Dobson, C. M. Protein misfolding, functional amyloid, and human disease. _Annu. Rev. Biochem._ 75, 333–366 (2006).
* [13] Dobson, C. M. Protein folding and disease: a view from the first horizon symposium. _Nature Reviews Drug Discovery_ 2, 154–160 (2003).
* [14] Abeln, S. & Frenkel, D. Disordered flanks prevent peptide aggregation. _PLoS Comput Biol_ 4, e1000241 (2008).
* [15] Abeln, S. & Frenkel, D. Accounting for protein-solvent contacts facilitates design of nonaggregating lattice proteins. _Biophysical journal_ 100, 693–700 (2011).
* [16] Wright, P. E. & Dyson, H. J. Intrinsically unstructured proteins: re-assessing the protein structure-function paradigm. _Journal of molecular biology_ 293, 321–331 (1999).
* [17] Moruz, L. & Käll, L. Peptide retention time prediction. _Mass spectrometry reviews_ 36, 615–623 (2017).
* [18] Lijnzaad, P. & Argos, P. Hydrophobic patches on protein subunit interfaces: characteristics and prediction. _Proteins: Structure, Function, and Bioinformatics_ 28, 333–343 (1997).
* [19] Bahadur, R. P., Chakrabarti, P., Rodier, F. & Janin, J. Dissecting subunit interfaces in homodimeric proteins. _Proteins: Structure, Function, and Bioinformatics_ 53, 708–719 (2003).
* [20] Huang, D. M. & Chandler, D. Temperature and length scale dependence of hydrophobic effects and their possible implications for protein folding. _Proceedings of the National Academy of Sciences_ 97, 8324–8327 (2000). URL https://www.pnas.org/content/97/15/8324. https://www.pnas.org/content/97/15/8324.full.pdf.
* [21] Larsen, T. A., Olson, A. J. & Goodsell, D. S. Morphology of protein–protein interfaces. _Structure_ 6, 421–427 (1998).
* [22] Dobson, C. M. Principles of protein folding, misfolding and aggregation. In _Seminars in cell & developmental biology_, vol. 15, 3–16 (Elsevier, 2004).
* [23] Gomez, J., Hilser, V. J., Xie, D. & Freire, E. The heat capacity of proteins. _Proteins: Structure, Function, and Bioinformatics_ 22, 404–412 (1995).
* [24] Dijk, E. V., Varilly, P., Knowles, T. P. J., Frenkel, D. & Abeln, S. Consistent treatment of hydrophobicity in protein lattice models accounts for cold denaturation. _Physical review letters_ 116, 078101 (2016).
* [25] Kabsch, W. & Sander, C. Dictionary of protein secondary structure: pattern recognition of hydrogen-bonded and geometrical features. _Biopolymers: Original Research on Biomolecules_ 22, 2577–2637 (1983).
* [26] Lijnzaad, P., Berendsen, H. J. C. & Argos, P. A method for detecting hydrophobic patches on protein surfaces. _Proteins: Structure, Function, and Bioinformatics_ 26, 192–203 (1996).
* [27] Garg, A., Kaur, H. & Raghava, G. P. S. Real value prediction of solvent accessibility in proteins using multiple sequence alignment and secondary structure. _Proteins: Structure, Function, and Bioinformatics_ 61, 318–324 (2005).
* [28] Petersen, B., Petersen, T. N., Andersen, P., Nielsen, M. & Lundegaard, C. A generic method for assignment of reliability scores applied to solvent accessibility predictions. _BMC structural biology_ 9, 51 (2009).
* [29] Joo, K., Lee, S. J. & Lee, J. Sann: solvent accessibility prediction of proteins by nearest neighbor method. _Proteins: Structure, Function, and Bioinformatics_ 80, 1791–1797 (2012).
* [30] Faraggi, E., Zhang, T., Yang, Y., Kurgan, L. & Zhou, Y. Spine x: improving protein secondary structure prediction by multistep learning coupled with prediction of solvent accessible surface area and backbone torsion angles. _Journal of computational chemistry_ 33, 259–267 (2012).
* [31] Klausen, M. S. _et al._ Netsurfp‐2.0: Improved prediction of protein structural features by integrated deep learning. _Proteins: Structure, Function, and Bioinformatics_ 87, 520–527 (2019).
* [32] Kyte, J. & Doolittle, R. F. A simple method for displaying the hydropathic character of a protein. _Journal of Molecular Biology_ 157, 105 – 132 (1982). URL http://www.sciencedirect.com/science/article/pii/0022283682905150.
* [33] Xu, G., Wang, Q. & Ma, J. Opus-tass: a protein backbone torsion angles and secondary structure predictor based on ensemble neural networks. _Bioinformatics_ 36, 5021–5026 (2020).
* [34] Fereshteh, M. _et al._ Enhancing protein backbone angle prediction by using simpler models of deep neural networks. _Scientific Reports (Nature Publisher Group)_ 10 (2020).
* [35] Kyte, J. & Doolittle, R. F. A simple method for displaying the hydropathic character of a protein. _Journal of molecular biology_ 157, 105–132 (1982).
* [36] Lobry, J. & Gautier, C. Hydrophobicity, expressivity and aromaticity are the major trends of amino-acid usage in 999 escherichia coli chromosome-encoded genes. _Nucleic acids research_ 22, 3174–3180 (1994).
* [37] Consortium, U. Uniprot: a worldwide hub of protein knowledge. _Nucleic acids research_ 47, D506–D515 (2019).
* [38] Pontén, F., Jirström, K. & Uhlen, M. The human protein atlas—a tool for pathology. _The Journal of Pathology: A Journal of the Pathological Society of Great Britain and Ireland_ 216, 387–393 (2008).
* [39] Uhlén, M. _et al._ Tissue-based map of the human proteome. _Science_ 347 (2015).
* [40] Lote, C. J. & Lote, C. J. _Principles of renal physiology_. QP211 L88 1994 (Springer, 1994).
* [41] Kanehisa, M. & Goto, S. Kegg: kyoto encyclopedia of genes and genomes. _Nucleic acids research_ 28, 27–30 (2000).
* [42] Zheng, W. _et al._ Deep-learning contact-map guided protein structure prediction in casp13. _Proteins: Structure, Function, and Bioinformatics_ 87, 1149–1164 (2019).
* [43] Li, Y., Hu, J., Zhang, C., Yu, D.-J. & Zhang, Y. Respre: high-accuracy protein contact prediction by coupling precision matrix with deep residual neural networks. _Bioinformatics_ 35, 4647–4655 (2019).
* [44] Senior, A. W. _et al._ Improved protein structure prediction using potentials from deep learning. _Nature_ 577, 706–710 (2020).
* [45] Tartaglia, G. G., Pechmann, S., Dobson, C. M. & Vendruscolo, M. A relationship between mrna expression levels and protein solubility in e. coli. _Journal of molecular biology_ 388, 381–389 (2009).
* [46] Kramer, R. M., Shende, V. R., Motl, N., Pace, C. N. & Scholtz, J. M. Toward a molecular understanding of protein solubility: increased negative surface charge correlates with increased solubility. _Biophysical journal_ 102, 1907–1915 (2012).
* [47] Sytnyk, V., Leshchyns’ka, I. & Schachner, M. Neural cell adhesion molecules of the immunoglobulin superfamily regulate synapse formation, maintenance, and function. _Trends in neurosciences_ 40, 295–308 (2017).
* [48] Stadelmann, C., Timmler, S., Barrantes-Freer, A. & Simons, M. Myelin in the central nervous system: structure, function, and pathology. _Physiological reviews_ 99, 1381–1431 (2019).
* [49] Cock, P. J. A. _et al._ Biopython: freely available Python tools for computational molecular biology and bioinformatics. _Bioinformatics_ 25, 1422–1423 (2009). URL https://doi.org/10.1093/bioinformatics/btp163. https://academic.oup.com/bioinformatics/article-pdf/25/11/1422/944180/btp163.pdf.
* [50] Sanner, M. F., Olson, A. J. & Spehner, J.-C. Reduced surface: An efficient way to compute molecular surfaces. _Biopolymers_ 38, 305–320 (1996).
* [51] Bentley, J. L. Multidimensional binary search trees used for associative searching. _Commun. ACM_ 18, 509–517 (1975). URL https://doi.org/10.1145/361002.361007.
* [52] Wang, G. & Dunbrack Jr, R. L. Pisces: a protein sequence culling server. _Bioinformatics_ 19, 1589–1591 (2003).
* [53] Sonnhammer, E. L., Von Heijne, G., Krogh, A. _et al._ A hidden markov model for predicting transmembrane helices in protein sequences. In _Ismb_ , vol. 6, 175–182 (1998).
* [54] Möller, S., Croning, M. D. & Apweiler, R. Evaluation of methods for the prediction of membrane spanning regions. _Bioinformatics_ 17, 646–653 (2001).
* [55] Kuhn, M. Building predictive models in r using the caret package. _Journal of Statistical Software, Articles_ 28, 1–26 (2008). URL https://www.jstatsoft.org/v028/i05.
* [56] Chen, T. & Guestrin, C. Xgboost: A scalable tree boosting system. In _Proceedings of the 22nd ACM SIGKDD International Conference on Knowledge Discovery and Data Mining_ , KDD ’16, 785–794 (Association for Computing Machinery, New York, NY, USA, 2016). URL https://doi.org/10.1145/2939672.2939785.
* [57] Zemla, A., Venclovas, A., Moult, J. & Fidelis, K. Processing and evaluation of predictions in casp4. _Proteins: Structure, Function, and Bioinformatics_ 45, 13–21 (2001). URL https://onlinelibrary.wiley.com/doi/abs/10.1002/prot.10052. https://onlinelibrary.wiley.com/doi/pdf/10.1002/prot.10052.
* [58] Subramanian, A. _et al._ Gene set enrichment analysis: a knowledge-based approach for interpreting genome-wide expression profiles. _Proceedings of the National Academy of Sciences_ 102, 15545–15550 (2005).
* [59] Mootha, V. K. _et al._ Pgc-1$\alpha$-responsive genes involved in oxidative phosphorylation are coordinately downregulated in human diabetes. _Nature genetics_ 34, 267–273 (2003).
* [60] Higurashi, M., Ishida, T. & Kinoshita, K. Pisite: a database of protein interaction sites using multiple binding states in the pdb. _Nucleic acids research_ 37, D360–D364 (2009).
* [61] Janin, J. Surface and inside volumes in globular proteins. _Nature_ 277, 491–492 (1979). URL http://dx.doi.org/10.1038/277491a0.
* [62] Chothia, C. The nature of the accessible and buried surfaces in proteins. _Journal of Molecular Biology_ 105, 1–12 (1976). URL http://www.sciencedirect.com/science/article/pii/0022283676901911.
## Appendix A Supporting Information
### A.1 Centering and scaling of the THSA, RHSA and LHP distributions for
GSEA
THSA, RHSA, and LHP values were centered and scaled prior GSEA analysis. To
get biologically meaningful values, the values for centering were chosen such
that 0 fell in between two parts of a bimodal distribution or between the main
bulk and the tail of the distribution S9:
$x_{i_{new}}=\frac{x_{i_{old}}-center}{\sigma}$ (S1)
where $x_{i_{new}}$ is the centered and scaled value for protein $i$,
$x_{i_{old}}$ is the original value (THSA, RHSA or LHP) of protein $i$ and
center is the zero position chosen based on the original distributions: 8106 Å
for the THSA, 0.35 for the RHSA and 1656 Åfor the LHP, see Figure S9.
### A.2 Overlap between protein-protein interaction sites and the LHP
The data set with the information about protein binding sites was obtained via
the PiSITE database. Both interaction information from a single PDB complex
and interaction information between multiple PDBs are stored [60]. Only PiSITE
information of the proteins from the original 14,602 chains dataset was
included. The proteins without interaction sites and transmembrane proteins
were filtered, which resulted in the data set of 4,255 entries with
information about protein interaction sites. Figure S3 shows that the patches
with a higher rank (i.e. the larger patches of each protein) have a larger
overlap with PPI sites. The three largest patches per protein have a
significantly larger overlap with PPI sites than would be expected, as
determined using a Wilcoxon rank sum test (Table S1).
### A.3 Benchmark of older methods
Previous (unpublished) results of a benchmark of the TFM against SANN [29],
NetsurfP (NOT the 2.0 version) [28], SARPRED [27], SPINEX [30] and a simple
length-based reference model for predicting the THSA, as shown in Figure S1.
SANN, NetsurfP, SARPRED and SPINEX were run using their default setting. Each
of these methods predicts the surface area per residue. We summed over the
predictions of all the hydrophobic residues to obtain the THSA.
For the purpose of model comparison, we also developed a length-based
reference model. This simple model provides an HSA estimate based on the
length of the protein sequence. The idea of approximating proteins as a sphere
to predict the ASA of the whole protein was first introduced in [61]. The
ratio between hydrophilic and hydrophobic residues on the surface has
previously been observed in [62]: for proteins with a high molecular weight
the ratio of hydrophobic residues can be well approximated for globular
proteins based on the length of the protein sequence alone.
The reference model uses the sequence length of a protein ($L$) multiplied
with a constant ($k_{1}$) and to the power of a constant ($k_{2}$) to predict
the HSA:
$ASA=k_{1}\cdot L^{k_{2}}$ (S2)
Note that in case of a perfect sphere, we would have:
$\text{surface area}=4\pi\left(\frac{3V}{4\pi}\right)^{\frac{2}{3}}$ (S3)
Using the latter equation the total ASA could be approximated by assuming the
sequence length ($L$) scales linearly with the volume ($V$). However, since
proteins are not perfect spheres and only a fraction of the surface is covered
by hydrophobic groups, we instead generate the baseline model by fitting the
constants $k_{1}$ and $k_{2}$ to the training set, minimising the sum of
squares between the predicted and observed HSA. In this simple model, we
effectively assume that the fraction of hydrophobic amino acids on the surface
with respect to the length is constant.
Surprisingly, the TFM outperforms all other methods including NetsurfP [28],
which incorporates more information (evolutionary profiles) and has a more
complicated architecture (neural network).
### A.4 Supplementary tables
Table S1: P-values of the overlap of protein-protein interaction sites with the largest hydrophobic patches, calculated using a Wilcoxon rank sum test. Rank 1 indicates the largest patch of each protein, rank 2 the second largest patch, etc. Rank | P-value
---|---
1 | $2.59\cdot 10^{-266}$
2 | $5.66\cdot 10^{-24}$
3 | $3.41\cdot 10^{-6}$
4 | $0.72$
5 | $0.66$
### A.5 Supplementary figures
Figure S1: Benchmark of SANN, NetsurfP, SARPRED, SPINEX and LBM for
hydrophobic surface area predictions. The figure shows that the TFM
outperforms the other methods, indicating that the length and hydrophobicity
of the sequence are very important features for predicting the surface area.
Figure S2: Scatter plot showing the distribution of proteins in the structure-
based data set based on THSA and RHSA values. LHP values are colour-coded.
Figure S3: Distribution of interaction sites over the nine largest hydrophobic
patches per protein. For each patch on a protein we calculated which fraction
of the hydrophobic patch on that protein overlaps with protein-protein
interaction sites for the nine largest hydrophobic patches. The three largest
patches in each protein have a significantly larger overlap with the PPIs than
the other ones. Figure S4: Feature importance of the GFM for the THSA, RHSA
and LHP predictions. The five most important features in the GFM for each of
the measures of surface hydrohpobicity were extracted using the XGBoost Python
package [56]. The letters represent amino acids. A higher F score indicates a
higher importance. One can see that in all cases hydrophobicity (hydr_count,
gravy, aromaticity [32, 36]) is important for the predictions.
Figure S5: The relationship between normalised expression (NX) and THSA, RHSA
and LHP values, respectively. The median of NX values per gene was calculated
across all tissues in which a particular gene occurs and proteins were grouped
(n=3) equally from low to high expression (low, medium and high NX values).
The differences between the groups of proteins with the lowest and the highest
NX values was calculated using Wilcoxon signed-rank test. The level of
significance is annotated with two (p-value: $<$ 0.01) and three asteriks
(p-value: $<$ 2.22e-16). Figure S6: Tissue-specific average surface
hydrophobicity calculated (Equation 5) for different hydrophobic measures.
Each column is independently sorted and colour-coded based on TASH values.
Figure S7: Pre-ranked GSEA enrichment statistics in disease gene sets (n=375).
The values were central-scaled prior to the GSEA analysis. The enrichment
score (ES) is the maximum deviation from zero showing the degree to which the
gene set is over-represented at the top (positive ES score) or bottom
(negative ES score) of the entire ranked list of genes. Disease gene sets with
the nominal p-value $<$ 0.05 and ES $<$ -0.2 (negative enrichment) and ES ¿
0.2 (positive enrichment) were selected and kept only those that were
significant in at least two hydrophobic measures. KEGG neurodegenerative
pathways are highlighted with the red squares. ’Nan’ value indicates that an
ES score was either between -0.2;0.2 or insignificant (p-value $>$ 0.05).
Figure S8: An example of the relative threshold-based evaluation metric. In
this case, a threshold range from 0 to 100 percent absolute error is used to
derive at the curve in the top panel (Equations 1, 2, 3). For each threshold
(exemplified by the black dashed horizontal line), the fraction of correctly
predicted proteins within this threshold is calculated (Equation 4). This
fraction is the density to the left of the threshold. Figure S9: Distributions
of the centered and scaled values of the THSA, RHSA and LHP used for GSEA
analysis. The dotted lines indicate the zero positions. Values for centering
were chosen such that 0 falls in between two parts of a bimodal distribution
or between the main bulk and the tail of the distribution.
| arxiv-papers | 2021-07-25T16:31:02 | 2024-09-04T03:07:17.009568 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Juami Hermine Mariama van Gils, Dea Gogishvili, Jan van Eck, Robbin\n Bouwmeester, Erik van Dijk, Sanne Abeln",
"submitter": "Juami van Gils",
"url": "https://arxiv.org/abs/2107.11837"
} |
2107.11840 | # Correlation, Linear Complexity, Maximum order Complexity on Families of
binary Sequences
Zhixiong Chen1, Ana I. Gómez2,
Domingo Gómez-Pérez111Corresponding author: [email protected]. 3, Andrew
Tirkel4
1\. Key Laboratory of Applied Mathematics of Fujian Province University,
Putian University, Putian, Fujian 351100, P. R. China
2\. Universidad Rey Juan Carlos, Spain
3\. Universidad de Cantabria, Spain
4\. Scientific Technologies, Australia
###### Abstract
Correlation measure of order $k$ is an important measure of randomness in
binary sequences. This measure tries to look for dependence between several
shifted version of a sequence. We study the relation between the correlation
measure of order $k$ and another two pseudorandom measures: the $N$th linear
complexity and the $N$th maximum order complexity. We simplify and improve
several state-of-the-art lower bounds for these two measures using the Hamming
bound as well as weaker bounds derived from it.
Keywords. Pseudorandom sequences, Binary sequences, Correlation measure of
order $k$, $N$th linear complexity, $N$th maximum order complexity
## 1 Introduction
For a positive integer $N$, the _$N$ th linear complexity $L(\mathcal{S},N)$_
of a binary sequence $\mathcal{S}=(s_{i})^{\infty}_{i=0}$ over the two-element
finite field $\mathbb{F}_{2}=\\{0,1\\}$ is the smallest positive integer $L$
such that there are constants $c_{0},c_{1},\ldots,c_{L-1}\in\mathbb{F}_{2}$
with
$s_{i+L}=c_{L-1}s_{i+L-1}+\ldots+c_{0}s_{i},\quad\mbox{for }0\leq i<N-L.$ (1)
We use the convention $L(\mathcal{S},N)=0$ if $s_{0}=\ldots=s_{N-1}=0$ and
$L(\mathcal{S},N)=N$ if $s_{0}=\ldots=s_{N-2}=0\neq s_{N-1}$. The $N$th linear
complexity is a measure for the predictability of a sequence and thus its
unsuitability in cryptography. If $\mathcal{S}$ is $T$-periodic, we have
$L(\mathcal{S},N)=L(\mathcal{S},2T)$ for $N\geq 2T$. This number is the
_linear complexity_ of the sequence $\mathcal{S}$.
Analogously, the $N$th _maximum-order complexity_ $M(\mathcal{S},N)$ of a
binary sequence $\mathcal{S}=(s_{i})_{i=0}^{\infty}$ is defined as the
smallest positive integer $M$ such that there is a polynomial
$f(x_{1},\ldots,x_{M})\in\mathbb{F}_{2}[x_{1},\ldots,x_{M}]$ with
$s_{i+M}=f(s_{i},s_{i+1},\ldots,s_{i+M-1}),\quad\mbox{for }0\leq i<N-M,$
see [13, 12, 19]. Again, if the sequence is $T$-periodic,
$M(\mathcal{S},N)=M(\mathcal{S},2T)$ for $N\geq 2T$. This is called _maximum-
order complexity_ of $\mathcal{S}$.
Obviously, we have $M(\mathcal{S},N)\leq L(\mathcal{S},N)$, so maximum-order
complexity is a finer measure of pseudorandomness than linear complexity.
Let $k$ be a positive integer. The _( $N$th) correlation measure of order $k$_
of $\mathcal{S}$ is defined as
$C_{k}(\mathcal{S},N)=\max_{U,D}\left|\sum^{U-1}_{n=0}(-1)^{s_{n+d_{1}}+s_{n+d_{2}}+\ldots+s_{n+d_{k}}}\right|,$
where the maximum is taken over all $U\leq N-k+1$ and
$D=(d_{1},d_{2},\ldots,d_{k})$ with integers $0\leq
d_{1}<d_{2}<\ldots<d_{k}\leq N-U$. This is an adaptation to the binary case of
the definition concerning sequences over $\\{-1,+1\\}$, introduced by Mauduit
and Sárközy [14].
Brandstätter and Winterhof [4] proved the following relation between the $N$th
linear complexity and the correlation measures of order $k$:
$L(\mathcal{S},N)\geq N-\max_{1\leq k\leq
L(S,N)+1}C_{k}(\mathcal{S},N),\quad\mbox{for }N\geq 1.$ (2)
Recently, Işık and Winterhof [11] have derived an analogous result concerning
the $N$th maximum-order complexity:
$M(\mathcal{S},N)\geq N-2^{M(\mathcal{S},N)+1}\cdot\max_{1\leq k\leq
M(\mathcal{S},N)+1}C_{k}(\mathcal{S},N),\quad\mbox{for }N\geq 1.$ (3)
Roughly speaking, any sequence with small correlation measure up to a
sufficiently large order $k$ must have a high $N$th maximum-order complexity
(and hence $N$th linear complexity) as well. For surveys on linear complexity
and related measures of pseudorandomness, see [9, 15, 16, 21, 22, 25].
The problem with these bounds is that they seem to be far from tight. Even if
the correlation measure is close to the expected value for a random binary
sequence, the bounds above are far from expected.
Due to the constraints on the $N$th correlation measure given by Gyarmati and
Mauduit [10], which implies that the correlation measure is bigger than
$\sqrt{N}$ for many orders, the lower bound in Equation (2) is $2\sqrt{N}$.
For $M(\mathcal{S},N)$, the lower bound can not be greater than $(\log N)/2$,
see e.g. [11]. Notice that the expected $N$th linear complexity of a random
binary sequence is $N/2$ [20]. For the $N$th maximum-order complexity, the
expected value is $2\log N$ [13].
In this work, we discuss the higher order correlation of binary sequences,
improving the lower bounds shown in Equations (2) and (3). Then, we review the
literature and improve the lower bounds on linear complexity and maximum-order
complexity of several known sequences.
Our results are based on the Hamming bound on error-correcting codes (see e.g.
[18, Theorem 3.4.6]). Additionally, we use the following definition for the
_periodic correlation measure of order $k$_ of a $T$-periodic binary sequence
$\mathcal{S}$,
$\theta_{k}(\mathcal{S})=\max_{D}\left|\sum^{T-1}_{n=0}(-1)^{s_{n+d_{1}}+s_{n+d_{2}}+\ldots+s_{n+d_{k}}}\right|,$
where $D=(d_{1},\ldots,d_{k})$, with $0\leq d_{1}<d_{2}<\ldots<d_{k}<T$.
A binary sequence $\mathcal{S}$ is said to have a _full peak_ in the aperiodic
correlation measure of order $k$ if $C_{k}(\mathcal{S},N)=N-k+1$. It has a
_half peak_ if $C_{k}(\mathcal{S},N)\geq N/2$. The same definitions apply also
for $\theta_{k}(\mathcal{S})$, the periodic correlation measure of order $k$.
We suppress “of order $k$” when referring to the correlation measure when the
order $k$ is clear from the context.
## 2 Higher-order correlation measure
We prove below a link between the linear complexity of a sequence and its
correlation measure. Before, we state a direct consequence of the Hamming
bound [18, Theorem 3.4.6].
###### Lemma 1.
Let $p$ be a prime number and $C\subseteq\mathbb{F}_{p}^{T}$ a linear subspace
of dimension $d$ (i.e. a linear code over $\mathbb{F}_{p}$). If, for some
integer $t>0$,
$\sum_{i=0}^{\lfloor(t-1)/2\rfloor}{T\choose i}(p-1)^{i}>p^{T-d},$
there exists a nonzero vector $\vec{v}\in C$ with at most $t$ nonzero
components.
The strong relation between cyclic codes and periodic sequences allows using
the previous lemma to relate the linear complexity of a sequence with the
existence of full peaks in the periodic correlation measure.
###### Theorem 2.
Let $\mathcal{S}=(s_{i})^{\infty}_{i=0}$ be a $T$-periodic binary sequence
with linear complexity $L$. If, for some integer $t>0$,
$\sum_{i=0}^{\lfloor(t-1)/2\rfloor}{T\choose i}\geq 2^{L},$
the sequence has a full peak in the periodic correlation measure
$\theta_{k}(\mathcal{S})$ for some $k$ with $1<k\leq t$, i.e.,
$\theta_{k}(\mathcal{S})=T$.
###### Proof.
Let $C\subseteq\mathbb{F}_{2}^{T}$ be the linear subspace generated by
$(s_{0},s_{1},\ldots,s_{T-1}),(s_{1},s_{2},\ldots,s_{0}),\ldots,(s_{T-1},s_{0}\ldots,s_{T-2}),$
i.e. a sequence’s period and all its shifted versions. We denote by $C^{\bot}$
the _orthogonal subspace_ of $C$, i.e.
$C^{\bot}=\left\\{(c_{0},\ldots,c_{T-1})\in\mathbb{F}_{2}^{T}~{}~{}:~{}~{}\sum_{i=0}^{T-1}c_{i}s_{n+i}=0,\
\forall n\geq 0\right\\}.$
It is trivial to check that $\dim(C)=L$, and hence $\dim(C^{\bot})=T-L$. By
Lemma 1 (with $p=2$), there exists a vector in $C^{\bot}$ with exactly $k\leq
t$ nonzero components. Let $d_{1},\ldots,d_{k}$ be their indices, so
$\sum_{j=1}^{k}s_{n+d_{j}}=0,\quad\forall n\geq 0.$
This implies that there is a full peak in periodic correlation measure of
order $k$. ∎
For the aperiodic correlation, we have the following result.
###### Theorem 3.
Let $\mathcal{S}=(s_{i})^{\infty}_{i=0}$ be a $T$-periodic binary sequence
with $N$th linear complexity $L(\mathcal{S},N)$. If, for some integer $t>0$,
${\lfloor N/2\rfloor\choose t}\geq 2^{L(\mathcal{S},N)},$
the sequence has a half peak in the aperiodic correlation measure
$C_{k}(\mathcal{S},N)$ for some $k$ with $1<k\leq 2t$, i.e.,
$C_{k}(\mathcal{S},N)\geq N/2$.
###### Proof.
Suppose that the sequence satisfies Equation (1), which means that the first
$L(\mathcal{S},N)$ elements and the recurrence generates the next
$N-L(\mathcal{S},N)$. There are at most $2^{L(\mathcal{S},N)}$ different
sequences of length $N$ that can be generated by the same linear recursion.
One the other hand, any sequence $(y_{n})^{\infty}_{n=0}$ defined as
$y_{n}=\sum_{j=1}^{t}s_{n+d_{j}},\quad\mbox{with }0\leq
d_{1}<\ldots<d_{t}<\lfloor N/2\rfloor,$ (4)
can also be generated by that linear recursion. There are at least ${\lfloor
N/2\rfloor\choose t}$ ways of choosing that shift set $\\{d_{j}\\}$.
Therefore, by hypothesis, there exist two different ordered list of shifts:
$\\{d_{1},\ldots,d_{t}\\}$ and $\\{e_{1},\ldots,e_{t}\\}$ such that
$\sum_{j=1}^{t}s_{n+d_{j}}=\sum_{j=1}^{k}s_{n+e_{j}}\implies\sum_{j=1}^{t}(s_{n+d_{j}}-s_{n+e_{j}})=0,$
(5)
for $0\leq n\leq\lceil N/2\rceil\leq N-\max\\{d_{t},e_{t}\\}$. Then, there is
a half peak in the $N$th correlation measure of order at most $2t$. ∎
The following result, which we state for its applications, is a direct
consequence of Theorem 3.
###### Corollary 4.
Given any positive integers $K$ and $N$ with $K^{2}<N$. If a binary sequence
$\mathcal{S}$ satisfies $C_{k}(\mathcal{S},N)<N/2$ for every $k<K$, we have
$L(\mathcal{S},N)>\frac{1}{2}K(\log N+1-\log K)-\frac{1}{2}\log K+\delta,$
where $\delta$ is an absolute constant.
###### Proof.
Because the result of Theorem 3 does not hold, it must be the case that:
$2^{L(\mathcal{S},N)}\geq{\lfloor N/2\rfloor\choose K/2},$ (6)
where substitute the combinatorial number by the Stirling approximation
${\lfloor N/2\rfloor\choose K/2}\approx\left(\frac{Ne}{K}\right)^{K/2}(2\pi
K)^{-1/2}\varepsilon,$
where $\varepsilon$ is some positive constant. Taking logarithms at both sides
of Equation (6), we get the result. ∎
We compare Equation (2) and this new bound. First, whenever we can apply the
former, Corollary 4 applies as well and the lower bound is improved by a
factor of $\log N$. Also, it is enough to obtain a non-trivial bound for
$C_{k}(\mathcal{S},N)$, a strong bound being no longer necessary.
These results have immediate application to the families of binary sequences
summarized in Table 1. For those sequences’ definition, as well as parameters
and properties, see the book of Golomb and Gong [8].
Family | Period | Linear | Bound on $k$
---|---|---|---
| | complexity | for the existence
| | | of a peak
$m$-sequences | $2^{\ell}-1$ | $\ell$ | 3
Small Kasami | $2^{\ell}-1$ | $3\ell/2$ | 5
Gold codes | $2^{\ell}-1$ | $2\ell$ | 7
Large Kasami | $2^{\ell}-1$ | $5\ell/2$ | 9
3-term trace | $2^{\ell}-1$ | $3\ell$ | 9
5-term trace | $2^{\ell}-1$ | $5\ell$ | 11
Welch-Gong | $2^{\ell}-1$ | $2^{\ell/3}+1$ | $(2^{\ell/3}+1)/\ell$
Table 1: Different families of binary sequences, together with the upper bound
on $k$ such that there exists a peak in the periodic correlation measure of
order $k$ according to Theorem 2
Results on Small Kasami and $m$-sequences have already been discovered by
Warner [24, 23]. In the case of the Gold codes, Adams [1] presented some
results regarding partial peaks and conjectured on full peaks for order 9.
Boztas and Parampalli [3] studied the third-order correlation in order to
assure the probability of intercept of Gold codes.
We now enunciate a simple theorem of the same flavour for the $N$th maximum
order complexity, improving the bound in Equation (3).
###### Theorem 5.
If a binary sequence $\mathcal{S}$ satisfies $M(\mathcal{S},N)\leq\log N-2$,
it has a half peak in the aperiodic correlation measure of order $2$, i.e.
$C_{2}(\mathcal{S},N)\geq N/2$.
###### Proof.
In order to simplify the notation, $M=M(\mathcal{S},N)<\log N-2.$ Under the
hypothesis and since the first $N$ elements of the sequence can be generated
by a polynomial with $M$ variables, i.e.
$s_{i+M}=f(s_{i},s_{i+1},\ldots,s_{i+M-1}),\quad\mbox{for }0\leq i<N-M.$
By [13, Propostion 2], the period of the sequence $(s_{i})_{i=0}^{\infty}$ is
less than $2^{M}$, see the explanation in the footnote222The idea is that the
different possibilities for the tuples $(s_{i},s_{i+1},\ldots,s_{i+M-1})$ is,
at most, $2^{M}$. The tuple defines the next element, so this bounds the
period of the sequence..
This means that there exists $0\leq d_{1}<d_{2}<2^{M}$ such that
$s_{i+d_{1}}=s_{i+d_{2}}$ for $0\leq i<N-M-d_{2}.$ The final step is $N-M-
d_{2}>N-\log N+2-N/4>N/2$ and this finishes the proof.
∎
## 3 Some applications
Hall’s sextic residue sequence. The recent work of Aly and Winterhof [2]
studied Hall’s sextic sequence, which is a binary sequence with prime period
$T=1\mod 6$. For such a period and a primitive root modulo $T$, say $g$,
Hall’s sextic residue sequence ${\cal H}=(h_{n})^{\infty}_{n=0}$ is defined as
follows: let
$C_{\ell}=\\{g^{6i+\ell}\ |\ 0\leq i<(T-1)/6\\},\quad\ell=0,1,\ldots,5,$ (7)
be the cyclotomic cosets modulo $T$ of order $6$. Then, for $n\geq 0$,
$h_{n}=\left\\{\begin{array}[]{ll}1,&\mbox{if }n\bmod T\in C_{0}\cup C_{1}\cup
C_{3};\\\ 0,&\mbox{otherwise}.\end{array}\right.$ (8)
Hall’s sextic sequence has several desirable features of pseudorandomness, one
of them being low correlation measure:
$C_{k}({\cal H},N)=O\left(\left(\frac{14}{3}\right)^{k}k\sqrt{T}\log
T\right).$ (9)
Using this bound and the lower bound proved by Brandstätter and Winterhof [4],
it is shown in the reference article [2] that the $N$th linear complexity is
$\Omega(\log T)$. This is improved in the following result.
###### Corollary 6.
For any $\varepsilon>0$, a sufficiently large $T$ and
$N>2T^{1/2+\varepsilon}(\log T)^{2}$, the $N$th linear complexity of Hall’s
sextic sequence ${\cal H}$ satisfies
$L({\cal H},N)\gg(\log N)^{2},$
where the implied constant depends on $\varepsilon$.
###### Proof.
The correlation measure of order $k$ of Hall’s sextic sequence is less than
$N/2$ for $k\leq\varepsilon\log T/8$, if $N\gg T^{1/2+\varepsilon}$. This is
simple to see substituting in Equation (9),
$\left(\frac{14}{3}\right)^{k}k\sqrt{T}\log
T\leq\left(\frac{14}{3}\right)^{\log T/8}\log T\sqrt{T}\log T\leq
T^{1/2+\varepsilon/2}(\log T)^{2}<N/2.$
By Theorem 3, we have
$L({\cal H},N)\geq\varepsilon\log T/16(\log N-\log\varepsilon-\log\log
N-3)-\log\log N+\delta\gg(\log N)^{2}.$
This finishes the proof. ∎
Fermat quotient threshold sequence. For prime $p$ and an integer $u$ with
$\gcd(u,p)=1$, the Fermat quotient $q_{p}(u)$ modulo $p$ is defined as the
unique integer with
$q_{p}(u)=\frac{u^{p-1}-1}{p}\pmod{p},\qquad 0\leq q_{p}(u)<p.$
We also define
$q_{p}(kp)=0,\qquad\mbox{for }k\in\mathbb{Z}.$
Note that $(q_{p}(u))$ is a $p^{2}$-periodic sequence modulo $p$, so
$T=p^{2}$. Then the _binary threshold sequence_ ${\cal
E}=(e_{n})^{\infty}_{n=0}$ is defined by
$e_{u}=\left\\{\begin{array}[]{ll}0,&\mathrm{if}\,\ 0\leq
q_{p}(u)/p<\frac{1}{2};\\\ 1,&\mathrm{if}\,\ \frac{1}{2}\leq
q_{p}(u)/p<1.\end{array}\right.$
Note that for which applications a discrepancy bound with arbitrary shifts is
needed. Most discrepancy bounds on nonlinear pseudorandom numbers found in the
literature consider only equidistant shifts.
Using the same techniques, Chen et al. [7] proved a bound on the correlation
measure. In Theorem 3 of that paper, they showed that
$C_{2}({\cal E},N)\ll p(\log p)^{3}.$ (10)
The following corollary gives a new lower bound on the $N$th linear
complexity.
###### Corollary 7.
For any $\varepsilon>0$, a sufficiently large $p$ and
$N>2p^{1+\varepsilon}(\log p)^{3}$, the $N$th linear complexity of the binary
threshold sequence ${\cal E}$ satisfies
$L({\cal E},N)\gg\log N,$
where the implied constant depends on $\varepsilon$.
###### Proof.
Again, it is easy to see that if $N>2p^{1+\varepsilon}(\log p)^{3}$, then the
correlation of the sequence of order $2$ is less than $N/2$. By Corollary 4,
taking $K=3$ and using the bound in Equation (10), we get the result. ∎
This improves the bound of order $(\log N-\log p)/\log\log p$, given by Chen
et al. [7, Theorem 4]. As shown by this result, even weak bounds lead to
improvements on the correlation measure provides information about the linear
complexity.
Error linear complexity profile of sequences. Another application is to lower
bound the $K$-error linear complexity profile, i.e. the minimum linear
complexity profile among sequences differing from the studied one in at most
$K$ entries. In particular, let us bound the $K$-linear complexity of ${\cal
E}$ and ${\cal H}$.
###### Corollary 8.
For $N<T(=p^{2})$, the $N$th linear complexity of the binary threshold
sequence ${\cal E}$, allowing at most $N/6$ entry switches, is greater than
$\log N$.
###### Proof.
Notice that a change in $N/6$ or fewer sequence elements increases the value
of the correlation measure of order $2$ in $N/3$. This is trivial to see from
the definition, because it modifies at most $N/3$ terms, so the correlation
goes up by $N/3$.
Together with the bound in Equation (10), we obtain the result. ∎
The proof of the next result follows the same path as the previous one.
###### Corollary 9.
For $N<T$, the $N$th linear complexity of Hall’s sextic sequence ${\cal H}$,
changing at most $N/6$, is greater than $(\log N)^{2}$.
In Table 2, we compare with previous results the obtained bounds for the $N$th
linear complexity of several sequences. The resulting bound by Theorem 5 on
the $N$th maximum order complexity for all of the sequences listed in the
table is $\log N-2$.
Sequence | Previous lower bound | Corollary 4
---|---|---
| Logarithm
---
threshold
sequence
[4]
$\log N/\log\log T$ | $\log N$
| Two-prime
---
generator
sequence
[4]
$N/\sqrt{T}$ | $\sqrt{N}\log N$
| Modified inverse
---
threshold
sequence
[6]
$\log N/\log\log T$ | $(\log N)\log\log T$
| Binary cyclotomic
---
sequence
[5]
$\log N/\log\log T$ | $(\log N)\log\log T$
| Inversive
---
threshold sequence
[17]
$\log N/\log\log T$ | $(\log N)\log\log T$
Table 2: Bound comparison. The previous results are stated using simplified
notation, where $T$ stands for the period.
## 4 Conclusions and Acknowledgments
This paper presents generalizations of the results appearing in the articles
[4] and [11]. Thanks to these results, it is possible to use these results
mount correlation attacks in systems using standard families of binary
sequences like Gold codes and Kasami families (see Table 1). The results
regarding the aperiodic form of the correlation measure of order $k$ improve
the lower bound on the $N$th linear complexity given several papers, as stated
in Table 2. For those sequences, we provide new non-trivial lower bounds on
the maximum order complexity.
Domingo Gómez-Pérez and Ana I. Gómez are supported by the Spanish _Agencia
Estatal de Investigación_ project _Secuencias y curvas en criptografía_
(PID2019-110633GB-I00/AEI/10.13039/501100011033).
Z. Chen was partially supported by the National Natural Science Foundation of
China under grant No. 61772292, and by the Provincial Natural Science
Foundation of Fujian, China under grant No. 2020J01905.
## References
* [1] E. R. Adams. Identification of pseudo-random sequences in DS/SS intercepts by higher-order statistics. Technical report, Cranfield Univ. (United Kingdom) Royal Military College Of Science, 2004.
* [2] H. Aly and A. Winterhof. A note on Hall’s sextic residue sequence: Correlation measure of order $k$ and related measures of pseudorandomness. IEEE Transactions on Information Theory, 66(3):1944–1947, 2020\.
* [3] S. Boztas and U. Parampalli. Low probability of intercept properties of some binary sequence families with good correlation properties. IEEE International Symposium on Information Theory - Proceedings, pages 1226–1230, 2012.
* [4] N. Brandstätter and A. Winterhof. Linear complexity profile of binary sequences with small correlation measure. Periodica Mathematica Hungarica, 52(2):1–8, 2006.
* [5] Z. Chen, X. Du, and R. Sun. A construction of binary cyclotomic sequences using extension fields. IEICE transactions on fundamentals of electronics, communications and computer sciences, 92(2):663–667, 2009.
* [6] Z. Chen and Z. Lin. Modified constructions of binary sequences using multiplicative inverse. Applied Mathematics-A Journal of Chinese Universities, 23(4):490–500, 2008.
* [7] Z. Chen, A. Ostafe, and A. Winterhof. Structure of pseudorandom numbers derived from fermat quotients. In International Workshop on the Arithmetic of Finite Fields, pages 73–85. Springer, 2010.
* [8] S. W. Golomb and G. Gong. Signal design for good correlation: For wireless communication, cryptography, and radar, 2005.
* [9] K. Gyarmati. Measures of Pseudorandomness. Finite Fields and Their Applications. De Gruyter, 2013.
* [10] K. Gyarmati and C. Mauduit. On the correlation of binary sequences, II. Discrete Mathematics, 312(5):811–818, 2012.
* [11] L. Işık and A. Winterhof. Maximum-order complexity and correlation measures. Cryptography, 1(1):7, 2017.
* [12] C. J. A. Jansen. The Maximum Order Complexity of Sequence Ensembles, pages 153–159. Advances in Cryptology - EUROCRYPT ’91. Springer Berlin Heidelberg, 1991\.
* [13] C. J. A. Jansen and D. E. Boekee. The shortest feedback shift register that can generate a given sequence. In Conference on the Theory and Application of Cryptology, pages 90–99. Springer, 1989.
* [14] C. Mauduit and A. Sárközy. On finite pseudorandom binary sequences i: Measure of pseudorandomness, the legendre symbol. Acta Arithmetica, 82(4):365–377, 1997.
* [15] W. Meidl and A. Winterhof. Linear complexity of sequences and multisequences. In Handbook of Finite Fields. CRC Press, 2013.
* [16] H. Niederreiter. Linear Complexity and Related Complexity Measures for Sequences, pages 1–17. Progress in Cryptology - INDOCRYPT 2003. Springer Berlin Heidelberg, 2003\.
* [17] H. Niederreiter and A. Winterhof. On the structure of inversive pseudorandom number generators. In International Symposium on Applied Algebra, Algebraic Algorithms, and Error-Correcting Codes, pages 208–216. Springer, 2007.
* [18] H. Niederreiter and A. Winterhof. Applied number theory. Springer, 2015.
* [19] H. Niederreiter and C. Xing. Sequences with high nonlinear complexity. IEEE Transactions on Information Theory, 60(10):6696–6701, 2014\.
* [20] R. A. Rueppel. Linear complexity and random sequences. In Workshop on the Theory and Application of of Cryptographic Techniques, pages 167–188. Springer, 1985.
* [21] A. Sárközy. On finite pseudorandom binary sequences and their applications in cryptography. Tatra Mt. Math. Publ, 37:123–136, 2007.
* [22] A. Topuzoğlu and A. Winterhof. Pseudorandom sequences. In Topics in geometry, coding theory and cryptography, pages 135–166. Springer, 2006.
* [23] E. S. Warner, B. Mulgrew, and P. M. Grant. Triple correlation analysis of m-sequences. Electronics Letters, 29(20):1755–1756, 1993.
* [24] E. S. Warner, B. Mulgrew, and P. M. Grant. Triple correlation analysis of binary sequences for codeword detection. IEE Proceedings: Vision, Image and Signal Processing, 141(5):297–302, 1994.
* [25] A. Winterhof. Linear complexity and related complexity measures. Selected topics in information and coding theory, pages 3–40, 2010\.
| arxiv-papers | 2021-07-25T16:45:04 | 2024-09-04T03:07:17.024120 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Zhixiong Chen and Ana I. G\\'omez and Domingo G\\'omez-P\\'erez and\n Andrew Tirkel",
"submitter": "Domingo Gomez-Perez",
"url": "https://arxiv.org/abs/2107.11840"
} |
2107.11842 | # On homomorphisms into Weyl modules corresponding to partitions with two
parts
Mihalis Maliakas Department of Mathematics, University of Athens
[email protected] and Dimitra-Dionysia Stergiopoulou Department of
Mathematics, University of Athens [email protected]
###### Abstract.
Let $K$ be an infinite field of characteristic $p>0$ and let $\lambda,\mu$ be
partitions, where $\mu$ has two parts. We find sufficient arithmetic
conditions on $p,\lambda,\mu$ for the existence of a nonzero homomorphism
$\Delta(\lambda)\to\Delta(\mu)$ of Weyl modules for the general linear group
$GL_{n}(K)$. Also, for each $p$ we find sufficient conditions so that the
corresponding homomorphism spaces have dimension at least 2.
###### 2020 Mathematics Subject Classification:
Primary 20G05, Secondary 05E10
## 1\. Introduction
In the representation theory of the general linear group $GL_{n}(K)$, where
$K$ is an infinite field of characteristic $p>0$, the Weyl modules
$\Delta(\lambda)$ are of central importance. These are parametrized by
partitions $\lambda$ with at most $n$ parts. Over a field of characteristic
zero, the modules $\Delta(\lambda)$ are irreducible. However over fields of
positive characteristics this is no longer true and determining their
structure is a major problem. In particular, very little is known about
homomorphisms between them.
For $GL_{3}(K)$ all homomorphisms between Weyl modules have been classified
when $p>2$ by Cox and Parker [5]. Some of the few general results are the non
vanishing theorems of Carter and Payne [4] and Koppinen [11], and the row or
column removal theorems of Fayers and Lyle [14] and Kulkarni [12].
In [17] we examined homomorphisms into hook Weyl modules and obtained a
classification result. This has been obtained also by Loubert [13]. In the
present paper we consider homomorphisms $\Delta(\lambda)\to\Delta(\mu)$, where
$\mu$ has two parts. The main result, Theorem 3.1, provides sufficient
arithmetic conditions on $\lambda,\mu$ and $p$ so that
$\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))\neq 0$, where $S$ is the
Schur algebra for $GL_{n}(K)$ of appropriate degree. An explicit map is
provided that corresponds to the sum of all standard tableaux of shape $\mu$
and weight $\lambda$. The main tool of the proof is the description of Weyl
modules by generators and relations of Akin, Buchsbaum and Weyman [2].
The first examples of pairs of Weyl modules with homomorphism spaces of
dimension greater than 1 were obtained by Dodge [6]. Shortly after, more were
found by Lyle [14]. In Corollary 6.2, we find sufficient conditions on
$\lambda,\mu$ and $p$ so that
$\dim\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))>1$ and thus we have
new examples of homomorphism spaces between Weyl modules of dimension greater
than 1.
By a classical theorem of Carter and Lusztig [3], the results in Theorem 3.1
and Corollary 6.2 have analogues for Specht modules for the symmetric group
when $p>2$, see Remark 3.2 and Remark 6.3.
Section 2 is devoted to notation and preliminaries. In Section 3 we state the
main result and in Section 4 we consider the straightening law needed later.
The proof of the main result is in Section 5. In Section 6 we consider
homomorphism spaces of dimension greater than 1.
## 2\. Preliminaries
### 2.1. Notation
Throughout this paper, $K$ will be an infinite field of characteristic $p>0$.
We will be working with homogeneous polynomial representations of $GL_{n}(K)$
of degree $r$, or equivalently, with modules over the Schur algebra
$S=S_{K}(n,r)$. A standard reference here is [8].
In what follows we fix notation and recall from Akin and Buchsbaum [1], and
also Akin, Buchsbaum and Weyman [2] important facts.
Let $V=K^{n}$ be the natural $GL_{n}(K)$-module. The divided power algebra
$DV=\sum_{i\geq 0}D_{i}V$ of $V$ is defined as the graded dual of the Hopf
algebra $S(V^{*})$, where $V^{*}$ is the linear dual of $V$ and $S(V^{*})$ is
the symmetric algebra of $V^{*}$, see [2], I.4. For $v\in V$ and $i,j$
nonnegative integers, we will use many times relations of the form
$v^{(i)}v^{(j)}=\tbinom{i+j}{j}v^{(i+j)},$
where $\tbinom{i+j}{j}$ is the indicated binomial coefficient.
By $\wedge(n,r)$ we denote the set of sequences $a=(a_{1},\dots,a_{n})$ of
nonnegative integers that sum to $r$ and by $\wedge^{+}(n,r)$ we denote the
subset of $\wedge(n,r)$ consisting of sequences
$\lambda=(\lambda_{1},\dots,\lambda_{n})$ such that
$\lambda_{1}\geq\lambda_{2}\dots\geq\lambda_{n}$. Elements of
$\wedge^{+}(n,r)$ are referred to as partitions of $r$ with at most $n$ parts.
The transpose partition
$\lambda^{t}=(\lambda_{1}^{t},...,\lambda_{n}^{t})\in\wedge^{+}(\lambda_{1},r)$
of a partition $\lambda=(\lambda_{1},...,\lambda_{n})\in\wedge^{+}(n,r)$ is
defined by $\lambda_{j}^{t}=\\#\\{i:\lambda_{i}\geq j\\}$.
If $a=(a_{1},\dots,a_{n})\in\wedge(n,r)$, we denote by $D(a)$ or
$D(a_{1},\dots,a_{n})$ the tensor product $D_{a_{1}}V\otimes\dots\otimes
D_{a_{n}}V$. All tensor products in this paper are over $K$.
The exterior algebra of $V$ is denoted $\Lambda V=\sum_{i\geq 0}\Lambda^{i}V$.
If $a=(a_{1},\dots,a_{n})\in\wedge(n,r)$, we denote by $\Lambda(a)$ the tensor
product $\Lambda^{a_{1}}V\otimes\dots\otimes\Lambda^{a_{n}}V$.
For $\lambda\in\wedge^{+}(n,r)$, we denote by $\Delta(\lambda)$ the
corresponding Weyl module for $S$. In [2], Definition II.1.4, the module
$\Delta(\lambda)$ (denoted $K_{\lambda}F$ there), was defined as the image a
map particular $d^{\prime}_{\lambda}:D(\lambda)\to\Lambda(\lambda^{t})$. For
example, if $\lambda=(r)$, then $\Delta(\lambda)=D_{r}V$, and if
$\lambda=(1^{r})$, then $\Delta(\lambda)=\Lambda^{r}V$.
### 2.2. Relations for Weyl modules.
We recall from [2], Theorem II.3.16, the following description of
$\Delta(\lambda)$ in terms of generators and relations.
###### Theorem 2.1 ([2]).
Let $\lambda=(\lambda_{1},\dots,\lambda_{m})\in\wedge^{+}(n,r)$, where
$\lambda_{m}>0$. There is an exact sequence of $S$-modules
$\sum_{i=1}^{m-1}\sum_{t=1}^{\lambda_{i+1}}D(\lambda_{1},\dots,\lambda_{i}+t,\lambda_{i+1}-t,\dots,\lambda_{m})\xrightarrow{\square_{\lambda}}D(\lambda)\xrightarrow{d^{\prime}_{\lambda}}\Delta(\lambda)\to
0,$
where the restriction of $\square_{\lambda}$ to the summand
$M(t)=D(\lambda_{1},\dots,\lambda_{i}+t,\lambda_{i+1}-t,\dots,\lambda_{m})$ is
the composition
$M(t)\xrightarrow{1\otimes\cdots\otimes\Delta\otimes\cdots
1}D(\lambda_{1},\dots,\lambda_{i},t,\lambda_{i+1}-t,\dots,\lambda_{m})\xrightarrow{1\otimes\cdots\otimes\eta\otimes\cdots
1}D(\lambda),$
where $\Delta:D(\lambda_{i}+t)\to D(\lambda_{i},t)$ and
$\eta:D(t,\lambda_{i+1}-t)\to D(\lambda_{i+1})$ are the indicated components
of the comultiplication and multiplication respectively of the Hopf algebra
$DV$ and $d^{\prime}_{\lambda}$ is the map in [2], Def.II.13.
### 2.3. Standard basis of $\Delta(\mu)$
We will record here and in the next subsection two important facts from [2]
and [1] specified to the case of partitions consisting of two parts.
Let us fix the order $e_{1}<e_{2}<...<e_{n}$ on the set
$\\{e_{1},e_{2},...,e_{n}\\}$ of the canonical basis elements of the natural
module $V$ of $GL_{n}(K)$. We will denote each element $e_{i}$ by its
subscript $i$. For a partition $\mu=(\mu_{1},\mu_{2})\in\wedge^{+}(n,r)$, a
tableau of shape $\mu$ is a filling of the diagram of $\mu$ with entries from
$\\{1,...,n\\}$. Such a tableau is called standard if the entries are weakly
increasing across the rows from left to right and strictly increasing in the
columns from top to bottom. (The terminology used in [2] is ’co-standard’).
The set of standard tableaux of shape $\mu$ will be denoted by
$\mathrm{ST}(\mu)$. The weight of a tableau $T$ is the tuple
$\alpha=(\alpha_{1},...,\alpha_{n})$, where $\alpha_{i}$ is the number of
appearances of the entry $i$ in $T$. The subset of $\mathrm{ST}(\mu)$
consisting of the (standard) tableaux of weight $\alpha$ will be denoted by
$\mathrm{ST}_{\alpha}(\mu).$
For example, the following tableau of shape $\mu=(6,4)$.
$T=$ 1&11224
2234
is standard and has weight $\alpha=(3,4,1,2)$.
We will use ’exponential’ notation for standard tableaux. Thus for the above
example we write
$T=\begin{matrix}[l]1^{(3)}2^{(2)}4\\\ 2^{(2)}34\end{matrix}.$
To each tableau $T$ of shape $\mu=(\mu_{1},\mu_{2})$ we may associate an
element
$x_{T}=x_{T}(1)\otimes x_{T}(2)\in D(\mu_{1},\mu_{2}),$
where $x_{T}(i)=1^{(a_{i1})}\cdots n^{(a_{in})}$ and $a_{ij}$ is equal to the
number of appearances of $j$ in the $i$-th row of $T$. For example, the $T$
depicted above yields $x_{T}=1^{(3)}2^{(2)}4\otimes 2^{(2)}34$. According to
[2], Theorem II.2.16, we have the following.
###### Theorem 2.2 ([2]).
The set $\\{d^{\prime}_{\mu}(x_{T}):T\in\mathrm{ST}(\mu)\\}$ is a basis of the
$K$-vector space $\Delta({\mu})$.
If $x=1^{(a_{1})}2^{(a_{2})}\cdots n^{(a_{n})}\otimes
1^{(b_{1})}2^{(b_{2})}\cdots n^{(b_{n})}\in D(\mu)$, we will denote the
element $d^{\prime}_{\mu}(x)\in\Delta(\mu)$ by
$\begin{bmatrix}1^{(a_{1})}2^{(a_{2})}\cdots n^{(a_{n})}\\\
1^{(b_{1})}2^{(a_{2})}\cdots n^{(b_{n})}\end{bmatrix}.$
### 2.4. Weight subspaces of $\Delta(\mu)$
Let $\nu\in\wedge(n,r)$ and $\mu=(\mu_{1},\mu_{2})\in\wedge^{+}(2,r)$.
According to [1], equation (11), a basis of the $K$-vector space
$\operatorname{Hom}_{S}(D(\nu),\Delta(\mu))$ is in 1-1 correspondence with set
$\mathrm{ST}_{\nu}(\mu)$ of standard tableaux of shape $\mu$ and weight $\nu$.
For the computations to follow, we need to make the above correspondence
explicit. Let $\nu=(\nu_{1},...,\nu_{n})\in\wedge(n,r)$ and
$T\in\mathrm{ST}_{\nu}(\mu)$. Let $a_{i}$ (respectively, $b_{i}$) be the
number of appearances of $i$ in the first row (respectively, second row) of
$T$. We note that $\nu_{i}=a_{i}+b_{i}$ for each $i$. In particular we have
$a_{1}=\nu_{1}$ because of standardness of $T$. Define the map
$\phi_{T}:D(\nu)\to\Delta(\mu),$ $x_{1}\otimes x_{2}\otimes\cdots\otimes
x_{n}\mapsto\sum_{i_{2},...,i_{n}}d^{\prime}_{\mu}\left(x_{1}x_{2i_{2}}(a_{2})\cdots
x_{ni_{n}}(a_{n})\otimes x_{2i_{2}}(b_{2})^{\prime}\cdots
x_{ni_{n}}(b_{n})^{\prime}\right),$
where $\sum_{i_{s}}x_{si_{s}}(a_{s})\otimes x_{si_{s}}(b_{s})^{\prime}$ is the
image of $x_{s}$ under the component
$D(\nu_{s})\to D(a_{s},b_{s})$
of the diagonalization $\Delta:DV\to DV\otimes DV$ of the Hopf algebra $DV$
for $s=2,...,n$. Thus we have that a basis of the $K$-vector space
$\operatorname{Hom}_{S}(D(\nu),\Delta(\mu))$ is the set
$\\{\phi_{T}:T\in\mathrm{ST}_{\nu}(\mu)\\}.$
In particular, suppose
$\lambda=(\lambda_{1},...,\lambda_{m})\in\wedge^{+}(n,r)$ is a partition and
$\mu=(\mu_{1},\mu_{2})\in\wedge^{+}(2,r)$ satisfies $\mu_{2}\leq\lambda_{1}$.
This inequality means that each tableau of shape $\mu$ that has the form
$\begin{matrix}[l]1^{(\lambda_{1})}2^{(a_{2})}\cdots m^{(a_{m})}\\\
2^{(b_{2})}\cdots m^{(b_{m})}\end{matrix}$
is standard. Hence we have the following result.
###### Lemma 2.3.
Let $\lambda,\mu\in\wedge^{+}(n,r)$, where
$\lambda=(\lambda_{1},...,\lambda_{m})$ and $\mu=(\mu_{1},\mu_{2})$. If
$\mu_{2}\leq\lambda_{1}$, than a basis of the $K$\- vector space
$\operatorname{Hom}_{S}(D(\lambda,\Delta(\mu))$ is given by the elements
$\phi_{T}$, where
$[T]=\begin{bmatrix}[l]1^{(\lambda_{1})}2^{(a_{2})}\cdots m^{(a_{m})}\\\
2^{(b_{2})}\cdots m^{(b_{m})}\end{bmatrix},$
are such that
$\displaystyle a_{i},b_{i}\geq 0,i=2,...,m$ $\displaystyle
a_{i}+b_{i}=\lambda_{i},i=2,...,m,$ $\displaystyle
a_{2}+\cdots+a_{m}=\mu_{1}-\lambda_{1},$ $\displaystyle
b_{2}+\cdots+b_{m}=\mu_{2}.$
## 3\. Main result
In order to state the main result of this paper we use the following notation.
If $x,y$ are positive integers, let
$R(x,y)=\gcd\\{\tbinom{x}{1},\tbinom{x+1}{2},...,\tbinom{x+y-1}{y}\\}.$
If $x$ is a positive integer, let $R(x,0)=0$.
###### Theorem 3.1.
Let $K$ be an infinite field of characteristic $p>0$ and let $n\geq r$ be
positive integers. Let $\lambda,\mu\in\wedge^{+}(n,r)$ be partitions such that
$\lambda=(\lambda_{1},...,\lambda_{m})$ and $\mu=(\mu_{1},\mu_{2})$, where
$\lambda_{m}\neq 0$, $m\geq 2$ and $\mu_{2}\leq\lambda_{1}\leq\mu_{1}$. If $p$
divides all of the following integers
$\displaystyle
R(\lambda_{1}-\mu_{2}+1,l),l=\min\\{\lambda_{2},\mu_{1}-\lambda_{1}\\}$
$\displaystyle R(\lambda_{i}+1,\lambda_{i+1}),i=2,...,m-1.$
Then the map
$\psi=\sum_{T\in\mathrm{ST}_{\lambda}(\mu)}\phi_{T}$
induces a nonzero homomorphism $\Delta(\lambda)\to\Delta(\mu).$
###### Remark 3.2.
Consider the symmetric group $\mathfrak{S}_{r}$ on $r$ symbols. For a
partition $\lambda$ of $r$, let $\operatorname{\mathrm{Sp}}(\lambda)$ be the
corresponding Specht module defined in Section 6.3 of [8]. From Theorem 3.7 of
[3], we have
$\dim\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))\leq\dim\operatorname{Hom}_{\mathfrak{S}_{r}}(\operatorname{\mathrm{Sp}}(\mu),\operatorname{\mathrm{Sp}}(\lambda))$
for all partitions $\lambda,\mu$ of $r$. (In fact we have equality if $p>2$
according to loc. cit.) Hence our Theorem 3.1 may be considered as a non
vanishing result for homomorphisms between Specht modules.
###### Remarks 3.3.
Here we make some comments concerning the inequalities $n\geq r$, $m\geq 2$
and $\mu_{2}\leq\lambda_{1}\leq\mu_{1}$ in the statement of the above theorem.
(1) The assumption $n\geq r$ is needed so that the Weyl modules
$\Delta(\lambda),\Delta(\mu)$ are nonzero. As is usual with such results, it
turns out that this assumption may be relaxed to $n\geq m$, since $m$ is the
number of parts of the partition $\lambda$. This follows from the proof of the
theorem to be given in Section 5.
It is well known that if
$\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))\neq 0,$ then
$\lambda\trianglelefteq\mu$ in the dominance ordering, meaning in particular
that $\lambda_{1}\leq\mu_{1}$.
If $m=1$, then by the previous remark,
$\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))=0$, unless $\mu=\lambda$,
in which case $\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))=K$ by [10],
the analogue for Weyl modules of II.2.8 Proposition.
(2) In the above remarks, the corresponding inequalities were needed to avoid
trivial situations. The nature of the assumption $\mu_{2}\leq\lambda_{1}$ is
different. There are cases where nonzero homomorphisms
$\Delta(\lambda)\to\Delta(\mu)$ exist if $\mu_{2}>\lambda_{1}$. For example,
let $n=3$, $p=2$, $\lambda=(2,2,2)$ and $\mu=(3,3)$. One may check that the
map $\phi_{T}$, where $T=\begin{matrix}[l]1^{(2)}2\\\ 23^{(2)}\end{matrix},$
induces a nonzero map $\Delta(\lambda)\to\Delta(\mu)$. It would be interesting
to find general results. The main point for us of the assumption
$\mu_{2}\leq\lambda_{1}$ is that every tableau $T$ in Lemma 2.3 is standard.
(3) If $\lambda_{1}=\mu_{1}$, then $R(\lambda_{1}-\mu_{2}+1,l)=0$ and the
first divisibility condition of the theorem holds for all $p$. The remaining
divisibility conditions are exactly those for which we have
$\operatorname{Hom}_{S^{\prime}}(\Delta(\lambda_{2},...,\lambda_{m}),\Delta(\mu_{2}))\neq
0,$ where $S^{\prime}=S_{K}(n,r-\lambda_{1})$. This follows, for example, from
Theorem 3.1 of [17]. Hence in this case we have an instance of row removal
which states that
$\dim\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))=\dim\operatorname{Hom}_{S^{\prime}}(\Delta(\lambda_{2},...,\lambda_{m}),\Delta(\mu_{2}))$.
See the paper by Fayers and Lyle [7], Theorem 2.2 (stated for Specht modules),
or the paper by Kulkarni [12], Proposition 1.2.
For further use we note that the divisibility assumptions of Theorem 3.1 may
be stated in a different way. For a positive integer $y$ let $l_{p}(y)$ be the
least integer $i$ such that $p^{i}>y$. From James [9], Corollary 22.5, we have
the following result.
###### Lemma 3.4 ([9]).
Let $x\geq y$ be positive integers. Then $p$ divides $R(x,y)$ if and only if
$p^{l_{p}(y)}$ divides $x$.
## 4\. Straightening
For the proof of Theorem 3.1 we will need the following identities involving
binomial coefficients. Our convention is that $\tbinom{a}{b}=0$ if $b>a$ or
$b<0$.
###### Lemma 4.1.
1. (1)
Let $a,m_{1},...,m_{s}$ be nonnegative integers and $m=m_{1}+\cdots+m_{s}$.
1. (a)
We have
$\sum_{j_{1}+\cdots+j_{s}=a}\tbinom{m_{1}}{j_{1}}\cdots\tbinom{m_{s}}{j_{s}}=\tbinom{m}{a},$
where the sum ranges over all nonnegative integers $j_{1},...,j_{s}$ such that
$j_{1}+\cdots+j_{s}=a.$
2. (b)
If $m>0$, then
$\sum_{j_{0}+\cdots+j_{s}=m}(-1)^{j_{0}}\tbinom{m_{1}}{j_{1}}\cdots\tbinom{m_{s}}{j_{s}}=0,$
where the sum ranges over all nonnegative integers $j_{0},...,j_{s}$ such that
$j_{0}+\cdots+j_{s}=m.$
2. (2)
Let $a,b,c$ be nonnegative integers such that $b\leq a$. Then
$\displaystyle\sum_{j=0}^{c}(-1)^{c-j}\tbinom{a+j}{j}\tbinom{b}{c-j}=\tbinom{a-b+c}{c}=\sum_{j=0}^{c}(-1)^{j}\tbinom{a+c-j}{c-j}\tbinom{b}{j}.$
###### Proof.
(1) The identity in (a) is Vandermonde’s identity. For (b) we have
$\displaystyle\sum_{j_{0}+\cdots+j_{s}=m}(-1)^{j_{0}}\tbinom{m_{1}}{j_{1}}\cdots\tbinom{m_{s}}{j_{s}}$
$\displaystyle=\sum_{j_{0}=0}^{m}\;\sum_{j_{1}+\cdots+j_{s}=m-j_{0}}(-1)^{j_{0}}\tbinom{m_{1}}{j_{1}}\cdots\tbinom{m_{s}}{j_{s}}$
$\displaystyle=\sum_{j_{0}=0}^{m}(-1)^{j_{0}}\sum_{j_{1}+\cdots+j_{s}=m-j_{0}}\tbinom{m_{1}}{j_{1}}\cdots\tbinom{m_{s}}{j_{s}}$
$\displaystyle=\sum_{j_{0}=0}^{m}(-1)^{j_{0}}\tbinom{m}{m-j_{0}}$
$\displaystyle=0.$
(2) The second identity is Lemma 2.6 of [14] for $q=1$. The first follows from
the second with the substitution $j\mapsto c-j$.
∎
We will also need the following explicit form of the straightening law
concerning violations of standardness in the first column.
###### Lemma 4.2.
Let $\mu=(\mu_{1},\mu_{2})\in\wedge^{+}(n,r)$,
$(a_{1},...,a_{n})\in\wedge(n,\mu_{1})$ and
$(b_{1},...,b_{n})\in\wedge(n,\mu_{2})$.
1. (1)
If $a_{1}+b_{1}>\mu_{1}$, then $\begin{bmatrix}1^{(a_{1})}\cdots
n^{(a_{n})}\\\ 1^{(b_{1})}\cdots n^{(b_{n})}\end{bmatrix}=0$.
2. (2)
If $a_{1}+b_{1}\leq\mu_{1}$, then in $\Delta(\mu)$ we have
$\begin{bmatrix}1^{(a_{1})}\cdots n^{(a_{n})}\\\ 1^{(b_{1})}\cdots
n^{(b_{n})}\end{bmatrix}=(-1)^{b_{1}}\sum_{i_{2},...,i_{n}}\tbinom{b_{2}+i_{2}}{b_{2}}\cdots\tbinom{b_{n}+i_{n}}{b_{n}}\begin{bmatrix}[l]1^{(a_{1}+b_{1})}2^{(a_{2}-i_{2})}\cdots
n^{(a_{n}-i_{n})}\\\ \noindent 2^{(b_{2}+i_{2})}\cdots
n^{(b_{n}+i_{n})}\end{bmatrix},$
where the sum ranges over all nonnegative integers $i_{2},...,i_{n}$ such that
$i_{2}+\cdots+i_{n}=b_{1}$ and $i_{s}\leq a_{s}$ for all $s=2,...,n$.
###### Proof.
(1) This is clear since there is no element in $\Delta(\mu)$ of weight
$(\nu_{1},...,\nu_{n})$ satisfying $\nu_{1}>\mu_{1}$.
(2) We proceed by induction on $b_{1}$, the case $b_{1}=0$ being clear.
Suppose $b_{1}>0$. Consider the element $x\in D(\mu_{1}+b_{1},\mu_{2}-b_{1})$,
where
$x=1^{(a_{1}+b_{1})}2^{(a_{2})}\cdots n^{(a_{n})}\otimes 2^{(b_{2})}\cdots
n^{(b_{n})},$
and the map
$\displaystyle\delta:D(\mu_{1}+b_{1},\mu_{2}-b_{1})\xrightarrow{\Delta\otimes
1}D(\mu_{1},b_{1},\mu_{2}-b_{1})\xrightarrow{1\otimes\eta}D(\mu_{1},\mu_{2}).$
According to the analogue of Lemma II.2.9 of [2] for divided powers in place
of exterior powers, we have $d^{\prime}_{\mu}(\delta(x))=0$ in
$\Delta(\mu_{1},\mu_{2})$. Thus
$\begin{bmatrix}1^{(a_{1})}\cdots n^{(a_{n})}\\\ 1^{(b_{1})}\cdots
n^{(b_{n})}\end{bmatrix}=-\sum_{j_{1},...,j_{n}}\tbinom{b_{2}+j_{2}}{b_{2}}\cdots\tbinom{b_{n}+j_{n}}{b_{n}}\begin{bmatrix}[l]1^{(a_{1}+b_{1}-j_{1})}2^{(a_{2}-j_{2})}\cdots
n^{(a_{n}-j_{n})}\\\ \noindent 1^{(j_{1})}2^{(b_{2}+j_{2})}\cdots
n^{(b_{n}+j_{n})}\end{bmatrix},$
where the sum ranges over all nonnegative integers $j_{1},...,j_{n}$ such that
$j_{1}+\cdots+j_{n}=b_{1}$, $j_{1}<b_{1}$ and $j_{s}\leq a_{s}$ for all
$s=2,...,n$. Let $X$ be the right hand side of the above equality. By
induction we have
$\displaystyle
X=-\sum_{j_{1},...,j_{n}}\tbinom{b_{2}+j_{2}}{b_{2}}\cdots\tbinom{b_{n}+j_{n}}{b_{n}}(-1)^{j_{1}}\sum_{k_{2},...,k_{n}}\tbinom{b_{2}+j_{2}+k_{2}}{b_{2}+j_{2}}\cdots\tbinom{b_{n}+j_{n}+k_{n}}{b_{n}+j_{n}}$
$\displaystyle\begin{bmatrix}[l]1^{(a_{1}+b_{1})}2^{(a_{2}-j_{2}-k_{2})}\cdots
n^{(a_{n}-j_{n}-k_{n})}\\\ \noindent 2^{(b_{2}+j_{2}+k_{2})}\cdots
n^{(b_{n}+j_{n}+k_{n})}\end{bmatrix},$
where the new sum ranges over all nonnegative integers $k_{2},...,k_{n}$ such
that $k_{2}+\cdots+k_{n}=j_{1}$ and $k_{s}\leq a_{s}-j_{s}$ for all
$s=2,...,n$. Using the identities
$\tbinom{b_{s}+j_{s}}{b_{s}}\tbinom{b_{s}+j_{s}+k_{s}}{b_{s}+j_{s}}=\tbinom{b_{s}+j_{s}+k_{s}}{b_{s}}\tbinom{j_{s}+k_{s}}{j_{s}}$
for $s=2,...,n$, we obtain
$\displaystyle
X=-\sum_{j_{1},...,j_{n},k_{2},...,k_{n}}(-1)^{j_{1}}\tbinom{b_{2}+j_{2}+k_{2}}{b_{2}}\cdots\tbinom{b_{n}+j_{n}+k_{n}}{b_{n}}\tbinom{j_{2}+k_{2}}{j_{2}}\cdots\tbinom{j_{n}+k_{n}}{j_{n}}$
$\displaystyle\begin{bmatrix}[l]1^{(a_{1}+b_{1})}2^{(a_{2}-j_{2}-k_{2})}\cdots
n^{(a_{n}-j_{n}-k_{n})}\\\ \noindent 2^{(b_{2}+j_{2}+k_{2})}\cdots
n^{(b_{n}+j_{n}+k_{n})}\end{bmatrix}.$
The coefficient $c$ of
$\begin{bmatrix}[l]1^{(a_{1}+b_{1})}2^{(a_{2}-i_{2})}\cdots
n^{(a_{n}-i_{n})}\\\ \noindent 2^{(b_{2}+i_{2})}\cdots
n^{(b_{n}+i_{n})}\end{bmatrix}$
in the right hand side of the above equation is equal to
$-\sum_{\begin{subarray}{c}j_{1},...,j_{n},k_{2},...,k_{n}\\\
j_{s}+k_{s}=i_{s}\end{subarray}}(-1)^{j_{1}}\tbinom{b_{2}+j_{2}+k_{2}}{b_{2}}\cdots\tbinom{b_{n}+j_{n}+k_{n}}{b_{n}}\tbinom{j_{2}+k_{2}}{j_{2}}\cdots\tbinom{j_{n}+k_{n}}{j_{n}},$
where the sum is restricted over those $j_{1},...,j_{n}$ and $k_{2},...,k_{n}$
that satisfy the additional conditions $j_{s}+k_{s}=i_{s}$ for all
$s=2,...,n.$ Hence
$\displaystyle c$
$\displaystyle=-\sum_{j_{1},...,j_{n}}(-1)^{j_{1}}\tbinom{b_{2}+i_{2}}{b_{2}}\cdots\tbinom{b_{n}+i_{n}}{b_{n}}\tbinom{i_{2}}{j_{2}}\cdots\tbinom{i_{n}}{j_{n}}$
$\displaystyle=-\tbinom{b_{2}+i_{2}}{b_{2}}\cdots\tbinom{b_{n}+i_{n}}{b_{n}}\sum_{j_{1},...,j_{n}}(-1)^{j_{1}}\tbinom{i_{2}}{j_{2}}\cdots\tbinom{i_{n}}{j_{n}}.$
Remembering that in the last sum we have $j_{1}<b_{1}$, Lemma 4.1(1)(b) yields
$\sum_{j_{1},...,j_{n}}(-1)^{j_{1}}\tbinom{i_{2}}{j_{2}}\cdots\tbinom{i_{n}}{j_{n}}=0-(-1)^{b_{1}}.$
Thus
$c=(-1)^{b_{1}}\tbinom{b_{2}+i_{2}}{b_{2}}\cdots\tbinom{b_{n}+i_{n}}{b_{n}}.$
∎
## 5\. Proof of the main theorem
Consider the map $\psi\in\operatorname{Hom}_{S}(D(\lambda),\Delta(\mu))$ given
by the sum
$\psi=\sum_{T\in\mathrm{ST}_{\lambda}(\mu)}\phi_{T}$
in the statement of Theorem 3.1 We will show, according to Theorem 2.1, that
$\psi(x)=0$ for every $x\in Im(\square_{\lambda})$. First we look at the
relations corresponding to rows 1 and 2 of $\Delta(\lambda)$.
Relations from rows 1 and 2
Let $x=1^{(\lambda_{1})}\otimes 1^{(t)}2^{(\lambda_{2}-t)}\otimes
3^{(\lambda_{3})}\cdots m^{(\lambda_{m})}\in Im(\square_{\lambda}),$ where
$t\leq\lambda_{2}$, and let $T\in\mathrm{ST}_{\lambda}(\mu).$ Then $T$ is of
the form
$T=\begin{matrix}[l]1^{(\lambda_{1})}2^{(a_{2})}\cdots m^{(a_{m})}\\\
2^{(b_{2})}\cdots m^{(b_{m})}\end{matrix}\in\mathrm{ST}_{\lambda}(\mu),$
where the $a_{i},b_{i}$ satisfy the conditions of Lemma 2.3. Using the
definition of $\phi_{T}$ from 2.4, we have
$\displaystyle\phi_{T}(x)=\sum_{i\leq
t}\tbinom{\lambda_{1}+i}{i}\begin{bmatrix}[l]1^{(\lambda_{1}+i)}2^{(a_{2}-i)}3^{(a_{3})}\cdots
m^{(a_{m})}\\\ 1^{(t-i)}2^{(\lambda_{2}-t-a_{2}+i)}3^{(b_{3})}\cdots
m^{(b_{m})}\end{bmatrix}.$
If $(\lambda_{1}+i)+(t-i)\geq\mu_{1}$, then by the first part of Lemma 4.2 we
obtain $\phi_{T}(x)=0$. Hence we may assume that $t\leq
min\\{\lambda_{2},\mu_{1}-\lambda_{1}\\}.$ Using the second part of Lemma 4.2,
we have
$\displaystyle\phi_{T}(x)=$ $\displaystyle\sum_{i\leq
t}\tbinom{\lambda_{1}+i}{i}(-1)^{t-i}\sum_{k_{2}+\cdots+k_{m}=t-i}\tbinom{b_{2}-k_{3}-\cdots-
k_{m}}{k_{2}}\tbinom{b_{3}+k_{3}}{k_{3}}\cdots\tbinom{b_{m}+k_{m}}{k_{m}}$
$\displaystyle\begin{bmatrix}[l]1^{(\lambda_{1}+t)}2^{(a_{2}+k_{3}+\cdots+k_{m})}3^{(a_{3}-k_{3})}\cdots
m^{(a_{m}-k_{m})}\\\ 2^{(b_{2}-k_{3}-\cdots-k_{m})}3^{(b_{3}+k_{3})}\cdots
m^{(b_{m}+k_{m})}\end{bmatrix}.$
Let $c\in K$ be the coefficient of
$\begin{bmatrix}[l]1^{(\lambda_{1}+t)}2^{(a_{2}+k_{3}+\cdots+k_{m})}3^{(a_{3}-k_{3})}\cdots
m^{(a_{m}-k_{m})}\\\ 2^{(b_{2}-k_{3}-\cdots-k_{m})}3^{(b_{3}+k_{3})}\cdots
m^{(b_{m}+k_{m})}\end{bmatrix}$ in the right hand side of the last equation
and let $k=k_{3}+\cdots+k_{m}$. Then
$\displaystyle c$
$\displaystyle=\left(\sum_{i=0}^{t}\tbinom{\lambda_{1}+i}{i}(-1)^{t-i}\tbinom{b_{2}-k}{t-k-i}\right)\tbinom{b_{3}+k_{3}}{k_{3}}\cdots\tbinom{b_{m}+k_{m}}{k_{m}}$
$\displaystyle=(-1)^{k}\left(\sum_{i=0}^{t-k}\tbinom{\lambda_{1}+i}{i}(-1)^{t-k-i}\tbinom{b_{2}-k}{t-k-i}\right)\tbinom{b_{3}+k_{3}}{k_{3}}\cdots\tbinom{b_{m}+k_{m}}{k_{m}}$
$\displaystyle=(-1)^{k}\tbinom{\lambda_{1}-b_{2}+t}{t-k}\tbinom{b_{3}+k_{3}}{k_{3}}\cdots\tbinom{b_{m}+k_{m}}{k_{m}},$
where in the third equality we used the first identity of Lemma 4.1 (2). Thus
$\displaystyle\phi_{T}(x)=$
$\displaystyle\sum_{k_{3},...,k_{m}}(-1)^{k}\tbinom{\lambda_{1}-b_{2}+t}{t-k}\tbinom{b_{3}+k_{3}}{k_{3}}\cdots\tbinom{b_{m}+k_{m}}{k_{m}}\begin{bmatrix}[l]1^{(\lambda_{1}+t)}2^{(a_{2}+k)}3^{(a_{3}-k_{3})}\cdots
m^{(a_{m}-k_{m})}\\\ 2^{(b_{2}-k)}3^{(b_{3}+k_{3})}\cdots
m^{(b_{m}+k_{m})}\end{bmatrix},$
where $k=k_{3}+\cdots+k_{m}$ and the sum ranges over all nonnegative integers
$k_{3},...,k_{m}$ such that $k\leq b_{2}$ and $k_{s}\leq a_{s}$ for all
$s=3,...,m$.
By summing with respect to $T\in\mathrm{ST}_{\lambda}({\mu})$ and using Lemma
2.3 we obtain
(5.1) $\displaystyle\psi(x)=$
$\displaystyle\sum_{b_{2},...,b_{m}}\sum_{k_{3},...,k_{m}}(-1)^{k}\tbinom{\lambda_{1}-b_{2}+t}{t-k}\tbinom{b_{3}+k_{3}}{k_{3}}\cdots\tbinom{b_{m}+k_{m}}{k_{m}}$
$\displaystyle\begin{bmatrix}[l]1^{(\lambda_{1}+t)}2^{(a_{2}+k)}3^{(a_{3}-k_{3})}\cdots
m^{(a_{m}-k_{m})}\\\ 2^{(b_{2}-k)}3^{(b_{3}+k_{3})}\cdots
m^{(b_{m}+k_{m})}\end{bmatrix},$
where the new sum is over all nonnegative integers $b_{2},...,b_{m}$ such that
$b_{i}\leq\lambda_{i}(i=2,...,m)$ and $b_{2}+\cdots+b_{m}=\mu_{2}$.
Fix
$[S]=\begin{bmatrix}[l]1^{(\lambda_{1}+t)}2^{(a_{2}+k)}3^{(a_{3}-k_{3})}\cdots
m^{(a_{m}-k_{m})}\\\ 2^{(b_{2}-k)}3^{(b_{3}+k_{3})}\cdots
m^{(b_{m}+k_{m})}\end{bmatrix}\in\Delta(\mu)$
in the right hand side of (5.1) and let
$q=\mu_{2}-(b_{3}+k_{3})-\cdots-(b_{m}+k_{m}).$ Then $q=b_{2}-k$. The
coefficient of $[S]$ in (5.1) is equal to
$\displaystyle\sum_{k}(-1)^{k}\tbinom{\lambda_{1}-q-k+t}{t-k}\sum_{k_{3}+\cdots+k_{m}=k}\tbinom{b_{3}+k_{3}}{k_{3}}\cdots\tbinom{b_{m}+k_{m}}{k_{m}}$
$\displaystyle=\sum_{k}(-1)^{k}\tbinom{\lambda_{1}-q-k+t}{t-k}\tbinom{\mu_{2}-q}{k}$
$\displaystyle=\tbinom{\lambda_{1}-\mu_{2}+t}{t}$ $\displaystyle=0,$
where in the first equality we used Lemma 4.1(1)(a) and in the second equality
we used the second identity of Lemma 4.1(2).
Relations from rows $i$ and $i+1$ ($i>1$).
This computation is similar to the previous one but simpler as there is no
straightening. Let
$y=1^{(\lambda_{1})}\otimes\cdots\otimes{i}^{(\lambda_{i})}\otimes
i^{(t)}(i+1)^{(\lambda_{i+1}-t)}\otimes\cdots\otimes m^{(\lambda_{m})}\in
Im(\square_{\lambda}),$ where $i>1$ and $t\leq\lambda_{i+1}$. As before let
$T=\begin{matrix}[l]1^{(a_{1})}\cdots m^{(a_{m})}\\\ 2^{(b_{2})}\cdots
m^{(b_{m})}\end{matrix}\in\mathrm{ST}_{\lambda}(\mu).$
The definition of $\phi_{T}$ yields
$\displaystyle\phi_{T}(y)=\sum_{j\leq
t}\tbinom{a_{i}+j}{j}\tbinom{b_{i}+t-j}{t-j}\begin{bmatrix}[l]1^{(\lambda_{1})}2^{(a_{2})}\cdots
i^{(a_{i}+j)}(i+1)^{(a_{i+1}-j)}\cdots m^{(a_{m})}\\\ 2^{(b_{2})}\cdots
i^{(b_{i}+t-j)}(i+1)^{(b_{i+1}-t+j)}\cdots m^{(b_{m})}\end{bmatrix}.$
By summing with respect to $T\in\mathrm{ST}(\lambda,\mu)$ and using Lemma 2.3
we have
(5.2) $\displaystyle\psi(y)=$ $\displaystyle\sum_{b_{2},...,b_{m}}\sum_{j\leq
t}\tbinom{\lambda_{i}-b_{i}+j}{j}\tbinom{b_{i}+t-j}{t-j}$
$\displaystyle\begin{bmatrix}[l]1^{(\lambda_{1})}2^{(\lambda_{2}-b_{2})}\cdots
i^{(\lambda_{i}-b_{i}+j)}(i+1)^{(\lambda_{i+1}-b_{i+1}-j)}\cdots
m^{(\lambda_{m}-b_{m})}\\\ 2^{(b_{2})}\cdots
i^{(b_{i}+t-j)}(i+1)^{(b_{i+1}-t+j)}\cdots m^{(b_{m})}\end{bmatrix}$
where the new sum ranges over all nonnegative integers $b_{2},...,b_{m}$ such
that $b_{i}\leq\lambda_{i}\;(i=2,...,m)$ and $b_{2}+\cdots+b_{m}=\mu_{2}$.
Fix
$[S]=\begin{bmatrix}[l]1^{(\lambda_{1})}2^{(\lambda_{2}-b_{2})}\cdots
i^{(\lambda_{i}-b_{i}+j)}(i+1)^{(\lambda_{i+1}-b_{i+1}-j)}\cdots
m^{(\lambda_{m}-b_{m})}\\\ 2^{(b_{2})}\cdots
i^{(b_{i}+t-j)}(i+1)^{(b_{i+1}-t+j)}\cdots
m^{(b_{m})}\end{bmatrix}\in\Delta(\mu)$
in the right hand side of (5.3) and let $q=b_{i}-j$. The coefficient of $[S]$
in (5.3) is equal to
$\displaystyle\sum_{j\leq
t}\tbinom{\lambda_{j}-q}{j}\tbinom{t+q}{t-j}=\tbinom{\lambda_{i}+t}{t}=0,$
where in the first equality we used Lemma 4.1 (1)(a).
We have shown thus far that the map
$\psi=\sum_{T\in\mathrm{ST}(\lambda,\mu)}\phi_{T}$ induces a homomorphism of
$S$-modules $\bar{\psi}:\Delta(\lambda)\to\Delta(\mu)$ and it remains to be
shown that $\bar{\psi}\neq 0$. Let $z=1^{(\lambda_{1})}\otimes\cdots\otimes
m^{(\lambda_{m})}\in D(\lambda)$ and $T\in\mathrm{ST}_{\lambda}(\mu).$ Then
from the definition of $\phi_{T}$ we have $\phi_{T}(x)=[T]$ and hence
$\psi(x)=\sum_{T\in\mathrm{ST}_{\lambda}(\mu)}[T].$
The right hand side is a sum of distinct basis elements in $\Delta(\mu)$ (each
with coefficient 1) according to Theorem 2.2 and hence nonzero. The proof is
complete.
###### Remark 5.1.
Lyle has shown in [15], Propositions 2.19 through 2.27 and subsection 3.3,
that the homomorphism spaces between Specht modules corresponding to
partitions $\lambda=(\lambda_{1},...,\lambda_{n}),\mu=(\mu_{1},\mu_{2})$ of
$r$ with $\mu_{2}\leq\lambda_{1}$, over the complex Hecke algebra
$\mathcal{H}=\mathcal{H}_{\mathbb{C},q}(\mathfrak{S}_{r})$ of the symmetric
group $\mathfrak{S}_{r}$, where $q$ is a complex root of unity, are at most 1
dimensional. Furthermore she proves exactly when they are nonzero and provides
a generator which turns out to correspond to the sum of all standard tableaux
in $\mathrm{ST}_{\lambda}(\mu)$. (Note that our $\lambda,\mu$ are reversed).
In the statement of Theorem 3.1 a similar map is considered and there are some
technical similarities between the proof of our main result and [15]. However,
we show in the next section, our modular homomorphism spaces may have
dimension greater than 1.
## 6\. Homomorphism spaces of dimension greater than 1
As mentioned in the Introduction, the first examples of Weyl modules
$\Delta(\lambda),\Delta(\mu)$ such that
$\dim\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))>1$ were obtained by
Dodge [6]. More examples were found by Lyle [14], in fact in the $q$-Schur
algebra setting. The purpose of this section is to observe that the
homomorphism spaces of Theorem 3.1 may have dimension $>1$, see Corollary 6.2
and Example 6.4 below.
We recall the following special case of the classical nonvanishing result of
Carter and Payne [4]. Here boxes are raised between consecutive rows. See
[16], 1.2 Lemma, for a proof of this particular case in our context.
###### Proposition 6.1 ([4]).
Let $n\geq r$. Let $\lambda,\mu\in\wedge^{+}(n,r)$ such that for some some
$d>0$ we have $\mu=(\lambda_{1}+d,\lambda_{2}-d,\lambda_{3},...,\lambda_{m})$,
where $\lambda=(\lambda_{1},...,\lambda_{m})$. Suppose $p$ divides
$R(\lambda_{1}-\lambda_{2}+d+1,d)$. Then the map
$\displaystyle\alpha:D(\lambda_{1},\lambda_{2},...,\lambda_{m})$
$\displaystyle\xrightarrow{1\otimes\Delta\otimes
1}D(\lambda_{1},d,\lambda_{2}-d,...,\lambda_{m})$
$\displaystyle\xrightarrow{\eta\otimes
1}D(\lambda_{1}+d,\lambda_{2}-d,...,\lambda_{m}),$
where $\Delta:D(\lambda_{2})\to D(d,\lambda_{2}-d)$ is the indicated
diagonalization and $\eta:D(\lambda_{1},d)\to D(\lambda_{1}+d)$ and the
indicated multiplication, induces a nonzero homomorphism
$\Delta(\lambda)\to\Delta(\mu)$.
The main result of this section is the following.
###### Corollary 6.2.
Let $n\geq r$. Let $\lambda,\mu\in\wedge^{+}(n,r)$ such that
$\lambda=(\lambda_{1},...,\lambda_{m}),\lambda_{m}\neq 0,m\geq 3$ and
$\mu=(\mu_{1},\mu_{2})$. Define $d=\mu_{1}-\lambda_{1}$ and assume
$0<d\leq\lambda_{2}-\lambda_{3}$ and $\mu_{2}\leq\lambda_{1}$. If $p$ divides
all of the following integers
1. (1)
$R(\lambda_{1}-\mu_{2}+1,d),$
2. (2)
$R(\lambda_{i}+1,\lambda_{i+1}),\;i=2,...,m-1,$
3. (3)
$R(\lambda_{1}-\lambda_{2}+d+1,d),$
4. (4)
$R(\lambda_{2}-d+1,\lambda_{3}),$
then the dimension of the $K$-vector space
$\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))$ is at least 2.
###### Proof.
By the first two divisibility conditions, the map
$\psi_{1}=\sum_{T\in\mathrm{ST}_{\lambda}(\mu)}\phi_{T}:D(\lambda)\to D(\mu)$
induces a nonzero homomorphism $\bar{\psi_{1}}:\Delta(\lambda)\to\Delta(\mu)$
according to Theorem 3.1.
Next consider the following maps
$\displaystyle\alpha:D(\lambda_{1},\lambda_{2},...,\lambda_{m})\to
D(\lambda_{1}+d,\lambda_{2}-d,...,\lambda_{m})$
as in Proposition 6.1 and
$\displaystyle\beta:D(\lambda_{1}+d,\lambda_{2}-d,...,\lambda_{m})$
$\displaystyle\xrightarrow{1\otimes\eta^{\prime}}D(\lambda_{1}+d,\lambda_{2}-d+\lambda_{3}+\cdots+\lambda_{m})$
where $\eta^{\prime}:D(\lambda_{2}-d,...,\lambda_{m})\to
D(\lambda_{2}-d+\lambda_{3}+\cdots+\lambda_{m})$ are the indicated
multiplications.
Under assumption (3), we have that $\alpha$ induces a nonzero map
$\bar{\alpha}:\Delta(\lambda)\to
D(\lambda_{1}+d,\lambda_{2}-d,...,\lambda_{m})$
according to Proposition 6.1
Under assumptions (2) and (4), we have that $\beta$ induces a nonzero map
$\bar{\beta}:\Delta(\lambda_{1}+d,\lambda_{2}-d,...,\lambda_{m})\to\Delta(\lambda_{1}+d,\lambda_{2}-d+\lambda_{3}+\cdots+\lambda_{m})$
according to Theorem 2.1
Consider the composition
$\bar{\psi_{2}}=\bar{\beta}\bar{\alpha}:\Delta(\lambda)\to\Delta(\mu)$
depicted below, where Weyl modules are indicated by the diagrams of the
corresponding partitions.
$\lambda_{1}$$\lambda_{2}$$\cdots$$\xrightarrow{\bar{\alpha}}$$\cdots$$\xrightarrow{\bar{\beta}}$$\lambda_{1}+d$$\lambda_{2}-d$$\lambda_{1}+d$$\mu_{2}$
It remains to be shown that the homomorphisms $\bar{\psi}_{1},\bar{\psi}_{2}$
are linearly independent. Let
$z=d^{\prime}_{\lambda}(1^{(\lambda_{1})}\otimes\cdots\otimes
m^{(\lambda_{m})})\in\Delta(\lambda)$. From the definitions of the maps we
have
$\bar{\psi}_{1}(z)=\sum_{T\in\mathrm{ST}_{\lambda}(\mu)}[T]$
and
$\bar{\psi}_{2}(z)=\begin{bmatrix}[l]1^{(\lambda_{1})}2^{(d)}\\\
2^{(\lambda_{2}-d)}\cdots m^{(\lambda_{m})}\end{bmatrix}.$
It is clear that $\begin{matrix}[l]1^{(\lambda_{1})}2^{(d)}\\\
2^{(\lambda_{2}-d)}\cdots
m^{(\lambda_{m})}\end{matrix}\in\mathrm{ST}_{\lambda}(\mu).$ Since
$\lambda_{3}>0$, the set $\mathrm{ST}_{\lambda}(\mu)$ contains at least two
elements. Hence from the above equations and Theorem 2.2 it follows that the
maps $\bar{\psi}_{1},\bar{\psi}_{2}$ are linearly independent. ∎
###### Remark 6.3.
The assumptions of Corollary 6.2 imply that for the corresponding Specht
modules we have
$\dim\operatorname{Hom}_{\mathfrak{S}_{r}}(\operatorname{\mathrm{Sp}}(\mu),\operatorname{\mathrm{Sp}}(\lambda))\geq
2.$ See Remark 3.2.
###### Example 6.4.
Let $p$ be a prime and $a$ an integer such that $a\geq(p^{2}+1)(p-1)$ and
$a\equiv p-2\mod p^{2}.$
Consider the following partitions
$\displaystyle\lambda=(a,2p-1,(p-1)^{p^{2}}),$
$\displaystyle\mu=(a+p,(p^{2}+1)(p-1)),$
where $p-1$ appears $p^{2}$ times as a row in $\lambda$. Using Lemma 3.3 it
easily follows that the assumptions (1) - (4) of Corollary 6.2 are satisfied.
For example, we have
$\lambda_{1}-\mu_{2}+1\equiv p-2-(p^{2}+1)(p-1)+1\equiv 0\mod p^{2}$
and hence by Lemma 3.3, $d=p$ divides $R(\lambda_{1}-\mu_{2}+1,d)$ which is
assumption (1). Thus
$\dim\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))\geq 2.$ 111We note
that for fixed $p$, it follows from the main result of [18] that the dimension
of $\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))$ does not depend on
$a$. For $p=3$, this means that
$\dim\operatorname{Hom}_{S}(\Delta(\lambda),\Delta(\mu))=2$ for all $a$, see
[18], Example 2.4.
For $p=2$ the least $a$ that satisfies the above requirements is $a=8$ and
thus we have the partitions $\lambda=(8,3,1,1,1,1),\mu=(10,5)$. This pair
appears in Example 4, subsection 2.3, of Lyle’s paper [15] which prompted us
to consider Corollary 6.2 and in particular the composition
$\bar{\psi_{2}}=\bar{\beta}\bar{\alpha}:\Delta(\lambda)\to\Delta(\mu)$.
## References
* [1] Akin K. and Buchsbaum D., Characteristic-free representation theory of the general linear group II: Homological considerations, Adv. in Math. 72 (1988), 172-210.
* [2] Akin K., Buchsbaum D. and Weyman J., Schur functors and Schur complexes, Adv. in Math. 44 (1982), 207–278.
* [3] Carter, R.W., Lusztig, G. On the modular representations of the general linear and symmetric groups. Math Z. 136 (1974), 193–242.
* [4] Carter R. W. and and Payne M. T. J., On homomorphisms between Weyl modules and Specht modules, Math. Proc. Cambridge Philos. Soc., 87 (1980), 419–425.
* [5] Cox A. and Parker A. Homomorphisms between Weyl modules for SL3(k). Trans. Amer. Math. Soc., 358 (2006), 4159–4207.
* [6] Dodge C., Large dimension homomorphism spaces between Specht modules for symmetric groups, J. Pure Appl. Algebra 215 (2011), 2949–2956.
* [7] Fayers M. and Lyle S., Row and column removal theorems for homomorphisms between Specht modules, J. Pure Appl. Algebra 185 (2003),147–164.
* [8] Green, J. A., Polynomial Representations of GLn, 2nd edition, LNM 830, Springer, 2007.
* [9] James G. D., The Representation Theory of the Symmetric Groups, LNM 682, Springer, 1978.
* [10] Jantzen J. C., Representations of Algebraic Groups, volume 107 AMS, Providence, RI, 2nd edition, 2003.
* [11] Koppinen, M., Homomorphisms between neighboring Weyl modules, J. Algebra 103 (1986), 302–319.
* [12] Kulkarni U., On the Ext groups between Weyl modules for $GL_{n}$, J. Algebra 304 (2006), 510–542.
* [13] Loubert J. W., Homomorphisms from an arbitrary Specht module to one corresponding to a hook, J. Algebra 485 (2017), 97–117.
* [14] Lyle S., Large-dimensional homomorphism spaces between Weyl modules and Specht modules, J. Pure Appl. Algebra 217 (2013), 87–96.
* [15] Lyle S., On homomorphisms indexed by semistandard tableaux, Algebr. Represent. Theor. 16 (2013), 1409–1447.
* [16] Maliakas M, On Weyl resolutions associated to Frobenius twists, Commun. in Algebra 39 (2011), 992–1006.
* [17] Maliakas M., Stergiopoulou D.-D., On homomorphisms involving a hook Weyl module, J. Algebra 585 (2021), 1–24.
* [18] Maliakas M., Stergiopoulou D.-D., Relating homomorphism spaces between Specht modules of different degrees, arXiv:2108.05733.
| arxiv-papers | 2021-07-25T16:46:20 | 2024-09-04T03:07:17.035381 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Mihalis Maliakas, Dimitra-Dionysia Stergiopoulou",
"submitter": "Dimitra-Dionysia Stergiopoulou",
"url": "https://arxiv.org/abs/2107.11842"
} |
2107.11846 | # Large Deviations of Telecom Processes
M.A. Lifshits S.E. Nikitin
(July 2021)
###### Abstract
We study large deviation properties of Telecom processes appearing as limits
in a critical regime of infinite source Poisson models.
AMS Subject Classification: Primary: 60F10. Secondary: 60F05, 60G57, 60E07.
Keywords: large deviation probabilities, Telecom process, Poisson random
measure, teletraffic, workload.
## 1 Introduction: Telecom processes
### 1.1 A service system
Telecom processes originate from a remarkable work by I. Kaj and M.S. Taqqu
[9] who handled the limit behavior of ”teletraffic systems” by using the
language of integral representations as a unifying technique. Their article
brightly represents a wave of interest to the subject, see e.g. [8, 10, 12,
13, 14], and the surveys with further references [5, 6, 7], to mention just a
few. Simplicity of the dependence mechanism used in the model enables to get a
clear understanding both of long range dependence in one case, and independent
increments, in other cases.
The work of the system represents a collection of _service processes_ or
_sessions_ , using telecommunication terminology. Every process starts at some
time $s$, lasts $u$ units of time, and occupies $r$ _resource_ units (synonyms
for resource are _reward, transmission rate_ etc). The amount of occupied
resources $r$ remains constant during every service process.
The formal model of the service system is based on Poisson random measures and
looks as follows. Let
$\mathcal{R}:=\\{(s,u,r)\\}={\mathbb{R}}\times{\mathbb{R}}_{+}\times{\mathbb{R}}_{+}$.
Every point $(s,u,r)$ corresponds to a possible service process with starting
time $s$, duration $u$, and required resources $r$.
The system is characterized by the following parameters:
* •
$\lambda>0$ – _arrival intensity_ of service processes;
* •
$F_{U}(du)$ – the distribution of service duration;
* •
$F_{R}(dr)$ – the distribution of amount of required resources.
One may assume ${\mathbb{P}}(R>0)=P(U>0)=1$ without loss of generality.
Define on $\mathcal{R}$ an intensity measure
$\mu(ds,du,dr)=\lambda ds\,F_{U}(du)\,F_{R}(dr).$
Let $N$ be a Poisson random measure with intensity $\mu$. One may consider the
samples of $N$ (sets of triplets $(s,u,r)$, each triplet corresponding to a
service process) as variants (sample paths) of the work for the system.
The instant workload on the system at time $t$ writes as
$W^{\circ}(t)=\int_{\mathcal{R}}r{\mathbf{1}}_{\\{s\leq t\leq s+u\\}}dN.$
This is essentially the sum of occupied resources over the processes active at
time $t$. The integral workload over the interval $[0,t]$ is
$\displaystyle W^{*}(t)$ $\displaystyle=$
$\displaystyle\int_{0}^{t}W^{\circ}(\tau)d\tau=\int_{\mathcal{R}}r\int_{0}^{t}{\mathbf{1}}_{\\{s\leq\tau\leq
s+u\\}}d\tau dN$ $\displaystyle=$
$\displaystyle\int_{\mathcal{R}}r\cdot\Big{|}[s,s+u]\cap[0,t]\Big{|}dN:=\int_{\mathcal{R}}r\ell_{t}(s,u)dN.$
Here $|\cdot|$ stands for the length of an interval, and the kernel
$\ell_{t}(s,u):=\Big{|}[s,s+u]\cap[0,t]\Big{|}$ (1)
will be often used in the sequel.
Notice that $W^{\circ}(\cdot)$ is a stationary process and its integral
$W^{*}(\cdot)$ is a process with stationary increments.
We suppose that either the variables $R$ and $U$ have finite variance, or
their distributions have regular tails. More precisely, either
${\mathbb{P}}(U>u)\sim\frac{{c_{\scriptscriptstyle U}}}{u^{\gamma}}\ ,\qquad
u\to\infty,\qquad 1<\gamma<2,\ {c_{\scriptscriptstyle U}}>0,$
or ${\mathbb{E}}U^{2}\,<\infty$. In the latter case we formally set
$\gamma:=2$.
Analogously, we assume either
${\mathbb{P}}(R>r)\sim\frac{{c_{\scriptscriptstyle R}}}{r^{\delta}}\ ,\qquad
r\to\infty,\qquad 1<\delta<2,\ {c_{\scriptscriptstyle R}}>0,$
or ${\mathbb{E}}R^{2}\,<\infty$. In the latter case we formally set
$\delta:=2$.
The behavior of the service system crucially depends of the parameters
$\gamma,\delta\in(1,2]$.
### 1.2 Limit theorems for the workload
#### 1.2.1 Centered and scaled workload process
The main object of theoretical interest is the behavior of the integral
workload as a process (function of time) observed on long time intervals.
In order to obtain a meaningful limit, one must
scale (contract) the time so that it would run through the standard time
interval, center the workload process, and divide it by an appropriate scalar
factor.
We choose $[0,1]$ as a standard time interval.
Centering and scaling by appropriate factor $b$ lead to a _normalized integral
workload process_
$Z_{a}(t):=\frac{W^{*}(at)-{\mathbb{E}}R\,\cdot{\mathbb{E}}\,U\,\cdot a\lambda
t}{b}\ ,\qquad t\in[0,1],\ b=b(a,\lambda).$
#### 1.2.2 A limit theorem leading to a Telecom process
It is remarkable that a simple tuning of three parameters
$\lambda,\gamma,\delta$ may lead to different limiting processes for $Z_{a}$,
namely, one can obtain
* •
a Wiener process;
* •
a fractional Brownian motion with index $H\in(1/2,1)$;
* •
a centered Lévy stable process with positive spectrum;
* •
a stable Telecom process;
* •
a Poisson Telecom process.
While the first three processes present a core of the classical theory of
stochastic processes, the Telecom processes are almost not studied. In this
article we focus on some key properties of the Poisson Telecom process.
For the full panorama of related limit theorems we refer to [11, Chapter 3]
and recall here only one result concerning the Poisson Telecom process (cf.
[11, Theorem 13.16]) related to the case of _critical intensity_
$\frac{\lambda}{a^{\gamma-1}}\to L,\qquad\qquad 0<L<\infty.$ (2)
###### Theorem 1
Assume that $1<\gamma<\delta\leq 2$, $a\to\infty$, and that critical intensity
condition $\eqref{critint}$ holds. Let $Q:=L\,{c_{\scriptscriptstyle
U}}\,\gamma$. Then with scaling $b:=a$ the finite dimensional distributions of
the process $Z_{a}$ converge to those of the Poisson Telecom process
$Y_{Q,\gamma}$ admitting an integral representation
$Y_{Q,\gamma}(t)=\int_{\mathcal{R}}r\,\ell_{t}(s,u)\bar{N}_{Q,\gamma}(ds,du,dr).$
Here $\ell_{t}(s,u)$ is the kernel defined in $(\ref{ell})$ and
$\bar{N}_{Q,\gamma}$ is a centered Poisson random measure of intensity
$Q\,\mu_{\gamma}$ where
$\mu_{\gamma}(ds,du,dr):=\frac{ds\,du}{u^{\gamma+1}}\ F_{R}(dr).$
For studies on Poisson Telecom process we refer to [2, 4]. It is well known
that the process $(Y_{Q,\gamma}(t))_{t\geq 0}$, is correctly defined if
${\mathbb{E}\,}(R^{\gamma})<\infty$. In accordance with its role in the limit
theorem, it has stationary increments. It is, however, not self-similar like
other limiting processes in the same model, such as Wiener process, fractional
Brownian motion, or strictly stable Lévy process.
## 2 Main results
### 2.1 A limit theorem for Telecom process
At large time scales the Poisson Telecom process essentially behaves as a
$\gamma$-stable Lévy process. This fact is basically known but we present it
here for completeness of exposition. The analogy with a stable law will also
guide us (to some extent and within a certain range) in the subsequent studies
of large deviation probabilities.
###### Proposition 2
We have a weak convergence
$\left({\mathbb{E}\,}(R^{\gamma})\,t\right)^{-1/\gamma}\,Y_{Q,\gamma}(t)\
\Rightarrow\SS_{Q,\gamma},\qquad\textrm{ as }t\to\infty,$ (3)
where $\SS_{Q,\gamma}$ is a centered strictly $\gamma$-stable random variable
with positive spectrum, i.e.
${\mathbb{E}\,}\exp\\{it\SS_{Q,\gamma}\\}=\exp\left\\{Q\int_{0}^{\infty}\frac{e^{itu}-1-itu}{u^{\gamma+1}}\,du\right\\}$
### 2.2 Large deviations
According to the limit theorem (2), large deviation probability is
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho),\quad\textrm{as }\
\varrho=\varrho(t)\gg t^{1/\gamma}.$
Its behavior may be different in different zones of $\varrho$ and may depend
on the distribution of $R$. We consider the most important cases in the
following subsections.
#### 2.2.1 Moderate large deviations
###### Theorem 3
Let $\varrho=\varrho(t)$ be such that $t^{1/\gamma}\ll\varrho\ll t$. Then
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)=D\,t\,\varrho^{-\gamma}\
(1+o(1)),\qquad\textrm{as }\ t\to\infty,$ (4)
where $D:=\tfrac{Q\ {\mathbb{E}\,}(R^{\gamma})}{\gamma}$.
This result should be compared with the limit theorem (3) because (4) yields
$\displaystyle{\mathbb{P}}\left(({\mathbb{E}\,}(R^{\gamma})t)^{-1/\gamma}Y_{Q,\gamma}(t)\geq\rho\right)={\mathbb{P}}\left(Y_{Q,\gamma}(t)\geq({\mathbb{E}\,}(R^{\gamma})t)^{1/\gamma}\rho\right)$
$\displaystyle\sim$ $\displaystyle
D\,t\,({\mathbb{E}\,}(R^{\gamma})t)^{-1}\rho^{-\gamma}=\frac{Q}{\gamma}\
\rho^{-\gamma}\sim{\mathbb{P}}(\SS_{Q,\gamma}\geq\rho),$
whenever $1\ll\rho\ll t^{-(\gamma-1)/\gamma}$. In other words, the moderate
large deviation probabilities are equivalent to those of the limiting
distribution.
Using the terminology of the background service system, moderate deviation is
attained by a unique heavy service process. We will stress this fact later in
the proof.
#### 2.2.2 Intermediate large deviations
The following result describes the situation on the upper boundary of moderate
deviations’ zone.
###### Theorem 4
Let $\kappa>0$ be such that ${\mathbb{P}}(R\geq\kappa)>0$ and
${\mathbb{P}}(R=\kappa)=0.$ (5)
Let $\varrho=\varrho(t)=\kappa t$. Then
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)=QD^{(1)}_{I}(\kappa)\,t^{-(\gamma-1)}\,(1+o(1)),\qquad\textrm{as
}\ t\to\infty,$
where
$D_{I}^{(1)}(\kappa):=\left(\frac{\kappa^{-\gamma}}{\gamma}\,{\mathbb{E}\,}(R^{\gamma}{\mathbf{1}}_{\\{R\geq\kappa\\}})+\frac{(2-\gamma)\kappa^{1-\gamma}}{(\gamma-1)\gamma}\,{\mathbb{E}\,}(R^{\gamma-1}{\mathbf{1}}_{\\{R\geq\kappa\\}})\right).$
###### Remark 5
There is a continuity between the moderate and intermediate zones in what
concerns the degree of $t$ but the constant in the intermediate case is
different. Indeed, by plugging formally $\rho:=\kappa t$ into (4) one obtains
the asymptotics
$\tfrac{Q\,{\mathbb{E}\,}(R^{\gamma})}{\gamma}\,\kappa^{-\gamma}\,t^{-(\gamma-1)}$
which corresponds to the fist term in the definition of $D_{I}^{(1)}(\kappa)$.
When $\kappa$ goes to zero, the second term in that definition is smaller than
the first one because
$\kappa\,{\mathbb{E}\,}(R^{\gamma-1}{\mathbf{1}}_{\\{R\geq\kappa\\}})\leq{\mathbb{E}\,}(R^{\gamma}{\mathbf{1}}_{\\{R\geq\kappa\\}})\searrow
0,\qquad\textrm{as }\kappa\to 0.$
###### Remark 6
If (5) does not hold, the decay order of large deviations will be the same but
the expression for the corresponding constant becomes more involved and less
explicit.
The attentive reader will notice that Theorem 4 does not work for large
$\kappa$ if the distribution of $R$ is compactly supported. Indeed, in this
case the large deviation asymptotics will be different, as the next result
shows. In terms of the service system, it handles the case when the large
deviation can be attained by accumulation of $n$ heavy service processes but
cannot be attained by $(n-1)$ ones.
###### Theorem 7
Let $\kappa>0$. Let $n$ be the positive integer such that
${\mathbb{P}}(R\geq\tfrac{\kappa}{n})>0$ but
${\mathbb{P}}(R\geq\tfrac{\kappa}{n-\zeta})=0\qquad\textrm{for some
}\zeta\in(0,1).$ (6)
Assume that
${\mathbb{P}}(R_{1}+\cdots+R_{n}=\kappa)=0,$ (7)
where $R_{1},\dots,R_{n}$ are independent copies of $R$.
Let $\varrho=\varrho(t):=\kappa t$. Then
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)=Q^{n}D^{(n)}_{I}(\kappa)\,t^{-(\gamma-1)n}\,(1+o(1)),\qquad\textrm{as
}\ t\to\infty,$
where $D^{(n)}_{I}(\kappa)$ is some finite positive constant depending on
$n,\kappa$ and on the law of $R$.
###### Remark 8
The explicit form of $D^{(n)}_{I}(\kappa)$ is given in equation (31) below.
###### Remark 9
Theorem 7 does not cover a critical case $\zeta=1$, where we have
${\mathbb{P}}(R\geq\tfrac{\kappa}{n-1})=0$ but
${\mathbb{P}}(R\geq\tfrac{\kappa}{n-1}-\varepsilon)>0$ for all
$\varepsilon>0$. In this case, the assertion of the theorem may not hold
because the large deviation probability behavior depends of that of the upper
tail
${\mathbb{P}}(R\in[\tfrac{\kappa}{n-1}-\varepsilon,\tfrac{\kappa}{n-1}))$, as
$\varepsilon\to 0$.
#### 2.2.3 Ultralarge deviations
###### Theorem 10
Let $\varrho=\varrho(t)\gg t$. Assume that the tail probability function
${\bar{F}_{R}}(y):={\mathbb{P}}(R\geq y)$ is regularly varying of negative
order $-m$ where $m>\gamma$. Then
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)=Q\,D\,t^{-(\gamma-1)}{\bar{F}_{R}}(\varrho/t)\,(1+o(1)),\qquad\textrm{as
}\ t\to\infty,$
where
$D:=\frac{m(m-1)}{\gamma(\gamma-1)(m-\gamma+1)(m-\gamma)}.$
As in Theorem 3, the workload’s large deviation is attained by a unique long
and heavy service process.
Theorem 10 deals with the distributions of $R$ having essentially polynomial
tails. The corresponding distributions with light tails lead to completely
different results such as Poisson large deviations. This direction requires
supplementary research to be presented elsewhere.
### 2.3 Concluding remark
A challenging case when the workloads’ ultralarge deviation is formed via the
interaction of infinitely many service processes remains beyond the scope of
this article. Here, a large deviation rate function related to the
distribution of $R$ must play a major role and the results in the spirit of
classical large deviation theory [3] are expected. This might be a subject of
a subsequent work.
## 3 Proofs
### 3.1 Preliminaries
Let us introduce two auxiliary intensity measures. The first one is the
”distribution” of the kernel $\ell_{t}$, namely
$\mu^{(\ell)}_{t}(A):=\int_{{\mathbb{R}}}\int_{{\mathbb{R}}_{+}}{\mathbf{1}}_{\\{\ell_{t}(s,u)\in
A\\}}\frac{du}{u^{\gamma+1}}\,ds,\qquad A\in\mathcal{B}([0,t]).$
The second is the ”distribution” of the product $r\ell_{t}(s,u)$,
$\displaystyle\mu^{(\ell,r)}_{t}(A)$ $\displaystyle:=$
$\displaystyle\int_{{\mathbb{R}}_{+}}\int_{{\mathbb{R}}_{+}}{\mathbf{1}}_{\\{r\ell\in
A\\}}\mu^{(\ell)}_{t}(d\ell)F_{R}(dr)$ $\displaystyle=$
$\displaystyle\mu\\{(s,u,r):r\ell_{t}(s,u)\in A\\},\qquad
A\in\mathcal{B}({\mathbb{R}}_{+}).$
A simple variable change in the definition of $Y_{Q,\gamma}(t)$ yields
$Y_{Q,\gamma}(t)=\int_{{\mathbb{R}}_{+}}v{\widetilde{N}}_{Q,\gamma}(dv)$ (8)
where ${\widetilde{N}}_{Q,\gamma}$ is a centered Poisson measure with
intensity $Q\mu^{(\ell,r)}_{t}$. Therefore, the properties of
$\mu^{(\ell,r)}_{t}$ determine those of $Y_{Q,\gamma}(t)$.
As a first step, we give an explicit formula for the intermediate measure
$\mu^{(\ell)}_{t}$. First, by definition we have
$\mu^{(\ell)}_{t}(t,\infty)=0$. Next, let us fix an $\ell_{0}\in(0,t]$ and
find $\mu^{(\ell)}_{t}[\ell_{0},t]$. In fact, $\ell_{t}(s,u)\geq\ell_{0}$ iff
$u\geq\ell_{0}$ and $s\in[\ell_{0}-u,t-\ell_{0}]$. Therefore,
$\mu^{(\ell)}_{t}[\ell_{0},t]=\int_{\ell_{0}}^{\infty}(t-2\ell_{0}+u)\frac{du}{u^{\gamma+1}}=\frac{t\,\ell_{0}^{-\gamma}}{\gamma}+\frac{2-\gamma}{(\gamma-1)\gamma}\,\ell_{0}^{1-\gamma}.$
(9)
It follows that the measure $\mu^{(\ell)}_{t}$ has a weight
$\frac{t^{-(\gamma-1)}}{(\gamma-1)\gamma}$ at the right boundary point $t$ and
a density
$\frac{d\mu^{(\ell)}_{t}}{d\ell}(\ell)=t\,\ell^{-1-\gamma}+\frac{2-\gamma}{\gamma}\,\ell^{-\gamma},\qquad
0<\ell<t.$
For each $\ell_{0}>0$, formula (9) also yields a bound
$\mu^{(\ell)}_{t}[\ell_{0},\infty)=\mu^{(\ell)}_{t}[\ell_{0},t]\leq\frac{t\ell_{0}^{-\gamma}}{\gamma}\left(1+\frac{2-\gamma}{\gamma-1}\right)=\frac{t\,\ell_{0}^{-\gamma}}{\gamma(\gamma-1)}.$
(10)
Finally, consider the asymptotic behavior of
$\mu^{(\ell,r)}_{t}[\varrho,\infty)=\int_{{\mathbb{R}}_{+}}\mu^{(\ell)}_{t}\left[\frac{\varrho}{r},t\right]F_{R}(dr).$
(11)
Assume that $\varrho\to\infty$ but $\varrho/t\to 0$. Then it follows from (9)
that for every fixed $r$
$\mu^{(\ell)}_{t}\left[\frac{\varrho}{r},t\right]=\frac{t\,\varrho^{-\gamma}r^{\gamma}}{\gamma}(1+o(1)).$
(12)
By using (10), we also have an integrable majorant w.r.t. the law $F_{R}$:
$\mu^{(\ell)}_{t}\left[\frac{\varrho}{r},t\right]\leq\frac{t\,\varrho^{-\gamma}r^{\gamma}}{\gamma(\gamma-1)}.$
By integrating this estimate in (11) we obtain
$\mu^{(\ell,r)}_{t}[\varrho,\infty)\leq\frac{{\mathbb{E}\,}(R^{\gamma})}{\gamma(\gamma-1)}\,t\,\varrho^{-\gamma}.$
(13)
Furthermore, by Lebesgue’s majorated convergence theorem (11) and (12) yield
$\mu^{(\ell,r)}_{t}[\varrho,\infty)=\varrho^{-\gamma}\,t\int_{{\mathbb{R}}_{+}}\frac{r^{\gamma}}{\gamma}F_{R}(dr)\,(1+o(1))=\frac{{\mathbb{E}\,}(R^{\gamma})}{\gamma}\,t\,\varrho^{-\gamma}\,(1+o(1)).$
(14)
### 3.2 Proof of Proposition 2
Consider the integral representation (8). According to a general criterion of
the weak convergence of Poisson integrals to a stable law [11, Corollary 8.5],
it is enough to check that for each fixed $\rho>0$
$Q\
\mu^{(\ell,r)}_{t}\\{v:({\mathbb{E}\,}(R^{\gamma})\,t)^{-1/\gamma}v\geq\rho\\}=Q\
\frac{\rho^{-\gamma}}{\gamma}\ (1+o(1))$ (15)
combined with the uniform bound
$\sup_{t>0}\sup_{\rho>0}\rho^{\gamma}\
\mu^{(\ell,r)}_{t}\\{v:({\mathbb{E}\,}(R^{\gamma})\,t)^{-1/\gamma}v\geq\rho\\}<\infty.$
(16)
Indeed, by substituting $\varrho=\rho({\mathbb{E}\,}(R^{\gamma})t)^{1/\gamma}$
in (14) we obtain (15) and by making the same substitution in (13) we obtain
(16). $\Box$
### 3.3 A decomposition
Take some $v_{0}>0$ and split the integral representation (8) into three
parts:
$\displaystyle Y_{Q,\gamma}(t)$ $\displaystyle=$
$\displaystyle\int_{0}^{v_{0}}v{\widetilde{N}}_{Q,\gamma}(dv)+\int_{v_{0}}^{\infty}vN_{Q,\gamma}(dv)-Q\int_{v_{0}}^{\infty}v\mu^{(\ell,r)}_{t}(dv)$
(17) $\displaystyle:=$ $\displaystyle Y^{\circ}(t)+Y^{{\dagger}}(t)-E_{t},$
where $N$ is the corresponding non-centered Poisson random measure and $E_{t}$
is the centering deterministic function.
The variance of $Y^{\circ}(t)$ admits an upper bound
$\displaystyle\textrm{Var}\,Y^{\circ}(t)$ $\displaystyle=$ $\displaystyle
Q\int_{0}^{v_{0}}v^{2}\mu^{(\ell,r)}_{t}(dv)=2Q\int_{0}^{v_{0}}v\
\mu^{(\ell,r)}_{t}[v,v_{0}]\,dv$ $\displaystyle\leq$ $\displaystyle
2Q\int_{0}^{v_{0}}v\ \mu^{(\ell,r)}_{t}[v,\infty)\,dv.$
Using (13) we get
$\textrm{Var}\,Y^{\circ}(t)\leq\frac{2\,Q\,t}{\gamma(\gamma-1)}{\mathbb{E}\,}(R^{\gamma})\int_{0}^{v_{0}}v^{1-\gamma}\,dv=D_{2}\,t\,v_{0}^{2-\gamma},$
(18)
where
$D_{2}:=\tfrac{2Q}{\gamma(\gamma-1)(2-\gamma)}\,{\mathbb{E}\,}(R^{\gamma})$.
Similarly, the centering term admits a bound
$0\leq E_{t}\leq
Q\int_{v_{0}}^{\infty}\mu^{(\ell,r)}_{t}[v,\infty)\,dv+Q\,v_{0}\,\mu^{(\ell,r)}_{t}[v_{0},\infty)\leq
D_{1}\,t\,v_{0}^{1-\gamma},$ (19)
where $D_{1}:=\tfrac{Q}{(\gamma-1)^{2}}\,{\mathbb{E}\,}(R^{\gamma})$.
### 3.4 A lower bound for large deviations
We will give a lower bound for large deviation probabilities
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)$ with $\varrho=\varrho(t)\gg
t^{1/\gamma}$. Let $h,\delta$ be small positive numbers. Define
$v_{0}:=h\varrho$ and consider the corresponding decomposition (17).
First of all, notice that $E_{t}$ is negligible at the range $\varrho$ because
by (19) we have
$E_{t}\leq
D_{1}t(h\varrho)^{1-\gamma}=D_{1}h^{1-\gamma}\left(t^{-1/\gamma}\varrho\right)^{-\gamma}\varrho=o(\varrho).$
Therefore, we may and do assume $t$ to be so large that
$E_{t}\leq\delta\varrho$.
Using (18), by Chebyshev inequality we have
$\displaystyle{\mathbb{P}}(|Y^{\circ}(t)|\geq\delta\varrho)$
$\displaystyle\leq$
$\displaystyle\frac{\textrm{Var}\,Y^{\circ}(t)}{(\delta\varrho)^{2}}\leq\frac{D_{2}t(h\varrho)^{2-\gamma}}{(\delta\varrho)^{2}}$
(20) $\displaystyle=$ $\displaystyle\frac{D_{2}h^{2-\gamma}}{\delta^{2}}\
(t^{-1/\gamma}\varrho)^{-\gamma}\to 0.$
It is also useful to notice that for each $\rho>0$ and all large $t$
$\displaystyle\mu^{(\ell,r)}_{t}[v_{0},\infty)$ $\displaystyle=$
$\displaystyle\mu^{(\ell,r)}_{t}[h\varrho,\infty)=\mu^{(\ell,r)}_{t}[h(t^{-1/\gamma}\varrho)t^{1/\gamma},\infty)$
$\displaystyle\leq$ $\displaystyle\mu^{(\ell,r)}_{t}[h\rho
t^{1/\gamma},\infty)\leq\frac{{\mathbb{E}\,}(R^{\gamma})}{\gamma(\gamma-1)}\,(h\rho)^{-\gamma},$
where we used (13) at the last step. Letting $\rho\to\infty$ we get
$\mu^{(\ell,r)}_{t}[v_{0},\infty)\to 0$.
Now we may proceed with the required lower bound as follows:
$\displaystyle{\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)\geq{\mathbb{P}}(|Y^{\circ}(t)|\leq\delta\varrho,Y^{{\dagger}}(t)\geq(1+2\delta)\varrho)$
$\displaystyle\geq$
$\displaystyle{\mathbb{P}}(|Y^{\circ}(t)|\leq\delta\varrho)\
{\mathbb{P}}(Y^{{\dagger}}(t)\geq(1+2\delta)\varrho;N(v_{0},\infty)=1)$
$\displaystyle=$
$\displaystyle{\mathbb{P}}(|Y^{\circ}(t)|\leq\delta\varrho)\,\exp\\{-Q\mu^{(\ell,r)}_{t}[v_{0},\infty)\\}\,Q\,\mu^{(\ell,r)}_{t}[(1+2\delta)\varrho,\infty).$
The idea behind this bound is to take a single service process providing a
substantial large deviation workload and to suppress other contributions.
As we have just seen, the first two factors tend to one, thus
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)\geq
Q\,\mu^{(\ell,r)}_{t}[(1+2\delta)\varrho,\infty)\ (1+o(1)).$ (21)
### 3.5 An upper bound for large deviations
Starting again with representation (17), using $E_{t}\geq 0$ and (20) we have
$\displaystyle{\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)$ (22)
$\displaystyle\leq$
$\displaystyle{\mathbb{P}}(Y^{\circ}(t)\geq\delta\varrho)+{\mathbb{P}}(N[v_{0},\infty)\geq
2)+{\mathbb{P}}(Y^{{\dagger}}(t)\geq(1-\delta)\varrho;N[v_{0},\infty)=1)$
$\displaystyle=$
$\displaystyle{\mathbb{P}}(Y^{\circ}(t)\geq\delta\varrho)+{\mathbb{P}}(N[v_{0},\infty)\geq
2)+{\mathbb{P}}(N[(1-\delta)\varrho,\infty)=1)$ $\displaystyle\leq$
$\displaystyle\frac{D_{2}th^{2-\gamma}}{\delta^{2}\varrho^{\gamma}}+\frac{1}{2}\left(Q\mu^{(\ell,r)}_{t}[v_{0},\infty)\right)^{2}+Q\mu^{(\ell,r)}_{t}[(1-\delta)\varrho,\infty).$
Here the last term is the main one. Recall that almost the same expression
also shows up in the lower bound.
### 3.6 Proof of Theorem 3
Recall that, according to (14), in the zone under consideration
$t^{1/\gamma}\ll\varrho\ll t$, it is true that
$\mu^{(\ell,r)}_{t}[\varrho,\infty)=\frac{{\mathbb{E}\,}(R^{\gamma})}{\gamma}\
t\ \varrho^{-\gamma}(1+o(1))$ (23)
and we have the similar representations with $\varrho$ replaced by either
$(1+2\delta)\varrho$, $(1-\delta)\varrho$, or $v_{0}=h\varrho$.
In view of (23), the lower estimate (21) yields
$\liminf_{t\to\infty}\frac{{\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)}{t\varrho^{-\gamma}}\geq\frac{Q\
{\mathbb{E}\,}(R^{\gamma})}{\gamma}(1+2\delta)^{-\gamma},$
while the upper estimate (22) yields
$\limsup_{t\to\infty}\frac{{\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)}{t\varrho^{-\gamma}}\leq\frac{D_{2}h^{2-\gamma}}{\delta^{2}}+\frac{Q\
{\mathbb{E}\,}(R^{\gamma})}{\gamma}(1-\delta)^{-\gamma},$
because the second term in (22) has a lower order of magnitude.
Letting first $h\to 0$, then $\delta\to 0$, we obtain
$\lim_{t\to\infty}\frac{{\mathbb{P}}(Y_{Q,\gamma}(t)\geq\varrho)}{t\varrho^{-\gamma}}=\frac{Q\
{\mathbb{E}\,}(R^{\gamma})}{\gamma},$
as required. $\Box$
### 3.7 Proof of Theorem 4
The proof goes along the same lines as in the moderate deviation case, except
for the evaluation of $\mu^{(\ell,r)}_{t}[\varrho,\infty)$. Instead of (23),
we have the following non-asymptotic exact formula. According to (9), for
$\rho=\kappa t$ we have
$\displaystyle\mu^{(\ell,r)}_{t}[\varrho,\infty)$ $\displaystyle=$
$\displaystyle\int_{0}^{\infty}\mu^{(\ell)}_{t}\left[\frac{\varrho}{r},\infty\right)F_{R}(dr)$
$\displaystyle=$ $\displaystyle\int_{\kappa}^{\infty}\left(t\
\frac{(\varrho/r)^{-\gamma}}{\gamma}+\frac{2-\gamma}{(\gamma-1)\gamma}\,(\varrho/r)^{1-\gamma}\right)F_{R}(dr)$
$\displaystyle=$
$\displaystyle\int_{\kappa}^{\infty}\left(\frac{\kappa^{-\gamma}}{\gamma}\
r^{\gamma}+\frac{(2-\gamma)\kappa^{1-\gamma}}{(\gamma-1)\gamma}\,r^{\gamma-1}\right)F_{R}(dr)\,t^{-(\gamma-1)}$
$\displaystyle=$
$\displaystyle\left(\frac{\kappa^{-\gamma}}{\gamma}\,{\mathbb{E}\,}(R^{\gamma}{\mathbf{1}}_{\\{R\geq\kappa\\}})+\frac{(2-\gamma)\kappa^{1-\gamma}}{(\gamma-1)\gamma}\,{\mathbb{E}\,}(R^{\gamma-1}{\mathbf{1}}_{\\{R\geq\kappa\\}})\right)\,t^{-(\gamma-1)}$
$\displaystyle=$ $\displaystyle D^{(1)}_{I}(\kappa)\ t^{-(\gamma-1)}.$
The latter constant is positive due to assumption
${\mathbb{P}}(R\geq\kappa)>0$.
For the lower bound, the estimate (21) yields
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\kappa t)\geq
Q\,D^{(1)}_{I}((1+2\delta)\kappa)\,t^{-(\gamma-1)}\ (1+o(1)).$
Letting $\delta\searrow 0$ and using (5), we have
$\displaystyle\lim_{\delta\searrow 0}D^{(1)}_{I}((1+2\delta)\kappa)$
$\displaystyle=$
$\displaystyle\frac{\kappa^{-\gamma}}{\gamma}\,{\mathbb{E}\,}(R^{\gamma}{\mathbf{1}}_{\\{R>\kappa\\}})+\frac{(2-\gamma)\kappa^{1-\gamma}}{(\gamma-1)\gamma}\,{\mathbb{E}\,}(R^{\gamma-1}{\mathbf{1}}_{\\{R>\kappa\\}})$
$\displaystyle=$ $\displaystyle D^{(1)}_{I}(\kappa).$
Therefore,
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\kappa t)\geq
Q\,D^{(1)}_{I}(\kappa)\,t^{-(\gamma-1)}\ (1+o(1)),$
as required.
For the upper bound, the estimate (22) with $\varrho=\kappa t$ yields
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\kappa
t)\leq\left(\frac{D_{2}h^{2-\gamma}}{\delta^{2}\kappa^{\gamma}}+Q\,D^{(1)}_{I}((1-\delta)\kappa)\right)t^{-(\gamma-1)}\
(1+o(1)).$
Letting first $h\searrow 0$, we get rid of the first term and obtain
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\kappa t)\leq
Q\,D^{(1)}_{I}((1-\delta)\kappa)\,t^{-(\gamma-1)}\ (1+o(1)).$
Letting $\delta\searrow 0$, we have
$\lim_{\delta\searrow 0}D^{(1)}_{I}((1-\delta)\kappa)=D^{(1)}_{I}(\kappa).$
Therefore,
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\kappa t)\leq
Q\,D^{(1)}_{I}(\kappa)\,t^{-(\gamma-1)}\ (1+o(1)),$
as required. $\Box$
### 3.8 Proof of Theorem 7
In the setting of this theorem, the large deviation probabilities decay faster
with $t$ than Chebyshev inequality (20) suggests. Therefore, we need a finer
estimate for $Y^{\circ}(t)$ given in the following lemma.
###### Lemma 11
For every $m,\delta>0$ there exist $h>0$ and $C=C(h,\delta)>0$ such that for
all $t>0$
${\mathbb{P}}(Y^{\circ}(t)\geq\delta t)\leq C\,t^{-(\gamma-1)m},$
where $Y^{\circ}(t)$ is defined by $\eqref{split}$ with the splitting point
$v_{0}:=ht$.
Proof of the Lemma: We start with some calculations valid for arbitrary
$v_{0}$. We have the following formula for exponential moment of the centered
Poisson integral:
${\mathbb{E}\,}\exp(\lambda
Y^{\circ}(t))=\exp\big{\\{}\int_{0}^{v_{0}}(e^{\lambda v}-1-\lambda
v)\mu^{(\ell,r)}_{t}(dv)\big{\\}}.$ (24)
Let us split the integration domain in (24) into two parts: $[0,v_{0}/2]$ and
$(v_{0}/2,v_{0}]$. For the second one we have
$\int_{\frac{v_{0}}{2}}^{v_{0}}(e^{\lambda v}-1-\lambda
v)\mu^{(\ell,r)}_{t}(dv)\leq e^{\lambda
v_{0}}\cdot\mu^{(\ell,r)}_{t}[\frac{v_{0}}{2},v_{0}]\leq D_{3}e^{\lambda
v_{0}}tv_{0}^{-\gamma},$ (25)
where
$D_{3}:=\frac{2^{\gamma}\,{\mathbb{E}\,}(R^{\gamma})}{\gamma(\gamma-1)}$. At
the last step we used (13).
For the first zone, by using inequality $e^{x}-1-x\leq x^{2}e^{x}$ and (13) we
have
$\displaystyle\int_{0}^{\frac{v_{0}}{2}}(e^{\lambda v}-1-\lambda
v)\mu^{(\ell,r)}_{t}(dv)\leq\int_{0}^{\frac{v_{0}}{2}}\lambda^{2}v^{2}e^{\lambda
v}\mu^{(\ell,r)}_{t}(dv)$ $\displaystyle\leq$ $\displaystyle 2\,e^{\lambda
v_{0}/2}\lambda^{2}\int_{0}^{\frac{v_{0}}{2}}v\mu^{(\ell,r)}_{t}[v,v_{0}/2]dv\leq\frac{2\,{\mathbb{E}\,}(R^{\gamma})}{\gamma(\gamma-1)}\,e^{\lambda
v_{0}/2}\lambda^{2}t\int_{0}^{\frac{v_{0}}{2}}v^{1-\gamma}dv$ $\displaystyle=$
$\displaystyle D_{4}\,e^{\lambda v_{0}/2}\,\lambda^{2}\,t\,v_{0}^{2-\gamma},$
where
$D_{4}:=\tfrac{2^{\gamma-1}\,{\mathbb{E}\,}(R^{\gamma})}{\gamma(\gamma-1)(2-\gamma)}$.
Next, using inequality $e^{\frac{x}{2}}x^{2}\leq 3e^{x}$, we have
$\int_{0}^{\frac{v_{0}}{2}}(e^{\lambda v}-1-\lambda
v)\mu^{(\ell,r)}_{t}(dv)\leq 3\,D_{4}\,e^{\lambda v_{0}}\,t\,v_{0}^{-\gamma}.$
(26)
By summing up (25) and (26), we obtain
${\mathbb{E}\,}\exp(\lambda
Y^{\circ}(t))\leq\exp\left\\{\left(D_{3}+3\,D_{4}\right)t\,v_{0}^{-\gamma}e^{\lambda
v_{0}}\right\\}:=\exp\left\\{Ae^{\lambda v_{0}}\right\\},$
where
$A:=(D_{3}+3D_{4})tv_{0}^{-\gamma}.$
For every real $y$ by exponential Chebyshev inequality we have
${\mathbb{P}}(Y^{\circ}(t)\geq y)\leq\inf\limits_{\lambda>0}\ \exp(Ae^{\lambda
v_{0}}-\lambda y).$ (27)
If $y>Av_{0}$, the minimum on the right hand side is attained at the point
$\lambda=\frac{1}{v_{0}}\log(\frac{y}{Av_{0}})$. By plugging this value in
(27) we obtain
$\displaystyle{\mathbb{P}}(Y^{\circ}(t)\geq y)$ $\displaystyle\leq$
$\displaystyle\exp\left(\frac{y}{v_{0}}\right)\
\left(\frac{Av_{0}}{y}\right)^{\frac{y}{v_{0}}}$ (28) $\displaystyle=$
$\displaystyle\exp\left(\frac{y}{v_{0}}\right)\
\left((D_{3}+3D_{4})\,\frac{tv_{0}^{1-\gamma}}{y}\right)^{\frac{y}{v_{0}}}.$
Letting here $y:=\delta t$, $v_{0}:=ht$ yields
${\mathbb{P}}(Y^{\circ}(t)\geq\delta t)\leq
C\,t^{-\frac{\delta}{h}(\gamma-1)},$
where $C$ depends only on $\delta,h$. Choosing $h<\frac{\delta}{m}$ we get the
result. $\square$
Now we can proceed to the proof of the theorem.
Upper bound. Let $\eta:=\tfrac{(1-\zeta)\kappa}{n-\zeta}$. Since
$\zeta\in(0,1)$, we have $\eta>0$. It also follows from the definition that
$\tfrac{\kappa}{n-\zeta}=\tfrac{\kappa-\eta}{n-1}$. Therefore, we may rewrite
(6) as
${\mathbb{P}}\left(R\geq\frac{\kappa-\eta}{n-1}\right)=0.$ (29)
Let $\delta\in(0,\eta)$. By using Lemma 11 with $m=n+1$ we find a small $h>0$
such that
${\mathbb{P}}(Y^{\circ}(t)\geq\delta t)\leq C\,t^{-(\gamma-1)(n+1)}.$ (30)
By using the decomposition (17) with $v_{0}=ht$ and taking into account
$E_{t}\geq 0$ we get the bound
${\mathbb{P}}\left(Y_{Q,\gamma}(t)\geq\kappa
t\right)\leq{\mathbb{P}}\left(Y^{\circ}(t)\geq\delta
t\right)+{\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(\kappa-\delta)t\right).$
The first term is negligible by (30). Let denote $N_{0}:=N[v_{0},\infty)$,
which is a Poissonian random variable with intensity
$\mu_{0}:=Q\mu^{(\ell,r)}_{t}[v_{0},\infty)$, and apply the following bound to
the second term:
$\displaystyle{\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(\kappa-\delta)t\right)\leq{\mathbb{P}}(N_{0}>n)$
$\displaystyle+\
{\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(\kappa-\delta)t\right);N_{0}=n)+{\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(\kappa-\delta)t\right),N_{0}\leq
n-1).$
For the first term, an elementary bound for Poisson tail works, namely
${\mathbb{P}}(N_{0}>n)=e^{-\mu_{0}}\sum_{m=0}^{\infty}\frac{\mu_{0}^{n+1+m}}{(n+1+m)!}\leq
e^{-\mu_{0}}\frac{\mu_{0}^{n+1}}{(n+1)!}\sum_{m=0}^{\infty}\frac{\mu_{0}^{m}}{m!}\leq\frac{\mu_{0}^{n+1}}{(n+1)!}$
where we used that $(n+1+m)!\geq(n+1)!m!$. Notice that by (13) with
$\rho:=v_{0}=ht$ we have
$\mu_{0}\leq\frac{Q\,{\mathbb{E}\,}(R^{\gamma})}{\gamma(\gamma-1)}\,t\,(ht)^{-\gamma}=\frac{Q\,{\mathbb{E}\,}(R^{\gamma})h^{-\gamma}}{\gamma(\gamma-1)}\,t^{-(\gamma-1)},$
hence,
${\mathbb{P}}(N_{0}>n)=O\left(t^{-(\gamma-1)(n+1)}\right)$
is negligible.
Further, by using (29) and the definition of the measure $\mu^{(\ell,r)}_{t}$
we see that
$\mu^{(\ell,r)}_{t}[\tfrac{(\kappa-\delta)t}{n-1},\infty)\leq\mu^{(\ell,r)}_{t}[\tfrac{(\kappa-\eta)t}{n-1},\infty)=0,$
which implies
${\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(\kappa-\delta)t\right),N_{0}\leq
n-1)=0,$
because here Poissonian integral $Y^{{\dagger}}(t)$ is a sum of not more than
$n-1$ terms each being strictly smaller than $\tfrac{(\kappa-\delta)t}{n-1}$.
For $A\in\mathcal{B}([v_{0},\infty))$ denote $N_{A}:=N(A)$ with intensity
$\mu_{A}:=Q\mu^{(\ell,r)}_{t}(A)$ and
$\nu_{t}^{(l,r)}(A):={\mathbb{P}}(N_{A}=1\ |\ N_{0}=1)$, which is a measure on
$[v_{0},\infty)$. We have
$\nu_{t}^{(l,r)}(A)=e^{-\mu_{A}}\mu_{A}\cdot
e^{\mu_{A}-\mu_{0}}\cdot\frac{e^{\mu_{0}}}{\mu_{0}}=\frac{\mu_{A}}{\mu_{0}}.$
The remaining Poissonian integral with fixed number of points admits the
following representation
$\displaystyle{\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(\kappa-\delta)t\right);N_{0}=n)$
$\displaystyle=$
$\displaystyle{\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(\kappa-\delta)t\right)\
|\ N_{0}=n)\ {\mathbb{P}}(N_{0})=n$ $\displaystyle=$ $\displaystyle
e^{-\mu_{0}}\
\frac{\mu_{0}^{n}}{n!}\int_{[v_{0},\infty)^{n}}{\mathbf{1}}_{\\{v_{1}+...+v_{n}\geq(\kappa-\delta)t\\}}\prod_{m=1}^{n}\nu_{t}^{(l,r)}(dv_{m})$
$\displaystyle\leq$ $\displaystyle e^{-\mu_{0}}\
\frac{Q^{n}}{n!}\int_{{\mathbb{R}}_{+}^{n}}{\mathbf{1}}_{\\{v_{1}+...+v_{n}\geq(\kappa-\delta)t\\}}\prod_{m=1}^{n}\mu^{(\ell,r)}_{t}(dv_{m})$
$\displaystyle=$ $\displaystyle e^{-\mu_{0}}\
\frac{Q^{n}}{n!}\int_{[0,t]^{n}}\int_{R_{+}^{n}}{\mathbf{1}}_{\\{\ell_{1}r_{1}+...+\ell_{n}r_{n}\geq(\kappa-\delta)t\\}}\prod_{m=1}^{n}\mu^{(\ell)}_{t}(d\ell_{m})\prod_{m=1}^{n}F_{R}(dr_{m})$
$\displaystyle=$ $\displaystyle e^{-\mu_{0}}\
\frac{Q^{n}}{n!}\int_{[0,1]^{n}}{\mathbb{P}}\left(s_{1}R_{1}+...+s_{n}R_{n}\geq\kappa-\delta\right)\prod_{m=1}^{n}\nu(ds_{m})\
t^{-(\gamma-1)n},$ $\displaystyle:=$ $\displaystyle e^{-\mu_{0}}\
Q^{n}D_{I}^{(n)}(\kappa-\delta)\ t^{-(\gamma-1)n},$
where $R_{1},...,R_{n}$ are i.i.d. variables with distribution $F_{R}$ and,
according to (9), $\nu$ is a measure on $[0,1]$ having the weight
$\tfrac{1}{\gamma(\gamma-1)}$ at $1$ and the density
$\frac{d\nu}{ds}\,(s)=s^{-(\gamma+1)}+\frac{2-\gamma}{\gamma}\,s^{-\gamma},\qquad
0<s<1.$
Notice also that the constant $D^{(n)}_{I}(\kappa-\delta)$ is finite although
measure $\nu$ is infinite at each neighborhood of zero. The reason is that the
probability we integrate vanishes if for some $m$ one has
$s_{m}<s_{*}:=\tfrac{(n-1)(\eta-\delta)}{\kappa-\eta}$ where $\eta>0$
satisfies (29). Indeed, we have in this case
$\displaystyle{\mathbb{P}}\left(s_{1}R_{1}+...+s_{n}R_{n}\geq\kappa-\delta\right)$
$\displaystyle\leq$ $\displaystyle{\mathbb{P}}\left((s_{*}+(n-1))\max_{1\leq
m\leq n}R_{j}\geq\kappa-\delta\right)$ $\displaystyle\leq$ $\displaystyle
n\,{\mathbb{P}}\left(R\geq\frac{\kappa-\delta}{s_{*}+(n-1)}\right)$
$\displaystyle=$ $\displaystyle
n\,{\mathbb{P}}\left(R\geq\frac{\kappa-\eta}{n-1}\right)=0.$
We summarize our findings as
${\mathbb{P}}\left(Y_{Q,\gamma}(t)\geq\kappa t\right)\leq
Q^{n}D_{I}^{(n)}(\kappa-\delta)\ t^{-(\gamma-1)n}(1+o(1)).$
Letting $\delta\searrow 0$, we obtain
${\mathbb{P}}\left(Y_{Q,\gamma}(t)\geq\kappa t\right)\leq
Q^{n}\,D^{(n)}_{I}(\kappa)\ t^{-(\gamma-1)n}(1+o(1)),$
where
$\displaystyle D^{(n)}_{I}(\kappa)$ $\displaystyle:=$
$\displaystyle\lim_{\delta\to 0}D^{(n)}_{I}(\kappa-\delta)$ (31)
$\displaystyle=$
$\displaystyle\frac{1}{n!}\int_{[0,1]^{n}}{\mathbb{P}}\left(s_{1}R_{1}+...+s_{n}R_{n}\geq\kappa\right)\prod_{m=1}^{n}\nu(ds_{m}).$
It is easy to see that for $n=1$ we obtain the same value of
$D^{(1)}_{I}(\kappa)$ as in Theorem 4.
Lower bound.
First, notice that $E_{t}$ in (17) is still negligible because by (19) we have
$E_{t}\leq
D_{1}\,t\,v_{0}^{1-\gamma}=D_{1}\,t\,(ht)^{1-\gamma}=O(t^{2-\gamma})=o(t).$
Hence, for every fixed small $\delta$ we may and do assume that
$E_{t}\leq\delta t$ for large $t$.
Second, using (18), by Chebyshev inequality we have
$\displaystyle{\mathbb{P}}(|Y^{\circ}(t)|\geq\delta\,t)$ $\displaystyle\leq$
$\displaystyle\frac{\textrm{Var}\,Y^{\circ}(t)}{(\delta\,t)^{2}}\leq\frac{D_{2}t(ht)^{2-\gamma}}{(\delta\,t)^{2}}$
$\displaystyle=$ $\displaystyle\frac{D_{2}h^{2-\gamma}}{\delta^{2}}\
t^{-(\gamma-1)}\to 0,\quad\textrm{as }t\to\infty.$
Therefore, we may proceed towards the required lower bound as follows:
$\displaystyle{\mathbb{P}}(Y_{Q,\gamma}(t)\geq\kappa\,t)\geq{\mathbb{P}}(|Y^{\circ}(t)|\leq\delta\,t,Y^{{\dagger}}(t)\geq(\kappa+2\delta)t)$
$\displaystyle\geq$ $\displaystyle{\mathbb{P}}(|Y^{\circ}(t)|\leq\delta\,t)\
{\mathbb{P}}(Y^{{\dagger}}(t)\geq(\kappa+2\delta)t;N_{0}=n)$ $\displaystyle=$
$\displaystyle(1+o(1))\
{\mathbb{P}}(Y^{{\dagger}}(t)\geq(\kappa+2\delta)t;N_{0}=n).$
The idea behind this bound is to focus on $n$ service process providing a
substantial large deviation workload and to suppress other contributions.
Furthermore, by using the expression obtained while working on the upper bound
$\displaystyle{\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(\kappa+2\delta)t\right);N_{0}=n)$
$\displaystyle=$
$\displaystyle\frac{Q^{n}}{n!}\int_{[0,1]^{n}}{\mathbb{P}}\left(s_{1}R_{1}+...+s_{n}R_{n}\geq\kappa+2\delta\right)\prod_{m=1}^{n}\nu(ds_{m})\
t^{-(\gamma-1)n}(1+o(1))$ $\displaystyle:=$ $\displaystyle
Q^{n}\,D_{I}^{(n)}(\kappa+2\delta)t^{-(\gamma-1)n}(1+o(1)).$
By letting $\delta\searrow 0$, we obtain
$\displaystyle\lim_{\delta\searrow 0}D_{I}^{(n)}(\kappa+2\delta)$
$\displaystyle=$
$\displaystyle\frac{1}{n!}\int_{[0,1]^{n}}{\mathbb{P}}\left(s_{1}R_{1}+...+s_{n}R_{n}>\kappa\right)\prod_{m=1}^{n}\nu(ds_{m})$
$\displaystyle=$
$\displaystyle\frac{1}{n!}\int_{[0,1]^{n}}{\mathbb{P}}\left(s_{1}R_{1}+...+s_{n}R_{n}\geq\kappa\right)\prod_{m=1}^{n}\nu(ds_{m})$
$\displaystyle=$ $\displaystyle D_{I}^{(n)}(\kappa).$
For the non-obvious passage we have used the following lemma.
###### Lemma 12
Assume that (7) holds. Then
$\nu^{n}\left\\{{\mathbf{s}}:=(s_{1},...,s_{n}):{\mathbb{P}}(s_{1}R_{1}+...+s_{n}R_{n}=\kappa)>0\right\\}=0.$
(32)
The required lower bound
${\mathbb{P}}(Y_{Q,\gamma}(t)\geq\kappa\,t)\geq
Q^{n}D^{(n)}_{I}(\kappa)\,t^{-(\gamma-1)n}(1+o(1))$
follows now from the previous estimates. It merely remains to prove the lemma.
$\Box$
Proof of Lemma 12 : Let $r_{1},...,r_{n}$ be the atoms of the distribution
$F_{R}$, i.e. ${\mathbb{P}}(r_{m})>0,1\leq m\leq n$. Define
$F=F(r_{1},...,r_{n}):=\\{{\mathbf{s}}\in[0,1]^{n}:s_{1}r_{1}+...+s_{n}r_{n}=\kappa\\}.$
For every subset of integers $M\subset[1..n]$ let
$B_{M}:=\\{{\mathbf{s}}\in[0,1]^{n}:s_{m}\in[0,1),m\in M;s_{m}=1,m\not\in
M\\}.$
Notice that $[0,1]^{n}=\cup_{M}B_{M}$. Let
$F_{M}:=F\bigcap B_{m}=\\{{\mathbf{s}}\in B_{M}:\sum_{m\in
M}s_{m}r_{m}=\kappa-\sum_{m\not\in M}r_{m}\\}.$
If $M$ is not empty, then $\nu^{n}(F_{M})=0$ because $\nu$ is absolutely
continuous on $[0,1)$.
If $M$ is empty, then $B_{M}=\\{(1,...,1)\\}$ is a singleton and
$F_{M}=\emptyset$ because otherwise $\sum_{m=1}^{n}r_{m}=\kappa$ which would
contradict to (7).
We conclude that
$\nu^{n}\left(F(r_{1},...,r_{n})\right)=\sum_{M}\nu^{n}(F_{M})=0.$
Since
$\left\\{{\mathbf{s}}:{\mathbb{P}}(s_{1}R_{1}+...+s_{n}R_{n}=\kappa)>0\right\\}\subset\bigcup_{r_{1},...,r_{n}}F(r_{1},...,r_{n})$
and the union is countable, we obtain (32).
$\square$
### 3.9 Proof of Theorem 10
Upper bound.
We take a small $\delta>0$, use decomposition (17) with $v_{0}:=h\varrho$ (a
small $h=h(\delta)$ will be specified later on), and start with a usual bound
$\displaystyle{\mathbb{P}}\left(Y_{Q,\gamma}(t)\geq\varrho\right)\leq{\mathbb{P}}\left(Y^{\circ}(t)\geq\delta\varrho\right)+{\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(1-\delta)\varrho\right)$
$\displaystyle\leq$
$\displaystyle{\mathbb{P}}\left(Y^{\circ}(t)\geq\delta\varrho\right)+{\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(1-\delta)\varrho;N_{0}=1\right)+P(N_{0}\geq
2).$
To show that the first term is negligible we use estimate (28) with
$y:=\delta\varrho$, $v_{0}:=h\varrho$ and obtain for some $C=C(\delta,h)$
${\mathbb{P}}\left(Y^{\circ}(t)\geq\delta\varrho\right)\leq
C\left(t\varrho^{-\gamma}\right)^{\frac{\delta}{h}}\leq
C\varrho^{-(\gamma-1)\,\frac{\delta}{h}}\ll{\bar{F}_{R}}(\varrho)\leq{\bar{F}_{R}}(\varrho/t)$
whenever $h$ is chosen so small that $(\gamma-1)\,\frac{\delta}{h}>m$.
Subsequent evaluation of $Y^{\dagger}(t)$ requires analysis of the measure
$\mu^{(\ell,r)}_{t}$. By using (11) and (9) we obtain
$\displaystyle\mu^{(\ell,r)}_{t}[v,\infty)$ $\displaystyle=$
$\displaystyle\int_{v/t}^{\infty}\mu^{(\ell)}_{t}\left[\frac{v}{r},t\right]F_{R}(dr)$
$\displaystyle=$
$\displaystyle\int_{v/t}^{\infty}\left(\frac{t\,(r/v)^{\gamma}}{\gamma}+\frac{2-\gamma}{(\gamma-1)\gamma}\,(r/v)^{\gamma-1}\right)F_{R}(dr)$
$\displaystyle=$
$\displaystyle\frac{t\,v^{-\gamma}}{\gamma}\int_{v/t}^{\infty}r^{\gamma}F_{R}(dr)+\frac{(2-\gamma)v^{1-\gamma}}{(\gamma-1)\gamma}\int_{v/t}^{\infty}r^{\gamma-1}F_{R}(dr).$
Since the tail of $F_{R}$ is regularly varying, we have the following
asymptotics for the integrals
$\displaystyle\int_{z}^{\infty}r^{\gamma}F_{R}(dr)$ $\displaystyle=$
$\displaystyle\frac{mz^{\gamma}}{m-\gamma}\,{\bar{F}_{R}}(z)\,(1+o(1)),$
$\displaystyle\int_{z}^{\infty}r^{\gamma-1}F_{R}(dr)$ $\displaystyle=$
$\displaystyle\frac{mz^{\gamma-1}}{m-\gamma+1}\,{\bar{F}_{R}}(z)\,(1+o(1)),\quad\textrm{as
}z\to\infty.$
Therefore, we obtain
$\displaystyle\mu^{(\ell,r)}_{t}[v,\infty)$ $\displaystyle=$ $\displaystyle
t^{-(\gamma-1)}\left[\frac{m}{\gamma(m-\gamma)}+\frac{(2-\gamma)m}{(\gamma-1)\gamma(m-\gamma+1)}\right]{\bar{F}_{R}}(v/t)\,(1+o(1))$
(33) $\displaystyle=$
$\displaystyle\frac{m(m-1)}{\gamma(\gamma-1)(m-\gamma+1)(m-\gamma)}\,t^{-(\gamma-1)}{\bar{F}_{R}}(v/t)\,(1+o(1)).$
$\displaystyle=$ $\displaystyle
D\,t^{-(\gamma-1)}{\bar{F}_{R}}(v/t)\,(1+o(1)),\qquad\textrm{as }v\gg t.$
Now the evaluation of $Y^{\dagger}$ is straightforward. Indeed, by (33)
$\displaystyle{\mathbb{P}}\left(Y^{{\dagger}}(t)\geq(1-\delta)\varrho;N_{0}=1\right)\leq
Q\,\mu^{(\ell,r)}_{t}[(1-\delta)\varrho,\infty)$ $\displaystyle=$
$\displaystyle Q\,D\,t^{\gamma-1}{\bar{F}_{R}}((1-\delta)\varrho/t)\,(1+o(1))$
$\displaystyle=$ $\displaystyle
Q\,D\,t^{\gamma-1}{\bar{F}_{R}}(\varrho/t)(1-\delta)^{-m}\,(1+o(1))$
and
$\displaystyle P(N_{0}\geq 2)\leq
Q^{2}\,\mu^{(\ell,r)}_{t}[h\varrho,\infty)^{2}$ $\displaystyle=$
$\displaystyle Q^{2}\,(Dt^{-(\gamma-1)}{\bar{F}_{R}}(h\varrho/t))^{2}(1+o(1))$
$\displaystyle=$ $\displaystyle
Q^{2}\,(Dt^{-(\gamma-1)}{\bar{F}_{R}}(\varrho/t)h^{-m})^{2}(1+o(1))\ll
t^{-(\gamma-1)}{\bar{F}_{R}}(\varrho/t).$
By combining these estimates and letting $\delta\to 0$ we obtain the desired
bound
${\mathbb{P}}\left(Y_{Q,\gamma}(t)\geq\varrho\right)\leq
Q\,D\,t^{-(\gamma-1)}{\bar{F}_{R}}(\varrho/t)\,(1+o(1)).$
Lower bound.
Since $\varrho\gg t\gg t^{1/\gamma}$, all bounds from section 3.4 apply. For
every $\delta>0$ inequality (21) along with (33) yield
$\displaystyle{\mathbb{P}}\left(Y_{Q,\gamma}(t)\geq\varrho\right)$
$\displaystyle\geq$ $\displaystyle
Q\,\mu^{(\ell,r)}_{t}[(1+2\delta)\varrho,\infty)(1+o(1))$ $\displaystyle=$
$\displaystyle
Q\,D\,t^{-(\gamma-1)}(1+2\delta)^{-m}{\bar{F}_{R}}(\varrho/t)\,(1+o(1)),$
and letting $\delta\to 0$ we get the desired bound
${\mathbb{P}}\left(Y_{Q,\gamma}(t)\geq\varrho\right)\geq
Q\,D\,t^{-(\gamma-1)}{\bar{F}_{R}}(\varrho/t)\,(1+o(1)).$
$\Box$
## Acknowledgement.
This work was supported by Russian Science Foundation grant 21-11-00047.
## References
* [1]
* [2] Cohen, S. and Taqqu, M. (2004). Small and large scale behavior of the Poissonized Telecom Process, Methodol. Comput. Appl. Probab. 6, pp. 363–379.
* [3] Dembo, A., Zeitouni, O. Large Deviations Techniques and Applications, Springer, 2010.
* [4] Gaigalas, R. (2006). A Poisson bridge between fractional Brownian motion and stable Lévy motion, Stoch. Proc. Appl. 116, pp. 447–462.
* [5] Kaj, I. (2002). Stochastic Modeling in Broadband Communications Systems, SIAM Monographs on Mathematical Modeling and Computation Vol.8 (SIAM, Philadelphia).
* [6] Kaj, I. (2005). Limiting fractal random processes in heavy-tailed systems, Fractals in Engineering, New Trends in Theory and Applications, J. Levy-Vehel, E. Lutton (eds.), pp. 199–218 (Springer-Verlag, London).
* [7] Kaj, I. (2006). Aspects of Wireless Network Modeling Based on Poisson Point Processes, Fields Institute Workshop on Applied Probability (Carleton University, Ottawa).
* [8] Kaj, I., Leskelä, L., Norros, I., and Schmidt, V. (2007). Scaling limits for random fields with long-range dependence, Ann. Probab. 35, pp. 528–550.
* [9] Kaj, I. and Taqqu, M. S. (2008). Convergence to fractional Brownian motion and to the Telecom process: the integral representation approach, In and Out of Equilibrium. II., ser.: Progress in Probability, Vol. 60,(Birkhäuser, Basel), pp. 383–427.
* [10] Kurtz, T. G. (1996). Limit theorems for workload input models, Stochastic Networks, Theory and Applications (Clarendon Press, Oxford) Kelly, F. P., Zachary, S. and Ziedins, I. (eds.), pp. 119–140.
* [11] Lifshits, M. (2014) Random Processes by Example. World Scientific, Singapore.
* [12] Pipiras V. and Taqqu, M. S. (2000). The limit of a renewal-reward process with heavy-tailed rewards is not a linear fractional stable motion, Bernoulli 6, pp. 607–614.
* [13] Rosenkrantz, W. A. and Horowitz, J. (2002). The infinite sourse model for internet traffic: statistical analysis and limit theorems, Methods and Applications of Analysis 9, pp. 445–462.
* [14] Taqqu, M. S. (2002). The modeling of Ethernet data and of signals that are heavy-tailed with infinite variance, Scand. J. Statist. 29, pp. 273–295.
* [15]
| arxiv-papers | 2021-07-25T17:07:00 | 2024-09-04T03:07:17.047309 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "M.A. Lifshits, S.E. Nikitin",
"submitter": "Sergey Nikitin",
"url": "https://arxiv.org/abs/2107.11846"
} |
2107.11847 | # Low-bandwidth recovery of linear functions of Reed-Solomon-encoded data
Noah Shutty and Mary Wootters Stanford University. Email: [email protected].
N.S. was supported in part by NSF DGE-1656518.Stanford University. Email:
[email protected]. Research partially supported by NSF Grants CCF-1844628
and CCF-BSF-1814629, and by a Sloan Research Fellowship.
###### Abstract
We study the problem of efficiently computing on encoded data. More
specifically, we study the question of low-bandwidth computation of functions
$F:{\mathbb{F}}^{k}\to{\mathbb{F}}$ of some data
$\mathbf{x}\in{\mathbb{F}}^{k}$, given access to an encoding
$\mathbf{c}\in{\mathbb{F}}^{n}$ of $\mathbf{x}$ under an error correcting
code. In our model—relevant in distributed storage, distributed computation
and secret sharing—each symbol of $\mathbf{c}$ is held by a different party,
and we aim to minimize the total amount of information downloaded from each
party in order to compute $F(\mathbf{x})$. Special cases of this problem have
arisen in several domains, and we believe that it is fruitful to study this
problem in generality.
Our main result is a low-bandwidth scheme to compute linear functions for
Reed-Solomon codes, even in the presence of erasures. More precisely, let
$\varepsilon>0$ and let $\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$ be a
full-length Reed-Solomon code of rate $1-\varepsilon$ over a field
${\mathbb{F}}$ with constant characteristic. For any
$\gamma\in[0,\varepsilon)$, our scheme can compute any linear function
$F(\mathbf{x})$ given access to any $(1-\gamma)$-fraction of the symbols of
$\mathcal{C}(\mathbf{x})$, with download bandwidth $O(n/(\varepsilon-\gamma))$
bits. In contrast, the naive scheme that involves reconstructing the data
$\mathbf{x}$ and then computing $F(\mathbf{x})$ uses $\Theta(n\log n)$ bits.
Our scheme has applications in distributed storage, coded computation, and
homomorphic secret sharing.
## 1 Introduction
Suppose that we would like to store some data $\mathbf{x}\in{\mathbb{F}}^{k}$
on a distributed storage system consisting of $n$ nodes, where $n\geq k$.
(Here and for the rest of the paper, ${\mathbb{F}}$ denotes some finite
field). Since node failure is a possibility, we may protect the data with an
_error correcting code_ $\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$ as
follows. We encode $\mathbf{x}$ as a _codeword_
$\mathbf{c}=\mathcal{C}(\mathbf{x})\in{\mathbb{F}}^{n}$, and for
$i=1,\ldots,n$, we send the symbol $c_{i}$ to the $i$’th storage node. If
$\mathcal{C}$ is a _Maximum Distance Separable_ (MDS) code—meaning that any
$k$ symbols of the codeword $\mathbf{c}$ are sufficient to recover the
original data $\mathbf{x}$—then the system can tolerate $n-k$ node failures
without losing any of the original data. Encoding with an MDS code (such as a
Reed-Solomon code, see Definition 2 below) is common in distributed storage:
for example, Reed-Solomon codes are built into HDFS [HDFS] and Ceph [CEPH].
Given data $\mathbf{x}\in{\mathbb{F}}^{k}$ encoded and stored with an MDS code
as described above, suppose that we would like to compute a function
$F(\mathbf{x})$ of the data, where $F:{\mathbb{F}}^{k}\to{\mathbb{F}}$. One
scheme (which we will refer to as the naive scheme) is to contact any $k$ of
the nodes, download their data, recover $\mathbf{x}$, and compute
$F(\mathbf{x})$. This requires downloading $k$ field symbols, or
$k\log|{\mathbb{F}}|$ bits. We call the amount of downloaded information the
bandwidth of the scheme. Given that $F(\mathbf{x})$ is only one field symbol,
or $\log|{\mathbb{F}}|$ bits, the naive scheme seems wasteful in terms of
bandwidth.
Our motivating question is whether we can compute $F(\mathbf{x})$ with less
bandwidth. That is, _when is it possible to do communication-efficient
computation on top of encoded data?_
In this paper, we introduce a new notion, _low-bandwidth function evaluation_
, in order to make this question precise. Our main result is a low-bandwidth
function evaluation scheme for the ubiquitous family of _Reed-Solomon Codes_ ,
and for the useful family of _linear functions_
$F:{\mathbb{F}}^{k}\to{\mathbb{F}}.$
### 1.1 Low-Bandwidth Function Evaluation
A low-bandwidth evaluation scheme for a code $\mathcal{C}$ and a collection of
functions $\mathcal{F}$ allows us to compute functions in $\mathcal{F}$ in a
communication-efficient way on data encoded with $\mathcal{C}$, even when a
set $\mathcal{I}\subset[n]$ of symbols are unavailable (e.g., the
corresponding nodes have failed). More precisely, we have the following
definition. Below, and throughout the paper, we use bold letters like
$\mathbf{c}$ to denote vectors, and we use $c_{i}$ to denote the $i$’th entry
of $\mathbf{c}$.
###### Definition 1 (Low-Bandwidth Function Evaluation).
Let $\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$ be a code. Let
$\mathcal{F}$ be a class of functions $F:{\mathbb{F}}^{k}\to{\mathbb{F}}$. Let
$b\geq 0$. We say that there is an _evaluation scheme for $\mathcal{F}$ and
$\mathcal{C}$ with bandwidth $b$_ if for any $F\in\mathcal{F}$, there are:
* •
positive integers $b_{1},\ldots,b_{n}\in{\mathbb{Z}}^{\geq 0}$ so that
$\sum_{j}b_{j}\leq b$;
* •
functions $g_{1},\ldots,g_{n}$ so that
$g_{j}:{\mathbb{F}}\to\\{0,1\\}^{b_{j}}$;
* •
and a function $G:\\{0,1\\}^{\sum_{j}b_{j}}\to{\mathbb{F}}$
so that for all $\mathbf{x}\in{\mathbb{F}}^{k}$, if
$\mathbf{c}=\mathcal{C}(\mathbf{x})$, then
$G(g_{1}(c_{1}),g_{2}(c_{2}),\ldots,g_{n}(c_{n}))=F(\mathbf{x}).$
We denote the scheme by $\Phi:F\mapsto(g_{1},g_{2},\ldots,g_{n},G)$ that maps
$F\in\mathcal{F}$ to the maps $g_{j}$ and $G$.
If there is a set $\mathcal{I}\subset[n]$ so that $b_{j}=0$ for all
$F\in\mathcal{F}$ and for all $j\in\mathcal{I}$, we say that $\Phi$ _tolerates
failures in $\mathcal{I}$_.
###### Remark 1 (More general alphabets).
More generally, one could define an evaluation scheme for codes
$\mathcal{C}:\Sigma_{0}^{k}\to\Sigma_{1}^{n}$ for arbitrary input/output
alphabets. In this paper, we focus on linear functions and MDS codes, so we
state Definition 1 with $\Sigma_{0}=\Sigma_{1}={\mathbb{F}}$ being some finite
field.
###### Remark 2 (Knowledge of $\mathcal{I}$).
We note that in Definition 1, the set of failed nodes $\mathcal{I}$ tolerated
by a scheme is a property of that particular scheme; a stronger definition
might demand that the same scheme tolerates _any_ set of failed nodes of a
particular size. In the distributed storage example above, this weaker
definition means that the nodes may need to know which nodes have failed in
order to decide which scheme to use. This mirrors the set-up in regenerating
codes, discussed below, where the identity of the (single) failed node is
assumed to be known.
Notions related to Definition 1 have been studied before, for particular
families of functions and/or particular codes. We mention a few of these
below, and discuss them more in Section 1.3 (Applications of our results) and
Section 1.4 (Related work).
* •
Regenerating codes. In the model of distributed storage described above, there
has been a great deal of work on regenerating codes, which aim to repair one
node failure with low download bandwidth (see, e.g., [DRWS11]). This is a
special case of Definition 1 when $\mathcal{F}$ is the family of functions
$f_{i}(\mathbf{x})=\mathcal{C}(\mathbf{x})_{i}$, for $i=1,\ldots,n$, and where
$\mathcal{I}=\\{i\\}$. We note that if the code is systematic, this allows us
to recover the dictator functions $f_{i}(\mathbf{x})=x_{i}$.
* •
Gradient Coding. The goal of gradient coding is to speed up distributed
gradient descent in the presence of stragglers, that is, compute nodes that
may be slow or unresponsive [TLDK17]. In this model, the data is
$\mathbf{X}=(\mathbf{x}^{(1)},\ldots,\mathbf{x}^{(k)})$ where each
$\mathbf{x}^{(i)}\in{\mathbb{R}}^{d}$ for some $d$. The data $\mathbf{X}$ is
distributed using a code among $n$ workers, so that worker $i$ receives
$\mathbf{c}^{(i)}\in{\mathbb{R}}^{d^{\prime}}$, for a codeword
$\mathbf{C}=(\mathbf{c}^{(1)},\ldots,\mathbf{c}^{(n)})$. At each timestep the
parameter server (PS) has an iterate $\bm{\theta}\in{\mathbb{R}}^{d}$, which
it broadcasts to the workers. Each worker $i$ that has not failed computes a
local function $g_{i}(\mathbf{c}^{(i)})$ and returns it to the PS. The PS then
uses these messages to recover the gradient of some loss function,
$\nabla\mathcal{L}(\mathbf{X};\bm{\theta})$. One goal of gradient coding is to
tolerate stragglers in any set $\mathcal{I}$ of some fixed size, while
minimizing the communication bandwidth from the workers to the PS (e.g.,
[YA18]). This can be cast as a special case of a strengthing of Definition 1
(with different input/output alphabets as per Remark 1 and which can tolerate
any small set $\mathcal{I}$ of failed nodes as per Remark 2), where
$\mathcal{F}$ is the family of functions given by possible gradients:
$\mathcal{F}=\left\\{F_{\bm{\theta}}\,:\,\bm{\theta}\in{\mathbb{R}}^{d}\right\\}$,
where $F_{\bm{\theta}}(\mathbf{X})=\nabla\mathcal{L}(\mathbf{X};\bm{\theta})$.
* •
Homomorphic Secret Sharing. In _secret sharing_ , a secret $s$ is shared among
$n$ parties, so that some coalitions of parties can recover the secret while
others learn nothing about it. A classic example is Shamir’s scheme, which is
essentially a Reed-Solomon (RS) code: let $\mathcal{C}$ be a RS code of
dimension $k$ and length $n+1$. To share a secret $s\in{\mathbb{F}}$, we pick
a random codeword $\mathbf{c}\in\mathcal{C}$ such that $c_{0}=s$, and we send
$c_{i}$ to party $i$. Any $k$ parties can recover $\mathbf{c}$ and hence $s$,
but any $k-1$ parties learn nothing about $s$. In single-client _Homomorphic
Secret Sharing_ (HSS) [BGI16, BCG+17, BGI+18], one additionally asks that the
parties be able to locally compute messages $g_{i}(c_{i})$ so that a referee
can compute a function $f(s)$ of the secret from these messages.111Typically,
$f(s)$ should be a sum of the messages, in which case the HSS scheme is called
additive. The HSS property has applications in Private Information Retrieval
and Secure Multiparty Computation (see, e.g., [BCG+17]). In some applications,
it is desirable that the messages $g_{i}(c_{i})$ be short, in which case the
HSS scheme is said to be compact. Low-bandwidth function evaluation is related
to (information-theoretic, not-necessarily-additive) compact HSS, in the sense
that a low-bandwidth function evaluation scheme for a Reed-Solomon code
$\mathcal{C}$ and with $\mathcal{F}=\\{F:\mathbf{x}\mapsto
f(\mathcal{C}(\mathbf{x})_{0})\\}$ gives a single-client HSS protocol for
Shamir’s scheme; the bandwidth of the scheme corresponds to the compactness of
the messages $g_{i}(c_{i})$. More generally, if $\mathcal{C}$ represents a
secret sharing scheme, then a low-bandwidth function evaluation scheme for
$\mathcal{C}$ yields a compact single-client HSS protocol for that scheme.
Given the numerous places that notions related to Definition 1 have appeared,
we believe it will be fruitful to study Definition 1 in generality. In this
paper we begin this general study by considering what is arguably most natural
class of functions (after the indicator functions
$f(\mathbf{x})=\mathcal{C}(\mathbf{x})_{i}$ studied in regenerating codes):
the class of linear functions. Our main results, described next in Section
1.2, are low-bandwidth evaluation schemes for Reed-Solomon codes, for classes
of linear functions. In Section 1.3, we mention several applications of our
results.
### 1.2 Our Results
Our main results hold for Reed-Solomon codes, defined below.
###### Definition 2 (Reed-Solomon Code).
Let $\mathbb{F}$ be a finite field and suppose $n\leq|{\mathbb{F}}|$. Let
$\alpha_{1},\alpha_{2},\ldots,\alpha_{n}\in\mathbb{F}$ be distinct evaluation
points. The Reed-Solomon Code (RS) of dimension $k$ and length $n$ with
evaluation points $\alpha_{1},\ldots,\alpha_{n}$ is the map
$\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$ given by
$\mathcal{C}(\mathbf{f})=(f(\alpha_{1}),\ldots,f(\alpha_{n})),$
where for $\mathbf{f}\in{\mathbb{F}}^{k}$, we define
$f(X)=\sum_{i=0}^{k-1}f_{i}X^{i}$.
Our contributions are as follows.
1. 1.
A framework for computing linear functions on RS-encoded data. We provide a
framework for developing low-bandwidth evaluation schemes for RS codes and for
families $\mathcal{F}$ of linear functions over extension fields. This begins
with a general linear-algebraic charactization (similar to the
characterization of [GW17] for regenerating codes) that applies to any linear
code. However, we go beyond that, building on it to develop a framework for RS
codes in particular. The linear-algebraic characterization for any linear code
is given in Section 3, and the framework for RS codes is given in Section 4.
2. 2.
Low-bandwidth schemes for computing any linear function on RS-encoded data, up
to the Singleton bound. Our main theorem, Theorem 13, can be
summarized/simplified as follows:
###### Theorem 3 (Simplified; see Theorem 13).
Let $\varepsilon>\gamma>0$. There is some
$q_{0}=\Theta\left(\frac{1}{\varepsilon-\gamma}\right)$ so that the following
holds for sufficiently large $n$ and for any prime power $q\geq q_{0}$.
Let $Q=q^{t}$ for any $t\geq 2$. Let $n=Q$ and let $k=(1-\varepsilon)n$. Let
$\mathcal{C}$ be the RS code over ${\mathbb{F}}={\mathbb{F}}_{Q}$ of dimension
$k$ and length $n$, with evaluation points all of ${\mathbb{F}}$. Let
$\mathcal{F}$ be the set of all linear functions
$F:{\mathbb{F}}^{k}\to{\mathbb{F}}$. Let $\mathcal{I}\subseteq[n]$ be any set
with $|\mathcal{I}|<\gamma n$. Then there is an evaluation scheme for
$\mathcal{C}$ and $\mathcal{F}$ that tolerates failures in $\mathcal{I}$, with
bandwidth (measured in bits) of
$b=O\left(\frac{n\log(q)}{\varepsilon-\gamma}\right).$
We make a few remarks about Theorem 3:
* •
The naive scheme (downloading enough information to recover $\mathbf{x}$, and
then computing $F(\mathbf{x})$) requires
$k\log(Q)=\frac{n\log n}{1-\varepsilon}$
bits of bandwidth. Thus, when $\varepsilon,\gamma,q$ are constant, our scheme
gives an asymptotic improvement of a factor of $\log n$ over the naive scheme.
(Notice that $|\mathbb{F}|=Q=q^{t}$, so we may choose $q$ to be constant and
allow $n=Q$ to grow by growing $t$).
* •
Our scheme can tolerate a $\gamma$ fraction of failures, where $\gamma$ can be
arbitrarily close to $\varepsilon$. Since the rate of the code is
$1-\varepsilon$, by the Singleton bound the relative distance can be at most
$\varepsilon$, and so this is optimal.
* •
One may wonder about lower bounds on the bandwidth. In Appendix A (Observation
18), we show that $b\geq n\log_{q}\left(\frac{n}{n-k+1}\right)\approx
n\log_{q}(1/\varepsilon)$ is necessary for Reed-Solomon codes, and that a
similar result (Corollary 20) holds for any MDS code. This shows that the
linear dependence on $n$ in Theorem 3 is optimal for constant $\varepsilon$
and $q$, although we leave it as an open question to pin down the correct
dependence on $\varepsilon$ and $\gamma$.
We also give a simpler version of Theorem 13, Theorem 12, which does not
tolerate any failures and which only works up the rate $1/2$. Our main reason
for presenting Theorem 12 is for exposition, as the proof is simpler, but we
are also able to get more precise constants. In particular, we show that RS
codes of rate approaching $1/2$ (for sufficiently large $q$, where $Q=q^{t}$
is the size of ${\mathbb{F}}$) can compute any linear function with bandwidth
at most $n\lceil\log q\rceil$. When $q=2$, we get an RS code of rate $1/4$
with bandwidth $n$ bits, or _only one bit_ from each node.
3. 3.
Applications in distributed storage, coded computation, and homomorphic secret
sharing. Our results have applications in several domains. We elaborate on
these next in Section 1.3.
### 1.3 Applications
As noted above, low-bandwidth function evaluation shows up in several
settings, and our work has natural applications in these areas. We briefly
mention a few potential applications of Theorem 3 to further motivate our
results. First, we make two remarks about the generality of our scheme.
###### Remark 3 (Non-linear functions).
Our framework can also be used to efficiently compute certain non-linear
functions, for example $\mathbf{x}\mapsto\sum_{i=1}^{k}x_{i}^{2}$. To see
this, we first suppose without loss of generality that the Reed-Solomon code
has a systematic encoding, so that $\mathbf{x}$ is encoded as
$(f(\alpha_{1}),\ldots,f(\alpha_{n}))$ where $f\in{\mathbb{F}}[X]$ is the
unique polynomial of degree at most $k-1$ so that $f(\alpha_{i})=x_{i}$ for
$i=1,\ldots,k$. Then define $g(X)=f(X)^{2}$ and observe that each node
$i=1,\ldots,n$ can locally compute $g(\alpha_{i})$. Thus, we can apply our
scheme to the Reed-Solomon code of dimension $2k-1$ to recover the linear
combination $\sum_{i=1}^{k}g(\alpha_{i})=\sum_{i=1}^{k}x_{i}^{2}$.
###### Remark 4 (Prime fields).
Our approach requires that ${\mathbb{F}}$ be an extension field over a base
field ${\mathbb{B}}$. However, in many applications (including those discussed
below), it is desirable to work over a prime field. The reason is that often
we actually want to work over the reals or the integers, and these can be
nicely embedded in $\mathbb{F}_{p}$ for a large enough prime $p$. Fortunately,
for certain linear functions $F$, our approach can still be used to save
bandwidth when we wish to amortize several computations over prime fields.
In more detail, suppose that ${\mathbb{B}}={\mathbb{F}}_{p}$ for a large prime
$p$, and let ${\mathbb{F}}={\mathbb{F}}_{p^{t}}$. Let
$\zeta_{1},\ldots,\zeta_{t}$ be a basis for ${\mathbb{F}}$ over
${\mathbb{B}}$. Suppose that the linear function we want to compute is
$F(\mathbf{x})=\mathbf{b}^{T}\mathbf{x}$, where
$\mathbf{b}\in{\mathbb{B}}^{k}$ has coefficients in the base field
${\mathbb{B}}$. This is the case, for example, in Remark 3 when we want to
compute the $\ell_{2}$ norm: all of the coefficients are $1$. It is also the
case when the data $\mathbf{x}$ represents a histogram and we’d like to take
the sum of certain buckets: all of the coefficients are $0$ or $1$. If
$\mathbf{b}\in{\mathbb{B}}^{k}$, then we can proceed as follows. View the data
$\mathbf{x}\in{\mathbb{F}}^{k}$ as $t$ data points in ${\mathbb{B}}^{k}$. That
is, we write $x_{j}=\sum_{i=1}^{t}y_{i,j}\zeta_{i}$, and interpret
$\mathbf{x}$ as $t$ vectors
$\mathbf{y}^{(i)}=(y_{i,1},y_{i,2},\ldots,y_{i,k})$. If we use our scheme to
compute $F(\mathbf{x})$, then we have computed
$F(\mathbf{x})=\sum_{j=1}^{k}b_{j}x_{j}=\sum_{j=1}^{k}b_{j}\left(\sum_{i=1}^{t}\zeta_{i}y_{i,j}\right)=\sum_{i=1}^{t}\zeta_{i}\mathbf{b}^{T}\mathbf{y}^{(i)}=\sum_{i=1}^{t}\zeta_{i}F(\mathbf{y}^{(i)}).$
Since $F(\mathbf{y}^{(i)})\in{\mathbb{B}}$, and since the $\zeta_{i}$ form a
basis for ${\mathbb{F}}$ over ${\mathbb{B}}$, we can now read off the values
$F(\mathbf{y}^{(i)})$. This allows us to compute $t$ evaluations of $F$ on
vectors $\mathbf{y}^{(1)},\ldots,\mathbf{y}^{(t)}\in{\mathbb{B}}^{k}$, using
bandwidth $O(n\log p)$ (assuming that the rate $1-\varepsilon$ of the code and
fraction $\gamma<\varepsilon$ of failed nodes are constants). In contrast, the
naive computation would require $O(tn\log p)$ bits. So for such linear
functions $F$, our scheme can do $t=\log_{p}n$ computations for the bandwidth
cost of a single computation in the naive scheme.
#### Distributed Storage.
The application to distributed storage was described in Section 1. In this
context, Theorem 3 gives a method to compute any linear function of data
stored on a distributed storage system with non-trivial download bandwidth.
The reader may be wondering about the upload bandwidth: don’t we need to
communicate the function $F$ to each node? The reason that we focus on the
download bandwidth (as is also the case for regenerating codes) is because of
the way that files are stored in a typical distributed storage system. In more
detail, a large file $\mathbf{x}$ will be broken up into blocks
$\mathbf{x}^{(1)},\ldots,\mathbf{x}^{(M)}\in{\mathbb{F}}^{k}$, where $M$ is
very large, and each $\mathbf{x}^{(i)}$ will be encoded as $\mathbf{c}^{(i)}$,
so that the $j$’th node stores $\\{c^{(i)}_{j}\,:\,j\in[M]\\}$. With this set-
up, the evaluation scheme of Theorem 3 would be run independently on each of
the blocks, so that the upload cost is just the cost of broadcasting $F$,
while the download cost is $M$ times the bandwidth guaranteed in the theorem.
Since $M$ is large, the download cost dominates the upload cost, and Theorem 3
yields real bandwidth savings over the naive scheme.
#### Coded Computation and Low-Bandwidth Matrix-Vector Multiplication.
Suppose we would like to distribute some data $\mathbf{X}$ among $n$ worker
nodes and perform a computation $f(\mathbf{X})$ in a distributed way. A body
of work [LLP+17, DCG19, YLR+19] on coded computation has proposed introducing
redundancy in the data assignment, with the goal of tolerating stragglers
(worker nodes that may be slow or non-responsive): that is, we would like
responses from any $\tilde{k}$ out of $n$ workers to determine
$f(\mathbf{X})$. There are two lines of work in coded computation. One line of
work adds redundancy by replicating and appropriately distributing data (for
example the work on gradient coding mentioned above [YA18], or a line of work
aimed at general MapReduce computations [LMAYA17]), and aims to minimize
download bandwidth. Unfortunately, because the coding is done by replication,
the rate of the resulting code is necessarily small. A second line of work
adds redundancy through true “coding” (eg, taking nontrivial linear
combinations). This allows for high-rate codes without much overhead in terms
of the total computational load, but instead of focusing on bandwidth, this
line of work has focused on minimizing the number $\tilde{k}$ of nodes that
need to respond. Several works in this second line have focused on linear
functions, like matrix-vector multiplication [LLP+17, DCG19] or Fourier
transforms [YMAA17a]; to the best of our knowledge, none of these have focused
on download bandwidth beyond minimizing the number $\tilde{k}$ of workers that
need to respond.
Our work provides a way to interpolate between these two lines of work. That
is, our work gives coded computation schemes for linear functions that both
can have low download bandwidth and that can use non-replication-based coding
to achieve a high rate. In particular, Theorem 3 shows that we can use a rate
$1-\varepsilon$ RS code, with bandwidth that scales like $n/\varepsilon$,
saving an $O(\log n)$ factor when $\varepsilon$ is constant. As per Remarks 3
and 4 above, this approach can be used effectively to compute, say, $\ell_{p}$
norms over the reals, even though our Theorem 3 is stated for linear functions
over extension fields.
We note that this is not directly comparable to prior work for coded
computation of linear functions (eg, [LLP+17, DCG19, YMAA17b]) for two
reasons. First, those works have focused on computations with a larger output
(eg, matrix-vector multiplication, where the output is a vector rather than a
scalar), while our approach is most effective when the desired output is a
scalar. Second, in much of the work on coded computation, the identities of
the stragglers are not known to the other worker nodes. In our approach, since
the scheme may depend on the set $\mathcal{I}$ of failed nodes, the parameter
server would have to broadcast this information, which may not be practical.
However, we note that the problem is still interesting even if there are no
stragglers, simply to reduce download bandwidth (as in [LMAYA17]); or when the
“stragglers” can be planned (for example to do load balancing between multiple
tasks).
#### Homomorphic Secret Sharing.
We have described the basic set-up for Homomorphic Secret Sharing (HSS) above.
Our scheme immediately gives a compact single-client HSS scheme for linear
functions, by sharing a secret $\mathbf{x}\in{\mathbb{F}}^{k}$ using a
generalization of Shamir’s scheme (as in [FY92]) as follows. Let
$\mathbf{x}\in{\mathbb{F}}^{k}$ be a secret. Let $\tilde{k}>k$, so that
$\tilde{k}+k<n$. We encode $\mathbf{x}$ with a systematic Reed-Solomon code,
so that $x_{i}=f(\beta_{i})$ for $i=1,\ldots,k$, where $f$ is a random
polynomial of degree at most $\tilde{k}-1$ so that this is true, and where
$\beta_{1},\ldots,\beta_{k}\in{\mathbb{F}}$ are fixed evaluation points. Then
we distribute shares $f(\alpha_{1}),\ldots,f(\alpha_{n})$ to the $n$ parties,
where $\alpha_{i}\in{\mathbb{F}}\setminus\\{\beta_{1},\ldots,\beta_{k}\\}$.
Now, any $\tilde{k}$ parties can recover the secret, while any $\tilde{k}-k$
learn nothing about it. Theorem 3 (treating the evaluation points
$\beta_{1},\ldots,\beta_{k}$ as the unavailable nodes in $\mathcal{I}$)
ensures that as long as $\varepsilon=1-\tilde{k}/n$ and $\gamma=k/n$ are
constants with $\gamma<\varepsilon$, then each party can compute a small local
share $g_{i}(f(\alpha_{i}))$, which can then be combined to recover a linear
function $F(\mathbf{x})$.
As noted in Remarks 3 and 4 above, this approach can also be used for
amortizing the computation of certain (possibly nonlinear) functions over
prime fields.
### 1.4 Related Work
First, we mention two works that are similar in flavor to ours in that the aim
is to compute functions on data encoded with an error correcting code,
although the models are quite different. The first of these is [CGdW13], which
studies the notion of _error-correcting data structures_. In that work, a
vector $\mathbf{x}$, thought of as a database, is encoded as a data structure
$\mathcal{C}(\mathbf{x})$; as in our work, the goal is to efficiently compute
some function (e.g., perform a membership query) on $\mathbf{x}$ given access
to $\mathcal{C}(\mathbf{x})$, possibly in the presence of noise. However, that
work differs from ours because (a) they consider query complexity (rather than
bandwidth) as the notion of efficiency; and (b) the noise they consider is
errors (rather than erasures). Thus, in some sense, the work [CGdW13]
generalizes locally decodable codes in the same direction that we generalize
regenerating codes. The second work that is similar in flavor is the recent
work [LBWZY21] on _function correcting codes_. In that work, a sender Alice
sends a message $\mathbf{x}$ over a noisy channel to a receiver Bob who is
only interested in some function $f(\mathbf{x})$. The main focus of that work
is on the trade-off between the amount of noise in the channel and the rate of
the code, given that Bob can recover $f(\mathbf{x})$. This differentiates
their problem from ours because they do not study any notion of efficiency
(like bandwidth or query complexity) on Bob’s end.
As mentioned above, notions related to Definition 1 arise in a variety of
contexts, including in regenerating codes, coded computation, and homomorphic
secret sharing. We survey related work in these areas below.
#### Regenerating codes.
The body of work most related to ours is that of regenerating codes.
Regenerating codes were introduced in [DGW+10] and have seen a huge amount of
work since then. The work most related to ours is the study of scalar222In the
regenerating codes literature, a scalar MDS code is one that is linear over
its alphabet, as opposed to a vector MDS code, which is linear over a smaller
field. MDS codes, including RS codes. This was initiated by [SPDC14], and
further developed in a line of work including [GW17, TYB18]. These works give
repair schemes for RS codes, which can be seen as evaluation schemes for RS
codes and for the class of functions
$\mathcal{F}=\left\\{F_{i}:\mathbf{x}\mapsto\mathcal{C}(x)_{i}\,:\,i\in[n]\right\\}$.
The work [GW17] gives a characterization of repair schemes for MDS codes. This
characterization inspires our Definition 4 and Proposition 5, which gives a
similar formulation for evaluation schemes for linear codes and classes of
linear functions. However, our framework for RS codes developed in Section 4
is quite different than the approach in [GW17]. In more detail, in [GW17], the
goal is to choose dual codewords $\mathbf{y}^{(1)},\ldots,\mathbf{y}^{(t)}$,
so that they give rise to low-dimensional ${\mathbb{B}}$-subpsaces. In
contrast, our approach is to go the other way around: we first pick the low-
dimensional ${\mathbb{B}}$-subspaces, and show how they give rise to
appropriate dual codewords.
#### Coded computation.
As mentioned above, there are two main lines of work in coded computation. We
refer the reader to [LA20] for a survey. One line of work has focused on
coding for stragglers and has used “true” coding (in the sense that linear
combinations of the original data are stored, rather than repeated blocks). In
our framework, stragglers correspond to the set $\mathcal{I}$ of failures, the
code maps some data $\mathbf{x}$ to a codeword $\mathbf{c}$ that is
distributed to workers, and the goal is to compute some function
$F(\mathbf{x})$ from computations $g_{i}(c_{i})$ performed by the worker $i$
on their part of the encoded data $c_{i}$. (We note that typically in these
settings the symbols $x_{j}$ and $c_{i}$ are actually vector or matrix-valued,
and the code is applied to each coordinate in parallel). This line of work has
considered linear functions like matrix-vector multiplication [LLP+17, DCG19]
or Fourier transformations [YMAA17a], as well as non-linear functions like
matrix-matrix multiplication [YMAA17b] and computation of low-degree
polynomials [YLR+19]. The main focus has been on minimizing the number of
workers required to complete their task before the desired function can be
computed, as well as on analyzing when and how much this can speed up
computation given stochastic models of stragglers. However, to the best of our
knowledge, this line of work has not considered the network bandwidth, which
is what we consider here.
A second line of work has also focused on coding for stragglers, but has used
replication-based coding. That is, the data $\mathbf{x}$ is separated into
blocks, and these blocks are distributed to workers with repetition. For
example, worker $i$ might receive blocks 1 and 2, and worker $j$ might receive
blocks 2 and 3. This approach is especially common in the area of gradient
coding [TLDK17, HASH18, RTTD20], where the goal is to compute the function
$F_{\bm{\theta}}(\mathbf{x})=\nabla L(\mathbf{x};\bm{\theta})$ which is the
gradient of a loss function at a current iterate $\bm{\theta}$. In this set-
up, again the main goal is to minimize the number of nodes that need to
respond before the function can be computed, but some works like [YA18] have
also considered the download bandwidth. Thus, the goal of [YA18] is similar to
ours, but the approach differs because (a) they are using a replication-based
code, and in particular the rate must be low; but (b) their scheme does not
depend on the identity of the stragglers, which ours does. We note that there
are several relaxations of the gradient coding problem, for example when the
stragglers are random and/or the gradient only needs to be approximately
computed [CPE17, RTTD20, LKAS18]. Again, those works differ from ours because
of the replication-based coding and the different model of stragglers.
A final line of work, starting with [LMAYA17], has focused on minimizing
communication bandwidth, as we do here, but in a different setting. That work
considers computation in a general MapReduce framework. In that work, the data
is distributed before the Map phase, introducing redundancy via replication.
Then the data is shuffled before the Reduce phase; the goal is to reduce the
amount of communication in the shuffle. Finally, the Reduce phase occurs, and
each node needs to compute the function that they are responsible for. This
can be viewed as a decentralized version of our setting where each node wants
to compute a (different) function. Key differences between that work and ours
are that (a) the coding comes via replication, and (b) the goal is to be able
to support generic computation in the MapReduce framework, rather than
focusing on specific functions.
#### Homomorphic Secret Sharing.
Homomorphic Secret Sharing was introduced in [BGI16] and has been further
explored in [BGI+18] and the references therein. As noted above, a single-
client compact HSS scheme is related to our definition of low-bandwidth
function evaluation, where the code is given by the secret-sharing scheme. The
work [BGI16] gave a two-party HSS scheme for any deterministic branching
program that is cryptographically secure; this scheme has been optimized in
[BCG+17], and other works [BKS19, OSY21, RS21] have achieved similar results
under different cryptographic assumptions. The work [BGI+18] has studied the
problem more generally, including under information-theoretic security, and
provided lower bounds. While the setup of HSS is quite related to our work,
most existing work on HSS is in a very different parameter regime. For
example, the two-party case studied in [BGI16] corresponds to a code of length
$n=2$. Additionally, since an MDS code provides an information-theoretically
secure secret-sharing scheme, HSS is most related to our work under
information-theoretic security. However, most constructions that we are aware
of for HSS have focused on cryptographic security. One exception is the recent
work [FIKW21], which focuses on the download bandwidth of information-
theoretic HSS. However, that work focuses on _multi_ -client HSS, where the
$k$ secrets in $\mathbf{x}\in{\mathbb{F}}^{k}$ must be secret-shared
independently of each other; in contrast, the application of our work sketched
above is for _single_ -client HSS, where the $k$ secrets in $\mathbf{x}$ may
be shared jointly.
### 1.5 Organization
In Section 2 we set notation and give a brief overview of our approach. In
Section 3 we introduce our framework for linear functions and linear codes. In
Section 4 we introduce our framework for RS codes in particular. In Section 5,
we instantiate our framework to prove Theorem 13, the more detailed version of
Theorem 3 above. Section 6 concludes with some open questions.
## 2 Notation and Technical Overview
In this section we set some notation and give a quick technical overview of
the main ideas in our work.
### 2.1 Notation
Throughout, we use $[n]$ to denote the set $\\{1,2,\ldots,n\\}$. We use bold
lowercase letters like $\mathbf{x}$ to denote vectors, and bold uppercase
letters like $\mathbf{G}$ to denote matrices. For a vector $\mathbf{x}$, we
use $x_{i}$ to denote the $i$’th coordinate of $x$. We use
$\mathbf{x}|_{[i,j]}$ to denote the vector $(x_{i},x_{i+1},\ldots,x_{j})$. For
a polynomial $f(X)=\sum_{i}f_{i}X^{i}$, we define the degree set of $f$ to be
$\mathrm{degSet}(f(X))=\left\\{i\,:\,f_{i}\neq 0\right\\}.$
We always work over a field ${\mathbb{F}}={\mathbb{F}}_{Q}$, where $Q=q^{t}$
and we will let ${\mathbb{B}}={\mathbb{F}}_{q}$ be the subfield of
${\mathbb{F}}$ of size $q$. With $Q=q^{t}$ as above, we will make use of the
field trace of ${\mathbb{F}}_{Q}$ over ${\mathbb{F}}_{q}$, defined by
$\mathrm{tr}(X)=\sum_{i=0}^{t-1}X^{q^{i}}.$
We note the following two facts about the field trace:
* •
The field trace is ${\mathbb{F}}_{q}$-linear and its image is contained in
${\mathbb{F}}_{q}$.
* •
The field ${\mathbb{F}}={\mathbb{F}}_{Q}$ is a vector space over the subfield
${\mathbb{B}}={\mathbb{F}}_{q}$. Given a basis $\zeta_{1},\ldots,\zeta_{t}$
for ${\mathbb{F}}$ over ${\mathbb{B}}$, the traces
$\mathrm{tr}(\zeta_{1}\alpha),\ldots,\mathrm{tr}(\zeta_{t}\alpha)$ uniquely
specify $\alpha\in{\mathbb{F}}$.
We consider linear codes $\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$.
Such a code can be represented by a full-rank generator matrix
$\mathbf{G}\in{\mathbb{F}}^{n\times k}$, so that
$\mathcal{C}(\mathbf{x})=\mathbf{G}\mathbf{x}$ for
$\mathbf{x}\in{\mathbb{F}}^{k}$.
We consider both ${\mathbb{F}}$-subspaces of ${\mathbb{F}}^{n}$ and
${\mathbb{B}}$-subspaces of ${\mathbb{F}}$ or ${\mathbb{F}}^{n}$. To that end,
we use $\operatorname{span}$, $\dim$, and $\cdot^{\perp}$ (with no decoration)
to refer to the span, dimension, and orthogonal complement over
${\mathbb{F}}$. We use $\operatorname{span}_{{\mathbb{B}}}$,
$\dim_{\mathbb{B}}$ and $\cdot^{\perp_{\mathbb{B}}}$ (decorated with a
“${\mathbb{B}}$”) to denote the span, dimension, and orthogonal complement
over ${\mathbb{B}}$. We define the orthogonal complement over ${\mathbb{B}}$
as follows. For a ${\mathbb{B}}$-vector space $V\subseteq{\mathbb{F}}$, we
define
$V^{\perp_{\mathbb{B}}}:=\left\\{x\in{\mathbb{F}}\,:\,\mathrm{tr}(xv)=0\forall
v\in V\right\\}$. For a ${\mathbb{B}}$-vector space
$\mathcal{V}\subset{\mathbb{F}}^{n}$, we define
$\mathcal{V}^{\perp_{\mathbb{B}}}:=\left\\{\mathbf{x}\in{\mathbb{F}}^{n}\,:\,\mathrm{tr}(\mathbf{x}^{T}\mathbf{v})=0\forall\mathbf{v}\in
V\right\\}.$
### 2.2 Technical Overview
Our approach begins with a general linear-algebraic framework, similar to that
from [GW17] for renegerating codes. Let ${\mathbb{B}}$ be a subfield of
${\mathbb{F}}$, and let $\zeta_{1},\ldots,\zeta_{t}$ be a basis for
${\mathbb{F}}$ over ${\mathbb{B}}$. For a code
$\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$, let
$C=\mathcal{C}({\mathbb{F}}^{k})$, so $C$ is a subspace of dimension $k$ in
${\mathbb{F}}^{n}$ that consists of all codewords. We can associate an
evaluation scheme with a sequence of ${\mathbb{B}}$-subspaces
$V_{1},\ldots,V_{n}\subset{\mathbb{F}}$, by demanding that node $j$ return
enough information to evaluate $\mathrm{tr}(c_{j}\nu)$ for all $\nu\in V_{j}$.
Since $V_{j}$ is ${\mathbb{B}}$-linear, it suffices to send $b_{j}$ symbols
from ${\mathbb{B}}$, where $b_{j}=\dim_{\mathbb{B}}(V_{j})$. When is this
enough information to recover a linear function
$F_{\mathbf{p}}(\mathbf{x})=\mathbf{p}^{T}\mathbf{x}$?
In Definition 4, we define a linear evaluation scheme as a sequence of
${\mathbb{B}}$-subspaces $V_{1},\ldots,V_{n}\subset{\mathbb{F}}$ that has a
nice relationship to $\mathcal{C}$, and then we show that this nice
relationship allows us to recover linear functions
$F_{\mathbf{p}}(\mathbf{x})$. More precisely, let
$\mathcal{W}=V_{1}^{\perp_{\mathbb{B}}}\times\ldots,\times
V_{n}^{\perp_{\mathbb{B}}}.$
We show in Section 3 that if
$\operatorname{span}_{\mathbb{F}}\left(C\cap\mathcal{W}\right)$ has low
dimension over ${\mathbb{F}}$, then there are many linear functions
$F_{\mathbf{p}}$ that can be recovered by the scheme derived from the
subspaces $V_{1},\ldots,V_{n}$. Thus, the goal becomes to find
${\mathbb{B}}$-subspaces $V_{1},\ldots,V_{n}\subset{\mathbb{F}}$ so that
$\operatorname{span}_{\mathbb{F}}\left(C\cap\mathcal{W}\right)$ is low-
dimensional over ${\mathbb{F}}$. (Additionally, we need to keep track of which
linear functions we can recover, but we will gloss over that in this
overview). Notice that $\mathcal{W}$ is a ${\mathbb{B}}$-vector space, but not
an ${\mathbb{F}}$-vector space. Thus, it is not obvious how to get a handle on
the dimension of this span.
In order to control the dimension of
$\operatorname{span}_{\mathbb{F}}\left(C\cap\mathcal{W}\right)$, we specialize
to Reed-Solomon codes (rather than any linear code); this is where our
analysis departs in similarity from [GW17]. We do this in Sections 4 and 5.
Suppose that we choose
$V_{i}=\operatorname{span}_{\mathbb{B}}\left(v(\alpha_{i})\right)$, where
$v(X)\in{\mathbb{F}}[X]$ is some polynomial. Then our goal becomes to show
that
$\left\\{g\in{\mathbb{F}}[X]\,:\,\deg(g)<k,\mathrm{tr}(g(\alpha_{j})v(\alpha_{j}))=0\
\ \forall j\in[n]\right\\}$ (1)
lies in a low-dimensional ${\mathbb{F}}$-vector space. Again, this is tricky
because “$\mathrm{tr}(g(\alpha_{j})v(\alpha_{j}))=0$” is a
${\mathbb{B}}$-linear constraint, and we want ${\mathbb{F}}$-linear
constraints. We turn these ${\mathbb{B}}$-linear constraints into
${\mathbb{F}}$-linear constraints as follows. Consider the unique polynomial
$R(X)$ of degree at most $n-1$ so that
$R(X)\equiv\mathrm{tr}(g(X)v(X))\mod p_{A}(X),$
where $p_{A}(X)=\prod_{j=1}^{n}(X-\alpha_{j})$. Now, if
$\mathrm{tr}(g(\alpha_{j})v(\alpha_{j}))=0$ for all $j$, then $R$ vanishes
everywhere and is thus identically zero. The polynomial $R$ is a bit tricky to
write down, but if the evaluation points are all of ${\mathbb{F}}$, then
$p_{A}(X)=X^{Q}-X$, and in fact taking the residue of $R(X)$ modulo $p_{A}(X)$
is tractable. Thus, our strategy is to expand out $R(X)$ and choose the
coefficients of $v$ carefully so that the coefficient on some term $X^{d}$ is
of the form $\sum_{\ell}v_{d-\ell}g_{\ell}$. Since that coefficient must be
zero—because $R(X)$ is identically zero—this gives us an ${\mathbb{F}}$-linear
constraint on the polynomial $g$. If we get enough linearly independent
${\mathbb{F}}$-linear constraints this way, we can show that the space (1)
lies in a low-dimension ${\mathbb{F}}$-vector space, which in turn will show
that there are many $F_{\mathbf{p}}$ that can be recovered by the scheme
associated with $V_{i}=\operatorname{span}_{\mathbb{B}}(v(\alpha_{i}))$.
(Again, in this overview we gloss over the fact that we actually want to know
_which_ functions $F_{\mathbf{p}}$ can be recovered this way: by keeping track
of exactly which linear constraints we get, we are able to design the
polynomial $v(X)$ so that we can control this.)
The approach above is sufficient to design a scheme for codes of rate up to
$1/2$, that doesn’t tolerate any failures $\mathcal{I}$. As a warm-up, we
present this result as Theorem 12. In order to extend our result to get
Theorem 13, the more detailed version of Theorem 3 above, we must choose
several polynomials $v^{(1)},\ldots,v^{(s)}$, increasing the bandwidth by a
factor of $s$. There are two main ideas here. First, in order to make the rate
larger than $1/2$ in the scheme from Theorem 12, we must restrict not only the
coefficients of $v$ but also the coefficients of $g$. This results in a scheme
for a subset $\mathcal{F}^{\prime}$ of linear functions. By repeating this
several times, we are able to recover all of the linear functions. Second, in
order to handle failures in an arbitrary set $\mathcal{I}$, we choose the
polynomials $v^{(r)}(X)$ to additionally vanish on the set $\mathcal{I}$.
Indeed, since the subspace $V_{j}$ given by a polynomial $v(X)$ is
$V_{j}=\operatorname{span}_{\mathbb{B}}(v(\alpha_{j}))$, if $v$ vanishes on
$\mathcal{I}$ then $V_{j}=\\{0\\}$ for all $j\in\mathcal{I}$. Thus, the
dimension is zero, and the $j$’th node does not need to return any
information.
We give the framework for general linear codes, and explain why
$\operatorname{span}_{\mathbb{F}}\left(C\cap\mathcal{W}\right)$ is important,
in Section 3. We develop our “pick nice polynomials $v(X)$” framework in
Section 4. Finally, we instantiate our framework for a full-length RS code and
analyze it in Section 5.
## 3 Framework for linear functions and any linear code
For the rest of the paper, we focus on the special case where $\mathcal{C}$ is
a linear code, and where $\mathcal{F}$ is a set of linear functions. In this
case, evaluation schemes for $\mathcal{F}$ and $\mathcal{C}$ can arise from a
simple linear-algebraic condition, defined next.
###### Definition 4 (Linear Evaluation Schemes).
Let ${\mathbb{B}}$ be a subfield of ${\mathbb{F}}$, and let
$\zeta_{1},\ldots,\zeta_{t}\in{\mathbb{F}}$ be a basis for ${\mathbb{F}}$ over
${\mathbb{B}}$. Let $\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$ be a
linear code, and let $\mathbf{G}\in{\mathbb{F}}^{n\times k}$ be a generator
matrix for $\mathcal{C}$. Let $\mathbf{p}\in{\mathbb{F}}^{k}$ and let
$\mathbf{w}\in{\mathbb{F}}^{n}$ be any vector so that
$\mathbf{p}=\mathbf{G}^{T}\mathbf{w}$. (Note that such a vector exists since
$\mathbf{G}$ has full column-rank).
Suppose that $V_{1},\ldots,V_{n}\subset{\mathbb{F}}$ are
${\mathbb{B}}$-subspaces, so that $\dim_{{\mathbb{B}}}(V_{j})=b_{j}$. Let
$\mathcal{V}=V_{1}\times\cdots\times V_{n}\subset{\mathbb{F}}^{n}$. We say
that $(V_{1},\ldots,V_{n})$ provide a _linear evaluation scheme for
$\mathbf{p}$ and $\mathcal{C}$_ (with respect to
$\\{\zeta_{1},\ldots,\zeta_{t}\\}$) if for all $i\in[t]$,
$\zeta_{i}\mathbf{w}\in\mathcal{C}({\mathbb{F}}^{k})^{\perp}+\mathcal{V}$
The _bandwidth_ of the scheme is
$\left(\sum_{j=1}^{n}b_{j}\right)\lceil\log|{\mathbb{B}}|\rceil$. Further, we
say that $(V_{1},\ldots,V_{n})$ _tolerates failures in $\mathcal{I}$_ where
$\mathcal{I}:=\left\\{j\in[n]\,:\,V_{j}=\\{0\\}\right\\}$.
For $\mathcal{P}\subseteq{\mathbb{F}}^{k}$, we say that a map
$\varphi:\mathcal{P}\to(2^{\mathbb{F}})^{n}$ provides a linear evaluation
scheme for $\mathcal{P}$ and $\mathcal{C}$ if
$\varphi(\mathbf{p})=(V_{1},\ldots,V_{n})$ provides a linear evaluation scheme
for $\mathbf{p}$ and $\mathcal{C}$ for all $\mathbf{p}\in\mathcal{P}$. For a
set $\mathcal{I}\subset[n]$, we say that $\varphi$ tolerates failures in
$\mathcal{I}$ if, for all $\mathbf{p}\in\mathcal{P}$, $\varphi(\mathbf{p})$
tolerates failures in $\mathcal{I}$.
The following proposition explains why a linear evaluation scheme $\varphi$
indeed gives us an evaluation scheme for a set of linear functions.
###### Proposition 5.
Suppose that $\varphi$ provides a linear evaluation scheme for $\mathcal{P}$
and $\mathcal{C}$, with bandwidth $b$. Then there is an evaluation scheme for
the class of functions
$\mathcal{F}=\\{F_{\mathbf{p}}:\mathbf{x}\mapsto\mathbf{x}^{T}\mathbf{p}\,:\,\mathbf{p}\in\mathcal{P}\\}$
and $\mathcal{C}$ with bandwidth $b$. Moreover, for $\mathcal{I}\subset[n]$,
this evaluation scheme tolerates failures in $\mathcal{I}$ if $\varphi$ does.
###### Proof.
Suppose that $\varphi$ forms a linear evaluation scheme for $\mathcal{P}$ and
$\mathcal{C}$. Let $\mathbf{p}\in\mathcal{P}$, and let
$\mathbf{w}\in{\mathbb{F}}^{n}$ be as in the theorem statement. Let
$(V_{1},\ldots,V_{n})=\varphi(\mathbf{p})$ be the linear evaluation scheme for
$\mathbf{p}$. For each $j\in[n]$, let
$\beta^{(j)}_{1},\ldots,\beta^{(j)}_{b_{j}}\in V_{j}$ be a basis for $V_{j}$
over ${\mathbb{B}}$. We will construct functions $g_{1},\ldots,g_{n}$ and $G$
as in Definition 1 that will allow us to reconstruct
$F(\mathbf{x})=\mathbf{p}^{T}\mathbf{x}$.
Fix $\mathbf{x}\in{\mathbb{F}}^{k}$ and let
$\mathbf{c}=\mathbf{G}\mathbf{x}\in\mathcal{C}({\mathbb{F}}^{k})$ be the
corresponding codeword. First, we observe that
$\mathbf{c}^{T}\mathbf{w}=\mathbf{x}^{T}\mathbf{G}^{T}\mathbf{w}=\mathbf{x}^{T}\mathbf{p}=F(\mathbf{x}).$
Thus, we focus on recovering $\mathbf{c}^{T}\mathbf{w}$.
We define the functions $g_{j}:{\mathbb{F}}\to{\mathbb{B}}^{b_{j}}$ by
$g_{j}(x):=(\mathrm{tr}(c_{j}\beta_{1}^{(j)}),\mathrm{tr}(c_{j},\beta_{2}^{(j)}),\ldots,\mathrm{tr}(c_{j},\beta^{(j)}_{b_{j}})).$
Now by the definition of a linear evaluation scheme, for all $i$,
$\zeta_{i}\mathbf{w}\in\mathcal{C}({\mathbb{F}}^{k})^{\perp}+\mathcal{V}$.
This implies that there are dual codewords
$\mathbf{z}^{(1)},\ldots,\mathbf{z}^{(t)}\in\mathcal{C}({\mathbb{F}}^{k})^{\perp}$
so that for all $j\in[n]$ and all $i\in[t]$,
$\zeta_{i}w_{j}-z_{j}^{(i)}\in V_{j}.$
In order to define the repair function $G$, we observe that for all $i\in[t]$,
we have
$\zeta_{i}\sum_{j}w_{j}c_{j}=\sum_{j}c_{j}(\zeta_{i}w_{j}-z_{j}^{(i)}).$
This is because $\sum_{j}c_{j}z_{j}^{(i)}=0$, since
$\mathbf{z}^{(i)}\in\mathcal{C}({\mathbb{F}}^{k})^{\perp}$ and
$\mathbf{c}\in\mathcal{C}({\mathbb{F}}^{k})$. In particular, this implies that
for all $i\in[t]$,
$\mathrm{tr}\left(\zeta_{i}\sum_{j}w_{j}c_{j}\right)=\sum_{j}\mathrm{tr}(c_{j}(\zeta_{i}w_{j}-z_{j}^{(i)})).$
(2)
Since $\zeta_{i}w_{j}-z_{j}^{(i)}\in V_{j}$ for all $j\in[n],i\in[t]$, we can
write
$\zeta_{i}w_{j}-z_{j}^{(i)}=\sum_{\ell=1}^{b_{j}}a^{(i,j)}_{\ell}\beta^{(i)}_{\ell}$
for some coefficients $a^{(i,j)}_{\ell}\in{\mathbb{B}}$. Thus,
$\mathrm{tr}(c_{j}(\zeta_{i}w_{j}-z_{j}^{(i)}))=\sum_{\ell=1}^{b_{j}}a^{(i,j)}_{\ell}\mathrm{tr}(c_{j}\beta^{(i)}_{\ell}),$
(3)
where above we have used the linearity of the trace. Now, we can define the
function $G$ to be the output of the following algorithm:
* •
Input:
$g_{j}(c_{j})=(\mathrm{tr}(c_{j}\beta_{1}^{(j)}),\mathrm{tr}(c_{j},\beta_{2}^{(j)}),\ldots,\mathrm{tr}(c_{j},\beta^{(j)}_{b_{j}}))$
for all $j$.
* •
For each $i\in[t],j\in[n]$, use (3) to recover
$\mathrm{tr}(c_{j}(\zeta_{i}w_{j}-z_{j}^{(i)}))$ from the input.
* •
Use (2) to recover $\mathrm{tr}\left(\zeta_{i}\sum_{j}w_{j}c_{j}\right)$ for
all $i\in[t]$.
* •
Since $\zeta_{1},\ldots,\zeta_{t}$ form a basis for ${\mathbb{F}}$ over
${\mathbb{B}}$, this is sufficient to recover
$\sum_{j}w_{j}c_{j}=F(\mathbf{x})$. Return $F(\mathbf{x})$.
Thus, we have a function evaluation protocol for $\mathcal{C}$. To finish the
proof, we observe that the amount of information sent is $\sum_{j=1}^{n}b_{j}$
symbols from ${\mathbb{B}}$, so the total bandwidth is
$\left(\sum_{j=1}^{n}b_{j}\right)\lceil\log|{\mathbb{B}}|\rceil$ bits.
Finally, observe that if $V_{j}=\\{0\\}$, then $b_{j}=0$ and the scheme above
does not need to contact symbol $i$, so this scheme tolerates failures in
$\mathcal{I}$. ∎
In the next lemma, we reformulate the condition in Definition 4 in a way that
will be helpful going forward.
###### Lemma 6.
Let $\mathcal{V}=V_{1}\times V_{2}\times\cdots\times
V_{n}\subset{\mathbb{F}}^{n}$, where each $V_{i}$ is a ${\mathbb{B}}$-subspace
of ${\mathbb{F}}$. Let $\mathcal{W}=W_{1}\times W_{2}\times\cdots\times
W_{n}\subset{\mathbb{F}}^{n}$, where $W_{i}=V_{i}^{\perp_{{\mathbb{B}}}}$. Let
$\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$ be a linear code. Let
$\zeta_{1},\ldots,\zeta_{t}$ be a basis for ${\mathbb{F}}$ over
${\mathbb{B}}$. Then for any $\mathbf{w}\in{\mathbb{F}}^{n}$,
$\zeta_{i}\mathbf{w}\in\mathcal{C}({\mathbb{F}}^{k})^{\perp}+\mathcal{V}\ \ \
\forall i\in[t]$
if and only if
$\mathbf{w}\in\left(\operatorname{span}_{{\mathbb{F}}}(\mathcal{C}({\mathbb{F}}^{k})\cap\mathcal{W})\right)^{\perp}.$
###### Proof.
Let $C=\mathcal{C}({\mathbb{F}}^{k})\subset{\mathbb{F}}^{n}$. We have
$\displaystyle\mathbf{w}\in\left(\operatorname{span}_{{\mathbb{F}}}(C\cap\mathcal{W})\right)^{\perp}$
$\displaystyle\Leftrightarrow\forall\mathbf{y}\in
C\cap\mathcal{W},\mathbf{w}^{T}\mathbf{y}=0$
$\displaystyle\Leftrightarrow\forall\mathbf{y}\in C\cap\mathcal{W},\forall
i\in[t],\mathrm{tr}((\zeta_{i}\mathbf{w})^{T}\mathbf{y})=0$
$\displaystyle\Leftrightarrow\forall
i\in[t],\zeta_{i}\mathbf{w}\in(C\cap\mathcal{W})^{\perp_{\mathbb{B}}}$
$\displaystyle\Leftrightarrow\forall i\in[t],\zeta_{i}\mathbf{w}\in
C^{\perp_{\mathbb{B}}}+\mathcal{W}^{\perp_{\mathbb{B}}},$ (4)
using the fact that for any vector spaces $A,B$, we have $(A\cap
B)^{\perp}=A^{\perp}+B^{\perp}$. Now, we observe that
$C^{\perp_{\mathbb{B}}}=C^{\perp}$. Indeed,
$\displaystyle C^{\perp_{\mathbb{B}}}$
$\displaystyle=\left\\{\mathbf{x}\,:\,\mathrm{tr}(\mathbf{c}^{T}\mathbf{x})=0\forall\mathbf{c}\in
C\right\\}$
$\displaystyle=\left\\{\mathbf{x}\,:\,\mathrm{tr}(\zeta_{i}\mathbf{c}^{T}\mathbf{x})=0\forall\mathbf{c}\in
C,\forall i\in[t]\right\\}$
$\displaystyle=\left\\{\mathbf{x}\,:\,\mathbf{c}^{T}\mathbf{x}=0\forall\mathbf{c}\in
C\right\\}=C^{\perp}.$
Above, we used the fact that since $\mathcal{C}$ is linear, $C=\zeta_{i}C$ for
all $i$. Further, we observe that
$\mathcal{W}^{\perp_{\mathbb{B}}}=\mathcal{V}$ by defintion. Thus, from (4),
we conclude that
$\mathbf{w}\in\left(\operatorname{span}_{{\mathbb{F}}}(C\cap\mathcal{W})\right)^{\perp}\Leftrightarrow\forall
i\in[t],\zeta_{i}\mathbf{w}\in C^{\perp}+\mathcal{V},$
which is what we wanted to show. ∎
## 4 Framework for linear functions and RS codes
The framework in Section 3 was valid for any linear code $\mathcal{C}$. Now,
we specialize to Reed-Solomon codes in order to leverage this
characterization. We begin with a few definitions that will be useful for our
framework.
###### Definition 7.
Let $A=(\alpha_{1},\ldots,\alpha_{n})$, so that $\alpha_{i}\in{\mathbb{F}}$
are distinct. Define
$p_{A}(X)=\prod_{j=1}^{n}(X-\alpha_{j}).$
For a non-negative integer $j$ and for $i\in\\{0,1,\ldots,t-1\\}$, define
$\sigma_{i}(j)\subset\mathbb{Z}$ to be
$\sigma_{i}(j)=\mathrm{degSet}\left(\overline{X^{jq^{i}}}\right),$
where $\overline{X^{jq^{i}}}$ is the unique polynomial of degree at most $n-1$
so that
$\overline{X^{jq^{i}}}\equiv X^{jq^{i}}\mod p_{A}(X).$
We note that $\sigma_{i}$ depends on the choice of $A$, but we suppress this
dependence in the notation for readability.
###### Remark 5.
For any $j<n$, we have
$\sigma_{0}(j)=\mathrm{degSet}\left(\overline{X^{j}}\right)=\mathrm{degSet}\left(X^{j}\right)=\left\\{j\right\\}$.
###### Remark 6.
While for general $A$, $\sigma_{i}$ may be quite complicated, for some sets
$A$ it is relatively simple. For example, if $A={\mathbb{F}}$, then
$p_{A}(X)=X^{Q}-X$, and
$\sigma_{i}(j)=\\{jq^{i}\ \ \mathrm{mod}^{*}\ Q-1\\},$
where
$x\ \ \mathrm{mod}^{*}\ Q-1:=\begin{cases}y\in\\{1,\ldots,Q-1\\}\text{ so that
}y\equiv x\mod Q-1&\text{ if }x\neq 0\\\ 0&\text{ if }x=0\end{cases}.$
In particular, if we write $x\in\\{0,1,\ldots,Q-1\\}$ in base-$q$ as
$x=\sum_{b=0}^{t-1}x_{b}q^{b}$
for $x_{b}\in\\{0,\ldots,q-1\\}$, then
$\sigma_{i}(x)=\left\\{\sum_{b=0}^{t-1}x_{b}q^{b+i\mod t-1}\right\\}$
is a circular shift of this expansion.
###### Definition 8.
Let $0<k\leq n$ and consider the Reed-Solomon code $\mathcal{C}$ of dimension
$k$ with evaluation points $A=(\alpha_{1},\ldots,\alpha_{n})$ over
${\mathbb{F}}$. Let ${j_{\min}},{j_{\max}},d$ be positive integers so that
${j_{\min}}\leq{j_{\max}}$ and ${j_{\min}}<d$. We say that
$({j_{\min}},{j_{\max}},d)$ is _good_ for $\mathcal{C}$ if all of the
following hold:
1. 1.
$d<n$ and ${j_{\max}}+k-1<n$;
2. 2.
for all $i\in\\{1,\ldots,t-1\\}$,
$d\not\in\bigcup_{j={j_{\min}}}^{{j_{\max}}+k-1}\sigma_{i}(j)$; and
3. 3.
$d\in\bigcup_{j={j_{\min}}}^{{j_{\max}}+k-1}\sigma_{0}(j)$,
where above $\sigma_{i}$ is defined as in Definition 7 with respect to $A$.
Given some $d,{j_{\min}},{j_{\max}}$, we define $\ell_{\min}$ and
$\ell_{\max}$ by
$\ell_{\min}=\max\\{0,d-{j_{\max}}\\}\qquad\text{and}\qquad\ell_{\max}=\min\\{k-1,d-{j_{\min}}\\}.$
(5)
###### Definition 9.
Fix $(d,{j_{\min}},{j_{\max}})$, and let $\ell_{\min},\ell_{\max}$ be as in
(5). Let $\mathbf{p}\in{\mathbb{F}}^{k}$, so that
$\mathrm{Supp}(\mathbf{p})\subseteq[\ell_{\min},\ell_{\max}]$. We say that a
polynomial
$v(X)=\sum_{j={j_{\min}}}^{{j_{\max}}}v_{j}X^{j}$
is _consistent_ with $\mathbf{p}$ (with respect to
$(d,{j_{\min}},{j_{\max}})$), if $v_{j}=p_{d-j}$ whenever $d-j\in[0,k-1]$.
Notice that, for any $\mathbf{p}$ as in Definition 9, there is some polynomial
$v(X)$ consistent with $\mathbf{p}$, given by
$v(X)=\sum_{j=\max\\{d-k+1,{j_{\min}}\\}}^{\min\\{d,{j_{\max}}\\}}p_{d-j}X^{j}.$
With these definitions, we have the following lemma.
###### Lemma 10.
Let $0<k\leq n$ and consider the Reed-Solomon code $\mathcal{C}$ of dimension
$k$ with evaluation points $A=(\alpha_{1},\ldots,\alpha_{n})$ over
${\mathbb{F}}$. Suppose that $({j_{\min}},{j_{\max}},d)$ is good for
$\mathcal{C}$, and let $\ell_{\min},\ell_{\max}$ be as in Definition 8. Then
for all $\mathbf{p}\in{\mathbb{F}}^{k}$ so that
$\mathrm{Supp}(\mathbf{p})\subseteq[\ell_{\min},\ell_{\max}]$, and for all
$v(X)$ consistent with $\mathbf{p}$, there exist ${\mathbb{B}}$-subspaces
$V_{1},\ldots,V_{n}\subset{\mathbb{F}}$ with $\dim_{{\mathbb{B}}}(V_{j})\leq
1$ for all $j$, so that the following holds:
Let $\mathbf{g}\in{\mathbb{F}}^{k}$ and let
$g(X)=\sum_{\ell=0}^{k-1}g_{\ell}X^{\ell}$. Suppose that $g(\alpha_{j})\in
V_{j}^{\perp_{\mathbb{B}}}$ for all $j\in[n]$. Then
$\mathbf{g}^{T}\mathbf{p}=0$.
Further, $V_{j}=\\{0\\}$ for all $j\in\mathcal{I}$, where
$\mathcal{I}=\left\\{j\in[n]\,:\,v(\alpha_{j})=0\right\\}$. (Notice that
$\mathcal{I}$ depends on both $\mathbf{p}$ and the choice of $v(X)$).
Before we prove Lemma 10, we show how to use it to obtain a linear evaluation
scheme for $\mathcal{C}$. The following Theorem is our main framework theorem
for RS codes.
###### Theorem 11 (Main Framework Theorem).
Let $\mathcal{C}$ be a Reed-Solomon code. Suppose that
$({j_{\min}},{j_{\max}},d)$ is good for $\mathcal{C}$. Let
$\ell_{\min},\ell_{\max}$ be as in (5), and let
$\mathcal{P}\subseteq\left\\{\mathbf{p}\in{\mathbb{F}}^{k}\,:\,\mathrm{Supp}(\mathbf{p})\subseteq[\ell_{\min},\ell_{\max}]\right\\}.$
Then there is a linear evaluation scheme $\varphi$ for $\mathcal{P}$ and
$\mathcal{C}$ with bandwidth at most $n\lceil\log|{\mathbb{B}}|\rceil$.
Further, for any collection
$\left\\{v_{\mathbf{p}}(X)\,:\,\mathbf{p}\in\mathcal{P}\right\\}$
so that for each $\mathbf{p}\in\mathcal{P}$, $v_{\mathbf{p}}(X)$ is consistent
with $\mathbf{p}$, there exists a scheme $\varphi$ that tolerates failures in
$\mathcal{I}:=\left\\{j\in[n]\,:\,v_{\mathbf{p}}(\alpha_{j})=0\ \
\forall\mathbf{p}\in\mathcal{P}\right\\},$
with bandwidth is at most
$(n-|\mathcal{I}|)\lceil\log|{\mathbb{B}}|\rceil.$
###### Proof of Theorem 11, assuming Lemma 10.
We prove the “Further” statement, since it implies that first statement.
(Indeed, we may take $v_{\mathbf{p}}(X)$ to be any polynomial consistent with
$\mathbf{p}$).
Suppose that $({j_{\min}},{j_{\max}},d)$ is good for $\mathcal{C}$. Let
$\mathbf{p}\in\mathcal{P}$, and suppose that $v_{\mathbf{p}}(X)$ is consistent
with $\mathbf{p}$. Let $\mathbf{G}\in{\mathbb{F}}^{n\times k}$ be a generator
matrix for $\mathcal{C}$ and let $\mathbf{w}$ be such that
$\mathbf{p}=\mathbf{G}^{T}\mathbf{w}$, as in Definition 4. Let
$V_{1},\ldots,V_{n}$ be the ${\mathbb{B}}$-subspaces guaranteed for
$\mathbf{p}$ and $v_{\mathbf{p}}(X)$ by Lemma 10, and define
$W_{j}=V_{j}^{\perp_{\mathbb{B}}}$ for $j=1,\ldots,n$. Let
$\mathcal{W}=W_{1}\times\cdots\times W_{n}$ and let
$\mathcal{V}=V_{1}\times\cdots\times V_{n}$.
The guarantee of Lemma 10 implies that $\mathbf{c}^{T}\mathbf{w}=0$ for all
$\mathbf{c}\in\mathcal{C}({\mathbb{F}}^{k})\cap\mathcal{W}$, so
$\mathbf{w}\in(\mathcal{C}({\mathbb{F}}^{k})\cap\mathcal{W})^{\perp}$. By
Lemma 6, this implies that
$\zeta_{i}\mathbf{w}\in\mathcal{C}({\mathbb{F}}^{k})^{\perp}+\mathcal{V}\qquad\forall
i\in[t],$
where $\zeta_{1},\ldots,\zeta_{t}$ is a basis for ${\mathbb{F}}$ over
${\mathbb{B}}$. Therefore from Definition 4, $(V_{1},\ldots,V_{n})$ is a
linear scheme for $\mathbf{p}$ and $\mathcal{C}$, and the map $\varphi$ that
maps $\mathbf{p}$ to $(V_{1},\ldots,V_{n})$ as above is a linear scheme for
$\mathcal{P}$ and $\mathcal{C}$.
Further, Lemma 10 implies that for all $\mathbf{p}\in\mathcal{P}$, if
$\varphi(\mathbf{p})=(V_{1},\ldots,V_{n})$ then $V_{j}=\\{0\\}$ for all
$j\in\mathcal{I}$. Thus, $\varphi$ tolerates failures in $\mathcal{I}$.
Finally, we observe that the bandwidth of the scheme is $\log|{\mathbb{B}}|$
times the number of $V_{j}$ so that $V_{j}\neq\\{0\\}$, which is at most
$(n-|\mathcal{I}|)\log|{\mathbb{B}}|$. ∎
Finally, we prove Lemma 10.
###### Proof of Lemma 10.
Suppose that $\mathcal{C}$ is an RS code as in the statement of the lemma, so
we have evaluation points $A=(\alpha_{1},\ldots,\alpha_{n})$. Suppose that
$({j_{\min}},{j_{\max}},d)$ is good for $\mathcal{C}$. Choose
$\mathbf{p}\in{\mathbb{F}}^{k}$ such that
$\mathrm{Supp}(\mathbf{p})\in[\ell_{\min},\ell_{\max}]$, and suppose that
$v(X)=\sum_{j={j_{\min}}}^{{j_{\max}}}v_{j}X^{j}$ is consistent with
$\mathbf{p}$. Define
$V_{j}:=\operatorname{span}_{{\mathbb{B}}}(v(\alpha_{j}))$ for $j\in[n]$.
Notice that $\dim_{\mathbb{B}}(V_{j})\leq 1$, as desired, and further that
$V_{j}=\\{0\\}$ if $v(\alpha_{j})=0$. Now suppose that
$\mathbf{g}\in{\mathbb{F}}^{k}$ so that
$g(X)=\sum_{\ell=0}^{k-1}g_{\ell}X^{\ell}$ has $g(\alpha_{j})\in
V_{j}^{\perp_{\mathbb{B}}}$. We wish to show that
$\mathbf{g}^{T}\mathbf{p}=0$.
From the definition of $V_{j}$ and the assumption that $g(\alpha_{j})\in
V_{j}^{\perp_{\mathbb{B}}}$ for all $j\in[n]$, we have
$\mathrm{tr}(v(\alpha_{j})g(\alpha_{j}))=0$
for all $j$. Consider the unique polynomial $R(X)$ of degree at most $n-1$ so
that
$R(X)\equiv\mathrm{tr}(v(X)g(X))\mod p_{A}(X),$
where $p_{A}(X)$ is as in Definition 7. Thus, $R(\alpha_{i})=0$ for all
$i\in[n]$. Since $\deg(R)\leq n-1$, this implies that $R(X)\equiv 0$ is
identically zero. Consider the coefficient of $X^{d}$ in $R(X)$. On the one
hand, this is zero. On the other hand, we can compute
$\displaystyle R(X)$
$\displaystyle=\sum_{i=0}^{t-1}\left(\sum_{j={j_{\min}}}^{{j_{\max}}}v_{j}X^{j}\right)^{q^{i}}\left(\sum_{\ell=0}^{k-1}g_{\ell}X^{\ell}\right)^{q^{i}}$
$\displaystyle=\sum_{i=0}^{t-1}\sum_{j,\ell}v_{j}^{q^{i}}g_{\ell}^{q^{i}}X^{q^{i}(\ell+j)}$
Thus, we have
$\displaystyle 0=\text{(coefficient of $X^{d}$ in $R(X)$)}$
$\displaystyle=\sum_{i=0}^{t-1}\sum_{j,\ell:d\in\sigma_{i}(\ell+j)}c_{\ell+j,d,i}v_{j}^{q^{i}}g_{\ell}^{q^{i}},$
(6)
where $c_{r,d,i}\in{\mathbb{F}}$ are the coefficients that arise when we write
$\overline{X^{rq^{i}}}=\sum_{d\in\sigma_{i}(r)}c_{r,d,i}X^{d}.$
(Above, as in Definition 7, $\overline{X^{rq^{i}}}$ refers to the residue
modulo $p_{A}(X)$). Since $(d,{j_{\min}},{j_{\max}})$ is good, Item 2 of
Definition 8 says that for all $i\neq 0$, and for all
$r\in[{j_{\min}},{j_{\max}}+k-1]$, $d\not\in\sigma_{i}(r)$. Since
$\ell+j\in[{j_{\min}},{j_{\max}}+k-1]$, this implies that the inner sum on the
right hand side of (6) is empty if $i\neq 0$. Therefore, we have
$\displaystyle 0$ $\displaystyle=\text{(coefficient of $X^{d}$ in $R(X)$)}$
$\displaystyle=\sum_{j,\ell:d\in\sigma_{0}(\ell+j)}c_{\ell+j,d,0}v_{j}g_{\ell}$
$\displaystyle=\sum_{j={j_{\min}}}^{{j_{\max}}}\sum_{\ell=0}^{k-1}\mathbf{1}_{\ell+j=d}v_{j}g_{\ell}$
(7)
$\displaystyle=\sum_{j=\max\\{d-k+1,{j_{\min}}\\}}^{\min\\{d,{j_{\max}}\\}}\sum_{\ell=0}^{k-1}\mathbf{1}_{\ell+j=d}v_{j}g_{\ell}$
(8) $\displaystyle=\sum_{\ell=\ell_{\min}}^{\ell_{\max}}p_{\ell}g_{\ell}$ (9)
$\displaystyle=\sum_{\ell=0}^{k-1}p_{\ell}g_{\ell}.$ (10)
Above, we have used in (7) the fact that $\sigma_{0}(\ell+j)=\\{\ell+j\\}$ (as
per Remark 5, using the assumption that $\ell+j\leq k-1+j_{\max}<n$ as per
Definition 8); and the fact that $c_{d,d,0}=1$ since we have
$\overline{X^{d}}=X^{d}$ (using the assumption that $d<n$). In (8), we have
used the fact that for $j\in[{j_{\min}},d-k]\cup[d+1,{j_{\max}}]$,
$\mathbf{1}_{\ell+j=d}=0$. In (9), we have used the definition (5) of
$\ell_{\min}$ and $\ell_{\max}$. And in (10), we have used the fact that
$\mathrm{Supp}(\mathbf{p})\subseteq[\ell_{\min},\ell_{\max}]$.
This shows that $\mathbf{p}^{T}\mathbf{g}=0$, which completes the proof. ∎
## 5 Proof of main theorem
We begin with a warm-up that already gives good schemes for RS codes of rates
approaching $1/2$.
###### Theorem 12.
Let $Q=q^{t}$, for some $t\geq 2$ and some prime power $q$. Suppose that
$k\leq
Q\left(\frac{1}{q}\left\lfloor\frac{q}{2}\right\rfloor\left(1-\frac{1}{q}\right)\right)$.
Let $\mathcal{C}$ be the Reed-Solomon code of dimension $k$ and length $n=Q$
over ${\mathbb{F}}={\mathbb{F}}_{Q}$. Let $\mathcal{F}$ be the class of all
linear functions from ${\mathbb{F}}^{k}$ to ${\mathbb{F}}$:
$\mathcal{F}=\left\\{\left(F_{\mathbf{y}}:\mathbf{x}\mapsto\mathbf{x}^{T}\mathbf{y}\right)\,:\,\mathbf{y}\in{\mathbb{F}}^{k}\right\\}.$
Then there is an evaluation scheme for $\mathcal{F}$ and $\mathcal{C}$ with
bandwidth $n\lceil\log_{2}q\rceil.$
Notice that the rate of the RS code $\mathcal{C}$ in Theorem 12 can be as
large as
$\frac{k}{n}=\frac{1}{q}\left\lfloor\frac{q}{2}\right\rfloor(1-1/q)\geq\frac{1}{2}-\frac{3}{2q},$
which approaches $1/2$ as $q$ grows. We note that for $q=2$, the rate of
$\mathcal{C}$ is $1/4$.
###### Proof of Theorem 12.
We will use Theorem 11 to show that there is a linear scheme for
$\mathcal{P}={\mathbb{F}}^{k}$. Then Proposition 5 will imply the theorem.
Choose
$\displaystyle d$ $\displaystyle=\left\lfloor\frac{q}{2}\right\rfloor q^{t-1}$
$\displaystyle{j_{\min}}$ $\displaystyle=\left\lfloor\frac{q}{2}\right\rfloor
q^{t-2}+1$ $\displaystyle{j_{\max}}$ $\displaystyle=Q-k.$
We claim that $(d,{j_{\min}},{j_{\max}})$ is good for $\mathcal{C}$. We check
the three items in Definition 8:
1. 1.
Since $n=Q=q^{t}$, and using the choice of $d$ above, we have $d<n$. We also
have ${j_{\max}}+k-1=Q-1<n$.
2. 2.
As per Remark 6, for this full-length RS code we have
$\sigma_{i}(j)=\\{jq^{i}\ \ \mathrm{mod}^{*}\ Q-1\\}$. Thus, the second item
in Definition 8 is equivalent333Note here that for all $i\geq 0$, $q^{i}$ is a
unit of $\mathbb{Z}/(Q-1)\mathbb{Z}$, and in particular $q^{t}\ \
\mathrm{mod}^{*}\ Q-1=Q\ \ \mathrm{mod}^{*}\ Q-1=1$. to showing that for all
$i=1,\ldots,t-1$,
$\displaystyle d$ $\displaystyle\neq q^{i}j\ \ \mathrm{mod}^{*}\
Q-1\qquad\forall j\in[{j_{\min}},{j_{\max}}+k-1]$ $\displaystyle dq^{t}\ \
\mathrm{mod}^{*}\ Q-1$ $\displaystyle\neq q^{i}j\ \ \mathrm{mod}^{*}\
Q-1\qquad\forall j\in[{j_{\min}},{j_{\max}}+k-1]$ $\displaystyle dq^{t-i}\ \
\mathrm{mod}^{*}\ Q-1$ $\displaystyle\neq j\ \ \mathrm{mod}^{*}\
Q-1\qquad\forall j\in[{j_{\min}},{j_{\max}}+k-1]$ $\displaystyle dq^{t-i}\ \
\mathrm{mod}^{*}\ Q-1$ $\displaystyle\not\in[{j_{\min}},{j_{\max}}+k-1].$
Plugging in the definitions of $d$, ${j_{\min}}$ and ${j_{\max}}$, this is the
same as showing that for all $i=1,\ldots,t-1$,
$\left\lfloor\frac{q}{2}\right\rfloor
q^{t-i-1}\not\in\left[\left\lfloor\frac{q}{2}\right\rfloor
q^{t-2}+1,Q-1\right].$
This is true, because for all $i=1,\ldots,t-1$, we have
$0<\left\lfloor\frac{q}{2}\right\rfloor
q^{t-i-1}<\left\lfloor\frac{q}{2}\right\rfloor q^{t-2}+1.$
3. 3.
Finally, using the fact that $\sigma_{0}(j)=\\{j\\}$ for all
$j\in[{j_{\min}},{j_{\max}}+k-1]$, the third item is equivalent to showing
that $d\in[{j_{\min}},{j_{\max}}+k-1]$, or that
$\left\lfloor\frac{q}{2}\right\rfloor
q^{t-1}\in\left[\left\lfloor\frac{q}{2}\right\rfloor q^{t-2}+1,Q-1\right],$
which is true.
Thus, $(d,{j_{\min}},{j_{\max}})$ is good for $\mathcal{C}$. Now we compute
$\ell_{\min},\ell_{\max}$ as in Theorem 11. We have
$\displaystyle\ell_{\min}$ $\displaystyle=\max\\{0,d-{j_{\max}}\\}$
$\displaystyle=\max\left\\{0,\left\lfloor\frac{q}{2}\right\rfloor
q^{t-1}-q^{t}+k\right\\}$ $\displaystyle=0,$
using the fact that $Q=q^{t}$ and
$k\leq
Q\left(\frac{1}{q}\left\lfloor\frac{q}{2}\right\rfloor(1-1/q)\right)\leq
Q\frac{1}{q}\left\lfloor\frac{q}{2}\right\rfloor\leq
Q\left(1-\frac{1}{q}\left\lfloor\frac{q}{2}\right\rfloor\right).$
We also have
$\displaystyle\ell_{\max}$ $\displaystyle=\min\\{k-1,d-{j_{\min}}\\}$
$\displaystyle=\min\left\\{k-1,\left\lfloor\frac{q}{2}\right\rfloor
q^{t-1}-\left\lfloor\frac{q}{2}\right\rfloor q^{t-2}-1\right\\}$
$\displaystyle=k-1,$
using the fact that $k\leq
Q\left(\frac{1}{q}\left\lfloor\frac{q}{2}\right\rfloor(1-1/q)\right)$.
Therefore we have
$\left\\{\mathbf{p}\in{\mathbb{F}}^{k}\,:\,\mathrm{Supp}(\mathbf{p})\subseteq[\ell_{\min},\ell_{\max}]\right\\}={\mathbb{F}}^{k}.$
By Theorem 11 and the fact that $(d,{j_{\min}},{j_{\max}})$ is good for
$\mathcal{C}$, we conclude that there is a linear evaluation scheme $\varphi$
for $\mathcal{P}={\mathbb{F}}^{k}$, and $\mathcal{C}$, with bandwidth
$n\left\lfloor\log q\right\rfloor$, which is what we wanted to show. ∎
The reason that Theorem 12 has rate limited by $1/2$ is that if we were to
take $k$ to be larger, the interval $[\ell_{\min},\ell_{\max}]$ would not be
all of $[0,k-1]$. In the next theorem, we modify the construction in Theorem
12 to give a constant number of schemes like the one in Theorem 12, each of
which covers a small interval, but which together cover all of $[0,k-1]$.
Thus, we can increase the rate of the code to approach $1$, at the cost of
increasing the bandwidth by a constant factor. While we are at it, we give
ourselves enough freedom in order to choose the schemes so that they can
tolerate failures in any set $\mathcal{I}$ that is not too large.
###### Theorem 13.
Let $Q=q^{t}$, for some $t\geq 2$ and prime power $q$. Let
$\varepsilon,\gamma>0$. Let
$\delta\geq\gamma+\frac{1}{q},$
and suppose that $\varepsilon>\delta$ and that $(\varepsilon-\delta)q$ is an
integer. Suppose that $k\leq Q(1-\varepsilon)$, and let $\mathcal{C}$ be the
Reed-Solomon code of dimension $k$ and length $n=Q$ over
${\mathbb{F}}={\mathbb{F}}_{Q}$. Let $\mathcal{F}$ be the class of all linear
functions from ${\mathbb{F}}^{k}$ to ${\mathbb{F}}$:
$\mathcal{F}=\left\\{\left(f:\mathbf{x}\mapsto\mathbf{x}^{T}\mathbf{y}\right)\,:\,\mathbf{y}\in{\mathbb{F}}^{k}\right\\}.$
Let $\mathcal{I}\subset[n]$ be any set of size $|\mathcal{I}|<\gamma n$.
Then there is an evaluation scheme $\Phi$ for $\mathcal{F}$ and $\mathcal{C}$
that tolerates failures in $\mathcal{I}$, and that has bandwidth at most
$(n-|\mathcal{I}|)\cdot\left(\frac{1}{\varepsilon-\delta}\right)\cdot\lceil\log_{2}q\rceil.$
###### Remark 7.
For constant $\gamma$, the requirements on $\varepsilon,\gamma,\delta$ may be
satisfied with a choice of $\varepsilon=\gamma+\Theta(1/q)$. Thus, as $q$
grows, $\varepsilon$ may approach $\gamma$. This means that the trade-off
between the rate of the code ($1-\varepsilon$) and the fraction of failures
tolerated ($\gamma$) approaches the Singleton bound, which is optimal
(regardless of bandwidth).
###### Remark 8.
We have chosen to present Theorem 13 as it applies to the full-length Reed-
Solomon code of length $n=Q$. However, the scheme can also be used for shorter
codes with $n<Q$, as long as $Q-n\leq\gamma Q$. This is because the scheme
tolerates failures of up to $\gamma Q$ nodes, and we may instead imagine these
nodes never existed in the first place. In total, the number of failed or
nonexistent nodes can be at most $\gamma Q$.
###### Proof of Theorem 13.
Define $s$ to be the largest integer so that
$s<\frac{1}{\varepsilon-\delta}.$
where $\delta$ is as in the theorem statement. Before we proceed, we record
the following useful claim:
###### Claim 14.
With $\delta,\varepsilon$ as in the theorem statement, we have
$\delta\geq\frac{1-\varepsilon}{q-1}+\frac{\gamma}{1-1/q}.$
###### Proof.
We have
$\displaystyle\gamma+\frac{1}{q}$ $\displaystyle=\frac{1-1/q}{q-1}+\gamma$
$\displaystyle=\frac{1-1/q-\gamma}{q-1}+\frac{q\gamma}{q-1}$
$\displaystyle\geq\frac{1-\varepsilon}{q-1}+\frac{\gamma}{1-1/q},$
in the last line using the assumptions that
$\varepsilon\geq\delta\geq\gamma+\frac{1}{q}.$
∎
For $r=1,\ldots,s$, we will define a evaluation scheme $\Phi^{(r)}$ that
tolerates failures in $\mathcal{I}$. Each of these evaluation schemes will
only be able to recover linear functions with support in some window, but
together the $\Phi^{(r)}$ will form an evaluation scheme for all of
$\mathcal{F}$. We begin with the following claim.
###### Claim 15.
For $r=1,\ldots,s$, there is a choice of
$(d^{(r)},j_{\min}^{(r)},j_{\max}^{(r)})$ so that:
1. 1.
$(d^{(r)},j_{\min}^{(r)},j_{\max}^{(r)})$ is good for $\mathcal{C}$ for all
$1\leq r\leq s$;
2. 2.
$d^{(1)}-{j_{\max}}^{(1)}\leq 0$;
3. 3.
$d^{(s)}-{j_{\min}}^{(s)}\geq k-1+Q\gamma$;
4. 4.
for all $1\leq r<s$,
$\left(d^{(r)}-j_{\min}^{(r)}\right)-\left(d^{(r+1)}-{j_{\max}}^{(r+1)}\right)\geq
Q\gamma-1.$
###### Proof.
For $r=1,\ldots,s$, define
$y^{(r)}=(\varepsilon-\delta)qr.$
Note that since $r\leq s<\frac{1}{\varepsilon-\delta}$, we have
$(\varepsilon-\delta)r<1$, and so $y^{(s)}<q$. Further, by our assumption that
$(\varepsilon-\delta)q\in\mathbb{Z}$, $y^{(r)}$ is an integer. Define
$\displaystyle d^{(r)}$ $\displaystyle=y^{(r)}q^{t-1}$ $\displaystyle
j_{\min}^{(r)}$ $\displaystyle=y^{(r)}q^{t-2}+1$ $\displaystyle
j_{\max}^{(r)}$ $\displaystyle=Q-k.$
(Notice that these choices are reminiscent of the choices in the proof of
Theorem 12). First, we establish that each
$(d^{(r)},j_{\min}^{(r)},j_{\max}^{(r)})$ is good for $\mathcal{C}$. We check
the three conditions in Definition 8:
1. 1.
For all $q\leq r\leq s$, we have $d^{(r)}=y^{(r)}q^{t-1}$. As noted above,
$y^{(s)}<q$, and so we have $d^{(r)}<q^{t}=Q=n$. Similarly we have
$j_{\max}^{(r)}+k-1=Q-1<n$.
2. 2.
As in the proof of Theorem 12, it suffices to show that
$q^{t-i}d^{(r)}\ \ \mathrm{mod}^{*}\
Q-1\not\in[j_{\min}^{(r)},j_{\max}^{(r)}+k-1]$
for all $i=1,\ldots,t-1$. This is true since for all such $i$, we have
$0<q^{t-i}d^{(r)}\ \ \mathrm{mod}^{*}\ Q-1\leq y^{(r)}q^{t-2}<j_{\min}^{(r)}$
using the definition of $j_{\min}^{(r)}$.
3. 3.
It suffices to show that $d^{(r)}\in[j_{\min}^{(r)},j_{\max}^{(r)}+k-1]$,which
is equivalent to $y^{(r)}q^{t-1}\in[y^{(r)}q^{t-2}+1,Q-1]$, which is true.
This establishes the first point of the claim.
For the second point, we observe that
$\displaystyle d^{(1)}-{j_{\max}}^{(1)}$
$\displaystyle=y^{(1)}q^{t-1}-q^{t}+k$
$\displaystyle=(\varepsilon-\delta)Q-Q+Q(1-\varepsilon)$
$\displaystyle=-\delta Q\leq 0.$
For the third point, we observe that
$\displaystyle d^{(s)}-{j_{\min}}^{(s)}$
$\displaystyle=y^{(s)}(q^{t-1}-q^{t-2})-1$
$\displaystyle=(\varepsilon-\delta)sQ(1-1/q)-1$
$\displaystyle\geq(\varepsilon-\delta)\left(\frac{1}{\varepsilon-\delta}-1\right)Q(1-1/q)-1$
$\displaystyle=(1-\varepsilon+\delta)Q(1-1/q)-1,$
using the fact that $s\geq\frac{1}{\varepsilon-\delta}-1$. In order for this
to be at least $k-1+Q\gamma=Q(1-\varepsilon+\gamma)-1$, we need
$\displaystyle(1-\varepsilon+\delta)(1-1/q)$ $\displaystyle\geq
1-\varepsilon+\gamma$ $\displaystyle\delta(1-1/q)-\frac{1-\varepsilon}{q}$
$\displaystyle\geq\gamma$ $\displaystyle\delta$
$\displaystyle\geq\frac{1-\varepsilon}{q-1}+\frac{\gamma}{1-1/q},$
which is indeed satisfied by our choice of $\delta$, by Claim 14. This
establishes the third point.
Finally, for the fourth point, we compute
$\displaystyle\left(d^{(r)}-j_{\min}^{(r)}\right)-\left(d^{(r+1)}-{j_{\max}}^{(r+1)}\right)$
$\displaystyle=y^{(r)}(q^{t-1}-q^{t-2})-1-y^{(r+1)}q^{t-1}+q^{t}-k$
$\displaystyle=Qr(\varepsilon-\delta)(1-1/q)-1-Q(r+1)(\varepsilon-\delta)+\varepsilon
Q$ $\displaystyle=Q(\delta-r(\varepsilon-\delta)/q)-1$ $\displaystyle\geq
Q(\delta-s(\varepsilon-\delta)/q)-1$ $\displaystyle\geq
Q\left(\delta-\left(\frac{1}{\varepsilon-\delta}\right)\left(\frac{\varepsilon-\delta}{q}\right)\right)-1$
$\displaystyle=Q(\delta-1/q)-1$ $\displaystyle\geq Q\gamma-1$
using the fact that $s\leq\frac{1}{\varepsilon-\delta}$ in the third-to-last
line, and using our assumption that $\delta\geq\gamma+1/q$ in the final line.
This establishes the last point, and proves the claim.
∎
###### Claim 16.
Let $(d^{(r)},j_{\min}^{(r)},j_{\max}^{(r)})$ be as in Claim 15, and let
$\ell_{\min}^{(r)}=\max\\{0,d^{(r)}-j_{\max}^{(r)}\\}$ and
$\ell_{\max}^{(r)}=\min\\{k-1,d^{(r)}-j_{\min}^{(r)}\\}$. For any
$\mathbf{p}\in{\mathbb{F}}^{k}$, there is a sequence
$\mathbf{p}^{(1)},\mathbf{p}^{(2)},\ldots,\mathbf{p}^{(s)}\in{\mathbb{F}}^{k}$
so that:
1. 1.
For each $1\leq r\leq s$, we have
$\mathrm{Supp}(\mathbf{p}^{(r)})\subseteq[\ell_{\min}^{(r)},\ell_{\max}^{(r)}].$
2. 2.
For each $1\leq r\leq s$, there exists a polynomial $v^{(r)}(X)$ that is
consistent with $\mathbf{p}^{(r)}$, such that $v^{(r)}(\alpha_{i})=0$ for all
$i\in\mathcal{I}$.
3. 3.
We have $\sum_{r=1}^{s}\mathbf{p}^{(r)}=\mathbf{p}.$
###### Proof.
We prove the claim by induction. Suppose inductively that we have
$\mathbf{p}^{(1)},\ldots,\mathbf{p}^{(r-1)}$ and consistent polynomials
$v^{(1)}(X),\ldots,v^{(r-1)}(X)$, so that $v^{(1)},\ldots,v^{(r-1)}$ all
vanish on $\mathcal{I}$ and so that
$\left.\left(\sum_{j=1}^{r-1}\mathbf{p}^{(j)}\right)\right|_{[0,\ell_{\min}^{(r)}-1]}=\mathbf{p}|_{[0,\ell_{\min}^{(r)}-1]}.$
(11)
(For the base case, we define $\mathbf{p}^{(0)}=\mathbf{0}$, using Claim 15,
Item 2, to establish that that $d^{(1)}-{j_{\max}}^{(1)}\leq 0$ and hence
$\ell_{\min}^{(1)}=0$, and taking the convention that $[0,-1]=\emptyset$.)
Now, given $\mathbf{p}^{(1)},\ldots,\mathbf{p}^{(r-1)}$, we define
$\mathbf{p}^{(r)}$ for $r<s$ as follows. First, we define
$\left.\mathbf{p}^{(r)}\right|_{[\ell_{\min}^{(r)},\ell_{\min}^{(r+1)}-1]}=\left.\mathbf{p}\right|_{[\ell_{\min}^{(r)},\ell_{\min}^{(r+1)}-1]}-\sum_{j=1}^{r-1}\left.\mathbf{p}^{(j)}\right|_{[\ell_{\min}^{(r)},\ell_{\min}^{(r+1)}-1]}.$
Observe that, by induction, this implies that
$\left.\left(\sum_{j=1}^{r}\mathbf{p}^{(j)}\right)\right|_{[0,\ell_{\min}^{(r+1)}-1]}=\mathbf{p}|_{[0,\ell_{\min}^{(r+1)}-1]}.$
Now, we need to define
$\left.\mathbf{p}^{(r)}\right|_{[\ell_{\min}^{(r+1)},\ell_{\max}^{(r)}]}$ and
$v^{(r)}(X)$. Write
$v^{(r)}(X)=\sum_{j=j_{\min}^{(r)}}^{j_{\max}^{(r)}}v_{j}^{(r)}X^{j},$
where we must define the $v_{j}^{(r)}$. Whenever
$d^{(r)}-j\in[\ell_{\min}^{(r)},\ell_{\min}^{(r+1)}-1]$, we define
$v_{j}^{(r)}:=p^{(r)}_{d^{(r)}-j},$
noting that for such $j$, $p^{(r)}_{d^{(r)}-j}$ is already inductively
defined. Next, we choose the remaining coefficients $v_{j}^{(r)}$ in order to
make $v^{(r)}(X)$ vanish on $\mathcal{I}$. This is possible because the number
of free coefficients is at least $|\mathcal{I}|$. Indeed, we have already set
all of the coefficients for $j\geq d^{(r)}-\ell_{\min}^{(r+1)}+1$, and this
leaves free all of the coefficients from $j=j_{\min}^{(r)}$ to
$j=d^{(r)}-\ell_{\min}^{(r+1)}$. The number of these is
$\displaystyle d^{(r)}-\ell_{\min}^{(r+1)}-j_{\min}^{(r)}+1$
$\displaystyle=\left(d^{(r)}-j_{\min}^{(r)}\right)-\ell_{\min}^{(r+1)}+1$
$\displaystyle\geq\left(d^{(r)}-{j_{\min}}^{(r)}\right)-\left(d^{(r+1)}-{j_{\max}}^{(r+1)}\right)$
$\displaystyle\geq\gamma Q-1\geq|\mathcal{I}|,$
where in the last line we have used Claim 15, Item 4. Thus, we may choose the
remaining coefficients $v_{j}^{(r)}$ so that $v^{(r)}$ vanishes on
$\mathcal{I}$. Then we define
$p^{(r)}_{\ell}:=v_{d^{(r)}-\ell}^{(r)}$
for all $\ell\in[\ell_{\min}^{(r+1)},\ell_{\max}^{(r)}]$, noting that these
are all defined since $j_{\min}^{(r)}\leq d^{(r)}-\ell_{\max}^{(r)}$ and we
have defined the coefficients $v_{j}^{(r)}$ all the way down to
$j={j_{\min}}^{(r)}$.
Finally, we note that by construction,
$\mathrm{Supp}(\mathbf{p}^{(r)})\subseteq[\ell_{\min}^{(r)},\ell_{\max}^{(r)}]$,
and that $\mathbf{p}^{(r)}$ is consistent with $v^{(r)}$, so items 1 and 2 of
Claim 16 are satisfied for $r$.
Now we have constructed $\mathbf{p}^{(r)}$ and $v^{(r)}$ that satisfy the
inductive hypothesis (11) for $r$. By induction, we can construct these for
all $r=1,\ldots,s-1$.
To conclude, we will define $\mathbf{p}^{(s)}$ and $v^{(s)}$ slightly
differently. We choose $\mathbf{p}^{(s)}$ to have support contained in
$[\ell_{\min}^{(s)},k-1]$ so that
$\mathbf{p}^{(s)}|_{[\ell_{\min}^{(s)},k-1]}=\mathbf{p}|_{[\ell_{\min}^{(s)},k-1]}-\sum_{r=1}^{s-1}\mathbf{p}^{(r)}|_{[\ell_{\min}^{(s)},k-1]}.$
Then, as before, we define the corresponding coefficients of $v^{(s)}$ so that
$v^{(s)}$ is consistent with $\mathbf{p}^{(s)}$. To do this, we must define
$v^{(s)}_{j}:=p^{(s)}_{d^{(s)}-j}$
for all $j\in[{j_{\min}}^{(s)},{j_{\max}}^{(s)}]$ so that
$d^{(s)}-j\in[0,k-1]$. By Claim 15, Item 3, $d^{(s)}-{j_{\min}}^{(s)}\geq
k-1+\gamma Q$, so there are at least $\gamma Q$ values of
$j\in[{j_{\min}}^{(s)},{j_{\max}}^{(s)}]\setminus[d^{(s)}-k+1,d^{(s)}]$. Thus,
as above, we may use the fact that $|\mathcal{I}|<\gamma Q$ and choose
coefficients $v_{j}^{(s)}$ for $j$ in this set so that $v^{(s)}(X)$ vanishes
on $\mathcal{I}$.
Notice that Claim 15, Item 3, also implies that $\ell_{max}^{(s)}=k-1$, and so
we have that
$\mathrm{Supp}(\mathbf{p}^{(s)})\subseteq[\ell_{\min}^{(s)},\ell_{\max}^{(s)}]$
by construction. By construction we also have that $v^{(s)}(X)$ is consistent
with $\mathbf{p}^{(s)}$, and also that $v^{(s)}(X)$ vanishes on $\mathcal{I}$.
Thus points 1 and 2 in Claim 16 are satisfied for $\mathbf{p}^{(s)},v^{(s)}$
as well.
Finally, using (11) for $r=s-1$ and our choices for $\mathbf{p}^{(s)}$, we
have
$\displaystyle\sum_{r=1}^{s}\mathbf{p}^{(r)}$
$\displaystyle=\left.\left(\sum_{r=1}^{s}\mathbf{p}^{(r)}\right)\right|_{[0,\ell_{\min}^{(s)}-1]}+\left.\left(\sum_{r=1}^{s-1}\mathbf{p}^{(r)}\right)\right|_{[\ell_{\min}^{(s)},k-1]}+\mathbf{p}^{(s)}|_{[\ell_{\min}^{(s)},k-1]}$
$\displaystyle=\mathbf{p}|_{[0,\ell_{\min}^{(s)}-1]}+\mathbf{p}|_{[\ell_{\min}^{(s)},k-1]}$
$\displaystyle=\mathbf{p},$
as desired. This finishes the proof of the claim. ∎
Finally, we describe the scheme $\Phi$ that the theorem guarantees. For
$r=1,\ldots,s$, let $\mathcal{P}^{(r)}$ be the set of vectors
$\mathbf{p}^{(r)}\in{\mathbb{F}}^{k}$ that can arise from Claim 16. (That is,
Claim 16 says that for all $\mathbf{p}\in{\mathbb{F}}^{k}$, there exists
$\mathbf{p}^{(1)},\ldots,\mathbf{p}^{(s)}$ with particular properties; for
each $\mathbf{p}$ pick an arbitrary such sequence and include
$\mathbf{p}^{(r)}$ in $\mathcal{P}^{(r)}$.)
Let $\varphi^{(r)}$ be the linear scheme guaranteed by Theorem 11 for
$\mathcal{P}^{(r)}$, so $\varphi^{(r)}$ has bandwidth at most
$(n-|\mathcal{I}|)\lceil\log q\rceil$. (Here, we are using the fact from Claim
15 that $(d^{(r)},j_{\min}^{(r)},j_{\max}^{(r)})$ are good for $\mathcal{C}$).
The second point in Claim 16 ensures that each $\varphi^{(r)}$ tolerates
errors in $\mathcal{I}$.
Now, let $\Phi^{(r)}$ be the evaluation scheme guaranteed by Proposition 5. By
that proposition, each of these schemes has bandwidth at most
$(n-|\mathcal{I}|)\lceil\log q\rceil$, and also tolerates errors in
$\mathcal{I}$.
Finally, we define $\Phi$ as follows. Given $\mathbf{p}\in{\mathbb{F}}^{k}$,
define $F_{\mathbf{p}}:{\mathbb{F}}^{k}\to{\mathbb{F}}$ by
$F_{\mathbf{p}}(\mathbf{f})=\mathbf{f}^{T}\mathbf{p}$.
Scheme $\Phi$: Suppose that the original data was
$\mathbf{f}\in{\mathbb{F}}^{k}$. Given input $F_{\mathbf{p}}\in\mathcal{F}$:
* •
Let $\mathbf{p}^{(1)},\ldots,\mathbf{p}^{(s)}$ be as in Claim 16.
* •
For each $r=1,\ldots,s$, use $\Phi^{(r)}(\mathbf{p}^{(r)})$ to download
$(n-|\mathcal{I}|)\lceil\log q\rceil$ bits and recover
$\mathbf{f}^{T}\mathbf{p}^{(r)}$.
* •
Return $\mathbf{f}^{T}\mathbf{p}=\sum_{r}\mathbf{f}^{T}\mathbf{p}^{(r)}$.
The correctness follows from Claim 16, and the bandwidth is at most
$(n-|\mathcal{I}|)\cdot s\cdot\lceil\log q\rceil.$
Plugging in the definition of $s$ proves the theorem.
∎
## 6 Conclusion
In this paper we considered low-bandwidth function evaluation on encoded data.
Special cases of this problem appear throughout computer science, engineering
and cryptography, and we believe that it is valuable to study this problem in
generality. We kick off this agenda by studying the problem for general linear
functions and for Reed-Solomon codes, arguably among the most natural classes
of functions and codes. However, we hope that this is just the tip of the
iceberg. We conclude with several questions left open by this work.
1. 1.
Can we develop low-bandwidth evaluation schemes for other classes of
functions? (Beyond those mentioned in Remark 3 that are implied by our
results?) Low-degree polynomials are perhaps the next most natural class.
2. 2.
Can we develop low-bandwidth evaluation schemes for linear functions, for
general linear codes? The first part of our framework (in Section 3) applies
to general linear codes, but the second part (Section 4) and our main theorem
applies only for RS codes.
3. 3.
Can we extend our scheme to work in different parameter regimes? In
particular, our scheme works with full-length RS codes over extension fields.
Work from regenerating codes has shown how to use RS codes as regenerating
codes in very different parameter regimes, for example when $t$ is very large
[TYB18] or over prime fields [CT21]. Could these approaches be adapted to low-
bandwidth function evaluation?
## Acknowledgements
We thank Yuval Ishai for helpful conversations, and in particular for
suggesting the approach in Remark 4. We thank Ravi Vakil for helpful
conversations.
## References
* [Bal12] Simeon Ball. On sets of vectors of a finite vector space in which every subset of basis size is a basis. Journal of the European Mathematical Society, 14(3):733–748, 2012\.
* [BCG+17] Elette Boyle, Geoffroy Couteau, Niv Gilboa, Yuval Ishai, and Michele Orrù. Homomorphic secret sharing: optimizations and applications. In Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security, pages 2105–2122, 2017.
* [BGI16] Elette Boyle, Niv Gilboa, and Yuval Ishai. Breaking the circuit size barrier for secure computation under ddh. In Annual International Cryptology Conference, pages 509–539. Springer, 2016.
* [BGI+18] Elette Boyle, Niv Gilboa, Yuval Ishai, Huijia Lin, and Stefano Tessaro. Foundations of homomorphic secret sharing. In 9th Innovations in Theoretical Computer Science Conference (ITCS 2018). Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik, 2018.
* [BGP14] Daniele Bartoli, Massimo Giulietti, and Irene Platoni. On the covering radius of mds codes. IEEE Transactions on Information Theory, 61(2):801–811, 2014.
* [BKS19] Elette Boyle, Lisa Kohl, and Peter Scholl. Homomorphic secret sharing from lattices without fhe. In Annual International Conference on the Theory and Applications of Cryptographic Techniques, pages 3–33. Springer, 2019.
* [CEPH] Ceph authors and contributors. Ceph erasure code documentation. https://docs.ceph.com/en/latest/rados/operations/erasure-code/, 2016\. Last accessed: 2021.
* [CGdW13] Victor Chen, Elena Grigorescu, and Ronald de Wolf. Error-correcting data structures. SIAM Journal on Computing, 42(1):84–111, 2013.
* [CPE17] Zachary Charles, Dimitris Papailiopoulos, and Jordan Ellenberg. Approximate gradient coding via sparse random graphs. arXiv preprint arXiv:1711.06771, 2017.
* [CT21] Roni Con and Itzhak Tamo. Nonlinear repair schemes of reed-solomon codes. arXiv preprint arXiv:2104.01652, 2021.
* [DCG19] Sanghamitra Dutta, Viveck Cadambe, and Pulkit Grover. “short-dot”: Computing large linear transforms distributedly using coded short dot products. IEEE Transactions on Information Theory, 65(10):6171–6193, 2019\.
* [DGW+10] Alexandros G Dimakis, P Brighten Godfrey, Yunnan Wu, Martin J Wainwright, and Kannan Ramchandran. Network coding for distributed storage systems. IEEE transactions on information theory, 56(9):4539–4551, 2010\.
* [DM17] Hoang Dau and Olgica Milenkovic. Optimal repair schemes for some families of full-length reed-solomon codes. In 2017 IEEE International Symposium on Information Theory (ISIT), pages 346–350. IEEE, 2017.
* [DRWS11] Alexandros G Dimakis, Kannan Ramchandran, Yunnan Wu, and Changho Suh. A survey on network codes for distributed storage. Proceedings of the IEEE, 99(3):476–489, 2011.
* [FIKW21] Ingerid Fosli, Yuval Ishai, Victor Kolobov, and Mary Wootters. On the download rate of homomorphic secret sharing, 2021. Manuscript.
* [FY92] Matthew Franklin and Moti Yung. Communication complexity of secure computation. In Proceedings of the twenty-fourth annual ACM symposium on Theory of computing, pages 699–710, 1992.
* [GW17] Venkatesan Guruswami and Mary Wootters. Repairing Reed-Solomon codes. IEEE transactions on Information Theory, 63(9):5684–5698, 2017\.
* [HASH18] Wael Halbawi, Navid Azizan, Fariborz Salehi, and Babak Hassibi. Improving distributed gradient descent using reed-solomon codes. In 2018 IEEE International Symposium on Information Theory (ISIT), pages 2027–2031. IEEE, 2018.
* [HDFS] Apache Hadoop. HDFS erasure coding documentation. https://hadoop.apache.org/docs/current/hadoop-project-dist/hadoop-hdfs/HDFSErasureCoding.html, 2015\. Last accessed: 2021.
* [LA20] Songze Li and Salman Avestimehr. Coded Computing: Mitigating Fundamental Bottlenecks in Large-scale Distributed Computing and Machine Learning. Now Foundations and Trends, 2020.
* [LBWZY21] Andreas Lenz, Rawad Bitar, Antonia Wachter-Zeh, and Eitan Yaakobi. Function-correcting codes. arXiv preprint arXiv:2102.03094, 2021.
* [LKAS18] Songze Li, Seyed Mohammadreza Mousavi Kalan, A Salman Avestimehr, and Mahdi Soltanolkotabi. Near-optimal straggler mitigation for distributed gradient methods. In 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), pages 857–866. IEEE, 2018.
* [LLP+17] Kangwook Lee, Maximilian Lam, Ramtin Pedarsani, Dimitris Papailiopoulos, and Kannan Ramchandran. Speeding up distributed machine learning using codes. IEEE Transactions on Information Theory, 64(3):1514–1529, 2017\.
* [LMAYA17] Songze Li, Mohammad Ali Maddah-Ali, Qian Yu, and A Salman Avestimehr. A fundamental tradeoff between computation and communication in distributed computing. IEEE Transactions on Information Theory, 64(1):109–128, 2017.
* [OSY21] Claudio Orlandi, Peter Scholl, and Sophia Yakoubov. The rise of paillier: Homomorphic secret sharing and public-key silent ot. In Annual International Conference on the Theory and Applications of Cryptographic Techniques, pages 678–708. Springer, 2021.
* [RS21] Lawrence Roy and Jaspal Singh. Large message homomorphic secret sharing from dcr and applications. IACR Cryptol. ePrint Arch., 2021:274, 2021.
* [RTTD20] Netanel Raviv, Itzhak Tamo, Rashish Tandon, and Alexandros G Dimakis. Gradient coding from cyclic mds codes and expander graphs. IEEE Transactions on Information Theory, 66(12):7475–7489, 2020\.
* [SPDC14] Karthikeyan Shanmugam, Dimitris S Papailiopoulos, Alexandros G Dimakis, and Giuseppe Caire. A repair framework for scalar mds codes. IEEE Journal on Selected Areas in Communications, 32(5):998–1007, 2014.
* [TLDK17] Rashish Tandon, Qi Lei, Alexandros G Dimakis, and Nikos Karampatziakis. Gradient coding: Avoiding stragglers in distributed learning. In International Conference on Machine Learning, pages 3368–3376. PMLR, 2017.
* [TYB18] Itzhak Tamo, Min Ye, and Alexander Barg. The repair problem for reed–solomon codes: Optimal repair of single and multiple erasures with almost optimal node size. IEEE Transactions on Information Theory, 65(5):2673–2695, 2018\.
* [YA18] Min Ye and Emmanuel Abbe. Communication-computation efficient gradient coding. In International Conference on Machine Learning, pages 5610–5619. PMLR, 2018.
* [YLR+19] Qian Yu, Songze Li, Netanel Raviv, Seyed Mohammadreza Mousavi Kalan, Mahdi Soltanolkotabi, and Salman A Avestimehr. Lagrange coded computing: Optimal design for resiliency, security, and privacy. In The 22nd International Conference on Artificial Intelligence and Statistics, pages 1215–1225. PMLR, 2019.
* [YMAA17a] Qian Yu, Mohammad Ali Maddah-Ali, and A Salman Avestimehr. Coded fourier transform. In 2017 55th Annual Allerton Conference on Communication, Control, and Computing (Allerton), pages 494–501. IEEE, 2017.
* [YMAA17b] Qian Yu, Mohammad Ali Maddah-Ali, and A Salman Avestimehr. Polynomial codes: an optimal design for high-dimensional coded matrix multiplication. In Proceedings of the 31st International Conference on Neural Information Processing Systems, pages 4406–4416, 2017.
## Appendix A Bandwidth lower bound
In this appendix, we observe a few lower bounds on the bandwidth required for
linear evaluation schemes.
We say that an MDS code with generator matrix
$\mathbf{G}\in{\mathbb{F}}^{n\times k}$ is maximal if there is no way to add a
row to extend $\mathbf{G}$ to a matrix
$\mathbf{G}^{\prime}\in{\mathbb{F}}^{(n+1)\times k}$ that is also MDS. The
following fact is standard.
###### Fact 17.
Reed-Solomon codes are not maximal.
###### Proof.
Let $\mathbf{G}\in{\mathbb{F}}^{n\times k}$ be the generator matrix for an RS
code over ${\mathbb{F}}={\mathbb{F}}_{Q}$. If $n<Q$, then we may extend
$\mathbf{G}$ to be the generator matrix of an RS code with a larger set of
evaluation points. If $n=Q$, then we may extend $\mathbf{G}$ to be the
generator matrix for the doubly-extended RS code, by adding the row
$(0,0,\ldots,0,1)$. ∎
First we observe that if $\mathcal{C}$ is a non-maximal MDS code, then any
lower bound that holds for repairing a single symbol in a regenerating code
also holds for linear evaluation schemes, for the class of all linear
functions. Indeed, suppose that $\mathbf{g}\in{\mathbb{F}}^{k}$ is the row
that we would add to $\mathbf{G}$ to get a new MDS matrix
$\mathbf{G}^{\prime}$. Then consider the linear function
$F(\mathbf{x})=\mathbf{g}^{T}\mathbf{x}$. Now $\mathbf{G}^{\prime}$
corresponds to an MDS code
$\mathcal{C}^{\prime}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n+1}$. If
$bc^{\prime}=\mathcal{C}^{\prime}(\mathbf{x})$, then
$c^{\prime}_{n+1}=F(\mathbf{x})$, and so any linear evaluation scheme that
will evaluate $F$ given access to $\mathbf{c}=\mathbf{c}^{\prime}|_{[n]}$ is
also a repair scheme for $\mathcal{C}^{\prime}$.
We can collect various lower bounds, including the cut-set bound of [DGW+10]
and the lower bound for MDS codes of [GW17] (see also [DM17] for a more
precise version), to obtain the following observation.
###### Observation 18.
Let ${\mathbb{F}}={\mathbb{F}}_{Q}$ where $Q=q^{t}$. Suppose that
$\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$ is an MDS code that is not
maximally MDS. (In particular, $\mathcal{C}$ may be any RS code, by Fact 17).
Let $\mathcal{F}$ be the class of all linear functions
$F:{\mathbb{F}}^{k}\to{\mathbb{F}}$. Then any linear evaluation scheme for
$\mathcal{F}$ and for $\mathcal{C}$ over the base field
$\mathbb{B}={\mathbb{F}}_{q}$ must have bandwidth at least
$b\geq\max\left\\{k+t-1,t\left(\frac{n}{n-k+1}\right),n\log_{q}\left(\frac{n}{n-k+1}\right)\right\\}.$
We note that when $\mathcal{C}$ is a maximal MDS code (or not an MDS code at
all), the logic above does not go through. Indeed, if $\mathcal{C}$ is a
maximal MDS code, then definitionally any linear function of $\mathbf{x}$ can
be computed by looking at fewer than $k$ nodes. However, we are able to mimic
the proof of the lower bound from [GW17] for any linear code.
###### Proposition 19.
Let ${\mathbb{F}}={\mathbb{F}}_{Q}$, for $Q=q^{t}$. Let
$\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$ be a linear code with
generator matrix $\mathbf{G}\in{\mathbb{F}}^{n\times k}$, let
$\mathbf{p}\in{\mathbb{F}}^{k}$, and suppose that $(V_{1},\ldots,V_{n})$ forms
a linear evaluation scheme for $\mathbf{p}$ and $\mathcal{C}$, over the base
field ${\mathbb{B}}={\mathbb{F}}_{q}$. Let $C=\mathcal{C}({\mathbb{F}}^{k})$.
Choose any $\mathbf{w}\in{\mathbb{F}}^{n}$ so that
$\mathbf{G}^{T}\mathbf{w}=\mathbf{p}$. Let
$d^{*}=\min_{\mathbf{y}\in C^{\perp}}\Delta(\mathbf{w},\mathbf{y}),$
where $\Delta$ denotes Hamming distance. Then the bandwidth $b$ of
$(V_{1},\ldots,V_{n})$ satisfies
$b\geq n\log_{q}\left(\frac{1}{1-(1-1/Q)d^{*}/n}\right).$
Before we prove Proposition 19, we observe a corollary for MDS codes.
###### Corollary 20.
Let ${\mathbb{F}}={\mathbb{F}}_{Q}$ where $Q=q^{t}$. Let
$\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$ be an MDS code with $n>k+1$.
If $\varphi$ is a linear evaluation scheme for $\mathcal{C}$ and for
$\mathcal{P}={\mathbb{F}}^{k}$ over the base field
${\mathbb{B}}={\mathbb{F}}_{q}$, then $\varphi$ has bandwidth
$b\geq n\log_{q}\left(\frac{n}{n-k+3}\right).$
###### Proof.
Suppose that $\mathcal{C}:{\mathbb{F}}^{k}\to{\mathbb{F}}^{n}$ is an MDS code.
We show that the quantity $d^{*}$ in Proposition 19 is at least $k-1$. First,
we use the fact that the covering radius $r(\mathcal{C})$ of any MDS code
$\mathcal{C}$ satisfies
$r(\mathcal{C}):=\max_{\mathbf{w}\in{\mathbb{F}}^{n}}\min_{\mathbf{y}\in
C}\Delta(\mathbf{w},\mathbf{y})\geq n-k-1$ (12)
(see [BGP14]). Next, we observe that the quantity $\min_{\mathbf{y}\in
C^{\perp}}\Delta(\mathbf{w}^{T}\mathbf{p},\mathbf{y})$ does not depend on the
choice of $\mathbf{w}$. Indeed, suppose that $\mathbf{z}\in{\mathbb{F}}^{n}$
also satisfies $\mathbf{G}^{T}\mathbf{z}=\mathbf{p}$. Then
$\mathbf{G}^{T}(\mathbf{z}-\mathbf{w})=0$, so
$\mathbf{z}=\mathbf{w}+\mathbf{u}$ for some $\mathbf{u}\in C^{\perp}$. But
then
$\min_{\mathbf{y}\in
C^{\perp}}\Delta(\mathbf{w},\mathbf{y})=\min_{\mathbf{y}\in
C^{\perp}}\Delta(\mathbf{w},\mathbf{y}-\mathbf{u})=\min_{\mathbf{y}\in
C^{\perp}}\Delta(\mathbf{z},\mathbf{y}),$
where in the first equality we have changed the order of summation. In
particular, as $\mathbf{p}$ ranges over all of ${\mathbb{F}}^{k}$, we may
choose $\mathbf{w}$ to range over all of ${\mathbb{F}}^{n}$. Applying (12) for
$C^{\perp}$ (which we may do as the dual of an MDS code is again MDS), we see
that by choosing an appropriate $\mathbf{p}\in{\mathbb{F}}^{k}$, we may take
$d^{*}=\max_{\mathbf{w}\in{\mathbb{F}}^{n}}\min_{\mathbf{y}\in
C^{\perp}}\Delta(\mathbf{w},\mathbf{y})=r(C^{\perp})\geq k-1.$
(Above, we have switched the role of “$k$” and “$n-k$” from (12) since the
dimension of $C^{\perp}$ is $n-k$). This gives the required bound on $d^{*}$.
The corollary follows after plugging $d^{*}\geq k-1$ into Proposition 19, and
using the fact that $k\leq n\leq 2Q$ for any MDS code with $n>k+1$.444This
fact follows from (a) $q\geq n-k+1$ together with (b) $q\geq k+1$ if $n>k+1$.
See, e.g., [Bal12] Lemmas 1.2 and 1.3 for (a) and (b) respectively. ∎
###### Proof of Proposition 19.
The proof follows similarly to the lower bound for regenerating codes proved
in [GW17]. Let $\mathbf{p},\mathbf{w}$ be as in the statement of the
proposition and suppose that $(V_{1},\ldots,V_{n})$ forms a linear evaluation
scheme for $\mathbf{p}$ and $\mathcal{C}$, over the base field
${\mathbb{B}}={\mathbb{F}}_{q}$, with bandwidth at most $b$. Suppose that
$b_{j}=\dim(V_{j})$, so $\sum_{j=1}^{n}b_{j}\leq b$. Let
$C=\mathcal{C}({\mathbb{F}}^{k})$. By definition, this means that for all $i$,
$\zeta_{i}\mathbf{w}\in C^{T}+\mathcal{V},$
where $\mathcal{V}=V_{1}\times V_{2}\times\cdots\times V_{n}$. Let
$\mathbf{y}^{(1)},\ldots,\mathbf{y}^{(t)}\in C^{\perp}$ and
$\mathbf{v}^{(1)},\ldots,\mathbf{v}^{(t)}\in\mathcal{V}$ be such that
$\zeta_{i}\mathbf{w}=\mathbf{y}^{(i)}+\mathbf{v}^{(i)}$
for all $i=1,\ldots,t$. Now consider a random vector
$\mathbf{b}\in{\mathbb{B}}^{t}$. From the above, we have
$\displaystyle\sum_{i}b_{i}\left(\zeta_{i}\mathbf{w}-\mathbf{y}^{(i)}\right)$
$\displaystyle=\sum_{i}b_{i}\mathbf{v}^{(i)}$
$\displaystyle\zeta_{\mathbf{b}}\mathbf{w}-\mathbf{y}_{\mathbf{b}}$
$\displaystyle\in\mathcal{V},$
where above we are defining $\zeta_{\mathbf{b}}=\sum_{i}b_{i}\zeta_{i}$ and
$\mathbf{y}_{\mathbf{b}}=\sum_{i}b_{i}\mathbf{y}^{(i)}$. Thus, the $j$’th
symbol of $\zeta_{\mathbf{b}}\mathbf{w}-\mathbf{y}_{\mathbf{b}}$ is in
$V_{j}$, and we claim that it is in fact uniform on a ${\mathbb{B}}$-subspace
of $V_{j}$. Indeed, this is because by definition we are choosing said $j$’th
symbol to be a random ${\mathbb{B}}$-linear combination of the elements
$\zeta_{i}w_{j}-y^{(i)}_{j}$ for $i=1,\ldots,t$, so it will be uniform on the
${\mathbb{B}}$-subspace spanned by those elements. Therefore, for each
$j=1,\ldots,n$, the probability that the $j$’th symbol of
$\zeta_{\mathbf{b}}\mathbf{w}-\mathbf{y}_{\mathbf{b}}$ is zero is at least
$1/|V_{j}|=q^{-b_{j}}$.
This implies that the expected number of zeros in
$\zeta_{\mathbf{b}}\mathbf{w}-\mathbf{y}_{\mathbf{b}}$ is at least
$\mathbb{E}\left|\left\\{j\in[n]\,:\,\zeta_{\mathbf{b}}w_{j}=(y_{\mathbf{b}})_{j}\right\\}\right|\geq\sum_{j=1}^{n}q^{-b_{j}}\geq
nq^{-b/n},$
where above we have used the fact that $\sum_{j}q^{-b_{j}}$ is minimized
(subject to $\sum_{j}b_{j}\leq b$) when all the $b_{j}$ are the same, and
equal to $b/n$. Thus,
$\mathbb{E}\Delta(\zeta_{\mathbf{b}}\mathbf{w},\mathbf{y}_{\mathbf{b}})\leq
n(1-q^{-b/n}).$
If $\mathbf{b}=\bm{0}$, the distance is zero, so
$\mathbb{E}\left[\Delta(\zeta_{\mathbf{b}}\mathbf{w},\mathbf{y}_{\mathbf{b}})\,\mid\,\mathbf{b}\neq\bm{0}\right]=\frac{q^{t}}{q^{t}-1}\mathbb{E}\Delta(\zeta_{\mathbf{b}}\mathbf{w},\mathbf{y}_{\mathbf{b}})\leq\frac{q^{t}}{q^{t}-1}n(1-q^{-b/n}).$
Thus, there exists a $\mathbf{b}\neq\bm{0}$ so that
$\Delta(\mathbf{w},\zeta^{-1}_{\mathbf{b}}\mathbf{y}_{\mathbf{b}})\leq\frac{q^{t}}{q^{t}-1}n(1-q^{-b/n}).$
Above, we have used the fact that $\zeta_{1},\ldots,\zeta_{t}$ form a basis,
so $\zeta_{\mathbf{b}}$ is nonzero if $\mathbf{b}$ is nonzero. As in the proof
of Corollary 20, we note that the definition of $d^{*}$ does not depend on the
choice of $\mathbf{w}$ so that $\mathbf{G}^{T}\mathbf{w}=\mathbf{p}$. Thus, by
the definition of $d^{*}$, we have that
$d^{*}\leq\Delta(\mathbf{w},\zeta^{-1}_{\mathbf{b}}\mathbf{y}_{\mathbf{b}})\leq\frac{q^{t}}{q^{t}-1}n(1-q^{-b/n}).$
Solving for $b$, we see that
$b\geq n\log_{q}\left(\frac{1}{1-(1-1/Q)d^{*}/n}\right),$
as desired. ∎
| arxiv-papers | 2021-07-25T17:08:59 | 2024-09-04T03:07:17.061494 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Noah Shutty and Mary Wootters",
"submitter": "Noah Shutty",
"url": "https://arxiv.org/abs/2107.11847"
} |
2107.11850 | # Reliable lattice dynamics from an efficient density functional
Jinliang Ning [email protected] Department of Physics and Engineering
Physics, Tulane University, New Orleans, Louisiana 70118, United States James
W. Furness Department of Physics and Engineering Physics, Tulane University,
New Orleans, Louisiana 70118, United States Jianwei Sun [email protected]
Department of Physics and Engineering Physics, Tulane University, New Orleans,
Louisiana 70118, United States
###### Abstract
First principles predictions of lattice dynamics are of vital importance for a
broad range of topics in materials science and condensed matter physics. The
large-scale nature of lattice dynamics calculations and the desire to design
novel materials with distinct properties demands that first principles
predictions are accurate, transferable, efficient, and reliable for a wide
variety of materials. In this work, we demonstrate that the recently
constructed r${}^{\text{2}}$SCAN density functional meets this need for
general systems by demonstrating phonon dispersions for typical systems with
distinct chemical characteristics. The functional’s performance opens a door
for phonon-mediated materials discovery from first principles calculations.
## I Introduction
Each new age of human technology has been enabled by the discovery of new
materials. From the knapped stone and simple metallurgy of history to the
semiconductor revolution of recent decades, a new understanding of materials
has expanded the horizons of possibility. This trend for materials to drive
progress has not gone unnoticed and ever increasing effort is being devoted to
using computational models to search the vast materials space for desirable
new compounds.
Phonons are the quanta of lattice waves driven by the elementary thermal
excitation of the atoms or molecules that make up a condensed matter system.
Intuitively, long-wavelength phonons are perceived as sound. Phonons can
interact with electronic structure and have a profound impact on a wide range
of observed material phenomena, from thermal and electrical conductivity
through to more exotic charge density waves and superconductivity, alongside
their decisive role controlling the dynamic stability of materials. This
position at the center of materials property design has driven prediction of
phonon spectra to become an important aspect of materials space searches.
The connection between the vibrational frequency of a phonon, $\omega(k)$, and
the wavevector, $k$, is known as the phonon dispersion. It can be measured
experimentally by inelastic neutron or x-ray scattering. The phonon dispersion
can also be predicted from theory using force constants calculated with
computational models, though the cost of such calculations is generally high.
This results in a simultaneous requirement for phonon calculations to
efficiently scale for high-throughput workflows while maintaining sufficient
accuracy to usefully guide experiments. Density functional theory (DFT) [1]
using a semi-local exchange-correlation (XC) functional offers an appealing
balance of these considerations and has become the workhorse computational
method for high throughput materials discovery. Efficient evaluation of phonon
spectra can be obtained from density functional calculations using density
functional perturbation theory [2], or through direct displacements of the
atoms [3]. Indeed, density functional methods have already proved effective
tools for identifying new phonon phenomena, with the discovery of high/room
temperature hydrogen-based superconductors as a prominent example[4, 5].
High throughput calculations of thermodynamic properties [6] is vital for
phase diagram predictions [7, 8], and discovery of new meta-stable materials
[9] places a particularly high demand for simultaneous accuracy,
transferability, efficiency, and reliability. While the accuracy of a DFT
calculation is largely determined by the accuracy of the chosen XC functional,
the high computational demand of phonon calculations largely excludes
expensive nonlocal XC functionals, like hybrid density functionals [10]. The
current choice for phonon calculations remains conventional density
functionals, including local density approximation (LDA) and the Perdew-Burke-
Ernzerhof (PBE) generalized gradient approximation (GGA). While efficient and
reasonably accuracy, one problem with these conventional density functionals
is their transferability in the materials space where different compounds can
have very different chemical bonds. Recent progress has shown that semi-local
meta-GGAs can maintain this efficiency while being accurate for a wide variety
of materials [11, 12, 13, 14, 15, 16, 17, 18, 19, 9, 20, 21, 22], exemplified
by the strongly constrained and appropriately normed (SCAN) meta-GGA [11, 12].
Unfortunately, extensive use has shown that SCAN suffers numerical problems
that are exaggerated in phonon calculations, making reliably obtaining
accurate phonon spectra from SCAN calculations a challenging task. Here, we
show that a revised version of SCAN which solves the numerical problems,
called r${}^{\text{2}}$SCAN [23], delivers accurate, transferable, and
reliable lattice dynamics. This is demonstrated in a selected set of materials
that have different bonding characteristics. We then further explain the
origins of such excellent performance of r2SCAN for phonon calculations.
Figure 1: Well converged Phonon dispersions of (a) Si, (b) GaAs, (c) Fe, and
(d) NiO, calculated by LDA, PBE, and r2SCAN, compared with available
experimental data, of 1963 [24], 1972 [25], and 1994 [26] for Si, of 1990 [27]
for GaAs, from Ref. [28] for Fe, and from Ref. [29] for NiO. The second 3
experimental acoustic band data points along $\Gamma$-K direction for NiO were
directly taken from their figure of Ref. [29].
## II Results
The selected small test set includes four solids. Two are industrially
important semiconductors with covalent or mixed covalent-ionic interactions:
covalent Si in the diamond structure, and GaAs with zinc blende structure. One
magnetic metal: body-centered-cubic (bcc) Fe. We also include a magnetic oxide
with covalent-ionic bonding NiO. These solids have been widely studied
experimentally and theoretically and their phonon dispersions have been
accurately determined from experiments. In all cases we are interested in
establishing both the accuracy and numerical stability of the phonon spectra
calculated by different density functionals.
### II.1 Si and GaAs
Figures 1 a) and b) compare calculated phonon dispersions with experimental
results for Si and GaAs respectively. The aim of these calculations is to
establish the relative accuracy of the functionals under ideal conditions with
high-accuracy computational settings tuned to ensure well converged results
for all functionals. We find that LDA predicts a relatively accurate spectrum
for GaAs but underestimates lower frequency phonon bands in Si, while PBE
underestimates phonon frequencies across the board. The r${}^{\text{2}}$SCAN
meta-GGA shows the most consistent accuracy across both materials and at all
energy ranges, closely matching the experimental data.
To establish the relative efficiency of r${}^{\text{2}}$SCAN compared to its
parent SCAN functional, we repeat the calculations of Figures 1 a) and b)
using the default VASP computational settings that better reflect a high-
throughput workflow. The resulting phonon spectra are shown in Figures 2 a)
and b) for Si and GaAs respectively. For Si of Figure 2 a), SCAN and
r${}^{\text{2}}$SCAN show similar accuracy across much of the spectrum, though
SCAN’s error is significant for low frequency bands between the $L$ and
$\Gamma$ points. For GaAs in Figure 2 b) however, the numerical problems of
the SCAN functional are immediately apparent. Here spurious imaginary
frequencies occur across the SCAN spectrum and the higher frequency bands show
generally poor accuracy. Conversely, the r${}^{\text{2}}$SCAN functional
remains well behaved under these cheaper settings, predicting an accurate and
well converged spectrum for high and low frequencies. Note that imaginary
frequencies are predicted by SCAN despite using a fully relaxed ionic
structure that should be stable along all wave vectors. This prediction of
spurious imaginary frequencies can be attributed to incomplete sampling of
sharp oscillations in the SCAN XC potential as the ionic positions are
displaced [23, 30]. With special tuning of the parameters like Fourier
transform grid density and atomic displacement size, SCAN can deliver accurate
phonon dispersions for these two solids, as shown in the supplementary
materials. Such tuning tricks just highlight the serious numerical problems of
SCAN due to potential surface oscillations however. It is not guaranteed that
these tuning tricks can solve SCAN’s numerical problems for all solids.
Table 1: Lattice constants and transition metal local magnetic moment $m$ for
Si, GaAs, Fe, Ni and NiO, calculated from different functionals and compared
with experimental data. $\epsilon_{\infty}$ and Z* are the high-frequency
dielectric constants and the diagonals of Born effective charge (the values in
parentheses are for the z direction component), used for non-analytical term
corrections for phonon dispersions of GaAs and NiO. $\Delta$ZPE is the
correction to lattice constants due to the zero point energy.
| Si | GaAs | Fe | NiO
---|---|---|---|---
Methods | a | a | Z* | $\epsilon_{\infty}$ | a | m | a | c | Z* | $\epsilon_{\infty}$ | m
LDA | 5.4029 | 5.6110 | 2.07 | 17.33 | 2.7470 | 1.93 | 2.8828 | 6.9706 | 2.09(2.74) | 44.9(46.0) | 1.08
PBE | 5.4688 | 5.7505 | 2.32 | 92.83 | 2.8304 | 2.18 | 2.9687 | 7.2260 | 2.24 (2.67) | 21.2(21.98) | 1.35
SCAN | 5.4273 | 5.6670 | 2.16 | 12.67 | 2.8424 | 2.61 | 2.9445 | 7.1874 | 2.18(2.26) | 6.81 (6.90) | 1.58
r${}^{\text{2}}$SCAN | 5.4398 | 5.6688 | 2.15 | 11.63 | 2.8629 | 2.71 | 2.9461 | 7.1884 | 2.18(2.29) | 7.53(7.63) | 1.56
Expt. | 5.4309a | 5.6556b | 2.18j | 10.89k | 2.8608c | 2.13d | 2.9517e | 7.2170e | 2.2g | 5.7h | 1.90f
Expt. - $\Delta$ZPEi | 5.422 | 5.641 | | | 2.855 | | | | | |
$a$[31] $b$[32] $c$[33] 79 K $d$[34] $e$[35] 78 K $e$[36] 10 K $f$[37] $g$[38]
$h$[39] $i$[40] $j$[41] $k$[42]
Figure 2: Phonon dispersions of (a) Si and (b) GaAs calculated by SCAN and
r2SCAN with default settings, compared with available experimental data from
1963 [24], 1972 [25], and 1994 [26] for Si, and from 1990 [27] for GaAs.
### II.2 Fe
Figure 1 c) compares calculated and experimental phonon dispersions for bcc
Fe. Here, the improvement from GGA to meta-GGA is less clear, with both
showing regions of accuracy. The $N$ high-symmetry point of bcc Fe appears
particularly challenging for all functionals. It is notable however, that
r${}^{\text{2}}$SCAN significantly improves over other functionals for the
lower band along $\Gamma-N-P-N-H$, though this trend is reversed at higher
frequencies with PBE showing greater accuracy for the middle and higher bands.
It has commonly been observed that SCAN tends to overestimate magnetic moments
and the magnetisation energy of simple magnetic metals like Fe, Co, and Ni
[43, 44, 45, 46, 47, 48, 49]. As we include bcc Fe we have calculated the
local magnetic moments for the transition metal atoms and present the results
in Table 1. We see that r${}^{\text{2}}$SCAN maintains the over-magnetisation
of SCAN, as should be expected from r${}^{\text{2}}$SCAN’s construction as a
regularisation of SCAN. Figure 1 c) shows that the r${}^{\text{2}}$SCAN
calculated phonon dispersions are not unduly degraded by this over-
magnetisation however.
### II.3 NiO
Figure 1 d), compares the calculated and experimental phonon dispersions for
NiO. The r${}^{\text{2}}$SCAN meta-GGA shows significant improvements over LDA
and PBE for this material. In particular, the high-frequency optical bands
from LDA and PBE are qualitatively wrong, while r${}^{\text{2}}$SCAN is
reasonably accurate. Note we allow the crystal structure to be fully relaxed
from the ideal AFM FCC structure, resulting in a small shrinkage of the
lattice in the direction perpendicular to the ferromagnetic Ni planes. This
symmetry breaking then leads to three optical bands. For this system we expect
the main source of error to be self-interaction error, which causes the $d$
orbital electron density to become too diffuse and fractionally occupied. In
comparison with LDA and PBE, SCAN and r${}^{\text{2}}$SCAN reduce self-
interaction errors and localize the $d$ electrons around the Ni ion to a
greater degree [16, 17], stabilizing the magnetic moment as shown Table 1. The
self-interaction error of semi-local functionals can be remedied by including
a Hubbard $U$ term. The ad hoc nature of its parameterization limits
predictive power however.
Polar bonds, such as those found in systems like NiO and GaAs, can cause the
longitudinal optical and transverse optical (LO-TO) splitting in the
experimentally observed phonon dispersion. A non-analytical correction to the
phonon dispersion [50, 51, 52] based on the high-frequency dielectric
constants $\epsilon_{\infty}$ and Born effective charge Z* must therefore be
considered. Table 1 shows that r${}^{\text{2}}$SCAN delivers slightly better
Z* in comparison with LDA and PBE. As $\epsilon_{\infty}$ is related to the
response of electrons to the external electric field and can be strongly
affected by the self-interaction error, r${}^{\text{2}}$SCAN significantly
improves $\epsilon_{\infty}$ over LDA and PBE, although the discrepancy in
$\epsilon_{\infty}$ from the experimental values is notable. In order to
better illustrate the comparison of calculated force constants, we use the
r${}^{\text{2}}$SCAN $\epsilon_{\infty}$ and Z* for the non-analytical term
corrections [50, 51, 52] of the phonon dispersions of NiO and GaAs for all
functionals.
## III Discussion
Viewing Figure 1 as a whole, we can see a broad trend of accuracy across the
different materials: PBE $<$ LDA $<$ r${}^{\text{2}}$SCAN. It is perhaps
surprising that the inclusion of gradient information into the PBE GGA results
in worse accuracy than the simpler LDA functional for the phonon dispersions.
This effect can also be viewed from the other direction: it is surprising that
LDA is as successful as it is for the phonon dispersion, particularly given
its well known tendency to underestimate bond lengths and lattice constants.
The reason for this can be found in the force constant dynamic matrix [2],
$\displaystyle\frac{\partial^{2}E(\mathbf{R})}{\partial\mathbf{R}_{I}\partial\mathbf{R}_{J}}\equiv$
$\displaystyle-\frac{\partial\mathbf{F}_{I}}{\partial\mathbf{R}_{J}}=\int\frac{\partial
n_{\mathbf{R}}(\mathbf{r})}{\partial\mathbf{R}_{J}}\frac{\partial
V_{\mathbf{R}}(\mathbf{r})}{\partial\mathbf{R}_{I}}d\mathbf{r}$
$\displaystyle+\int
n_{\mathbf{R}}(\mathbf{r})\frac{\partial^{2}V_{\mathbf{R}}(\mathbf{r})}{\partial\mathbf{R}_{I}\partial\mathbf{R}_{J}}d\mathbf{r}+\frac{\partial^{2}E_{N}(\mathbf{R})}{\partial\mathbf{R}_{I}\partial\mathbf{R}_{J}},$
(1)
where $\mathbf{R}_{I}$ is the position of nucleus $I$,
$n_{\mathbf{R}}(\mathbf{r})$ and $V_{\mathbf{R}}(\mathbf{r})$ are the ground
state electron density and nuclear potential respectively with nuclei in
positions $\mathbf{R}$, and $E_{N}(\mathbf{R})$ is the Coulomb repulsion
between the nuclei at positions $\mathbf{R}$.
As the LDA bond lengths are too short, the second order derivative of the
nuclear repulsion energy is overestimated (the final term of Eq. 1). This
error is compensated however, by an overestimation of the linear response of
electron density $n_{\mathbf{R}}(\mathbf{r})$ to the nuclear distortion in the
first term of Eq. 1. Since the first and final terms of Eq. 1) have opposite
signs, their errors are favorably cancelled. The overestimation of the linear
response of electron density is a consequence of the self-interaction errors
intrinsic to semilocal density functionals, including LDA, PBE, and
r${}^{\text{2}}$SCAN. PBE tends to overestimate bond lengths without
correcting the linear response, so the favorable cancellation is lost. Like
its parent functional r${}^{\text{2}}$SCAN improves both these aspects, giving
accurate lattice constants [23] while simultaneously improving linear response
characteristics [12] as demonstrated in Table 1 for lattice constants and
$\epsilon_{\infty}$. This results in a more accurate phonon spectrum with
greater transferability across different classes of materials.
As previously mentioned, Figure 2 shows how r${}^{\text{2}}$SCAN improves on
the SCAN functional by avoiding the numerical sensitivities that necessitate
the expensive tuning of the fast Fourier transform grid. A full analysis of
the origin of the numerical issues in SCAN, and their solution in
r${}^{\text{2}}$SCAN, is presented in Ref. [23]. When calculating lattice
dynamics from finite atomic displacements the smooth exchange-correlation
potential of r${}^{\text{2}}$SCAN is well sampled by a coarse grid while the
sharp oscillations of the SCAN potential are not [23, 30]. This poor sampling
results in slow and unpredictable convergence of the SCAN phonon spectrum with
grid density, and the appearance of spurious imaginary frequencies.
## IV Conclusions
We have tested the performance of r${}^{\text{2}}$SCAN for calculating the
phonon dispersions of typical systems relative to experimental data and other
commonly used functionals (LDA and PBE). Our results for these systems suggest
that r${}^{\text{2}}$SCAN can calculate accurate lattice dynamics for general
systems with good transferability between different bonding characteristics.
Across all the materials tested we find r${}^{\text{2}}$SCAN is either the
best choice, or competitive with the best choice in the case of magnetic
metals. While we find that SCAN can be accurate when Fourier transform grid
and atomic displacement settings are tuned, however its poor numerical
stability makes identifying the ideal parameters burdensome. Additionally, the
necessary use of expensive Fourier transform grids prevents the SCAN
functional being truly useful to high throughput studies. When default low-
cost computational settings are used we find that SCAN predicts spurious
imaginary bands. These problems are avoided in the r${}^{\text{2}}$SCAN
functional which predicts accurate phonon spectra even from low-cost default
parameters. While we find that while LDA and PBE can be quite accurate for
some systems, they do not show the same generally transferable accuracy as
r${}^{\text{2}}$SCAN does. With these inspiring findings, we strongly
recommend r${}^{\text{2}}$SCAN to the community as an effective computational
tool for future phonon dispersion studies.
## V Methods
DFT [1] calculations with the LSDA, PBE[53], SCAN[11], and
r${}^{\text{2}}$SCAN [23] XC functionals were performed using the Vienna Ab-
initio Simulation Package (VASP) [54]. The projector-augmented wave (PAW)
method was used to treat the core ion-electron interaction [55, 56]. An energy
cutoff of 600 eV was used to truncate the plane wave basis. A
$\Gamma$-centered mesh with a spacing threshold of 0.15 Å-1 was used for
$k$-space sampling for unit cell relaxations of semiconducting systems Si,
GaAs and NiO, and 0.1 Å-1 for metallic Fe. For supercell atomic force
calculations, only a single $\Gamma$ point is used for semiconducting systems
Si, GaAs and NiO, and a $2\times 2\times 2$ k-point mesh for metallic Fe. A
Gaussian smearing with 0.02 eV is used for semiconducting systems Si, GaAs and
NiO, and Methfessel–Paxton smearing with 0.2 eV for Fe. For atomic force
calculations, $3\times 3\times 3$, $3\times 3\times 3$, $5\times 5\times 5$,
and $4\times 4\times 2$ supercells of the conventional unit cells (as shown in
Table 1) are used for Si, GaAs, Fe and NiO, respectively. The ionic positions
of all systems were relaxed for all functionals until the maximum ionic forces
were below 1 meVÅ-1. We used the Phonopy code [57] to obtain the harmonic
force constants from VASP atomic force calculations within finite displacement
method (0.015 Å). For Figure 1, PREC = High; ENAUG = 2000 is specified for
r${}^{\text{2}}$SCAN, while for Figure 2, we used the VASP officially
recommended accurate defaults (PREC = Accurate) together with special tuned
fast Fourier transform grid density for comparison. The full set of comparison
is referred to the supplementary material.
###### Acknowledgements.
J.N. and J.S. acknowledge the support of the U.S. DOE, Office of Science,
Basic Energy Sciences Grant No. DE-SC0014208 and J.W.F. acknowledges the
support of DE-SC0019350.
## References
* Kohn and Sham [1965] W. Kohn and L. J. Sham, Self-consistent equations including exchange and correlation effects, Phys. Rev. 140, A1133 (1965).
* Baroni _et al._ [2001] S. Baroni, S. De Gironcoli, A. Dal Corso, and P. Giannozzi, Phonons and related crystal properties from density-functional perturbation theory, Reviews of modern Physics 73, 515 (2001).
* Parlinski _et al._ [1997] K. Parlinski, Z. Li, and Y. Kawazoe, First-principles determination of the soft mode in cubic zro 2, Physical Review Letters 78, 4063 (1997).
* Li _et al._ [2014] Y. Li, J. Hao, H. Liu, Y. Li, and Y. Ma, The metallization and superconductivity of dense hydrogen sulfide, The Journal of chemical physics 140, 174712 (2014).
* Drozdov _et al._ [2015] A. Drozdov, M. Eremets, I. Troyan, V. Ksenofontov, and S. I. Shylin, Conventional superconductivity at 203 kelvin at high pressures in the sulfur hydride system, Nature 525, 73 (2015).
* Jain _et al._ [2013] A. Jain, S. P. Ong, G. Hautier, W. Chen, W. D. Richards, S. Dacek, S. Cholia, D. Gunter, D. Skinner, G. Ceder, and K. a. Persson, The Materials Project: A materials genome approach to accelerating materials innovation, APL Materials 1, 011002 (2013).
* Spencer [2008] P. Spencer, A brief history of calphad, Calphad 32, 1 (2008).
* Sundman _et al._ [1985] B. Sundman, B. Jansson, and J.-O. Andersson, The thermo-calc databank system, Calphad 9, 153 (1985).
* Ning _et al._ [2020] J. Ning, Y. Zhu, J. Kidd, Y. Guan, Y. Wang, Z. Mao, and J. Sun, Subtle metastability of the layered magnetic topological insulator mnbi 2 te 4 from weak interactions, npj Computational Materials 6, 1 (2020).
* Krukau _et al._ [2006] A. V. Krukau, O. A. Vydrov, A. F. Izmaylov, and G. E. Scuseria, Influence of the exchange screening parameter on the performance of screened hybrid functionals, The Journal of chemical physics 125, 224106 (2006).
* Sun _et al._ [2015] J. Sun, A. Ruzsinszky, and J. P. Perdew, Strongly constrained and appropriately normed semilocal density functional, Physical review letters 115, 036402 (2015).
* Sun _et al._ [2016] J. Sun, R. C. Remsing, Y. Zhang, Z. Sun, A. Ruzsinszky, H. Peng, Z. Yang, A. Paul, U. Waghmare, X. Wu, _et al._ , Accurate first-principles structures and energies of diversely bonded systems from an efficient density functional, Nature chemistry 8, 831 (2016).
* Remsing _et al._ [2017] R. C. Remsing, M. L. Klein, and J. Sun, Dependence of the structure and dynamics of liquid silicon on the choice of density functional approximation, Physical Review B 96, 024203 (2017).
* Zhang _et al._ [2020a] Y. Zhang, C. Lane, J. W. Furness, B. Barbiellini, J. P. Perdew, R. S. Markiewicz, A. Bansil, and J. Sun, Competing stripe and magnetic phases in the cuprates from first principles, Proceedings of the National Academy of Sciences 117, 68 (2020a).
* Furness _et al._ [2018] J. W. Furness, Y. Zhang, C. Lane, I. G. Buda, B. Barbiellini, R. S. Markiewicz, A. Bansil, and J. Sun, An accurate first-principles treatment of doping-dependent electronic structure of high-temperature cuprate superconductors, Communications Physics 1, 1 (2018).
* Zhang _et al._ [2020b] Y. Zhang, J. Furness, R. Zhang, Z. Wang, A. Zunger, and J. Sun, Symmetry-breaking polymorphous descriptions for correlated materials without interelectronic u, Phys. Rev. B 102, 045112 (2020b).
* Zhang _et al._ [2019] Y. Zhang, J. W. Furness, B. Xiao, and J. Sun, Subtlety of tio2 phase stability: Reliability of the density functional theory predictions and persistence of the self-interaction error, The Journal of Chemical Physics 150, 014105 (2019).
* Kitchaev _et al._ [2016] D. A. Kitchaev, H. Peng, Y. Liu, J. Sun, J. P. Perdew, and G. Ceder, Energetics of ${\mathrm{mno}}_{2}$ polymorphs in density functional theory, Phys. Rev. B 93, 045132 (2016).
* Peng and Perdew [2017] H. Peng and J. P. Perdew, Synergy of van der waals and self-interaction corrections in transition metal monoxides, Phys. Rev. B 96, 100101 (2017).
* Zhang _et al._ [2017] Y. Zhang, J. Sun, J. P. Perdew, and X. Wu, Comparative first-principles studies of prototypical ferroelectric materials by LDA, GGA, and SCAN meta-GGA, Phys. Rev. B 96, 035143 (2017).
* Lane _et al._ [2018] C. Lane, J. W. Furness, I. G. Buda, Y. Zhang, R. S. Markiewicz, B. Barbiellini, J. Sun, and A. Bansil, Antiferromagnetic ground state of la 2 cuo 4: A parameter-free ab initio description, Physical Review B 98, 125140 (2018).
* Zhang _et al._ [2020c] R. Zhang, B. Singh, C. Lane, J. Kidd, Y. Zhang, B. Barbiellini, R. S. Markiewicz, A. Bansil, and J. Sun, Understanding the quantum oscillation spectrum of heavy-fermion compound smb6, arXiv preprint arXiv:2003.11052 (2020c).
* Furness _et al._ [2020] J. W. Furness, A. D. Kaplan, J. Ning, J. P. Perdew, and J. Sun, Accurate and numerically efficient r2SCAN meta-generalized gradient approximation, J. Phys. Chem. Lett. 11, 8208 (2020).
* Dolling [1963] G. Dolling, _Inelastic scattering of neutrons in solids and liquids_ (IAEA, Vienna, 1963).
* Nilsson and Nelin [1972] G. Nilsson and G. Nelin, Study of the homology between silicon and germanium by thermal-neutron spectrometry, Physical Review B 6, 3777 (1972).
* Kulda _et al._ [1994] J. Kulda, D. Strauch, P. Pavone, and Y. Ishii, Inelastic-neutron-scattering study of phonon eigenvectors and frequencies in si, Physical Review B 50, 13347 (1994).
* Strauch and Dorner [1990] D. Strauch and B. Dorner, Phonon dispersion in gaas, Journal of Physics: Condensed Matter 2, 1457 (1990).
* Brockhouse _et al._ [1967] B. Brockhouse, H. Abou-Helal, and E. Hallman, Lattice vibrations in iron at 296 k, Solid State Communications 5, 211 (1967).
* Reichardt _et al._ [1975] W. Reichardt, V. Wagner, and W. Kress, Lattice dynamics of nio, Journal of Physics C: Solid State Physics 8, 3955 (1975).
* Price _et al._ [2021] A. J. Price, K. R. Bryenton, and E. R. Johnson, Requirements for an accurate dispersion-corrected density functional, The Journal of Chemical Physics 154, 230902 (2021).
* Yim and Paff [1974] W. Yim and R. Paff, Thermal expansion of aln, sapphire, and silicon, Journal of Applied Physics 45, 1456 (1974).
* Schulz _et al._ [1991] K. Schulz, O. Musbah, and Y. Chang, A phase investigation of the rh-ga-as system, Journal of phase equilibria 12, 10 (1991).
* Owen and Williams [1954] E. Owen and G. Williams, A low-temperature x-ray camera, Journal of Scientific Instruments 31, 49 (1954).
* Danan _et al._ [1968] H. Danan, A. Herr, and A. Meyer, New determinations of the saturation magnetization of nickel and iron, Journal of Applied Physics 39, 669 (1968).
* Kogan and Bulatov [1962] V. Kogan and A. Bulatov, The temperature dependence of the isotope effect in the nickel lattice, SOVIET PHYSICS JETP-USSR 15, 1041 (1962).
* Rodic _et al._ [2000] D. Rodic, V. Spasojevic, V. Kusigerski, R. Tellgren, and H. Rundlof, Magnetic ordering in polycrystalline nixzn1—xo solid solutions, physica status solidi (b) 218, 527 (2000).
* Fernandez _et al._ [1998] V. Fernandez, C. Vettier, F. De Bergevin, C. Giles, and W. Neubeck, Observation of orbital moment in nio, Physical Review B 57, 7870 (1998).
* Wang _et al._ [2010] Y. Wang, J. E. Saal, J.-J. Wang, A. Saengdeejing, S.-L. Shang, L.-Q. Chen, and Z.-K. Liu, Broken symmetry, strong correlation, and splitting between longitudinal and transverse optical phonons of mno and nio from first principles, Physical Review B 82, 081104 (2010).
* Gielisse _et al._ [1965] P. Gielisse, J. Plendl, L. Mansur, R. Marshall, S. Mitra, R. Mykolajewycz, and A. Smakula, Infrared properties of nio and coo and their mixed crystals, Journal of Applied Physics 36, 2446 (1965).
* Hao _et al._ [2012] P. Hao, Y. Fang, J. Sun, G. I. Csonka, P. H. Philipsen, and J. P. Perdew, Lattice constants from semilocal density functionals with zero-point phonon correction, Physical Review B 85, 014111 (2012).
* Spencer _et al._ [1994] G. Spencer, A. Ho, J. Menendez, R. Droopad, H. Fathollahnejad, and G. Maracas, Lattice-constant dependence of the dynamical effective charge in alas and gaas, Physical Review B 50, 14125 (1994).
* Samara [1983] G. A. Samara, Temperature and pressure dependences of the dielectric constants of semiconductors, Physical Review B 27, 3494 (1983).
* Isaacs and Wolverton [2018] E. B. Isaacs and C. Wolverton, Performance of the strongly constrained and appropriately normed density functional for solid-state materials, Phys. Rev. Mater. 2, 063801 (2018), arXiv:1804.06914 .
* Jana _et al._ [2018] S. Jana, A. Patra, and P. Samal, Assessing the performance of the Tao-Mo semilocal density functional in the projector-augmented-wave method, J. Chem. Phys. 149, 044120 (2018).
* Romero and Verstraete [2018] A. H. Romero and M. J. Verstraete, From one to three, exploring the rungs of Jacob’s ladder in magnetic alloys, Eur. Phys. J. B 91, 193 (2018).
* Ekholm _et al._ [2018] M. Ekholm, D. Gambino, H. J. M. Jönsson, F. Tasnádi, B. Alling, and I. A. Abrikosov, Assessing the SCAN functional for itinerant electron ferromagnets, Phys. Rev. B 98, 094413 (2018).
* Fu and Singh [2018] Y. Fu and D. J. Singh, Applicability of the Strongly Constrained and Appropriately Normed Density Functional to Transition-Metal Magnetism, Phys. Rev. Lett. 121, 207201 (2018).
* Fu and Singh [2019] Y. Fu and D. J. Singh, Density functional methods for the magnetism of transition metals: SCAN in relation to other functionals, Phys. Rev. B 100, 045126 (2019).
* Mejía-Rodríguez and Trickey [2019] D. Mejía-Rodríguez and S. B. Trickey, Analysis of over-magnetization of elemental transition metal solids from the SCAN density functional, Phys. Rev. B 100, 041113 (2019).
* Pick _et al._ [1970] R. M. Pick, M. H. Cohen, and R. M. Martin, Microscopic theory of force constants in the adiabatic approximation, Physical Review B 1, 910 (1970).
* Gonze _et al._ [1994] X. Gonze, J.-C. Charlier, D. Allan, and M. Teter, Interatomic force constants from first principles: The case of $\alpha$-quartz, Physical Review B 50, 13035 (1994).
* Gonze and Lee [1997] X. Gonze and C. Lee, Dynamical matrices, born effective charges, dielectric permittivity tensors, and interatomic force constants from density-functional perturbation theory, Physical Review B 55, 10355 (1997).
* Perdew _et al._ [1996] J. P. Perdew, K. Burke, and M. Ernzerhof, Generalized Gradient Approximation Made Simple., Phys. Rev. Lett. 77, 3865 (1996).
* Kresse and Furthmüller [1996] G. Kresse and J. Furthmüller, Efficient iterative schemes for ab initio total-energy calculations using a plane-wave basis set, Phys. Rev. B 54, 11169 (1996).
* Blöchl [1994] P. E. Blöchl, Projector augmented-wave method, Phys. Rev. B 50, 17953 (1994).
* Kresse and Joubert [1999] G. Kresse and D. Joubert, From ultrasoft pseudopotentials to the projector augmented-wave method, Physical review b 59, 1758 (1999).
* Togo and Tanaka [2015] A. Togo and I. Tanaka, First principles phonon calculations in materials science, Scripta Materialia 108, 1 (2015).
| arxiv-papers | 2021-07-25T17:22:53 | 2024-09-04T03:07:17.079534 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Jinliang Ning, James W. Furness, and Jianwei Sun",
"submitter": "Jinliang Ning",
"url": "https://arxiv.org/abs/2107.11850"
} |
2107.11856 | # Graph Representation Learning on Tissue-Specific Multi-Omics
Amine Amor Pietro Lio Vikash Singh Ramon Viñas Torné Helena Andres Terre
###### Abstract
Combining different modalities of data from human tissues has been critical in
advancing biomedical research and personalised medical care. In this study, we
leverage a graph embedding model (i.e VGAE) to perform link prediction on
tissue-specific Gene-Gene Interaction (GGI) networks. Through ablation
experiments, we prove that the combination of multiple biological modalities
(i.e multi-omics) leads to powerful embeddings and better link prediction
performances. Our evaluation shows that the integration of gene methylation
profiles and RNA-sequencing data significantly improves the link prediction
performance. Overall, the combination of RNA-sequencing and gene methylation
data leads to a link prediction accuracy of 71% on GGI networks. By harnessing
graph representation learning on multi-omics data, our work brings novel
insights to the current literature on multi-omics integration in
bioinformatics.
Machine Learning, Graph Representation Learning, Variational Graph Auto-
Encoders, Generative Models, Link Prediction, Multi-Omics, ICML
## 1 Introduction
Thorough understanding of human health and pathological conditions requires
the analysis of molecular data at different levels, such as genome, epigenome,
transcriptome, proteome, and metabolome. To account for the interactions
between these omics and study complex biological processes holistically, it is
fundamental to follow an integrative approach which combines multi-omics (i.e
multiple modalities of biological data) (Huang et al., 2017). Integrative
approaches help to evaluate the flow of information from one omic layer to
another, and therefore contribute to bridge the gap between genotype and
phenotype. In the era of precision medicine, high-throughput technologies can
generate very large amounts of multi-omics data, and contribute to improve
prognostics of disease phenotypes. While there has been a significant interest
in building integrative systems in bioinformatics (de Anda-Jáuregui &
Hernández-Lemus, 2020), multi-omics integration on tissue-specific data has
been underexplored. Motivated by the lack of research on tissues functional
diversity, we focus our study on tissue-specific biological data using 3
modalities (i.e omics): Gene-Gene Interaction networks (GGI), RNA sequencing
data and gene methylation profiles. Therefore, our input consists of a network
of interacting genes with their gene expression features (i.e RNA sequencing
and gene methylation).
Overall, the novelty of our work relies on the analysis of tissue-specific
data and the integration of multi-omics features using a graph embedding model
(VGAE).
## 2 Related Work
### 2.1 Tissue-specific research
The heterogeneity of cells across tissues is a major challenge for
understanding biological processes and developing therapeutic targets of
distinct tissues. Although tissue-specific mechanisms are rarely explored,
there have been research initiatives to identify tissue-specific molecular
profiles. Jambusaria et al. (Jambusaria et al., 2018) developed a predictive
model called “HeteroPath” which produces unique tissue-specific gene
regulatory networks. By identifying distinct cellular populations in tissue
transcriptomic datasets, “HeteroPath” contributes to improve the comprehension
of tissue-specific phenotypes. Whereas this study focuses on transcriptomics,
metabolomics have also been investigated in the context of tissue-specific
analysis. For instance, CORDA (Schultz & Qutub, 2016) (Cost Optimization
Reaction Dependency Assessment) is a genome scale model that detects important
metabolic reactions across various human tissues. Using CORDA algorithm, the
authors developed 76 healthy and 20 cancer tissue-specific reconstructions,
and identified metabolic pathways shared across tissues.
We notice that these papers explore metabolomics and transcriptomics
independently, to infer molecular signatures of tissues. Motivated by the
potential complementarity of omics features, our approach incorporates diverse
modalities of omics to provide a more global molecular perspective of distinct
human tissues.
Figure 1: A VGAE (adapted from (Kipf & Welling, 2016)) that performs an
intermediate integration of gene methylation and RNA sequencing features. Both
input networks are tissue-specific Gene-Gene Interaction (GGI) networks. While
they have the same adjacency matrix (from Tissue 1 GGI network), they are
enriched with different node features (i.e gene methylation or RNA sequencing
features).
### 2.2 Graph representation learning on tissue-specific expression data
Biological processes can be described in terms of molecular interactions that
occur across multiple omics layers. This type of data comes in the form of
interaction networks, which have been used to train several graph embedding
models on the prediction of gene-disease associations (Kircali Ata et al.,
2018) (Singh & Liò, 2019) and the identification of molecular signatures
(Kuru, 2019).
Regarding tissue-specific analysis, Ohmnet (Zitnik & Leskovec, 2017) is an
unsupervised node feature learning framework which predicts multicellular
function through multi-layer tissue protein-protein interaction (PPI)
networks. It represents one of the rare initiatives that uses graph embedding
techniques on tissue-specific molecular interactions.
Overall, substantial research was conducted on multi-omics integration
frameworks and graph representation learning. However, to the best of our
knowledge, multi-omics integration on tissue-specific graphs/networks is a
research area that is relatively poor. Therefore this study leverages graph
representation learning on tissue-specific multi-omics data.
## 3 Multi-omics integration with VGAE
### 3.1 Data collection
We collect tissue-specific GGI networks, RNA sequencing data and gene
methylation profiles from 3 public databases:
* •
HumanBase (GIANT): It is a public database that provides human genomic data
such as gene expression, regulation and interaction networks. From HumanBase,
we collect 5 tissue-specific Gene-Gene Interaction (GGI) networks (Greene et
al., 2015), which were built using gene expression and gene function from a
large compendium of tissues and cell-types.
* •
The Genotype-Tissue Expression (GTEx) project: Launched by the National
Institutes of Health (NIH) in September 2010, the Genotype-Tissue Expression
project (GTEx (Lonsdale et al., 2013)) is a public resource that gives access
to tissue-specific gene expression and regulation data. The samples were
collected from 54 healthy tissue sites across nearly 1000 participants. From
GTEx, we download 5 tissue-specific filtered and normalised gene expression
matrices (RNA sequencing data).
* •
MethBank 3.0: MethBank (Li et al., 2017) is a public database that was
developed in 2017 by the Big Data Center of Beijing Institute of Genomics. The
database incorporates 34 consensus reference methylomes derived from 4,577
healthy human samples at different ages. From MethBank, we collect normalised
healthy human gene methylation profiles for 5 tissues.
### 3.2 Variational Graph Auto-Encoder (VGAE)
To perform link prediction on tissue-specific GGI networks, we employ an
unsupervised variational graph autoencoder (VGAE) (Kipf & Welling, 2016) that
integrates distinct latent representations derived from RNA sequencing data
and gene methylation profiles (i.e Z1 and Z2 in Figure 1). The combined
representation is fed into the decoder of the VGAE which aims to reconstruct
the adjacency matrix of the original network. The reconstruction of an
adjacency matrix is also known as the link prediction problem. In the
reconstruction output (in Figure 1), solid lines (positive edges) represent
the existence of a link between 2 nodes, whereas dotted lines (negative edges)
represent the absence of link. In our study, we train our integrative VGAE on
tissue-specific Gene-Gene Interaction networks (GGI) where nodes represent
genes and edges/links represent a functional interaction between genes. In
Figure 1, the boxes represent the feature vectors associated with the genes in
the adjacency matrix. “Meth” represent gene methylation features whereas “RNA”
represent RNA-sequencing features.
As shown on Figure 1, there is a significant gap of dimensionality between RNA
sequencing features (n=208) and gene methylation features (n=9). Indeed, for
each gene, there is a vector of 208 RNA sequencing features and a smaller
vector of 9 methylation features. In order to preserve the unique distribution
of each data type, the integrative VGAE combines the features representations
in the latent space, rather than the input space. The first step consists of
training two separate GCN (Graph Convolutional Neural Network) encoders on a
GGI network enriched with RNA sequencing data and a GGI network enriched with
gene methylation data, respectively. The GCNs encode the features into 2
separate embeddings Z1 and Z1, which have the same dimensions (n=32). Z1 and
Z2 are then concatenated and fed into the rest of the VGAE which performs link
prediction. Since Z1 and Z2 have the same shape, this approach gives the same
weight to methylation and RNA sequencing features, despite their initial
imbalance of dimensions. Additionally, unlike an early integration approach
which combines features at the input level, our model does not increase the
dimensionality of the input space. However, our intermediate integration
requires to train an additional GCN encoder and therefore increases the number
of parameters to learn.
## 4 Evaluation and Results
The experiments aim to evaluate how much each omics data contributes to the
performance of the models. To that end, we conduct an ablation study which
consists of combining multi-omics in three different ways: GGI+RNA, GGI+Meth,
GGI+RNA+Meth. The ablation study helps to assess the individual importance of
each data modality (RNA-sequencing or gene methylation) as well as their
complementarity in achieving link prediction. This provides biological
insights into the relevance of particular omics in learning tissue-specific
representations.
Additionally, we compare the performance of the VGAE to the non-generative
Graph Auto-Encoder (GAE) in order to understand the relevance of generative
models in multi-modal learning on graphs.
### 4.1 Multi-omics integration results
The table illustrates the average link prediction performance of the VGAE on 5
tissue-specific GGI networks. Here, “Bal Acc” refers to the balanced accuracy
metric defined as $(TPR+TNR)/2$.
Integration | Bal Acc | F1 score
---|---|---
GGI | $51(\pm 1.9)$ | $33(\pm 2.5)$
GGI+Meth | $56.7(\pm 3.8)$ | $48.0(\pm 4.5)$
GGI+RNA | $71.4(\pm 3.9)$ | $69.6(\pm 2.2)$
GGI+RNA+Meth | $\textbf{71.6}(\pm 6.1)$ | $\textbf{70.1}(\pm 6.7)$
### 4.2 Generative vs Non-Generative models results
The table shows the average link prediction performance of the VGAE and GAE on
5 tissue-specific GGI networks, using the intermediate integration approach
described in section 3.
Model | Bal Acc | F1 score
---|---|---
GAE | $70.2(\pm 3.0)$ | $68.1(\pm 4.2)$
VGAE | $\textbf{71.6}(\pm 4.1)$ | $\textbf{70.1}(\pm 4.7)$
## 5 Discussion
### 5.1 Multi-omics integration
We discuss the results obtained from different types of multi-omics
integration in order to understand the value of each omics in achieving link
prediction on tissue-specific networks. On non-enriched GGI (Gene-Gene-
Interaction) networks, the VGAE achieves a very poor performance, which
highlights the importance of node features to learn informative graph
embeddings. By adding gene methylation node features (GGI+Meth), we observe a
notable improvement of the overall performance. The balanced accuracy grows
from 50% to 57% and the F1 score grows from 33% to 48%. On the other hand,
augmenting the networks with RNA node features (GGI+RNA) brings a considerable
enhancement in the link prediction performance. The incorporation of RNA
features causes the balanced accuracy and F1 score to increase from 50% to
70-71%. These results suggest that RNA sequencing features are more valuable
than gene methylation features and lead to more accurate graph embeddings on
tissue-specific GGI networks. While both RNA and methylation features enhance
the prediction performance of the VGAE, their combination (GGI+RNA+Meth) is
not particularly complementary for link prediction. Indeed, the VGAE’s
performance on GGI+RNA+Meth is almost equal to its performance on GGI+RNA.
### 5.2 Generative vs Non-Generative models
On the other hand, we observe that the VGAE results in a higher link
prediction performance than the GAE. Indeed, the balanced accuracy and F1
score are roughly 1-2% higher in the case of the VGAE. The higher performance
of the VGAE shows the benefits of latent space regularisation. By enforcing
the latent distribution to be close to a gaussian distribution, the VGAE
regularises the latent space and enables a better generalisation performance.
Moreover, the VGAE provides flexibility in the learning process because we can
tune the KLD loss with a parameter $\alpha$ and the reconstruction loss with a
parameter $\beta$. Increasing $\alpha$ would augment the generative power of
the VGAE whereas increasing $\beta$ would further optimise the reconstruction
performance. Overall, these results highlight the relevance of generative
models in performing multi-modal learning on multi-omics networks.
## 6 Conclusion
In summary, our work explores multi-modal learning on tissue-specific gene-
gene interaction (GGI) networks. Our approach towards multi-omics integration
consists of enriching GGI networks with RNA-sequencing and gene methylation
features. Since omics modalities are collected separately across distinct
tissues, our data is tissue-specific. In order to learn powerful molecular
representations, we decide to leverage graph embedding models (i.e VGAE) which
have the benefit of being scalable to the incorporation of multiple omics
modalities. By evaluating our VGAE model on the addition and the removal of
omics features, we conduct an ablation study that provides insights into the
benefits of each omics data type (i.e RNA-sequencing and gene methylation).
We observe that the performance of the model increases significantly with the
integration of gene methylation profiles and RNA features. Additionally, we
discover that RNA features lead to a higher improvement than methylation
profiles, which suggests that RNA-sequencing data is more insightful for
learning tissue-specific molecular signatures.
On the other hand, the VGAE outperforms the non-generative GAE, which reveals
the potential of generative models in multi-modal learning on graphs. Overall,
our integrative VGAE achieves a link prediction accuracy of 71% on the multi-
omics networks (GGI+RNA+Meth), which proves its ability to compress high-
dimensional biological networks into informative low-dimensional embeddings.
Overall, this study highlights the benefits of multi-omics integration for
link prediction on biological networks. Our insights are based on a
variational graph auto-encoder (VGAE) which extracts low-dimensional
representations from healthy tissue-specific GGI networks. These
representations can serve to enrich existing biological datasets and
contribute to downstream supervised tasks such as the detection of bio-markers
and the identification of tissue-specific diseases.
## 7 Future Work
This study shows novel insights into the benefits of multi-omics integration
in bioinformatcs. For future work, our approach could be leveraged to target a
concrete application in prognostics, such as the detection of breast cancer.
Based on our approach, we could collect multi-omics data from breast tissues
and train our VGAE model to distinguish between healthy breast representations
and cancer breast representations. Since our models are scalable and flexible
to the integration of heterogeneous omics features, the prediction of breast
cancer would only require to change the multi-omics input data. The omics data
could be specific to 2 classes: “Healthy breast tissues” and “Diseased breast
tissues”. Additionally, the tissue-specific representations learnt on breast
cancer could be used by downstream machine learning classifiers to perform
more specialised predictions, such as identifying breast cancer molecular
subtypes (Singh et al., 2018).
More generally, our proposed VGAE is interdisciplinary and can be harnessed to
perform multi-modal learning on any task involving graph structures (e.g
social networks and graph recommendation systems).
## References
* de Anda-Jáuregui & Hernández-Lemus (2020) de Anda-Jáuregui, G. and Hernández-Lemus, E. Computational oncology in the multi-omics era: State of the art. _Frontiers in Oncology_ , 10:423, 2020. ISSN 2234-943X. doi: 10.3389/fonc.2020.00423. URL https://www.frontiersin.org/article/10.3389/fonc.2020.00423.
* Greene et al. (2015) Greene, C., Krishnan, A., Wong, A., Ricciotti, E., Zelaya, R., Himmelstein, D., Zhang, R., Hartmann, B., Zaslavsky, E., Sealfon, S., Chasman, D., FitzGerald, G., Dolinski, K., Grosser, T., and Troyanskaya, O. Understanding multicellular function and disease with human tissue-specific networks. _Nature genetics_ , 47, 04 2015. doi: 10.1038/ng.3259.
* Huang et al. (2017) Huang, S., Chaudhary, K., and Garmire, L. X. More is better: Recent progress in multi-omics data integration methods. _Frontiers in Genetics_ , 8:84, 2017. ISSN 1664-8021. doi: 10.3389/fgene.2017.00084. URL https://www.frontiersin.org/article/10.3389/fgene.2017.00084.
* Jambusaria et al. (2018) Jambusaria, A., Klomp, J., Hong, Z., Rafii, S., Dai, Y., Malik, A., and Rehman, J. Additional file 1: of A computational approach to identify cellular heterogeneity and tissue-specific gene regulatory networks. 6 2018. doi: 10.6084/m9.figshare.6679493.v1. URL https://springernature.figshare.com/articles/Additional_file_1_of_A_computational_approach_to_identify_cellular_heterogeneity_and_tissue-specific_gene_regulatory_networks/6679493.
* Kipf & Welling (2016) Kipf, T. N. and Welling, M. Variational graph auto-encoders, 2016.
* Kircali Ata et al. (2018) Kircali Ata, S., Ou-Yang, L., Fang, Y., Kwoh, C.-K., Wu, M., and li, X. Integrating node embeddings and biological annotations for genes to predict disease-gene associations. _BMC Systems Biology_ , 12, 12 2018. doi: 10.1186/s12918-018-0662-y.
* Kuru (2019) Kuru, H. I. Graph embeddings on protein interaction networks. _Bilkent University Institutional Repository_ , 02 2019. URL http://repository.bilkent.edu.tr/handle/11693/49202.
* Li et al. (2017) Li, R., Liang, F., Li, M., Zou, D., Sun, S., Zhao, Y., Zhao, W., Bao, Y., Xiao, J., and Zhang, Z. Methbank 3.0: A database of dna methylomes across a variety of species. _Nucleic acids research_ , 46, 11 2017. doi: 10.1093/nar/gkx1139.
* Lonsdale et al. (2013) Lonsdale, J., Thomas, J., Salvatore, M., Phillips, R., Lo, E., Shad, S., Hasz, R., Walters, G., Garcia, F., Young, N., Foster, B., Moser, M., Karasik, E., Gillard, B., Ramsey, K., Sullivan, S., Bridge, J., Magazine, H., Syron, J., and Moore, H. The genotype-tissue expression (gtex) project. _Nature genetics_ , 45:580–585, 05 2013. doi: 10.1038/ng.2653.
* Schultz & Qutub (2016) Schultz, A. and Qutub, A. A. Reconstruction of tissue-specific metabolic networks using corda. _PLOS Computational Biology_ , 12:1–33, 03 2016. doi: 10.1371/journal.pcbi.1004808. URL https://doi.org/10.1371/journal.pcbi.1004808.
* Singh et al. (2018) Singh, A., Shannon, C. P., Gautier, B., Rohart, F., Vacher, M., Tebbutt, S. J., and Lê Cao, K.-A. Diablo: from multi-omics assays to biomarker discovery, an integrative approach. _bioRxiv_ , 2018. doi: 10.1101/067611. URL https://www.biorxiv.org/content/early/2018/03/20/067611.
* Singh & Liò (2019) Singh, V. and Liò, P. Towards probabilistic generative models harnessing graph neural networks for disease-gene prediction. _CoRR_ , abs/1907.05628, 2019. URL http://arxiv.org/abs/1907.05628.
* Zitnik & Leskovec (2017) Zitnik, M. and Leskovec, J. Predicting multicellular function through multi-layer tissue networks. _CoRR_ , abs/1707.04638, 2017. URL http://arxiv.org/abs/1707.04638.
| arxiv-papers | 2021-07-25T17:38:45 | 2024-09-04T03:07:17.091829 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Amine Amor (1), Pietro Lio' (1), Vikash Singh (1), Ramon Vi\\~nas\n Torn\\'e (1), Helena Andres Terre (1)",
"submitter": "Amine Amor",
"url": "https://arxiv.org/abs/2107.11856"
} |
2107.11863 | # Non-Abelian Vector Dark Matter and Lepton $g-2$
Talal Ahmed Chowdhury [email protected] Department of Physics, University of
Dhaka, P.O. Box 1000, Dhaka, Bangladesh The Abdus Salam International Centre
for Theoretical Physics, Strada Costiera 11, I-34014, Trieste, Italy Shaikh
Saad [email protected] Department of Physics, University of Basel,
Klingelbergstrasse 82, CH-4056 Basel, Switzerland
###### Abstract
The mystery of dark matter remains an unsettled problem of particle physics.
On top of that, experiments show a persistent contention of the muon anomalous
magnetic moment (AMM) relative to the Standard Model (SM) prediction. In this
work, we consider the possibility of extending the SM with a non-Abelian gauge
symmetry $SU(2)_{X}$, under which SM leptons transform non-trivially. SM
leptons receive corrections to their AMMs of right order via one-loop
processes mediated by beyond SM (BSM) fermions required to cancel anomalies,
and BSM gauge bosons that play the role of dark matter. We show that
simultaneous explanation of the the muon AMM along with reproducing correct
relic abundance allows rather a narrow range of 0.5 - 2 TeV dark matter mass,
consistent with current experimental constraints. However, a concurrent
description that also includes electron AMM is challenging in this set-up.
## I Introduction
The existence of dark matter (DM) Oort ; Zwicky:1933gu is a one of the
prevailing puzzles in particle physics. One of the most favored approaches to
this problem exploits the fact that Weakly Interacting Massive Particles
(WIMPs) in thermal equilibrium produce the dark matter relic abundance in the
correct ballpark. The most successful theory in particle physics, the Standard
Model (SM) is devoid of any DM candidate111Some possible dark matter
candidates within the SM, although disfavored, have been explored in
Farrar:2017eqq ; Gross:2018ivp .. In this work, we explore the possibility of
extending the SM with $SU(2)_{X}$ gauge symmetry and consider non-Abelian
vector bosons to be the WIMPs. In this framework, the SM leptons, both left-
handed and right-handed ones transform non-trivially under $SU(2)_{X}$, which
plays, among others, a significant role in obtaining the correct relic
abundance via dark matter annihilation into SM leptons. Since SM leptons are
charged under $SU(2)_{X}$, new fermions must be added for gauge anomaly
cancellation.
A model where only the SM left-handed leptons are charged under extended
$SU(2)$ sector is proposed in Ref. London:1986dk . The possibility of neutral
vector boson as dark matter candidate arising from this type of $SU(2)$ sector
has been considered in Refs. DiazCruz:2010dc ; Bhattacharya:2011tr ; Ma:2012xj
222A case where dark matter emerges from extended $SU(2)\times U(1)$ sector,
see for example Ref. Davoudiasl:2013jma .. In addition to left-handed ones,
right-handed SM leptons can also transform non-trivially under the added
$SU(2)$ group, as suggested in Ref. Fornal:2017owa . In this latter set-up,
neutral vector boson remains a possible dark matter candidate and such a
scenario is studied in Ref. Ma:2021roh .
Besides dark matter, the SM is currently under scrutiny due to the precise
measurement of the muon anomalous magnetic moment (AMM) $a_{\mu}$, which is
extremely sensitive to physics beyond the SM (BSM). There has been a
longstanding tension between the theoretical prediction and the value measured
at the BNL E821 experiment Bennett:2006fi . The recently announced result of
FNAL E989 experiment Abi:2021gix , which has a smaller uncertainty, is fully
compatible with the previous best measurement. A combined result of these two
experiments shows a remarkably large deviation of $4.2\sigma$ with respect to
the SM prediction Aoyama:2020ynm . Various BSM scenarios are proposed to
explain the observed significant departure, for a most recent review see Ref.
Athron:2021iuf .
In addition to the muon AMM, the electron AMM $a_{e}$ is also measured in the
experiments with unprecedented level of accuracy. The recent improved
measurement Parker:2018vye of the fine-structure constant using Caesium atom
shows a smaller value for $a_{e}$ with $2.4\sigma$ confidence level333A more
recent measurement utilizing Rubidium atom Morel:2020dww with an accuracy of
81 parts per trillion on the other hand shows somewhat consistency with the SM
value of $a_{e}$. Contrary to Parker:2018vye , this new result Morel:2020dww
finds $\Delta a_{e}$ to be positive ($+1.6\sigma$), indicating a $5.4\sigma$
discordance between these two experiments. However, the latest result of
Morel:2020dww is completely in disagreement with their previous measurement
for unknown reason. This is why, in this work we only focus on the result
presented in Parker:2018vye . compared to theory value Aoyama:2017uqe . The
deviation $\Delta a_{\ell}=a^{exp}_{\ell}-a^{SM}_{\ell}$ is positive for the
muon, whereas, it is negative for the electron. Moreover, the ratio $\Delta
a_{e}/\Delta a_{\mu}$ is somewhat larger in magnitude than the naive lepton-
mass-scaling $m^{2}_{e}/m^{2}_{\mu}$, which evidently makes it challenging to
explain both these deviations concomitantly444 Motivated by these results
there have been a number of proposals made in the literature to simultaneously
explain the muon and the electron AMMs Giudice:2012ms ; Davoudiasl:2018fbb ;
Crivellin:2018qmi ; Liu:2018xkx ; Dutta:2018fge ; Han:2018znu ;
Crivellin:2019mvj ; Endo:2019bcj ; Abdullah:2019ofw ; Bauer:2019gfk ;
Badziak:2019gaf ; Hiller:2019mou ; CarcamoHernandez:2019ydc ; Cornella:2019uxs
; Endo:2020mev ; CarcamoHernandez:2020pxw ; Haba:2020gkr ; Bigaran:2020jil ;
Jana:2020pxx ; Calibbi:2020emz ; Chen:2020jvl ; Yang:2020bmh ; Hati:2020fzp ;
Dutta:2020scq ; Botella:2020xzf ; Chen:2020tfr ; Dorsner:2020aaz ;
Arbelaez:2020rbq ; Jana:2020joi ; Chua:2020dya ; Chun:2020uzw ; Li:2020dbg ;
DelleRose:2020oaa ; Kowalska:2020zve ; Hernandez:2021tii ; Bodas:2021fsy ;
Cao:2021lmj ; Mondal:2021vou ; CarcamoHernandez:2021iat ; Han:2021gfu ;
Escribano:2021css ; CarcamoHernandez:2021qhf ; Chang:2021axw ; Jueid:2021avn
in various BSM set-ups..
Since the DM candidate non-trivially interacts with the lepton sector, the
framework we consider in this work, namely, the neutral $SU(2)_{X}$ extension
of the SM, it is then tempting to propose a combined explanation of all
aforementioned puzzles. Hence the philosophy of our work is to postulate that
the new physics (NP) contributions to the muon and the electron AMMs are
intimately related to the origin of DM. Assuming the neutral vector bosons to
be the WIMPs, we show that reproducing DM relic abundance in the correct
ballpark along with satisfying experimental observation of the muon AMM
restricts the DM mass ($M_{X}$) and the new gauge coupling ($g_{X}$) within a
narrow range that are $M_{X}\sim 0.5-2$ TeV and $g_{X}\sim 0.2-0.8$,
respectively, for a specific region of the parameter space of the model
considered in this work. When the electron AMM is added to the aforementioned
list of observables, this model is highly disfavored. In finding the permitted
parameter space, collider constraints, e.g., LEP and LHC bounds as well as
electroweak (EW) precision data play crucial role in our analysis.
The manuscript is organized as follows. In Sec. II, we discuss the specifics
of the model, such as the gauge symmetry, the particle content, the associated
interactions, and symmetry breaking effects. In Secs. III and IV, we present
NP contributions to lepton AMM and associated constraints from various
experiments, respectively. Detailed explanations of DM physics is presented in
Sec. V. In Sec. VI, our main results are illustrated, and finally we conclude
in Sec. VII.
## II Model
Gauge group and Fermion fields.– We consider a framework where the SM is
supplemented by $SU(2)_{X}$ gauge group and consider the possibility that both
the left-handed and right-handed leptons are charged under it Fornal:2017owa ;
Ma:2021roh . This requires additional fermions to cancel gauge anomalies and
an anomaly free set of fermions (per generation) is given below:
$\displaystyle L_{L}=\begin{pmatrix}\nu_{L}&N_{L}\\\
e_{L}&E_{L}\end{pmatrix}\sim(1,2,-\frac{1}{2},2),$ (1)
$\displaystyle\widetilde{e}_{R}=\left(e_{R}\;E^{\prime}_{R}\right)\sim(1,1,-1,2),$
(2)
$\displaystyle\widetilde{\nu}_{R}=\left(\nu_{R}\;N^{\prime}_{R}\right)\sim(1,1,0,2),$
(3) $\displaystyle\widetilde{\ell}_{R}=\begin{pmatrix}N_{R}\\\
E_{R}\end{pmatrix}\sim(1,2,-\frac{1}{2},1),$ (4) $\displaystyle
E^{\prime}_{L}\sim(1,1,-1,1),\;\;N^{\prime}_{L}\sim(1,1,0,1).$ (5)
In the above set, family index is suppressed, and quantum numbers of the
fields under the complete gauge group $SU(3)_{c}\times SU(2)_{L}\times
U(1)_{Y}\times SU(2)_{X}$ are presented.
To generate masses of the BSM fermions, $SU(2)_{X}$ must be spontaneously
broken. The simplest possibility is to consider a SM singlet, which transforms
as a doublet of $SU(2)_{X}$ that we denote by
$\phi=\left(\phi_{1},\phi_{2}\right)^{T}\sim(1,1,0,2)$. The $SU(2)_{X}$ gauge
symmetry can be completely broken by the VEV $\langle\phi_{1}\rangle=v_{X}$,
which subsequently generates vectorlike masses for the BSM fermions
$E,E^{\prime}$ and $N,N^{\prime}$ (except the right-handed neutrinos
$\nu_{R}$).
As usual, the SM symmetry is broken by the Higgs doublet,
$H=\left(H^{+},H^{0}\right)^{T}\sim(1,2,1/2,1)$ that acquires the usual VEV
$\langle H\rangle=v=174$ GeV. All of the SM fermions, including neutrinos
receive Dirac type masses as a result of EW breaking. Breaking of the EW
symmetry allows a mixing between the $E$ and $E^{\prime}$ states (and
similarly for $N$ and $N^{\prime}$ states), which turns out to be crucial to
provide significant contribution to lepton AMMs to be discussed later in the
text.
The Yukawa part of the Lagrangian consists of the following terms
$\displaystyle-\mathcal{L}_{Y}$
$\displaystyle=y_{e}\overline{\widetilde{e}}_{R}H^{*}L_{L}+y_{\nu}\overline{\widetilde{\nu}}_{R}H\epsilon
L_{L}-y_{0}\overline{\widetilde{\ell}}_{R}\phi\epsilon L_{L}$ $\displaystyle-
y_{E}\overline{E}^{\prime}_{L}\phi\epsilon\widetilde{e}_{R}-y_{N}\overline{N}^{\prime}_{L}\phi\epsilon\widetilde{\nu}_{R}$
$\displaystyle+\hat{y}_{E}\overline{E}^{\prime}_{L}H^{*}\widetilde{\ell}_{R}+\hat{y}_{N}\overline{N}^{\prime}_{L}H\epsilon\widetilde{\ell}_{R},$
(6)
here $\epsilon_{21}=-\epsilon_{12}=1$. Inserting VEVs of the scalars, the mass
matrices for $E,N$ can be written as
$\displaystyle-\mathcal{L}_{Y}$
$\displaystyle=\begin{pmatrix}\overline{E}_{R}&\overline{E}^{\prime}_{R}\end{pmatrix}\begin{pmatrix}y_{0}v_{X}&\hat{y}_{E}^{\dagger}v\\\
y_{e}v&y^{\dagger}_{E}v_{X}\end{pmatrix}\begin{pmatrix}E_{L}\\\
E^{\prime}_{L}\end{pmatrix}$
$\displaystyle+\begin{pmatrix}\overline{N}_{R}&\overline{N}^{\prime}_{R}\end{pmatrix}\begin{pmatrix}y_{0}v_{X}&\hat{y}_{N}^{\dagger}v\\\
y_{\nu}v&y^{\dagger}_{N}v_{X}\end{pmatrix}\begin{pmatrix}N_{L}\\\
N^{\prime}_{L}\end{pmatrix}.$ (7)
Not only the $E$ and $N$ sectors are decoupled from each other but also the SM
fermions do not mix with these new states. Besides, the Dirac masses of the
charged leptons and neutrinos are given by $m_{e}=y_{e}v$ (just like the SM
case) and $m_{\nu}=y_{\nu}v$, respectively. For the simplicity of our work, we
will ignore the intergenerational mixings, which however can be trivially
included. We diagonalize these two matrices by the following bi-unitary
rotations
$\displaystyle\mathcal{M}_{E}=V^{\dagger}\mathcal{M}_{E}^{diag}U,\;\;\mathcal{M}_{N}=V^{\dagger}_{N}\mathcal{M}_{N}^{diag}U_{N}.$
(8)
Correspondingly, the mass eigenstates $E^{(i)}$ with $i=1,2$, are connected by
the flavor eigenstates as follows
$\displaystyle\begin{pmatrix}E_{L}\\\
E^{\prime}_{L}\end{pmatrix}=U^{\dagger}\begin{pmatrix}E^{(1)}_{L}\\\
E^{(2)}_{L}\end{pmatrix},\;\;\begin{pmatrix}E_{R}\\\
E^{\prime}_{R}\end{pmatrix}=V^{\dagger}\begin{pmatrix}E^{(1)}_{R}\\\
E^{(2)}_{R}\end{pmatrix},$ (9)
and similarly for states $N_{L,R}$, with $U\to U_{N}$ and $V\to V_{N}$. To
keep our analysis simple, we restrict ourselves to real Yukawa couplings.
Scalar sector.– As aforementioned, the scalar sector of this theory is very
simple and consists of the SM Higgs doublet $H$ and SM singlet $\phi$. The
complete scalar potential takes the form
$\displaystyle-\mathcal{L}\supset V$
$\displaystyle=\mu^{2}_{H}H^{\dagger}H+\frac{1}{2}\lambda_{H}\left(H^{\dagger}H\right)^{2}+\mu^{2}_{\phi}\phi^{\dagger}\phi$
$\displaystyle+\frac{1}{2}\lambda_{\phi}\left(\phi^{\dagger}\phi\right)^{2}+\lambda_{m}\left(H^{\dagger}H\right)\left(\phi^{\dagger}\phi\right).$
(10)
Owing to symmetry breaking, three real degrees of freedom from each of these
fields are eaten up by the corresponding gauge bosons, leaving in total two
real scalar degrees of freedom. The mass-squared matrix in a basis of
$\left(\sqrt{2}Re[\phi_{1}]\;\sqrt{2}Re[H^{0}]\right)$ is given by
$\displaystyle\begin{pmatrix}2\lambda_{\phi}v^{2}_{X}&2\lambda_{m}vv_{X}\\\
2\lambda_{m}vv_{X}&2\lambda_{H}v^{2}\end{pmatrix}.$ (11)
Diagonalization of this matrix leads to two mass eigensates defined as follows
$\displaystyle\begin{pmatrix}\phi_{X}\\\
h\end{pmatrix}=\begin{pmatrix}c_{\theta}&s_{\theta}\\\
-s_{\theta}&c_{\theta}\end{pmatrix}\begin{pmatrix}\sqrt{2}Re[\phi_{1}]\\\
\sqrt{2}Re[H^{0}]\end{pmatrix},$ (12) $\displaystyle\tan
2\theta=\frac{2\lambda_{m}}{\lambda_{\phi}/r_{v}-\lambda_{H}\;r_{v}},\,\,\mathrm{where}\,\,r_{v}=v/v_{X}.$
(13)
The mass eigenvalues of $\phi_{X}$ and $h$ are given as
$m^{2}_{\phi_{X},h}=\lambda_{H}v^{2}+\lambda_{\phi}v^{2}_{X}\pm\bigg{\\{}\left(\lambda_{\phi}v^{2}_{X}-\lambda_{H}v^{2}\right)^{2}+4\lambda^{2}_{m}v^{2}v^{2}_{X}\bigg{\\}}^{1/2}.$
(14)
As the mass of the Standard Higgs boson is experimentally fixed to be
$m_{h}=125.1$ GeV, we determine the scalar couplings, $\lambda_{H,\phi,m}$ in
terms of Higgs mass, $m_{h}$, BSM neutral Higgs mass, $m_{\phi_{X}}$, and the
corresponding mixing angle, $\theta$ as follows,
$\displaystyle\lambda_{H}$
$\displaystyle=\frac{m_{h}^{2}+m_{\phi_{X}}^{2}-(m_{\phi_{X}}^{2}-m_{h}^{2})\cos\theta}{4v^{2}},$
(15) $\displaystyle\lambda_{\phi}$
$\displaystyle=\frac{m_{h}^{2}+m_{\phi_{X}}^{2}+(m_{\phi_{X}}^{2}-m_{h}^{2})\cos\theta}{4v_{X}^{2}},$
(16) $\displaystyle\lambda_{m}$
$\displaystyle=\frac{(m_{\phi_{X}}^{2}-m_{h}^{2})\sin 2\theta}{4vv_{X}}.$ (17)
Gauge interactions.– Like the $SU(2)_{L}$ part of the SM, the added
$SU(2)_{X}$ gauge factor comes with three vector bosons. Note however that
each of them are electromagnetically neutral. We denote these gauge bosons as
$X=\left(X_{1}-i\;X_{2}\right)/\sqrt{2}$,
$X^{\dagger}=\left(X_{1}+i\;X_{2}\right)/\sqrt{2}$, and $Z^{\prime}=X_{3}$.
Interactions of these newly introduced gauge bosons are given by
$\displaystyle\mathcal{L}_{G}\supset\frac{g_{X}}{2}Z^{\prime}_{\mu}\bigg{\\{}\overline{e}\gamma^{\mu}e+\overline{\nu}\gamma^{\mu}\nu-\overline{E}_{L}\gamma^{\mu}E_{L}-\overline{E}^{\prime}_{R}\gamma^{\mu}E^{\prime}_{R}$
$\displaystyle-\overline{N}_{L}\gamma^{\mu}N_{L}-\overline{N}^{\prime}_{R}\gamma^{\mu}N^{\prime}_{R}\bigg{\\}}+\frac{g_{X}}{\sqrt{2}}X_{\mu}\bigg{\\{}\overline{\nu}_{L}\gamma^{\mu}N_{L}+\overline{e}_{L}\gamma^{\mu}E_{L}$
$\displaystyle+\overline{\nu}_{R}\gamma^{\mu}N^{\prime}_{R}+\overline{e}_{R}\gamma^{\mu}E^{\prime}_{R}+h.c.\bigg{\\}}.$
(18)
Here $g_{X}$ is the gauge coupling associated to $SU(2)_{X}$ group. When
$\phi$ develops VEV, all the gauge bosons acquire degenerate mass given by
$M_{X}=\frac{1}{2}g^{2}_{X}v_{X}^{2}$.
A spectacular feature of this model is that when the $SU(2)_{X}$ local
symmetry is spontaneously broken, a residual global $U(1)$ symmetry emerges
from it Ma:2021roh . Looking at all the interactions of this theory, viz, Eqs.
(6), (10), and (18), it is clear that all the SM fermions along with right-
handed neutrino $\nu_{R}$, the BSM neutral Higgs $\phi_{X}$, and $Z^{\prime}$
are neutral under this $U(1)$, whereas $E,E^{\prime},N,N^{\prime},$ and the
vector boson $X^{\dagger}$, each carry one unit of dark charge $Q_{X}=+1$. We
identify this as the dark $U(1)_{X}$ symmetry, which is responsible for
stabilizing the dark matter in our set-up. In this work, we consider a
scenario where the gauge boson $X$ is the dark matter candidate, hence must be
the lightest among $\\{E,E^{\prime},N,N^{\prime},X\\}$.
It is to be notated that in this theory the SM gauge bosons receive additional
interactions that can potentially affect the EW precision data. Consequences
of these interactions are discussed in Sec. IV.
## III Lepton AMM
First we briefly summarize the current experimental status of the lepton
anomalous magnetic moments defined as $a_{\ell}=(g_{\ell}-2)/2$. Since AMMs
for the muon and the electron are very precisely measured quantities, they
provide excellent tests of physics beyond the SM.
The previous measurement ($a_{\mu}=116592089(63)\times 10^{-11}$) of $a_{\mu}$
from BNL Bennett:2006fi about two decades ago showed a significant deviation
from the SM prediction ($a_{\mu}=116591810(43)\times 10^{-11}$) that
corresponds to a positive $3.7\sigma$ discrepancy. This longstanding tension
just recently has been confirmed by the FNAL result Abi:2021gix
($a_{\mu}=16592040(54)\times 10^{-11}$), which has smaller uncertainty. Their
respective deviations relative to the SM value correspond to
$\displaystyle\Delta a_{\mu}^{BNL}=(2.79\pm 0.76)\times 10^{-9},$ (19)
$\displaystyle\Delta a_{\mu}^{FNAL}=(2.30\pm 0.69)\times 10^{-9}.$ (20)
Combinedly these two results point towards a large $4.2\sigma$ tension with SM
value:
$\displaystyle\Delta a_{\mu}^{comb}=(2.51\pm 0.59)\times 10^{-9}.$ (21)
As for the electron, a recent measurement performed at the Berkeley National
Laboratory Parker:2018vye yields a smaller $a_{e}$ than the SM prediction.
Their result shows a deviation given by
$\displaystyle\Delta a_{e}=(-8.8\pm 3.6)\times 10^{-13},$ (22)
which corresponds to $2.4\sigma$ disagreement from SM value.
Note that the quantity $a_{\ell}$ is flavour conserving, CP-conserving,
chirality flipping, and must be loop induced. In the SM and in many BSM
extensions, this chiral symmetry is broken only by the non-vanishing mass term
$m_{\ell}$ for the corresponding lepton. Consequently, a relation of the form
$a_{\ell}\propto m_{\ell}^{2}$ holds, which makes BSM contributions to be
small. It is somewhat challenging to find a common BSM origin to resolve both
the muon and the electron AMMs, not only because the magnitude of their
relative deviations is larger than the naive mass scaling
$m^{2}_{e}/m^{2}_{\mu}$, but also due to their opposite signs. To provide
large corrections to both the muon and the electron AMMs, as suggested by
experimental results, additional sources of chiral symmetry breaking of the
muon and the electron are required.
Figure 1: Leading order contribution to muon $a_{\mu}$, here $E,E^{\prime}$
refer to muon-like heavy lepton. A similar diagram for the electron can be
drawn with electron-like heavy leptons running inside the loop.
The model presented in this work, such a chirality flipping contribution
appears at the one-loop order via the dark matter exchange as shown in Fig. 1.
It is crucial to realize that even though breaking of $SU(2)_{X}$ generates
vectorlike masses for $E,E^{\prime}$, they are allowed to mix only after EW
symmetry is broken, see Eq. (7). New physics contribution to lepton AMMs of
Fig. 1 vanishes in the SM unbroken phase.
Now, utilizing the rotations of the fermions fields defined in Eq. (9), and
gauge interactions of Eq. (18), the relevant dark matter coupling for
$a_{\ell}$ to fermions in the mass basis can be written as
$\displaystyle\mathcal{L}\supset
X_{\mu}\left(\Gamma^{L}_{\ell,i}\overline{E}_{L}^{i}\gamma^{\mu}\ell_{L}+\Gamma^{R}_{\ell,j}\overline{E}_{R}^{j}\gamma^{\mu}\ell_{R}\right),$
(23)
$\displaystyle\Gamma^{L}_{\ell,k}=\frac{g_{X}}{\sqrt{2}}U^{\ell}_{k1},\;\;\Gamma^{R}_{\ell,k}=\frac{g_{X}}{\sqrt{2}}V^{\ell}_{k2},$
(24)
where sum over repeated indices is understood. For concreteness, here we have
put a superscript of $\ell$ on $U,V$ to distinguish rotation matrices for
different flavors involved. With all these, we derive the BSM contribution to
lepton AMM to be Leveille:1977rc
$\displaystyle a^{BSM}_{\ell}=-\frac{m_{\ell}}{4\pi^{2}m^{2}_{X}}$
$\displaystyle\bigg{\\{}Re\left[\Gamma^{L*}_{k}\Gamma^{R}_{k}\right]M^{(k)}_{E}F[x_{k}]$
$\displaystyle+m_{\ell}\left(\left|\Gamma^{L}_{k}\right|^{2}+\left|\Gamma^{R}_{k}\right|^{2}\right)G[x_{k}]\bigg{\\}},$
(25)
and the loop functions are given by ($\sqrt{x_{k}}=M^{(k)}_{E}/M_{X}$)
$\displaystyle F[x]=\frac{4-3x-x^{3}+6x\ln[x]}{4(x-1)^{3}},$ (26)
$\displaystyle
G[x]=\frac{8-38x+39x^{2}-14x^{3}+5x^{4}-18x^{2}\ln[x]}{24(x-1)^{4}}.$ (27)
From this, one sees that the first term dominates due to its chiral enhanced
effect, and rest of the terms can be ignored. For numerical analysis, we
however, use the full expression. For later convenience, in Fig. 2, we
demonstrate the dependence of these corrections to lepton AMMs, on the two
most crucial parameters of the theory, namely, $g_{X}$ and $M_{X}$. The orange
(blue) band corresponds to $\Delta a$ for the muon (electron) within its
$1\sigma$ experimental value. The overlapping parameter space (brown band)
shows the required values in the $M_{X}-g_{X}$ plane to simultaneously
incorporate $\Delta a_{\ell}$. In this plot, we have fixed the relevant Yukawa
couplings to be
$y^{e,\mu}_{0}=y^{e,\mu}_{E}=1.5=-3\hat{y}^{\mu}_{E}=30\hat{y}^{e}_{E}$.
Figure 2: BSM corrections to lepton AMMs arising from Fig. 1. The orange
(blue) band corresponds to $g-2$ for the muon (electron) within its $1\sigma$
experimental value. The overlapping parameter space, i.e, the brown band is
where both are satisfied. For details, see text.
## IV Experimental constraints
Here we summarize relevant experimental constraints of our model.
LHC constraints.– The large hadron collider (LHC) is searching for charged
fermions beyond the SM. For each flavor, we have two types of singly charged
fermions that we commonly denote as $F^{\pm}=E^{\pm},E^{\prime\pm}$. Even
though our BSM fermion $F^{\pm}$ has no interactions with quarks, they can
still be pair produced at LHC via s-channel $\gamma/Z$ exchange as displayed
in Fig. 3. This Feynman diagram shows that once produced, each $F^{\pm}$ will
decay into a dark matter (lighter than $F^{\pm}$ in our scenario) and a SM
charged lepton that gives rise to $pp\to\ell^{-}\ell^{+}+\not{E}_{T}$.
Processes of this type are constrained by LHC due to the standard slepton
searches Aad:2014yka ; Sirunyan:2018nwe ; Sirunyan:2018vig . Assuming the
existence of both the left-handed and right-handed partners, as in our case,
LHC puts a lower limit of $450$ GeV for their masses Sirunyan:2018nwe .
Figure 3: Representative Feynman diagram leading to possible
$pp\to\ell^{-}\ell^{+}+\not{E}_{T}$ at the LHC.
LEP constraints.– In addition to dark vector boson, since our model also
contains a $Z^{\prime}$ that does not carry any dark charge, it directly
decays to SM leptons as depicted in Eq. (18) (the first term). Processes like
this are highly constrained by LEP experiment LEP:2003aa . In fact there are
two types of $Z^{\prime}$ searches, indirect and direct, and the former seems
to provide stronger bound in our scenario. Direct bound is applicable for
$Z^{\prime}$ mass below the center of mass scale of LEP-II that constraints
$m_{Z^{\prime}}\leq 209$ GeV. On the other hand, indirect bound arises from
four fermi contact interaction leading to $e^{+}e^{-}\to f\overline{f}$
originating from integrating out $Z^{\prime}$ ($f$ is any SM fermion). The
strongest bound comes from $e^{+}e^{-}\to\ell^{+}\ell^{-}$ final states, which
for vectorial coupling corresponds to $\Lambda^{\ell^{+}\ell^{-}}_{VV}=24.6$
TeV ALEPH:2013dgf . Following the analysis performed in Ref. Carena:2004xs ,
we find555Related future bounds from ILC can be found for example in Ref.
Das:2021esm . the most stringent constraint from LEP-II that translates into
$M_{X}/(g_{X}/2)>6.94$ TeV ($\equiv\Lambda_{LEP}$) for our case.
EW precision constraints.– As already pointed out, the SM gauge bosons have
additional interactions in this model that alter the vacuum polarisation and
lead to corrections to oblique parameters. In our set-up, mixing between
doublets and singlets play the vital role in explaining muon and electron
AMMs, which subsequently contribute to these precision electroweak
observables. We find that the strongest such constraints originate from
$T$-parameter Peskin:1990zt within this framework, which we take into account
in our calculation.
The expression for the $T$-parameter from BSM fermions has the form
Lavoura:1992np ; Chen:2017hak
$\displaystyle\Delta T=\frac{1}{4\pi
s^{2}_{2W}}\sum_{i,j}\bigg{\\{}\left(\left|A^{L}_{ij}\right|^{2}+\left|A^{R}_{ij}\right|^{2}\right)F_{+}(w_{i},w_{j})$
$\displaystyle+2Re\left(A^{L}_{ij}A^{R*}_{ij}F_{-}(w_{i},w_{j})\right)-\frac{1}{2}\left(\left|B^{L}_{ij}\right|^{2}+\left|B^{R}_{ij}\right|^{2}\right)$
(28) $\displaystyle\times
F_{+}(w_{i},w_{j})-Re\left(B^{L}_{ij}B^{R*}_{ij}F_{-}(w_{i},w_{j})\right)\bigg{\\}},$
here $A\;g/\sqrt{2}$ and $B\;g/(2c_{W})$ are the couplings of the $W^{+}$ and
$Z$ bosons, respectively, after going to the mass basis of the fermions
following Eqs. (9). And the associated loop functions take the form
$\displaystyle
F_{+}(w_{i},w_{j})=w_{1}+w_{2}-\frac{2w_{1}w_{2}}{w_{1}-w_{2}}\ln\left[\frac{w_{1}}{w_{2}}\right],$
(29) $\displaystyle
F_{-}(w_{i},w_{j})=2\sqrt{w_{1}w_{2}}\left(\frac{w_{1}+w_{2}}{w_{1}-w_{2}}\ln\left[\frac{w_{1}}{w_{2}}\right]-2\right).$
(30)
We impose the experimental $1\sigma$ bound on this parameter $\Delta T=0.05\pm
0.06$ Zyla:2020zbs in our numerical study.
## V Dark Matter Relic Density and Direct Detection
Dark Matter Parameter Space.– As already mentioned in section II, the single-
charged BSM fermions, $E$, $E^{\prime}$ and the neutral fermions, $N$,
$N^{\prime}$, and $X^{\dagger}$ carry the conserved dark charge, $Q_{X}=1$,
and compose the dark sector of this model. We consider $X$ to be the vector
dark matter candidate666The vector dark matter can arise also from $U(1)$
extension of the SM, see for example Farzan:2012hh . in this work, and to
avoid its decay into the BSM fermions and charged leptons, $l$ and neutrinos
$\nu_{l}$,
$X\rightarrow\overline{E}\,l,\,\overline{E^{\prime}}\,l,\,\overline{N}\,\nu_{l},\,\overline{N^{\prime}}\,\nu_{l}$,
the mass of $X$ is set to $M_{X}<m_{E,E^{\prime}},m_{N,N^{\prime}}$. Before
describing its relic abundance, let us delineate the relevant parameter space
for the DM set by the Direct Detection experiments.
Dark Matter Direct Detection.– At tree-level, the spin-independent DM-nucleon
cross-section of $X$ is mediated by the SM Higgs exchange, and given as
$\sigma_{\mathrm{SI}}=\frac{1}{4\pi}\frac{|F_{nX}|^{2}\mu_{r}^{2}}{M_{X}^{2}}$
(31)
where, the effective coupling between $X$ and the nucleon, $n$ is determined
as, $F_{nX}=\frac{g_{X}M_{X}\sin\theta}{m_{h}^{2}}\frac{f_{n}m_{n}}{v}$
following the prescription of Hisano:2010yh and the reduced mass is
$\mu_{r}=\frac{M_{X}m_{n}}{M_{X}+m_{n}}$. Moreover, $m_{n}=0.938$ GeV is the
nucleon mass and $f_{n}$ parametrizes the effective coupling between the Higgs
boson and the nucleon, and is given by $f_{n}=0.308$ Hoferichter:2017olk .
Moreover, the scalar couplings, $\lambda_{H,\phi,m}$ are determined in terms
of the parameters $\\{m_{h},m_{\phi_{X}},g_{X},M_{X},v,\theta\\}$ using Eq.
15, 16 and 17, and we constrain them within the range,
$0\leq\lambda_{H,\phi,m}\leq 1$ to ensure their perturbativity at larger
energy scale. Combining these constraints with the limit on the spin-
independent DM direct detection from XENON1T XENON:2018voc 777After the
submission of this work, the PandaX-4T collaboration has presented a new limit
on the spin-independent DM-nucleon interactions PandaX:2021osp which can be
relevant for such study., we determine the allowed region of
$m_{\phi_{X}}-\theta$ for a specific value of $(M_{X},g_{X})$.
Figure 4: The allowed parameter space of the heavy Higgs mass, $m_{\phi_{X}}$
vs the mixing angle, $\theta$ for (left figure) the DM mass, $M_{X}=1$ TeV
(red), $2$ TeV (blue) and $3$ TeV (green) with $SU(2)_{N}$ gauge coupling,
$g_{X}=0.65$, and for (right figure) $g_{X}=0.25$ (purple), $0.45$ (green) and
$0.7$ (orange) with $M_{X}=1$ TeV. Here we impose the constraints,
$0\leq\lambda_{H,\phi,m}\leq 1$ and
$\sigma_{\mathrm{SI}}\leq\sigma^{\mathrm{EXP}}_{\mathrm{SI}}$.
From, Fig. 4, we can see that the mixing angle is relatively small for smaller
DM mass but there is no significant increase even when we raise the DM mass
while keeping the gauge coupling, $g_{X}$ fixed. Moreover, for smaller
$g_{X}$, the allowed region is relatively larger when the DM mass is fixed.
Nevertheless, for the region of parameter space where the muon and electron
$g-2$ are relevant, $g_{X}$ is of the order $O(0.1-1)$ and $M_{X}$ is of the
order $O(\mathrm{TeV})$, the allowed mixing angle between the SM Higgs and the
BSM neutral Higgs remains quite small for a wide range of $m_{\phi_{X}}$.
Dark Matter Relic Density– The relic abundance of $X$ is achieved via standard
thermal freeze-out mechanism. The $2\rightarrow 2$ (co)annihilation channels
that give dominant contributions to the freeze-out of the non-relativistic $X$
are,
* •
$X\,X^{\dagger}\rightarrow Z^{\prime}Z^{\prime}$ annihilation channel that
involves 4-point interaction, the exchange of $X$ in t and u channels and the
exchange of $\phi_{X}$ and $h$ in the s-channel. As this annihilation mode
consists of massive vector bosons in both initial and final states, the
comparatively large multiplicities in this channel will lead to a larger
cross-section. On the other hand, if the low-velocity approximation, $\sigma
v=a+b\,v^{2}$, is used to calculate the relic density, this annihilation
channel turns out to be forbidden, which is not the case during the thermal
freeze-out since it occurs at the temperature around $T_{f}\sim
M_{X}/30-M_{X}/20$, and the energy of the DM follows the Maxwell-Boltzmann
distribution, as pointed out in Griest:1990kh . As a result, this annihilation
mode largely determines the relic abundance of the vector DM, $X$.
* •
Apart from $Z^{\prime}\,Z^{\prime}$ in the final states, one can also have
$X\,X^{\dagger}\rightarrow
Z^{\prime}\,\phi_{X},\,\,\mathrm{and}\,\,Z^{\prime}\,h$ i.e a vector boson and
either the BSM neutral Higgs (when $M_{X}>m_{\phi_{X}}$) or the SM higgs boson
in the final state. This annihilation can proceed through the exchange of
$Z^{\prime}$ in the s channel and the exchange of $X$ at t and u channels.
* •
$X\,X^{\dagger}\rightarrow\overline{l}l,\,\overline{\nu}_{l}\nu_{l}$, i.e. to
the SM charged lepton pairs and neutrino pairs ($l=e,\mu$ is the lepton flavor
index) via the exchange of $E,\,E^{\prime}$ and $N,\,N^{\prime}$,
respectively, in the $t$-channel and the exchange of $Z^{\prime}$, $\phi_{X}$
and $h$ in the s channel.
* •
$X\,X^{\dagger}\rightarrow\phi_{X}\phi_{X},\,\phi_{X}\,h,\,\mathrm{and}\,\,h\,h$
i.e. annihilating into the pair of BSM neutral Higgs bosons and the SM Higgs
bosons, and into one heavy Higgs and one SM Higgs bosons via the 4-point
interaction, the exchange of $X$ in the t and u channels and the exchange of
$\phi_{X}$ and $h$ in the s channel.
Besides, the additional channels that participate in the coannihilation are,
* •
$X\,E,\,X\,E^{\prime}\rightarrow\psi\psi^{\prime}$,
$X\,N,\,X\,N^{\prime}\rightarrow\psi\psi^{\prime}$ and their charge conjugated
channels.
* •
$\overline{E}\,E,\,\overline{E^{\prime}}\,E^{\prime},\,\overline{E^{\prime}}\,E\rightarrow\psi\,\psi^{\prime}$
and
$\overline{N}\,N,\,\overline{N^{\prime}}\,N^{\prime},\,\overline{N^{\prime}}\,N\rightarrow\psi\,\psi^{\prime}$
and their charge conjugated channels.
Because of large number of final states for these coannihilation channels, for
simplicity we denote all of the allowed final states using
$\psi\,\psi^{\prime}$ where any one or both of $\psi,\,\psi^{\prime}$ either
indicate the SM particles or the particles carrying zero dark charge, i.e.
$Z^{\prime}$ and $\phi_{X}$ depending on kinematic conditions.
We calculate the relic abundance of $X$ using MicrOMEGAS v$\\_\,5.2$
Belanger:2020gnr in which we implement the model with the help of FeynRules
Alloul:2013bka . From Fig. 4, we can see that the mixing angle between the SM
and the BSM neutral Higgs, $\theta$ remains small for wide ranges of
$m_{\phi_{X}}$, $M_{X}$ and $g_{X}$ values. Therefore, we have set $\theta\sim
10^{-4}$ for the subsequent calculation. For such small $\theta$, the
annihilation channels that contain the interaction vertices with the SM Higgs
and the dark sector particles, and vertices with the BSM neutral Higgs and the
SM particles, will give negligible contribution to thermal freeze-out of the
DM, $X$. Besides, for our region of interest, $M_{X}\geq m_{\phi_{X}}$, though
the variation in the value of $m_{\phi_{X}}$ does not significantly change the
relic density of $X$, we vary $m_{\phi_{X}}$ within the range
$126\,\mathrm{GeV}-0.9M_{X}$ in our numerical study.
## VI Results
In this section, we present our detailed numerical analysis and encapsulate
predictions of this theory. From aforementioned discussions, it is
comprehensible that in this framework, the lepton AMMs and the dark matter
physics are deeply intertwined with each other.
In our numerical analysis, we vary the relevant Yukawa couplings appearing in
Eq. (6) in the range $0.1-1$ for diagonal entries and $0.01-1$ ($0.001-1$) for
the off-diagonal entry in the muon (electron) sector. Since the main purpose
of this work is to throw light on the electron and the muon AMMs, we do not
include the associated tau sector in our numerical study. As for the gauge
coupling and DM mass (we have treated $M_{X}$ to be the free parameter instead
of $v_{X}$), the corresponding chosen ranges are $0.05-1.5$ and $140-5000$
GeV, respectively. By varying these parameters randomly within their above
mentioned ranges for $10^{8}$ times, we compute the $T$-parameter, the muon
and the electron AMMs, and dark matter relic abundance following the
discussions of the previous sections. Our final result is depicted in Fig. 5.
Figure 5: The correlation between dark matter mass, $M_{X}$ and the
$SU(2)_{X}$ gauge coupling, $g_{X}$. All points shown in the plot satisfy the
electron and the muon anomalous magnetic moments within their $1\sigma$
experimental allowed values. Each point is also consistent with $T$-parameter
constraints ($1\sigma$). Only the blue and red dots are consistent with dark
matter relic abundance ($5\sigma$), however, points in red dots are ruled out
by LHC search. Region shaded in orange is excluded by LEP direct search.
Indirect search from LEP (shaded green region), however, rules out
simultaneous explanation of both the electron and the muon AMMs within their
expected $1\sigma$ values. For detailed explanation regarding the best-fit
point, denoted by the red star, see text.
In this Fig. 5, the plot shows the interdependence of the DM mass and the
gauge coupling. Points satisfying both $\Delta a_{e}$ and $\Delta a_{\mu}$
within their experimental $1\sigma$ values are shown in black, these points
are also in agreement with $T$-parameter bounds within $1\sigma$. However, the
requirement of reproducing correct DM relic abundance Planck:2018vyg rules
out a large portion of the theory parameter space as can be seen from Fig. 5.
Points that allow acceptable DM abundance are presented in blue and red
colors. These red points are further ruled out by the LHC searches
corresponding to the $M_{E}\leq 450$ GeV. Furthermore, the parameter space
ruled out by LEP direct and indirect searches are shown in orange and green
shaded regions, respectively. From this plot, it is evident that this model
fails to explain both the electron and the muon $g-2$ within their $1\sigma$
experimental values, due to several constraints arising mostly from LEP
searches.
In search of finding a valid point in agreement with LEP as well as LHC
limits, we perform a $\chi^{2}$ analysis. In this numerical procedure, we
minimize the function $\chi^{2}=\sum_{i}P^{2}_{i}$, where the pull is defined
as $P_{i}=(T_{i}-E_{i})/\sigma_{i}$. For an observable $i$, $T_{i},E_{i}$, and
$\sigma_{i}$ denote theory prediction, experimental central value, and
experimental $1\sigma$ uncertainty, respectively. The sum is taken over
$i=\\{\Delta a_{e},\Delta a_{\mu},\Delta T,\Omega.h^{2}\\}$. This is a
constrained optimization that includes the experimental constraints
implemented on top of $\chi^{2}$-function. The best-fit point obtained in this
procedure leads to $\Delta a_{\mu}=1.5\times 10^{-9}$, which corresponds to a
pull of $P=-1.69$ ($\chi^{2}_{total}=3.8$). For rest of the observables pulls
are smaller than unity. This best-fit point is allowed by all bounds arising
from current experiments, as can be seen from Fig. 5 (point marked as red
star; for this best-fit $M_{X}/(g_{X}/2)=7.19$ TeV $>\Lambda_{LEP}$). Despite
of satisfying LEP bound, since the muon AMM cannot be fitted within its
$1\sigma$ range, we conclude that this model disfavors a simultaneous
explanation of both the muon and the electron $g-2$. A behavior of this type
can be understood from Fig. 2, which demonstrates that for a fixed DM mass,
$(g-2)_{\mu}$ demands higher value of $g_{X}$ (compared to correctly
reproducing $(g-2)_{e}$ within $1\sigma$ that allows smaller values of
$g_{X}$), hence conflicting with LEP bound.
Figure 6: The correlation between dark matter mass, $M_{X}$ and the
$SU(2)_{X}$ gauge coupling, $g_{X}$. All points shown in this plot satisfy the
muon anomalous magnetic moment and dark matter relic abundance within their
$1\sigma$ and $5\sigma$ ranges, respectively. Each point is also consistent
with $T$-parameter constraints ($1\sigma$). Yellow points are ruled out by LHC
searches. For detailed, see text.
The only way to overcome the stringent LEP bound is to forbid the electron to
couple to $Z^{\prime}$; in the following we explore such a possibility. This
scenario is equivalent to having a set of fermions listed in Eq. (1)-(5) only
for the muon sector. The first and the third generation of leptons are then
identical to that of the SM. LEP bounds are no longer present, and the full
parameter space consistent with the muon $g-2$ and DM relic abundance is
presented in Fig. 6. To generate this plot, we follow the same procedure as
that of Fig 5. As can be seen from Fig. 6, when the muon AMM and the DM relic
density constraints are combined with the assumption that
$m_{\phi_{X}}<M_{X}$, the allowed parameter space of this model is rather
limited. This corresponds to gauge coupling $g_{X}\sim 0.2-0.8$ and DM mass
$M_{X}\sim 500-2000$ GeV. The lower limit of the DM mass $M_{X}\gtrsim 0.5$
TeV is fixed by LHC searches, whereas the upper limit $M_{X}\lesssim 2$ TeV is
restricted by the viability of reproducing correct $\Delta a_{\mu}$. The
reason that both Fig. 5 (that includes both $(g-2)_{\mu}$ and $(g-2)_{e}$) and
Fig. 6 that includes only $(g-2)_{\mu}$) have similar cut-off from the lower
side can be clearly understood from Fig. 2. In addition, this muon-specific
scenario can be explored in the upcoming Muon collider Muoncollider .
Furthermore, when included, the tau sector will contribute to the thermal
freeze-out of the DM, however, such effects would be negligible because the
freeze-out process is completely dominated by the DM annihilating into
$Z^{\prime}Z^{\prime}$ channel for our preferred region of parameter space.
## VII Conclusion
In this work, we have presented a model that sheds light on the origin of the
dark matter and also resolves tantalizing anomaly observed in the muon
anomalous magnetic moment. The proposed framework extends the SM by
$SU(2)_{X}$ gauge symmetry, under which SM leptons transform non-trivially.
The new gauge bosons that play the role of dark matter, with the help of
additional fermions needed for anomaly cancellation, furnish prescribed
quantum corrections towards the lepton anomalous magnetic moments. When
contemporary collider constraints and EW precision measurements are taken into
consideration, we find that simultaneous explanation of the muon and the
electron AMMs along with obtaining right DM relic abundance is highly
disfavored. This leads us to a specific scenario for which only the muon is
charged under the added $SU(2)_{X}$. In such a scenario, our analysis shows
that a viable parameter space of the model for which the new gauge coupling is
$g_{X}\sim 0.2-0.8$ and DM mass is $M_{X}\sim 0.5-2$ TeV can explain dark
matter relic abundance as well as the large deviation observed in the muon
$g-2$, recently confirmed by the FNAL result.
###### Acknowledgements.
Acknowledgments.– The work of S.S. has been supported by the Swiss National
Science Foundation.
## References
* (1) J. Oort, “The Force Exerted by the Stellar System in the Direction Perpendicular to the Galactic Plane and Some Related Problems,” Bulletin of the Astronomical Institutes of the Netherlands 6 (1932) 249–287.
* (2) F. Zwicky, “Die Rotverschiebung von extragalaktischen Nebeln,” Helv. Phys. Acta 6 (1933) 110–127.
* (3) G. R. Farrar, “Stable Sexaquark,” arXiv:1708.08951 [hep-ph].
* (4) C. Gross, A. Polosa, A. Strumia, A. Urbano, and W. Xue, “Dark Matter in the Standard Model?,” Phys. Rev. D 98 no. 6, (2018) 063005, arXiv:1803.10242 [hep-ph].
* (5) D. London and J. L. Rosner, “Extra Gauge Bosons in E(6),” Phys. Rev. D 34 (1986) 1530.
* (6) J. L. Diaz-Cruz and E. Ma, “Neutral SU(2) Gauge Extension of the Standard Model and a Vector-Boson Dark-Matter Candidate,” Phys. Lett. B 695 (2011) 264–267, arXiv:1007.2631 [hep-ph].
* (7) S. Bhattacharya, J. L. Diaz-Cruz, E. Ma, and D. Wegman, “Dark Vector-Gauge-Boson Model,” Phys. Rev. D 85 (2012) 055008, arXiv:1107.2093 [hep-ph].
* (8) E. Ma and J. Wudka, “Vector-Boson-Induced Neutrino Mass,” Phys. Lett. B 712 (2012) 391–395, arXiv:1202.3098 [hep-ph].
* (9) H. Davoudiasl and I. M. Lewis, “Dark Matter from Hidden Forces,” Phys. Rev. D 89 no. 5, (2014) 055026, arXiv:1309.6640 [hep-ph].
* (10) B. Fornal, Y. Shirman, T. M. P. Tait, and J. R. West, “Asymmetric dark matter and baryogenesis from $SU(2)_{\ell}$,” Phys. Rev. D 96 no. 3, (2017) 035001, arXiv:1703.00199 [hep-ph].
* (11) E. Ma, “Non-Abelian gauge lepton symmetry as the gateway to dark matter,” Phys. Lett. B 819 (2021) 136456, arXiv:2105.04466 [hep-ph].
* (12) Muon g-2 Collaboration, G. W. Bennett et al., “Final Report of the Muon E821 Anomalous Magnetic Moment Measurement at BNL,” Phys. Rev. D73 (2006) 072003, arXiv:hep-ex/0602035 [hep-ex].
* (13) Muon g-2 Collaboration, B. Abi et al., “Measurement of the Positive Muon Anomalous Magnetic Moment to 0.46 ppm,” Phys. Rev. Lett. 126 no. 14, (2021) 141801, arXiv:2104.03281 [hep-ex].
* (14) T. Aoyama et al., “The anomalous magnetic moment of the muon in the Standard Model,” Phys. Rept. 887 (2020) 1–166, arXiv:2006.04822 [hep-ph].
* (15) P. Athron, C. Balázs, D. H. Jacob, W. Kotlarski, D. Stöckinger, and H. Stöckinger-Kim, “New physics explanations of $a_{\mu}$ in light of the FNAL muon $g-2$ measurement,” arXiv:2104.03691 [hep-ph].
* (16) R. H. Parker, C. Yu, W. Zhong, B. Estey, and H. Mueller, “Measurement of the fine-structure constant as a test of the Standard Model,” Science 360 (2018) 191, arXiv:1812.04130 [physics.atom-ph].
* (17) L. Morel, Z. Yao, P. Cladé, and S. Guellati-Khélifa, “Determination of the fine-structure constant with an accuracy of 81 parts per trillion,” Nature 588 no. 7836, (2020) 61–65.
* (18) T. Aoyama, T. Kinoshita, and M. Nio, “Revised and Improved Value of the QED Tenth-Order Electron Anomalous Magnetic Moment,” Phys. Rev. D97 no. 3, (2018) 036001, arXiv:1712.06060 [hep-ph].
* (19) G. F. Giudice, P. Paradisi, and M. Passera, “Testing new physics with the electron g-2,” JHEP 11 (2012) 113, arXiv:1208.6583 [hep-ph].
* (20) H. Davoudiasl and W. J. Marciano, “Tale of two anomalies,” Phys. Rev. D98 no. 7, (2018) 075011, arXiv:1806.10252 [hep-ph].
* (21) A. Crivellin, M. Hoferichter, and P. Schmidt-Wellenburg, “Combined explanations of $(g-2)_{\mu,e}$ and implications for a large muon EDM,” Phys. Rev. D98 no. 11, (2018) 113002, arXiv:1807.11484 [hep-ph].
* (22) J. Liu, C. E. M. Wagner, and X.-P. Wang, “A light complex scalar for the electron and muon anomalous magnetic moments,” JHEP 03 (2019) 008, arXiv:1810.11028 [hep-ph].
* (23) B. Dutta and Y. Mimura, “Electron $g-2$ with flavor violation in MSSM,” Phys. Lett. B790 (2019) 563–567, arXiv:1811.10209 [hep-ph].
* (24) X.-F. Han, T. Li, L. Wang, and Y. Zhang, “Simple interpretations of lepton anomalies in the lepton-specific inert two-Higgs-doublet model,” Phys. Rev. D99 no. 9, (2019) 095034, arXiv:1812.02449 [hep-ph].
* (25) A. Crivellin and M. Hoferichter, “Combined explanations of $(g-2)_{\mu}$, $(g-2)_{e}$ and implications for a large muon EDM,” in 33rd Rencontres de Physique de La Vallée d’Aoste (LaThuile 2019) La Thuile, Aosta, Italy, March 10-16, 2019. 2019\. arXiv:1905.03789 [hep-ph].
* (26) M. Endo and W. Yin, “Explaining electron and muon $g-2$ anomaly in SUSY without lepton-flavor mixings,” JHEP 08 (2019) 122, arXiv:1906.08768 [hep-ph].
* (27) M. Abdullah, B. Dutta, S. Ghosh, and T. Li, “$(g-2)_{\mu,e}$ and the ANITA anomalous events in a three-loop neutrino mass model,” Phys. Rev. D100 no. 11, (2019) 115006, arXiv:1907.08109 [hep-ph].
* (28) M. Bauer, M. Neubert, S. Renner, M. Schnubel, and A. Thamm, “Axion-like particles, lepton-flavor violation and a new explanation of $a_{\mu}$ and $a_{e}$,” arXiv:1908.00008 [hep-ph].
* (29) M. Badziak and K. Sakurai, “Explanation of electron and muon g - 2 anomalies in the MSSM,” JHEP 10 (2019) 024, arXiv:1908.03607 [hep-ph].
* (30) G. Hiller, C. Hormigos-Feliu, D. F. Litim, and T. Steudtner, “Anomalous magnetic moments from asymptotic safety,” arXiv:1910.14062 [hep-ph].
* (31) A. E. Cárcamo Hernández, S. F. King, H. Lee, and S. J. Rowley, “Is it possible to explain the muon and electron $g-2$ in a $Z^{\prime}$ model?,” arXiv:1910.10734 [hep-ph].
* (32) C. Cornella, P. Paradisi, and O. Sumensari, “Hunting for ALPs with Lepton Flavor Violation,” arXiv:1911.06279 [hep-ph].
* (33) M. Endo, S. Iguro, and T. Kitahara, “Probing $e\mu$ flavor-violating ALP at Belle II,” arXiv:2002.05948 [hep-ph].
* (34) A. E. Cárcamo Hernández, Y. H. Velásquez, S. Kovalenko, H. N. Long, N. A. Pérez-Julve, and V. V. Vien, “Fermion masses and mixings and $g-2$ anomalies in a low scale 3-3-1 model,” arXiv:2002.07347 [hep-ph].
* (35) N. Haba, Y. Shimizu, and T. Yamada, “Muon and Electron $g-2$ and the Origin of Fermion Mass Hierarchy,” arXiv:2002.10230 [hep-ph].
* (36) I. Bigaran and R. R. Volkas, “Getting chirality right: top-philic scalar leptoquark solution to the $(g-2)_{e,\mu}$ puzzle,” arXiv:2002.12544 [hep-ph].
* (37) S. Jana, V. P. K., and S. Saad, “Resolving electron and muon $g-2$ within the 2HDM,” Phys. Rev. D 101 no. 11, (2020) 115037, arXiv:2003.03386 [hep-ph].
* (38) L. Calibbi, M. L. López-Ibáñez, A. Melis, and O. Vives, “Muon and electron $g-2$ and lepton masses in flavor models,” JHEP 06 (2020) 087, arXiv:2003.06633 [hep-ph].
* (39) C.-H. Chen and T. Nomura, “Electron and muon $g-2$, radiative neutrino mass, and $\ell^{\prime}\to\ell\gamma$ in a $U(1)_{e-\mu}$ model,” Nucl. Phys. B 964 (2021) 115314, arXiv:2003.07638 [hep-ph].
* (40) J.-L. Yang, T.-F. Feng, and H.-B. Zhang, “Electron and muon $(g-2)$ in the B-LSSM,” J. Phys. G 47 no. 5, (2020) 055004, arXiv:2003.09781 [hep-ph].
* (41) C. Hati, J. Kriewald, J. Orloff, and A. M. Teixeira, “Anomalies in 8Be nuclear transitions and $(g-2)_{e,\mu}$: towards a minimal combined explanation,” JHEP 07 (2020) 235, arXiv:2005.00028 [hep-ph].
* (42) B. Dutta, S. Ghosh, and T. Li, “Explaining $(g-2)_{\mu,e}$, the KOTO anomaly and the MiniBooNE excess in an extended Higgs model with sterile neutrinos,” Phys. Rev. D 102 no. 5, (2020) 055017, arXiv:2006.01319 [hep-ph].
* (43) F. J. Botella, F. Cornet-Gomez, and M. Nebot, “Electron and muon $g-2$ anomalies in general flavour conserving two Higgs doublets models,” Phys. Rev. D 102 no. 3, (2020) 035023, arXiv:2006.01934 [hep-ph].
* (44) K.-F. Chen, C.-W. Chiang, and K. Yagyu, “An explanation for the muon and electron $g-2$ anomalies and dark matter,” JHEP 09 (2020) 119, arXiv:2006.07929 [hep-ph].
* (45) I. Doršner, S. Fajfer, and S. Saad, “$\mu\to e\gamma$ selecting scalar leptoquark solutions for the $(g-2)_{e,\mu}$ puzzles,” Phys. Rev. D 102 no. 7, (2020) 075007, arXiv:2006.11624 [hep-ph].
* (46) C. Arbeláez, R. Cepedello, R. M. Fonseca, and M. Hirsch, “$(g-2)$ anomalies and neutrino mass,” Phys. Rev. D 102 no. 7, (2020) 075005, arXiv:2007.11007 [hep-ph].
* (47) S. Jana, P. K. Vishnu, W. Rodejohann, and S. Saad, “Dark matter assisted lepton anomalous magnetic moments and neutrino masses,” Phys. Rev. D102 no. 7, (2020) 075003, arXiv:2008.02377 [hep-ph].
* (48) C.-K. Chua, “Data-driven study of the implications of anomalous magnetic moments and lepton flavor violating processes of $e$, $\mu$ and $\tau$,” Phys. Rev. D 102 no. 5, (2020) 055022, arXiv:2004.11031 [hep-ph].
* (49) E. J. Chun and T. Mondal, “Explaining $g-2$ anomalies in two Higgs doublet model with vector-like leptons,” JHEP 11 (2020) 077, arXiv:2009.08314 [hep-ph].
* (50) S.-P. Li, X.-Q. Li, Y.-Y. Li, Y.-D. Yang, and X. Zhang, “Power-aligned 2HDM: a correlative perspective on $(g-2)_{e,\mu}$,” JHEP 01 (2021) 034, arXiv:2010.02799 [hep-ph].
* (51) L. Delle Rose, S. Khalil, and S. Moretti, “Explaining electron and muon $g$ $-$ 2 anomalies in an Aligned 2-Higgs Doublet Model with right-handed neutrinos,” Phys. Lett. B 816 (2021) 136216, arXiv:2012.06911 [hep-ph].
* (52) K. Kowalska and E. M. Sessolo, “Minimal models for g-2 and dark matter confront asymptotic safety,” Phys. Rev. D 103 no. 11, (2021) 115032, arXiv:2012.15200 [hep-ph].
* (53) A. E. C. Hernández, S. F. King, and H. Lee, “Fermion mass hierarchies from vectorlike families with an extended 2HDM and a possible explanation for the electron and muon anomalous magnetic moments,” Phys. Rev. D 103 no. 11, (2021) 115024, arXiv:2101.05819 [hep-ph].
* (54) A. Bodas, R. Coy, and S. J. D. King, “Solving the electron and muon $g-2$ anomalies in $Z^{\prime}$ models,” arXiv:2102.07781 [hep-ph].
* (55) J. Cao, Y. He, J. Lian, D. Zhang, and P. Zhu, “Electron and Muon Anomalous Magnetic Moments in the Inverse Seesaw Extended NMSSM,” arXiv:2102.11355 [hep-ph].
* (56) T. Mondal and H. Okada, “Inverse seesaw and $(g-2)$ anomalies in $B-L$ extended two Higgs doublet model,” arXiv:2103.13149 [hep-ph].
* (57) A. E. Cárcamo Hernández, C. Espinoza, J. Carlos Gómez-Izquierdo, and M. Mondragón, “Fermion masses and mixings, dark matter, leptogenesis and $g-2$ muon anomaly in an extended 2HDM with inverse seesaw,” arXiv:2104.02730 [hep-ph].
* (58) X.-F. Han, T. Li, H.-X. Wang, L. Wang, and Y. Zhang, “Lepton-specific inert two-Higgs-doublet model confronted with the new results for muon and electron g-2 anomalies and multi-lepton searches at the LHC,” arXiv:2104.03227 [hep-ph].
* (59) P. Escribano, J. Terol-Calvo, and A. Vicente, “$\boldsymbol{(g-2)_{e,\mu}}$ in an extended inverse type-III seesaw model,” Phys. Rev. D 103 no. 11, (2021) 115018, arXiv:2104.03705 [hep-ph].
* (60) A. E. Cárcamo Hernández, S. Kovalenko, M. Maniatis, and I. Schmidt, “Fermion mass hierarchy and g-2 anomalies in an extended 3HDM Model,” arXiv:2104.07047 [hep-ph].
* (61) W.-F. Chang, “One colorful resolution to the neutrino mass generation, three lepton flavor universality anomalies, and the Cabibbo angle anomaly,” arXiv:2105.06917 [hep-ph].
* (62) A. Jueid, J. Kim, S. Lee, and J. Song, “Type-X two Higgs doublet model in light of the muon $\mathbf{g-2}$: confronting Higgs and collider data,” arXiv:2104.10175 [hep-ph].
* (63) J. P. Leveille, “The Second Order Weak Correction to (G-2) of the Muon in Arbitrary Gauge Models,” Nucl. Phys. B137 (1978) 63–76.
* (64) ATLAS Collaboration, G. Aad et al., “Search for the direct production of charginos, neutralinos and staus in final states with at least two hadronically decaying taus and missing transverse momentum in $pp$ collisions at $\sqrt{s}$ = 8 TeV with the ATLAS detector,” JHEP 10 (2014) 096, arXiv:1407.0350 [hep-ex].
* (65) CMS Collaboration, A. M. Sirunyan et al., “Search for supersymmetric partners of electrons and muons in proton-proton collisions at $\sqrt{s}=$ 13 TeV,” Phys. Lett. B790 (2019) 140–166, arXiv:1806.05264 [hep-ex].
* (66) CMS Collaboration, A. M. Sirunyan et al., “Search for supersymmetry in events with a $\tau$ lepton pair and missing transverse momentum in proton-proton collisions at $\sqrt{s}=$ 13 TeV,” JHEP 11 (2018) 151, arXiv:1807.02048 [hep-ex].
* (67) LEP, ALEPH, DELPHI, L3, OPAL, LEP Electroweak Working Group, SLD Electroweak Group, SLD Heavy Flavor Group Collaboration, t. S. Electroweak, “A Combination of preliminary electroweak measurements and constraints on the standard model,” arXiv:hep-ex/0312023 [hep-ex].
* (68) ALEPH, DELPHI, L3, OPAL, LEP Electroweak Collaboration, S. Schael et al., “Electroweak Measurements in Electron-Positron Collisions at W-Boson-Pair Energies at LEP,” Phys. Rept. 532 (2013) 119–244, arXiv:1302.3415 [hep-ex].
* (69) M. Carena, A. Daleo, B. A. Dobrescu, and T. M. P. Tait, “$Z^{\prime}$ gauge bosons at the Tevatron,” Phys. Rev. D 70 (2004) 093009, arXiv:hep-ph/0408098.
* (70) A. Das, P. S. B. Dev, Y. Hosotani, and S. Mandal, “Probing the minimal $U(1)_{X}$ model at future electron-positron colliders via the fermion pair-production channel,” arXiv:2104.10902 [hep-ph].
* (71) M. E. Peskin and T. Takeuchi, “A New constraint on a strongly interacting Higgs sector,” Phys. Rev. Lett. 65 (1990) 964–967.
* (72) L. Lavoura and J. P. Silva, “The Oblique corrections from vector - like singlet and doublet quarks,” Phys. Rev. D47 (1993) 2046–2057.
* (73) C.-Y. Chen, S. Dawson, and E. Furlan, “Vectorlike fermions and Higgs effective field theory revisited,” Phys. Rev. D96 no. 1, (2017) 015006, arXiv:1703.06134 [hep-ph].
* (74) Particle Data Group Collaboration, P. Zyla et al., “Review of Particle Physics,” PTEP 2020 no. 8, (2020) 083C01.
* (75) Y. Farzan and A. R. Akbarieh, “VDM: A model for Vector Dark Matter,” JCAP 10 (2012) 026, arXiv:1207.4272 [hep-ph].
* (76) J. Hisano, K. Ishiwata, N. Nagata, and M. Yamanaka, “Direct Detection of Vector Dark Matter,” Prog. Theor. Phys. 126 (2011) 435–456, arXiv:1012.5455 [hep-ph].
* (77) M. Hoferichter, P. Klos, J. Menéndez, and A. Schwenk, “Improved limits for Higgs-portal dark matter from LHC searches,” Phys. Rev. Lett. 119 no. 18, (2017) 181803, arXiv:1708.02245 [hep-ph].
* (78) XENON Collaboration, E. Aprile et al., “Dark Matter Search Results from a One Ton-Year Exposure of XENON1T,” Phys. Rev. Lett. 121 no. 11, (2018) 111302, arXiv:1805.12562 [astro-ph.CO].
* (79) PandaX Collaboration, Y. Meng et al., “Dark Matter Search Results from the PandaX-4T Commissioning Run,” arXiv:2107.13438 [hep-ex].
* (80) K. Griest and D. Seckel, “Three exceptions in the calculation of relic abundances,” Phys. Rev. D 43 (1991) 3191–3203.
* (81) G. Belanger, A. Mjallal, and A. Pukhov, “Recasting direct detection limits within micrOMEGAs and implication for non-standard Dark Matter scenarios,” Eur. Phys. J. C 81 no. 3, (2021) 239, arXiv:2003.08621 [hep-ph].
* (82) A. Alloul, N. D. Christensen, C. Degrande, C. Duhr, and B. Fuks, “FeynRules 2.0 - A complete toolbox for tree-level phenomenology,” Comput. Phys. Commun. 185 (2014) 2250–2300, arXiv:1310.1921 [hep-ph].
* (83) Planck Collaboration, N. Aghanim et al., “Planck 2018 results. VI. Cosmological parameters,” Astron. Astrophys. 641 (2020) A6, arXiv:1807.06209 [astro-ph.CO].
* (84) K. R. Long et al., “Muon colliders to expand frontiers of particle physics,” Nature Physics 17 (2021) 289.
| arxiv-papers | 2021-07-25T18:16:22 | 2024-09-04T03:07:17.102554 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Talal Ahmed Chowdhury, Shaikh Saad",
"submitter": "Shaikh Saad",
"url": "https://arxiv.org/abs/2107.11863"
} |
2107.11866 | # Deformations of cluster mutations and invariant presymplectic forms
Andrew N. W. Hone School of Mathematics, Statistics & Actuarial Science,
University of Kent, Canterbury CT2 7FS, U.K. Theodoros E. Kouloukas School
of Mathematics and Physics, University of Lincoln, Lincoln LN6 7TS, U.K.
###### Abstract
We consider deformations of sequences of cluster mutations in finite type
cluster algebras, which destroy the Laurent property but preserve the
presymplectic structure defined by the exchange matrix. The simplest example
is the Lyness 5-cycle, arising from the cluster algebra of type $A_{2}$: this
deforms to the Lyness family of integrable symplectic maps in the plane. For
types $A_{3}$ and $A_{4}$ we find suitable conditions such that the
deformation produces a two-parameter family of Liouville integrable maps (in
dimensions two and four, respectively). We also perform Laurentification for
these maps, by lifting them to a higher-dimensional space of tau functions
with a cluster algebra structure, where the Laurent property is restored. More
general types of deformed mutations associated with affine Dynkin quivers are
shown to correspond to four-dimensional symplectic maps arising as reductions
of the discrete sine-Gordon equation.
## 1 Lyness maps and Zamolodchikov periodicity
It was observed by Lyness in 1942 [28] that the recurrence
$x_{n+2}x_{n}=x_{n+1}+1$ (1.1)
generates the sequence
$x_{0},x_{1},\frac{x_{1}+1}{x_{0}},\frac{x_{0}+x_{1}+1}{x_{0}x_{1}},\frac{x_{0}+1}{x_{1}},x_{0},x_{1},\ldots,$
(1.2)
which repeats with period five. The Lyness 5-cycle also arises in Coxeter’s
frieze patterns [3], or as a simple example of Zamolodchikov periodicity in
integrable quantum field theories [37], which can be understood in terms of
the associahedron $K_{4}$ and the cluster algebra defined by the $A_{2}$
Dynkin quiver [10], and this leads to a connection with Abel’s pentagon
identity for the dilogarithm [29]. The birational map of the plane
corresponding to the recurrence (1.1), that is
$(x,y)\mapsto\left(y,\frac{y+1}{x}\right),$ (1.3)
also appears in the theory of the Cremona group: as conjectured by Usnich and
proved by Blanc [1], the birational transformations of the plane that preserve
the symplectic form
${\omega}=\frac{1}{xy}\,\mathrm{d}x\wedge\mathrm{d}y,$ (1.4)
are generated by $SL(2,{\mathbb{Z}})$, the torus and transformation (1.3).
More generally, the birational map
$\varphi:\quad(x,y)\mapsto\left(y,\frac{ay+b}{x}\right),$ (1.5)
with two parameters $a,b$ is also referred to as the Lyness map. By rescaling
$(x,y)\to(ax,ay)$, the parameter $a\neq 0$ can be removed, so that this is
really a one-parameter family, which is described in [6] as “the simplest
singular map of the plane.” There are also analogous recurrences in higher
dimensions, given by the family
$x_{n+N}x_{n}=\sum_{j=1}^{N-1}x_{n+j}+b,$
which have been shown to admit $\left\lfloor\frac{N}{2}\right\rfloor$
independent first integrals for each order $N$ [34].
Unlike the special case $b=a^{2}$, which can be rescaled to (1.3), in general
the orbits of (1.5) do not all have the same period, and generic orbits are
not periodic over an infinite field (e.g. ${\mathbb{Q}},{\mathbb{R}}$ or
$\mathbb{C}$). Moreover, while the iterates in (1.2) are Laurent polynomials
in the initial values $x_{0},x_{1}$ with integer coefficients, which is one of
the characteristic features of the cluster variables in a cluster algebra, the
iterates of (1.5) are not Laurent polynomials unless $b=a^{2}$. However, the
general map does preserve the same symplectic form (1.4), and there is a
conserved quantity $K=K(x,y)$ given by
$K=\frac{xy(x+y)+a(x^{2}+y^{2})+(a^{2}+b)(x+y)+ab}{xy}.$ (1.6)
Thus the Lyness map (1.5) is integrable in the Liouville sense, and can be
considered as a deformation of the periodic map (1.3) which arises from
mutations in a finite type cluster algebra. The purpose of this work is to
consider how other integrable maps can be obtained from deformations of
cluster mutations. The Zamolodchikov periodicity of Y-systems or T-systems
associated with finite type root systems has been extended and generalized in
various ways (see [14, 26, 30] and references), but as far as we are aware the
deformations we consider are new.
Following the framework of cluster algebras, we start from a quiver $Q$
(without 1- or 2-cycles) associated with a skew-symmetric exchange matrix
$B=(b_{ij})\in\mathrm{Mat}_{N}(\mathbb{Z})$ and an $N$-tuple of cluster
variables ${\bf x}=(x_{1},x_{2},\ldots,x_{N})$. Here we consider the cluster
variables $x_{i}$ taking values in a field $\mathbb{F}$; the main cases of
interest are ${\mathbb{F}}={\mathbb{R}}$ or ${\mathbb{C}}$, but for some of
our later analysis it will be convenient to consider
$x_{i}\in{\mathbb{Q}}\subset{\mathbb{Q}}_{p}$. The initial seed is denoted
$(B,{\bf x})$. Now, for each integer $k\in[1,N]$ we define a mutation
${\mu}_{k}$ which produces a new seed $(B^{\prime},{\bf
x}^{\prime})={\mu}_{k}(B,{\bf x})$, where $B^{\prime}=(b_{ij}^{\prime})$ with
$b_{ij}^{\prime}=\begin{cases}-b_{ij}&\text{if}\,\,i=k\,\,\text{or}\,\,j=k,\\\
b_{ij}+\text{sgn}(b_{ik})[b_{ik}b_{kj}]_{+}&\text{otherwise},\end{cases}$
(1.7)
and ${\bf x}^{\prime}=(x_{j}^{\prime})$ with
$x_{j}^{\prime}=\begin{cases}x_{k}^{-1}\,f_{k}(M^{+}_{k},M^{-}_{k})&\text{for}\,\,j=k\\\
\ x_{j}&\text{for}\,\,j\neq k.\end{cases}$ (1.8)
Here, $[a]_{+}=\max(a,0)$,
$f_{k}:\mathbb{F}\times\mathbb{F}\rightarrow\mathbb{F}$ is a differentiable
function and
$M^{+}_{k}:=\prod_{i=1}^{N}x_{i}^{[b_{ki}]_{+}}\;,\
M^{-}_{k}:=\prod_{i=1}^{N}x_{i}^{[-b_{ki}]_{+}}\;.$
For $f_{k}(M^{+}_{k},M^{-}_{k})=M^{+}_{k}+M^{-}_{k}$, the first relation in
(1.8) becomes the usual exchange relation
$x_{k}^{\prime}x_{k}=M^{+}_{k}+M^{-}_{k}$ for cluster mutations in a
coefficient-free cluster algebra. In this case, we know that there is a log-
canonical presymplectic form compatible with cluster mutations [9, 15, 22]. We
extend this result to include more general types of mutations.
###### Lemma 1.1.
Let $Q$ be a quiver associated with the exchange matrix $B=(b_{ij})$ and
$(B^{\prime},{\bf x}^{\prime})={\mu}_{k}(B,{\bf x})$, as defined by (1.7) and
(1.8). Then
$\sum_{i<j}\frac{b^{\prime}_{ij}}{x^{\prime}_{i}x^{\prime}_{j}}\mathrm{d}x^{\prime}_{i}\wedge\mathrm{d}x^{\prime}_{j}=\sum_{i<j}\frac{b_{ij}}{x_{i}x_{j}}\mathrm{d}x_{i}\wedge\mathrm{d}x_{j}$
(1.9)
if and only if
$f_{k}(M^{+}_{k},M^{-}_{k})=M^{+}_{k}g_{k}\left(\frac{M^{-}_{k}}{M^{+}_{k}}\right)\;,$
(1.10)
for an arbitrary differentiable function
$g_{k}:\mathbb{F}\rightarrow\mathbb{F}$.
###### Remark 1.2.
Equivalently, the function $f_{k}$ can be written in the form
$f_{k}(M^{+}_{k},M^{-}_{k})=M^{-}_{k}\tilde{g}_{k}\left(\frac{M^{+}_{k}}{M^{-}_{k}}\right)\;,$
for $\tilde{g}_{k}$ arbitrary.
* Proof:
Using $\sum^{\prime}$ to denote a sum over indices with index $k$ omitted, we
have
$\displaystyle{\omega}$
$\displaystyle=\sum_{i<j}\frac{b_{ij}}{x_{i}x_{j}}\,\mathrm{d}x_{i}\wedge\mathrm{d}x_{j}$
$\displaystyle=\tfrac{1}{2}\left(\text{\Large$\Sigma$}_{i,j}^{\prime}b_{ij}\mathrm{d}\log
x_{i}\wedge\mathrm{d}\log
x_{j}+\text{\Large$\Sigma$}_{i}^{\prime}b_{ik}\mathrm{d}\log
x_{i}\wedge\mathrm{d}\log
x_{k}+\text{\Large$\Sigma$}_{j}^{\prime}b_{kj}\mathrm{d}\log
x_{k}\wedge\mathrm{d}\log x_{j}\right)$
$\displaystyle=\tfrac{1}{2}\text{\Large$\Sigma$}_{i,j}^{\prime}b_{ij}\mathrm{d}\log
x_{i}\wedge\mathrm{d}\log
x_{j}+\text{\Large$\Sigma$}_{i}^{\prime}b_{ik}\mathrm{d}\log
x_{i}\wedge\mathrm{d}\log x_{k},$
and similarly
$\displaystyle{\omega}^{\prime}$
$\displaystyle=\sum_{i<j}\frac{b_{ij}^{\prime}}{x_{i}^{\prime}x_{j}^{\prime}}\,\mathrm{d}x_{i}^{\prime}\wedge\mathrm{d}x_{j}^{\prime}$
$\displaystyle=\tfrac{1}{2}\text{\Large$\Sigma$}_{i,j}^{\prime}b_{ij}^{\prime}\mathrm{d}\log
x_{i}^{\prime}\wedge\mathrm{d}\log
x_{j}^{\prime}+\text{\Large$\Sigma$}_{i}^{\prime}b_{ik}^{\prime}\mathrm{d}\log
x_{i}^{\prime}\wedge\mathrm{d}\log x_{k}^{\prime}$
$\displaystyle=\tfrac{1}{2}\text{\Large$\Sigma$}_{i,j}^{\prime}(b_{ij}+\text{sgn}(b_{ik})[b_{ik}b_{kj}]_{+})\mathrm{d}\log
x_{i}\wedge\mathrm{d}\log
x_{j}-\text{\Large$\Sigma$}_{i}^{\prime}b_{ik}\mathrm{d}\log
x_{i}\wedge(-\mathrm{d}\log x_{k}+\mathrm{d}\log f_{k}).$
Hence if we consider the sets
$\beta_{k}^{+}=\\{i\in\\{1,\dots
N\\}:b_{ki}>0\\},\qquad\beta_{k}^{-}=\\{i\in\\{1,\dots N\\}:b_{ki}<0\\},$
then noting that $[b_{ik}b_{kj}]_{+}=0$ unless either $i\in\beta_{k}^{+}$,
$j\in\beta_{k}^{-}$ or vice versa, and defining
$\mathrm{d}S^{\pm}_{k}:=\pm\mathrm{d}\log
M_{k}^{\pm}=\sum_{i\in\beta_{k}^{\pm}}b_{ki}\mathrm{d}\log x_{i},$
we have
$\displaystyle{\omega}^{\prime}-{\omega}$
$\displaystyle=\tfrac{1}{2}\text{\Large$\Sigma$}_{i,j}^{\prime}\text{sgn}(b_{ik})[b_{ik}b_{kj}]_{+}\mathrm{d}\log
x_{i}\wedge\mathrm{d}\log
x_{j}-\text{\Large$\Sigma$}_{i}^{\prime}b_{ik}\mathrm{d}\log
x_{i}\wedge\mathrm{d}\log f_{k}$
$\displaystyle=\tfrac{1}{2}\left(\sum_{\begin{subarray}{c}i\in\beta_{k}^{-}\\\
j\in\beta_{k}^{+}\end{subarray}}b_{ik}b_{kj}\mathrm{d}\log
x_{i}\wedge\mathrm{d}\log x_{j}-\sum_{\begin{subarray}{c}i\in\beta_{k}^{+}\\\
j\in\beta_{k}^{-}\end{subarray}}b_{ik}b_{kj}\mathrm{d}\log
x_{i}\wedge\mathrm{d}\log x_{j}\right)$
$\displaystyle\quad+\text{\Large$\Sigma$}_{i}^{\prime}b_{ki}\mathrm{d}\log
x_{i}\wedge\left(\frac{M^{+}_{k}}{f_{k}}\frac{\partial f_{k}}{\partial
M^{+}_{k}}\mathrm{d}\log M_{k}^{+}+\frac{M^{-}_{k}}{f_{k}}\frac{\partial
f_{k}}{\partial M^{-}_{k}}\mathrm{d}\log M_{k}^{-}\right)$
$\displaystyle=-\sum_{\begin{subarray}{c}i\in\beta_{k}^{-}\\\
j\in\beta_{k}^{+}\end{subarray}}b_{ki}b_{kj}\mathrm{d}\log
x_{i}\wedge\mathrm{d}\log x_{j}$
$\displaystyle\quad+(\mathrm{d}S^{+}_{k}+\mathrm{d}S^{-}_{k})\wedge\left(\frac{M^{+}_{k}}{f_{k}}\frac{\partial
f_{k}}{\partial
M^{+}_{k}}\mathrm{d}S_{k}^{+}-\frac{M^{-}_{k}}{f_{k}}\frac{\partial
f_{k}}{\partial M^{-}_{k}}\mathrm{d}S_{k}^{-}\right)$
$\displaystyle=\left(\frac{M^{+}_{k}}{f_{k}}\frac{\partial f_{k}}{\partial
M^{+}_{k}}+\frac{M^{-}_{k}}{f_{k}}\frac{\partial f_{k}}{\partial
M^{-}_{k}}-1\right)\,\mathrm{d}S_{k}^{-}\wedge\mathrm{d}S_{k}^{+}.$
Hence ${\omega}^{\prime}={\omega}$ iff $f_{k}=f_{k}(M_{k}^{+},M_{k}^{-})$
satisfies the linear partial differential equation
$M_{k}^{+}\frac{\partial f_{k}}{\partial M_{k}^{+}}+M_{k}^{-}\frac{\partial
f_{k}}{\partial M_{k}^{-}}=f_{k},$
of which the general solution is given by (1.10) with $g_{k}$ arbitrary. ∎
According to Lemma 1.1, if the exchange matrix $B$ remains invariant under a
sequence of mutations of the form (1.10) then the map that is generated by the
same sequence of cluster mutations will preserve a presymplectic form, i.e.
the following theorem holds.
###### Theorem 1.3.
Let ${\mu}_{i_{1}},{\mu}_{i_{2}},\dots,{\mu}_{i_{\ell}}$, for
$i_{j}\in\\{1,\dots,N\\}$, $j\in\mathbb{N}$, be a sequence of mutations
defined from (1.7) and (1.8), with each function $f_{i_{j}}$ being of the form
(1.10), such that
${\mu}_{i_{\ell}}\cdots{\mu}_{i_{2}}{\mu}_{i_{1}}(B,\mathbf{x})=(B,\tilde{\mathbf{x}}).$
Then the map $\varphi:\mathbf{x}\mapsto\tilde{\mathbf{x}}$ preserves the two-
form
$\omega=\sum_{i<j}^{N}\frac{b_{ij}}{x_{i}x_{j}}\mathrm{d}x_{i}\wedge\mathrm{d}x_{j}.$
(1.11)
###### Remark 1.4.
The preceding result admits a slight generalization to the case of cluster
algebras (or quivers $Q$) with periodicity under mutations. In the most
general setting, as described by Nakanishi [29], these are defined by an
exchange matrix with the property that
${\mu}_{i_{\ell}}\cdots{\mu}_{i_{2}}{\mu}_{i_{1}}(B)=\hat{\rho}(B)$, where
$\hat{\rho}$ is some permutation of $(1,2,\ldots,N)$ acting on the indices
(equivalently, on the nodes of the quiver $Q$). The particular case
$\mu_{m}\cdots\mu_{2}\mu_{1}(B)=\rho^{m}(B)$, for the cyclic permutation
$\rho:(1,2,\ldots,N)\mapsto(N,1,2,\ldots,N-1)$ was called cluster mutation-
periodicity with period $m$ by Fordy and Marsh [13], who gave a complete
classification of the case $m=1$. A straightforward adaptation of the above
argument shows that if $B$ is periodic, then the map
$\varphi=\hat{\rho}^{-1}{\mu}_{i_{\ell}}\cdots{\mu}_{i_{2}}{\mu}_{i_{1}}$
leaves $B$ invariant and preserves the corresponding log-canonical
presymplectic form (1.11), in the sense that $\varphi^{*}({\omega})={\omega}$.
Lemma 2.3 in [12] covers the special case of this result for ordinary cluster
mutations when $B$ is cluster mutation-periodic with period 1, so
$\varphi=\rho^{-1}\mu_{1}$ and the map can be written as a single recurrence
relation. We shall consider an example of this with a generalized mutation in
section 3. The slightly different (but closely related) problem of when an
ordinary difference equation preserves a log-canonical Poisson bracket was
considered in [7].
In the next section our aim is to generalize the example of the Lyness map
(1.5), corresponding to the root system $A_{2}$, to other finite type root
systems of type $A$, by taking mutations defined by affine functions $f_{k}$
with additional parameters that destroy the Laurent property but preserve the
two-form (1.11). Section 3 contains more general choices of mutations,
starting from affine Dynkin diagrams, where the factors $g_{k}$ in (1.10)
involve Möbius transformations, which lead to travelling wave reductions of
the discrete sine-Gordon equation. We end with a few final remarks.
## 2 Deformations of type $A$ periodic maps
In this section, extra parameters are included in the regular exchange
relation by taking $g_{k}(x)=b_{k}x+a_{k}$, since
$f_{k}(M^{+}_{k},M^{-}_{k})=M^{+}_{k}g_{k}\left(\frac{M^{-}_{k}}{M^{+}_{k}}\right)=a_{k}M^{+}_{k}+b_{k}M^{-}_{k}\;.$
(2.1)
Hence, according to Theorem 1.3, quivers which are periodic under a particular
sequence of mutations (or more generally, are periodic up to a permutation)
give rise to parametric cluster maps that preserve the presymplectic form
(1.11). If the corresponding exchange matrix is non-singular the parametric
cluster maps are symplectic. We begin by examining the case of $A_{2}$ in more
detail, and then apply this approach to study the integrability of parametric
cluster maps associated with the $A_{3}$ and $A_{4}$ quivers.
### 2.1 Deformed mutations for $A_{2}$ quiver
The exchange matrix of type $A_{2}$ is
$B=\left(\begin{array}[]{rr}0&1\\\ -1&0\end{array}\right).$
In this case, $B$ corresponds to a cluster mutation-periodic quiver with
period $1$ and $M^{+}_{1}=x_{2}$, $M^{-}_{1}=1$. So, by the modification of
Theorem 1.3 as in Remark 1.4, taking $\rho:(1,2)\mapsto(2,1)$, for any
differentiable function $\tilde{g}:\mathbb{F}\rightarrow\mathbb{F}$ the map
$\varphi=\rho^{-1}\mu_{1}$ given by
$\varphi:(x_{1},x_{2})\mapsto\left(x_{2},\frac{1}{x_{1}}\tilde{g}(x_{2})\right)\;,$
(2.2)
is symplectic with respect to
$\omega=\frac{1}{x_{1}x_{2}}\mathrm{d}x_{1}\wedge\mathrm{d}x_{2}$. (Compared
with (1.10) we have $f_{1}(x,1)=xg_{1}(1/x)=\tilde{g}(x)$: in general,
replacing $g_{k}(x)\to xg_{k}(1/x)$ corresponds to sending $B\to-B$, which is
equivalent to replacing the corresponding quiver $Q\to Q^{opp}$, the same
quiver with all arrows reversed; see also Remark 1.2.)
With $(x,y)=(x_{1},x_{2})$ and $\tilde{g}(x)=ax+b$, we reproduce the Lyness
map (1.5). Starting from the periodic map (1.3), and relabelling the initial
data as $(x_{0},x_{1})$, any cyclic symmetric function of the iterates
$x_{0},x_{1},x_{2},x_{3},x_{4}$ in the periodic orbit (1.2) gives a first
integral. So in the periodic case there are two independent integrals, namely
$\displaystyle K_{1}=$
$\displaystyle\sum_{j=0}^{4}x_{j}=-3+\prod_{j=0}^{4}x_{j}=\frac{x_{0}^{2}x_{1}+x_{0}x_{1}^{2}+x_{0}^{2}+x_{1}^{2}+2(x_{0}+x_{1})+1}{x_{0}x_{1}},$
$\displaystyle K_{2}=$ $\displaystyle\,\sum_{j=0}^{4}x_{j}x_{j+1}$
$\displaystyle=$
$\displaystyle\,\frac{x_{0}x_{1}(x_{0}^{2}x_{1}^{2}+x_{0}^{3}+x_{1}^{3}+x_{0}^{2}+x_{1}^{2}+x_{0}+x_{1}+2)+x_{0}^{3}+x_{1}^{3}+2(x_{0}^{2}+x_{1}^{2})+x_{0}+x_{1}}{x_{0}^{2}x_{1}^{2}}.$
Both of the latter are sums of Laurent monomials, so in the case of the map
with parameters, first integrals can be sought by taking arbitrary linear
combinations of the same monomials and solving the resulting conditions on the
coefficients. Thus in the case of (1.5), the first integral (1.6) can be
considered as a deformation of $K_{1}$ above; but a first integral composed of
the Laurent monomials in $K_{2}$ only exists when $b=a^{2}$ and the map is
periodic, corresponding to the undeformed situation.
Although the Laurent phenomenon does not persist for the iterates of the
Lyness recurrence
$x_{n+2}x_{n}=ax_{n+1}+b$ (2.3)
when $b\neq a^{2}$, it was pointed out in [12] that there is a connection to a
cluster algebra via a lift to a space of higher dimension, defined by the
substitution
$x_{n}=\frac{\tau_{n+5}\tau_{n}}{\tau_{n+3}\tau_{n+2}},$
which leads to the Somos-7 recurrence
$\tau_{n+7}\tau_{n}=a\,\tau_{n+6}\tau_{n+1}+b\,\tau_{n+4}\tau_{n+3}.$ (2.4)
As explained in [13], Somos-type recurrences such as the above, with a sum of
two monomials on the right-hand side, can be generated by mutations in a
cluster algebra. In the case of (2.4), it is a cluster algebra of rank 7,
extended by the addition of the parameters $a,b$ as frozen variables.
The rest of this section is devoted to the analogous constructions for $A_{3}$
and $A_{4}$.
### 2.2 $A_{3}$ quiver with parameters
For the $A_{3}$ quiver with exchange matrix
$B=\left(\begin{array}[]{ccc}0&1&0\\\ -1&0&1\\\ 0&-1&0\\\ \end{array}\right),$
as in Figure 1, we take
$f_{k}(M^{+}_{k},M^{-}_{k})=a_{k}M^{+}_{k}+b_{k}M^{-}_{k}$. In this case,
$\varphi(B,{\bf x}):={\mu}_{3}{\mu}_{2}{\mu}_{1}(B,{\bf
x})=\big{(}B,\varphi({\bf x})\big{)},$
where the composition $\varphi=\mu_{3}\mu_{2}\mu_{1}$ acts on the cluster
variables ${\bf x}=(x_{1},x_{2},x_{3})$ according to
$\begin{array}[]{rcl}\mu_{1}:\quad(x_{1},x_{2},x_{3})\mapsto(x_{1}^{\prime},x_{2},x_{3}),\qquad
x_{1}^{\prime}x_{1}&=&b_{1}+a_{1}x_{2},\\\
\mu_{2}:\quad(x_{1}^{\prime},x_{2},x_{3})\mapsto(x_{1}^{\prime},x_{2}^{\prime},x_{3}),\qquad
x_{2}^{\prime}x_{2}&=&b_{2}+a_{2}x_{1}^{\prime}x_{3},\\\
\mu_{3}:\quad(x_{1}^{\prime},x_{2}^{\prime},x_{3})\mapsto(x_{1}^{\prime},x_{2}^{\prime},x_{3}^{\prime}),\qquad
x_{3}^{\prime}x_{3}&=&b_{3}+a_{3}x_{2}^{\prime}.\end{array}$ (2.5)
Since $\varphi(B)=B$, so the exchange matrix $B$ remains invariant under this
sequence of mutations, by Theorem 1.3 the map $\varphi$ preserves the
corresponding log-canonical two-form, that is
$\varphi^{*}({\omega})={\omega},$
where
$\omega=\frac{1}{x_{1}x_{2}}\mathrm{d}x_{1}\wedge\mathrm{d}x_{2}+\frac{1}{x_{2}x_{3}}\mathrm{d}x_{2}\wedge\mathrm{d}x_{3}\;.$
Figure 1: The $A_{3}$ quiver.
The original coefficient-free cluster algebra is given by setting
$a_{i}=1=b_{i}$ for $i=1,2,3$, and in that case the map $\varphi$ is periodic
with period 6, that is $\varphi^{6}({\bf x})={\bf x}$. Moreover, one can write
down three independent first integrals for the periodic map, by taking
appropriate symmetric functions along each orbit, such as
$\sum_{i=0}^{5}(\varphi^{*})^{i}(x_{j})$,
$\prod_{i=0}^{5}(\varphi^{*})^{i}(x_{j})$, etc.
However, before considering the deformed case (2.5), there are two ways to
simplify the calculations. First of all, assuming the case of generic
parameter values $a_{i}b_{i}\neq 0$ for all $i$, we apply the scaling action
of the three-dimensional algebraic torus $({\mathbb{F}}^{*})^{3}$, given by
$x_{i}\to{\lambda}_{i}\,x_{i}$, ${\lambda}_{i}\neq 0$, and use this to remove
three parameters, so that we obtain
$a_{1}\to 1,\quad b_{1}\to 1,\quad a_{2}\to d,\quad b_{2}\to c,\quad a_{3}\to
1,\quad b_{3}\to e,$
where $c,d,e$ are arbitrary. Having simplified the space of parameters, the
map $\varphi$ is equivalent to iteration of the system of recurrences
$\begin{array}[]{rcl}x_{1,n+1}x_{1,n}&=&x_{2,n}+1,\\\
x_{2,n+1}x_{2,n}&=&dx_{1,n+1}x_{3,n}+c,\\\
x_{3,n+1}x_{3,n}&=&x_{2,n+1}+e.\end{array}$ (2.6)
Secondly, because we are in an odd-dimensional situation where $B$ necessarily
has determinant zero, so that ${\omega}$ is degenerate, so following [12] (cf.
Theorem 2.6 therein) we can use
$\mathrm{ker}\,B=<(1,0,1)^{T}>,\qquad\mathrm{im}\,B=(\mathrm{ker}\,B)^{\perp}=<(0,1,0)^{T},(-1,0,1)^{T}>$
to generate the one-parameter scaling group
$(x_{1},x_{2},x_{3})\to({\lambda}x_{1},x_{2},{\lambda}x_{3})$ and the
projection $\pi$ onto its monomial invariants,
$\pi:\qquad y=x_{2},\qquad w=\frac{x_{3}}{x_{1}}.$
On the $y,w$-plane, $\varphi$ induces the reduced map
$\hat{\varphi}:\qquad\left(\begin{array}[]{c}y\\\
w\end{array}\right)\mapsto\left(\begin{array}[]{c}\big{(}d(y+1)w+c\big{)}/y\\\
(dw+c)/(yw)+(e-c)/\big{(}w(y+1)\big{)}\end{array}\right),$ (2.7)
which is symplectic, preserving the nondegenerate two-form
$\hat{{\omega}}=\mathrm{d}\log y\wedge\mathrm{d}\log
w,\qquad\pi^{*}\hat{{\omega}}={\omega}.$ (2.8)
In the original case where all parameters are 1, the reduced map (2.7) with
$c=d=e=1$ has period 3, because $x_{2,n+3}=x_{2,n}$ and
$x_{3,n+3}/x_{1,n+3}=x_{3,n}/x_{1,n}$ for all $n$. Thus in that case there are
two functionally independent first integrals in the plane, which can be taken
as
$\begin{array}[]{rcccl}K_{1}&=&\prod_{i=0}^{2}(\hat{\varphi}^{*})^{i}(y)&=&\frac{(yw+w+1)(y+w+1)}{yw}=-2+\sum_{i=0}^{2}(\hat{\varphi}^{*})^{i}(y),\\\
K_{2}&=&\sum_{i=0}^{2}(\hat{\varphi}^{*})^{i}(w)&=&\frac{yw^{3}+yw^{2}+y^{2}w+w^{2}+2w+1}{yw(w+1)}\end{array}$
(2.9)
(while the product $\prod_{i=0}^{2}(\hat{\varphi}^{*})^{i}(w)=1$, so does not
give a nontrivial integral).
Next, we modify $K_{1}$ and $K_{2}$ by inserting constant coefficients in
front of each of their terms, which are all Laurent monomials in $K_{1}$,
while for $K_{2}$ we can replace the term $w+1$ in the denominator by an
arbitrary linear function of $w$. If we require that (at least) one of these
modified integrals should be preserved by the map $\hat{\varphi}$, then this
puts a finite number of constraints on the coefficients and parameters
$c,d,e$, which are necessary and sufficient for the deformed symplectic map to
be Liouville integrable. Thus we obtain the following result.
###### Theorem 2.1.
The condition
$c=e$
is necessary and sufficient for the symplectic map (2.7) to admit a
deformation of the first integral $K_{1}$, given by
$K_{1}=\frac{(yw+w+d)(y+dw+c)}{yw},$ (2.10)
hence $\hat{\varphi}$ is integrable whenever this condition holds. Requiring
that a deformation of $K_{2}$ should be preserved imposes the stronger
conditions
$c=d^{2}=e,$
in which case both
$K_{2}=\frac{w^{3}y+d(y+1)w^{2}+(y^{2}+2d^{2})w+d^{3}}{yw(w+d)}$ (2.11)
and $K_{1}$ given by (2.10) with $c=d^{2}$ are preserved, and all the orbits
of $\hat{\varphi}$ are periodic with period 3.
* Proof:
Starting from a general sum of monomials
$K_{1}=y+{\alpha}\,w+{\beta}\,\frac{w}{y}+\frac{{\gamma}}{y}+\frac{\delta}{w}+\frac{{\epsilon}}{yw}+\mathrm{const}$
(where we have fixed the scale by assuming that the first term has coefficient
1, and there is the freedom to add an arbitrary constant), we apply the map
(2.7) and require that $\hat{\varphi}^{*}(K_{1})=K_{1}$. Comparing the
rational functions one each side of the latter equation imposes the
requirement $c=e$ and fixes ${\alpha}={\beta}=d$, ${\gamma}=c+d^{2}$,
$\delta=d$, ${\epsilon}=cd$; then choosing to add the constant $c+1$ means
that $K_{1}$ can be factored as in (2.10). Applying the same approach to
$K_{2}$ requires the additional constraint $c=d^{2}$, restricting to the one-
parameter family of period 3 maps
$\hat{\varphi}:\qquad\left(\begin{array}[]{c}y\\\
w\end{array}\right)\mapsto\left(\begin{array}[]{c}\big{(}d(y+1)w+d^{2}\big{)}/y\\\
d(w+d)/(yw)\big{)}\end{array}\right),$
which have two independent first integrals given by (2.10) with $c=d^{2}$ and
(2.11). ∎
###### Remark 2.2.
When $c=e$, the integrable symplectic map
$\hat{\varphi}:\qquad\left(\begin{array}[]{c}y\\\
w\end{array}\right)\mapsto\left(\begin{array}[]{c}\big{(}d(y+1)w+c\big{)}/y\\\
(dw+c)/(yw)\end{array}\right),$ (2.12)
preserves the pencil of biquadratic curves defined by (2.10), which means that
there is a map of QRT type [5, 31] preserving the same pencil, given by the
composition of the horizontal and vertical switch on each curve in the pencil,
namely
$\hat{\psi}:\qquad\left(\begin{array}[]{c}y\\\
w\end{array}\right)\mapsto\left(\begin{array}[]{c}\bar{y}\\\
\bar{w}\end{array}\right),\qquad\bar{y}y=\frac{(dw+c)(w+d)}{w},\quad\bar{w}w=\frac{\bar{y}+c}{\bar{y}+1}.$
(2.13)
From general considerations about automorphisms of elliptic curves, since they
each correspond to translation by a point, these two maps should commute with
one another, and indeed it is straightforward to verify that
$\hat{\psi}\circ\hat{\varphi}=\hat{\varphi}\circ\hat{\psi}.$
However, it appears that generically the two maps correspond to translation by
two independent points of infinite order, so (over ${\mathbb{Q}}$, say) this
should generate a family of curves with Mordell-Weil group of rank at least 2.
(As a special case, when $c=d=1$ the map $\hat{\psi}$ has period 2 for any
initial data, corresponding to translation by a 2-torsion point, whereas the
period 3 map $\hat{\varphi}$ corresponds to addition of a 3-torsion point; so
the points are independent, albeit not of infinite order in this case.)
We now treat the singularity pattern of the iterates of (2.12), in order to
obtain its Laurentification in the sense of [17], i.e. a lift to a map with
the Laurent property in a space of higher dimension, in which the new
variables can be regarded as tau functions. Rather than a standard singularity
confinement analysis, we study orbits defined over ${\mathbb{Q}}$, and
consider a $p$-adic analogue of confinement, as in [24]. The possible
singularity patterns can then be obtained using the empirical approach
introduced in [20], simply by inspecting the prime factorization of a few
terms along a particular orbit.
Thus we choose some particular values for the coefficients and initial data:
taking $c=2$, $d=3$ and $(y_{0},w_{0})=(1,1)$, we find the first few iterates
are
$(8,5),(\tfrac{137}{8},\tfrac{17}{40}),(\tfrac{1607}{1096},\tfrac{1048}{2329}),(\tfrac{800200}{220159},\tfrac{1068874}{210517}),(\tfrac{3210496223}{160740175},\tfrac{728705399}{780395050}),(\tfrac{7129742296469}{2344013756975},\tfrac{2735651842025}{10626437852503}),$
so that the values of $y_{n}$ for $n=1,2,3,\ldots$ factorize as
$2^{3},\tfrac{137}{2^{3}},\tfrac{1607}{2^{3}\cdot 137},\tfrac{2^{3}\cdot
5^{2}\cdot 4001}{137\cdot 1607},\tfrac{11\cdot 17\cdot 113\cdot 137\cdot
1109}{5^{2}\cdot 1607\cdot 4001},\tfrac{13\cdot 19\cdot 43\cdot 1607\cdot
417727}{5^{2}\cdot 11\cdot 17\cdot 113\cdot 1109\cdot 4001},\ldots,$
while the factorizations of the corresponding values of $w_{n}$ are
$5,\tfrac{17}{2^{3}\cdot 5},\tfrac{2^{3}\cdot 131}{17\cdot 137},\tfrac{2\cdot
47\cdot 83\cdot 137}{131\cdot 1607},\tfrac{467\cdot 971\cdot 1607}{2\cdot
5^{2}\cdot 47\cdot 83\cdot 4001},\tfrac{5^{2}\cdot 4001\cdot 27349681}{11\cdot
17\cdot 113\cdot 467\cdot 971\cdot 1109},\ldots,$
and so on. For the primes $p=113,137,1607,4001$, the values of the $p$-adic
norm $|y_{n}|_{p}$ follow the pattern $1,p^{-1},p,p,p^{-1},1$, with the
corresponding values of $|w_{n}|_{p}$ being $1,1,p,p^{-1},1,1$, while for the
primes $p=2$ and $5$ there are instances of the same patterns but with $p\to
p^{3}$ and $p\to p^{2}$, respectively. (For some of these primes, the whole
pattern is not visible above, but it can easily be verified by computing the
next few terms, which are omitted here.) In $w_{n}$ there are also other
primes that do not appear in $y_{n}$, e.g. $p=17,47,83,131,467,971$, and for
these the pattern of $|w_{n}|_{p}$ is $1,p^{-1},p,1$. This immediately
suggests that $y_{n},w_{n}$ can be written using two different tau functions
${\sigma}_{n},\tau_{n}$, as
$\tilde{\pi}:\qquad
y_{n}=\frac{\tau_{n-2}\tau_{n+1}}{\tau_{n-1}\tau_{n}},\quad
w_{n}=\frac{{\sigma}_{n+1}\tau_{n-1}}{{\sigma}_{n}\tau_{n}},$ (2.14)
so that the first type of $p$-adic singularity corresponds to $\tau_{n}\equiv
0\bmod p$ for some $n$, and the second occurs when ${\sigma}_{n}\equiv 0\bmod
p$.
Our next goal is to show that the tau functions in (2.14) satisfy a system of
bilinear equations, namely
$\begin{array}[]{rcl}{\sigma}_{n+2}\tau_{n-2}&=&d\,{\sigma}_{n+1}\tau_{n-1}+c\,{\sigma}_{n}\tau_{n},\\\
{\sigma}_{n}\tau_{n+2}&=&{\sigma}_{n+2}\tau_{n}+d\,{\sigma}_{n+1}\tau_{n+1}\end{array}$
(2.15)
(we expect that these could be viewed as a reduction of coupled discrete
Hirota equations [4, 36]), and to prove that this system has the Laurent
property. The first equation in (2.15) is straightforward to obtain, as it
arises directly from substituting the tau function expressions (2.14) into the
second component of (2.12), rewritten in the form of a recurrence, but the
second bilinear equation requires more work. If we look at the singularity
pattern in the original three-dimensional system (2.6) with $e=c$, then we see
that
$x_{1,n}=\rho_{n}\,\frac{{\sigma}_{n+1}}{\tau_{n}},\qquad
x_{3,n}=\rho_{n}\,\frac{{\sigma}_{n}}{\tau_{n-1}},$
with a new prefactor $\rho_{n}$ appearing, while $x_{2,n}=y_{n}$ is already
accounted for. Substituting in these formulae to rewrite the system (2.6) in
terms of $\rho_{n},{\sigma}_{n},\tau_{n}$ yields
$\begin{array}[]{rcl}\rho_{n}\rho_{n+1}\,{\sigma}_{n+1}{\sigma}_{n}&=&\tau_{n+1}\tau_{n-2}+\tau_{n}\tau_{n-1},\\\
\tau_{n+2}\tau_{n-2}&=&\rho_{n}\rho_{n+1}\,d\,{\sigma}_{n+1}^{2}+c\,\tau_{n}^{2},\\\
\rho_{n}\rho_{n+1}\,{\sigma}_{n+2}{\sigma}_{n+1}&=&\tau_{n+2}\tau_{n-1}+c\,\tau_{n+1}\tau_{n}.\end{array}$
(2.16)
For the above system, the initial values are
$\rho_{0},{\sigma}_{0},{\sigma}_{1},\tau_{-2},\tau_{-1},\tau_{0},\tau_{1}$,
and in principle one could use this to give a direct proof that the sequences
$({\sigma}_{n})$ and $(\tau_{n})$ are Laurent polynomials in the initial data,
although the sequence $\rho_{n}$ is not. However, note that the product
$\rho_{n}\rho_{n+1}$ can be eliminated from any two of the equations in
(2.16), so doing this for each pair gives a set of three equations of degree
3, and then eliminating $\tau_{n+2}$ from any two of the latter results in the
first equation in (2.15), while eliminating $\tau_{n+2}$ instead produces the
relation
${\sigma}_{n}\tau_{n+2}\tau_{n-2}=d\,{\sigma}_{n+1}(\tau_{n+1}\tau_{n-2}+\tau_{n}\tau_{n-1})+c\,{\sigma}_{n}\tau_{n}^{2}.$
Finally, the second relation in (2.15) follows by combining the first relation
with the above to eliminate $\tau_{n-2}$.
Immediate evidence for the Laurent property can be seen by iterating the
system (2.15) for $c=2$, $d=3$ with all initial values
$\tau_{-2}=\tau_{-1}=\tau_{0}=\tau_{1}={\sigma}_{0}={\sigma}_{1}=1$,
corresponding to the initial values $y_{0}=w_{0}=1$ in the orbit considered
above. The first few terms are the integers
$\begin{array}[]{rllllllll}(\tau_{n})_{n\geq
1}:&1,&8,&137,&1607,&100025,&23434279,&4436678467,&1750170148834,\\\
({\sigma}_{n})_{n\geq
1}:&1,&5,&17,&131,&7802,&453457,&27349681,&18332191183,\end{array}$
and so on. It is also easy to verify directly that the first few terms
$\tau_{2},{\sigma}_{1}$, etc. obtained by iteration of (2.15) are Laurent
polynomials in the initial data with coefficients belonging to
${\mathbb{Z}}[c,d]$.
Figure 2: The initial quiver $Q$ associated with the exchange matrix (2.18).
To make further progress, it is helpful to consider the initial data for
(2.15) as a set of cluster variables
$(\tilde{x}_{1},\tilde{x}_{2},\tilde{x}_{3},\tilde{x}_{4},\tilde{x}_{5},\tilde{x}_{6})=(\tau_{-2},\tau_{-1},\tau_{0},\tau_{1},{\sigma}_{0},{\sigma}_{1})$,
and calculate the pullback of the symplectic form (2.8) by the map
$\tilde{\pi}$ defined by the tau function expressions (2.14), that is
$\tilde{{\omega}}=\tilde{\pi}^{*}\hat{{\omega}}=\sum_{i<j}b_{ij}^{*}\mathrm{d}\log\tilde{x}_{i}\wedge\mathrm{d}\log\tilde{x}_{j},$
(2.17)
where $B^{*}=(b_{ij}^{*})$ is the skew-symmetric matrix
$B^{*}=\left(\begin{array}[]{cccccc}0&1&-1&0&-1&1\\\ -1&0&2&-1&1&-1\\\
1&-2&0&1&1&-1\\\ 0&1&-1&0&-1&1\\\ 1&-1&-1&1&0&0\\\
-1&1&1&-1&0&0\end{array}\right).$ (2.18)
The quiver corresponding to this matrix is shown in Figure 2. It is not hard
to see that, when $c=1=d$, the bilinear equations (2.15) for $n=0$ are
generated by applying a mutation at node 1, denoted by $\tilde{\mu}_{1}$ (to
distinguish it from mutations in the original $A_{3}$ quiver), followed by
mutation $\tilde{\mu}_{5}$: see Figure 3. To prove the Laurent property for
the case of arbitrary coefficients, it is necessary to extend the quiver with
two extra frozen nodes.
(a) The quiver $\tilde{\mu}_{1}(Q)$.
(b) The quiver $\tilde{\mu}_{5}\tilde{\mu}_{1}(Q)$.
Figure 3: The effect of two mutations on the quiver corresponding to (2.18).
###### Theorem 2.3.
The sequences of tau functions $({\sigma}_{n})$ and $(\tau_{n})$ for the
integrable map (2.12) consist of elements of the Laurent polynomial ring
${\mathbb{Z}}_{>0}[c,d,\tau_{-2}^{\pm 1},\tau_{-1}^{\pm 1},\tau_{0}^{\pm
1},\tau_{1}^{\pm 1},{\sigma}_{0}^{\pm 1},{\sigma}_{1}^{\pm 1}]$, being
generated by a sequence of mutations in a cluster algebra defined by the
quiver in Figure 2 with the addition of two frozen nodes.
* Proof:
In order to include the coefficients, we define an extended cluster
$\tilde{\bf
x}=(\tilde{x}_{1},\ldots,\tilde{x}_{8})=(\tau_{-2},\ldots,\tau_{1},{\sigma}_{0},{\sigma}_{1},c,d)$,
where $\tilde{x}_{7}=c$ and $\tilde{x}_{8}=d$ are frozen variables, and take
an extended exchange matrix
$\tilde{B}^{*}=\left(\begin{array}[]{cccccc}0&1&-1&0&-1&1\\\ -1&0&2&-1&1&-1\\\
1&-2&0&1&1&-1\\\ 0&1&-1&0&-1&1\\\ 1&-1&-1&1&0&0\\\ -1&1&1&-1&0&0\\\
1&0&0&0&0&-1\\\ -1&-1&1&1&0&0\end{array}\right),$ (2.19)
where two more rows have been appended to (2.18). (The diagram of the quiver
with the additional arrows to/from the frozen nodes does not look quite so
clear compared with Figure 2, so it has been omitted.) Applying the mutation
$\tilde{\mu}_{1}$ gives the exchange relation
${\sigma}_{2}\tau_{-2}=d\,{\sigma}_{1}\tau_{-1}+c\,{\sigma}_{0}\tau_{0},$
and produces a new cluster
$({\sigma}_{2},\tau_{-1},\tau_{0},\tau_{1},{\sigma}_{0},{\sigma}_{1},c,d)$ and
a new matrix $\tilde{\mu}_{1}(\tilde{B}^{*})$ corresponding to the quiver in
Figure 3(a) with appropriate arrows to/from the frozen nodes 7 and 8. Next, by
applying the mutation $\tilde{\mu}_{5}$, the exchange relation is
$\tau_{2}{\sigma}_{0}=d\,{\sigma}_{1}\tau_{1}+{\sigma}_{2}\tau_{0},$
with the new cluster being
$({\sigma}_{2},\tau_{-1},\tau_{0},\tau_{1},\tau_{2},{\sigma}_{1},c,d)$, and
the new exchange matrix $\tilde{\mu}_{5}\tilde{\mu}_{1}(\tilde{B}^{*})$
corresponding to the quiver in Figure 3(b) with suitable extra arrows added to
take the coefficients into account. Continuing in a similar way, we find a
sequence of mutations to successively generate
${\sigma}_{3},\tau_{3},{\sigma}_{4},\tau_{4}$, and so on, such that overall
after applying the composition of 12 mutations given by
$\tilde{\mu}_{463524136251}:=\tilde{\mu}_{4}\tilde{\mu}_{6}\tilde{\mu}_{3}\tilde{\mu}_{5}\tilde{\mu}_{2}\tilde{\mu}_{4}\tilde{\mu}_{1}\tilde{\mu}_{3}\tilde{\mu}_{6}\tilde{\mu}_{2}\tilde{\mu}_{5}\tilde{\mu}_{1}$
(2.20)
(in order from right to left), the quiver returns to its starting position; so
we have
$\tilde{\mu}_{463524136251}(\tilde{B}^{*})=\tilde{B}^{*},\qquad\tilde{\mu}_{463524136251}(\tilde{\bf
x})=(\tau_{4},\tau_{5},\tau_{6},\tau_{7},{\sigma}_{6},{\sigma}_{7},c,d),$
with the index of each of the tau functions increased by 6. Hence by induction
both sequences $({\sigma}_{n})$, $(\tau_{n})$ are generated by repeatedly
applying this composition of mutations, and the Laurent property follows from
the fact that the tau functions are all elements of the cluster algebra, for
which it is also known that the Laurent polynomials in the initial data have
positive integer coefficients [16, 27]. ∎
###### Remark 2.4.
Preliminary calculations suggest that the iterates of the QRT map (2.13),
which commutes with $\hat{\varphi}$, have a different singularity structure,
corresponding to a tau function substitution of the form
$y_{n}=\frac{\eta_{n}}{{\sigma}_{n}\tau_{n-1}},\quad
w_{n}=\frac{{\sigma}_{n+1}\tau_{n-1}}{{\sigma}_{n}\tau_{n}},$
where $\eta_{n}$ has weight two. It would be interesting to see whether this
has a cluster algebra interpretation.
### 2.3 $A_{4}$ quiver with parameters
For the exchange matrix
$B=\left(\begin{array}[]{cccc}0&1&0&0\\\ -1&0&1&0\\\ 0&-1&0&1\\\
0&0&-1&0\end{array}\right),$
corresponding to the quiver of type $A_{4}$, once again we start from
functions of the form
$f_{k}(M^{+}_{k},M^{-}_{k})=a_{k}M^{+}_{k}+b_{k}M^{-}_{k}$, with arbitrary
coefficients such that $a_{k}b_{k}\neq 0$. By rescaling
$x_{j}\to{\lambda}_{j}\,x_{j}$ with ${\lambda}_{j}\in{\mathbb{F}}^{*}$, we can
set four of the parameters to 1, so that it is sufficient to consider a four-
parameter family of mutations, given by
$\begin{array}[]{rcl}\mu_{1}:\quad(x_{1},x_{2},x_{3},x_{4})\mapsto(x_{1}^{\prime},x_{2},x_{3},x_{4}),\qquad
x_{1}^{\prime}x_{1}&=&b_{1}+a_{1}x_{2},\\\
\mu_{2}:\quad(x_{1}^{\prime},x_{2},x_{3},x_{4})\mapsto(x_{1}^{\prime},x_{2}^{\prime},x_{3},x_{4}),\qquad
x_{2}^{\prime}x_{2}&=&1+x_{1}^{\prime}x_{3},\\\
\mu_{3}:\quad(x_{1}^{\prime},x_{2}^{\prime},x_{3},x_{4})\mapsto(x_{1}^{\prime},x_{2}^{\prime},x_{3}^{\prime},x_{4}),\qquad
x_{3}^{\prime}x_{3}&=&1+x_{2}^{\prime}x_{4},\\\
\mu_{4}:\quad(x_{1}^{\prime},x_{2}^{\prime},x_{3}^{\prime},x_{4})\mapsto(x_{1}^{\prime},x_{2}^{\prime},x_{3}^{\prime},x_{4}^{\prime}),\qquad
x_{4}^{\prime}x_{4}&=&b_{4}+a_{4}x_{3}^{\prime}.\end{array}$ (2.21)
Then, defining the action of $\varphi=\mu_{4}\mu_{3}\mu_{2}\mu_{1}$ on the
cluster ${\bf x}=(x_{1},x_{2},x_{3},x_{4})$ as above,
$\varphi(B,{\bf x}):={\mu}_{4}{\mu}_{3}{\mu}_{2}{\mu}_{1}(B,{\bf
x})=\big{(}B,\varphi({\bf x})\big{)},$
so the nondegenerate exchange matrix $B$ remains invariant under this sequence
of mutations, and according to Theorem 1.3 the map
${\bf x}\mapsto\varphi({\bf x})$
is symplectic with respect to
$\omega=\frac{1}{x_{1}x_{2}}\mathrm{d}x_{1}\wedge\mathrm{d}x_{2}+\frac{1}{x_{2}x_{3}}\mathrm{d}x_{2}\wedge\mathrm{d}x_{3}+\frac{1}{x_{3}x_{4}}\mathrm{d}x_{3}\wedge\mathrm{d}x_{4}\;.$
(2.22)
Equivalently, by computing the inverse matrix $P=B^{-1}=(p_{ij})$, the map
$\varphi$ preserves the nondegenerate Poisson bracket given by
$\\{\,x_{i},x_{j}\,\\}=p_{ij}\,x_{i}x_{j}$, which has the explicit form
$\\{\,x_{2},x_{1}\,\\}=x_{2}x_{1},\qquad\\{\,x_{4},x_{1}\,\\}=x_{4}x_{1},\qquad\\{\,x_{4},x_{3}\,\\}=x_{4}x_{3},$
(2.23)
with all other brackets being zero.
In the original case of the undeformed quiver, corresponding to
$a_{1}=a_{4}=b_{1}=b_{4}=1$ in (2.21), the map $\varphi$ is completely
periodic with period 7, and admits four independent integrals in dimension
four. Here we focus on
$I_{1}=\sum_{j=0}^{6}(\varphi^{*})^{j}(x_{1}),\qquad
I_{2}=\prod_{j=0}^{6}(\varphi^{*})^{j}(x_{1}),$ (2.24)
since in the undeformed case these Poisson commute with respect to the bracket
(2.23), that is
$\\{\,I_{1},I_{2}\,\\}=0.$ (2.25)
Being a sum/product of cluster variables in the (finite) $A_{4}$ cluster
algebra, both of these integrals are Laurent polynomials in terms of the
initial cluster ${\bf x}$, so to deform them we can just take arbitrary linear
combinations of the Laurent monomials that appear.
###### Theorem 2.5.
The conditions
$b_{1}=1=b_{4}$ (2.26)
on the parameters $a_{i}$, $b_{i}$ (for $i=1,4$) in (2.21) are necessary and
sufficient for the first integrals defined by (2.24) in the periodic case to
deform to a pair of rational conserved quantities for the symplectic map
$\varphi=\mu_{4}\mu_{3}\mu_{2}\mu_{1}$ that are in involution, i.e. they
satisfy (2.25) with respect to the Poisson bracket (2.23). Hence the resulting
two-parameter family of maps $\varphi$ is Liouville integrable, with the two
functionally independent commuting integrals
$\displaystyle I_{1}=$
$\displaystyle\frac{1}{{x_{1}}{x_{2}}{x_{3}}{x_{4}}}\Big{(}{a_{1}}{a_{4}}{x_{1}}{x_{2}}+{a_{1}}{a_{4}}^{2}{x_{1}}{x_{2}}{x_{3}}+{a_{1}}{x_{1}}{x_{2}}{x_{3}}+{a_{1}}{a_{4}}{x_{1}}{x_{2}}{x_{3}}^{2}+{a_{1}}{a_{4}}{x_{1}}{x_{4}}$
$\displaystyle+{a_{1}}{a_{4}}{x_{1}}{x_{2}}^{2}{x_{4}}+{a_{1}}{a_{4}}{x_{3}}{x_{4}}+{a_{1}}{a_{4}}{x_{1}}^{2}{x_{3}}{x_{4}}+{a_{4}}{x_{2}}{x_{3}}{x_{4}}+{a_{1}}^{2}{a_{4}}{x_{2}}{x_{3}}{x_{4}}+{a_{4}}{x_{1}}^{2}{x_{2}}{x_{3}}{x_{4}}$
$\displaystyle+{a_{1}}{a_{4}}{x_{2}}^{2}{x_{3}}{x_{4}}+{a_{1}}{a_{4}}{x_{1}}{x_{3}}^{2}{x_{4}}+{a_{1}}{a_{4}}{x_{1}}{x_{2}}{x_{4}}^{2}+{a_{1}}{x_{1}}{x_{2}}{x_{3}}{x_{4}}^{2}\Big{)},$
$\displaystyle I_{2}=$
$\displaystyle\frac{({a_{1}}+{x_{2}})({x_{1}}+{x_{3}})\left({a_{4}}+{x_{3}}\right)\left({x_{2}}+{x_{4}}\right)\left({x_{1}}{x_{2}}+{a_{4}}{x_{1}}{x_{2}}{x_{3}}+{x_{1}}{x_{4}}+{x_{3}}{x_{4}}+{a_{1}}{x_{2}}{x_{3}}{x_{4}}\right)}{{x_{1}}{x_{2}}^{2}{x_{3}}^{2}{x_{4}}}.$
* Proof:
The calculation of the conditions on the coefficients of the monomials
appearing in the deformed versions of the integrals (2.24) is direct, and
leads to the above forms of $I_{1},I_{2}$ together with the requirement that
$b_{1}$ and $b_{4}$ should both equal 1. An explicit calculation of their
Poisson bracket then shows that the deformed integrals are also in involution,
as required for Liouville integrability. ∎
Table 1: Prime factors in an orbit of the integrable deformed $A_{4}$ map with
$a_{1}=2,a_{4}=3$.
$n$ | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11
---|---|---|---|---|---|---|---|---|---|---|---|---
$x_{1}$ | 1 | 3 | 3 | 3 | 7 | $\tfrac{2^{2}}{7}$ | $\tfrac{151}{2^{2}\cdot 5}$ | $\tfrac{5\cdot 11\cdot 61}{7\cdot 151}$ | $\tfrac{7\cdot 251}{11\cdot 61}$ | $\tfrac{3\cdot 11\cdot 571}{5^{2}\cdot 251}$ | $\tfrac{3\cdot 5^{2}\cdot 7\cdot 5653}{11\cdot 19\cdot 23\cdot 571}$ | $\tfrac{3\cdot 19\cdot 23\cdot 54403}{7\cdot 137\cdot 5653}$
$x_{2}$ | 1 | $2^{2}$ | $2^{2}$ | $2\cdot 5$ | $\tfrac{3}{2}$ | $\tfrac{2\cdot 29}{5\cdot 7}$ | $\tfrac{643}{2^{3}\cdot 7}$ | $\tfrac{2^{3}\cdot 3\cdot 23}{151}$ | $\tfrac{5233}{5^{2}\cdot 61}$ | $\tfrac{2\cdot 61613}{19\cdot 23\cdot 251}$ | $\tfrac{1031\cdot 5519}{11\cdot 137\cdot 571}$ | $\tfrac{2\cdot 11\cdot 569\cdot 42043}{5^{2}\cdot 353\cdot 5653}$
$x_{3}$ | 1 | 5 | 13 | 2 | $\tfrac{13}{5}$ | $\tfrac{3^{2}\cdot 13}{7^{2}}$ | $\tfrac{2\cdot 71}{11}$ | $\tfrac{11\cdot 17\cdot 89}{5^{2}\cdot 151}$ | $\tfrac{79\cdot 3529}{11\cdot 19\cdot 23\cdot 61}$ | $\tfrac{1431173}{7\cdot 137\cdot 251}$ | $\tfrac{7\cdot 73\cdot 51539}{5^{2}\cdot 353\cdot 571}$ | $\tfrac{13\cdot 17\cdot 43\cdot 237379}{7\cdot 5653\cdot 7507}$
$x_{4}$ | 1 | $2^{4}$ | $\tfrac{5}{2}$ | $\tfrac{2\cdot 7}{5}$ | $\tfrac{2\cdot 11}{7}$ | $\tfrac{2^{3}\cdot 5^{2}}{7\cdot 11}$ | $\tfrac{7\cdot 19\cdot 23}{2^{3}\cdot 5^{2}}$ | $\tfrac{2^{6}\cdot 7\cdot 137}{19\cdot 23\cdot 151}$ | $\tfrac{2\cdot 5^{2}\cdot 151\cdot 353}{7\cdot 11\cdot 61\cdot 137}$ | $\tfrac{2\cdot 11\cdot 61\cdot 7507}{5^{2}\cdot 251\cdot 353}$ | $\tfrac{19\cdot 101\cdot 251\cdot 359}{11\cdot 571\cdot 7507}$ | $\tfrac{2^{8}\cdot 11\cdot 571\cdot 109943}{7\cdot 19\cdot 101\cdot 359\cdot 5653}$
To determine the singularity structure of the integrable map $\varphi$ we
consider a particular rational orbit with parameters $a_{1}=2,a_{4}=3$ and all
initial $x_{j}$ equal to 1 (see Table 1). Applying the empirical $p$-adic
method from [20] once more, we observe that in the numerators of $x_{2}$ and
$x_{3}$ there are certain primes that do not appear elsewhere, e.g. there are
isolated values of $n$ where $|x_{2,n}|_{p}=p^{-1}$ for $p=29,643,5233,61613$,
and similarly there are isolated $n$ where $|x_{3,n}|_{p}=p^{-1}$ for
$p=17,71,79,89,3529,1431173$. On the other hand, for $p=61,151,251,571$ there
are particular values of $n$ where
$|x_{1,n}|_{p}=|x_{2,n}|_{p}=|x_{3,n}|_{p}=|x_{4,n}|_{p}=p$ and also
$|x_{1,n-1}|_{p}=p^{-1}$, $|x_{4,n+1}|_{p}=p^{-1}$. Also for $p=137,353,7507$
there is a pattern where $p$ first appears in the numerator of $x_{4}$, then
in its denominator at the next step, then successively in the denominators of
$x_{3},x_{2},x_{1}$, before appearing in the numerator of $x_{1}$, then
disappearing at the 7th step (some of the factorizations required to see this
are omitted from Table 1 for reasons of space); the product of primes $19\cdot
23$ exhibits the same pattern, although these primes also appear separately
elsewhere. These four singularity patterns in the iterates of $\varphi$
suggest introducing four tau functions
$\eta_{n},\theta_{n},{\sigma}_{n},\tau_{n}$, where the first two have weight
two and the last two have weight one, such that
$\tilde{\pi}:\qquad
x_{1,n}=\frac{{\sigma}_{n}\tau_{n+1}}{{\sigma}_{n+1}\tau_{n}},\quad
x_{2,n}=\frac{\eta_{n}}{{\sigma}_{n+2}\tau_{n}},\quad
x_{3,n}=\frac{\theta_{n}}{{\sigma}_{n+3}\tau_{n}},\quad
x_{4,n}=\frac{{\sigma}_{n+5}\tau_{n-1}}{{\sigma}_{n+4}\tau_{n}},\quad$ (2.27)
and direct substitution into the recurrence versions of (2.21) with
$b_{1}=1=b_{4}$, replacing $x_{j}\to x_{j,n}$, $x_{j}^{\prime}\to x_{j,n+1}$,
gives the system
$\begin{array}[]{rcl}\tau_{n+2}{\sigma}_{n}&=&\tau_{n}{\sigma}_{n+2}+a_{1}\,\eta_{n},\\\
\eta_{n+1}\eta_{n}&=&{\sigma}_{n+1}\tau_{n+2}\theta_{n}+{\sigma}_{n+2}{\sigma}_{n+3}\tau_{n}\tau_{n+1},\\\
\theta_{n+1}\theta_{n}&=&{\sigma}_{n+5}\tau_{n-1}\eta_{n+1}+{\sigma}_{n+3}{\sigma}_{n+4}\tau_{n}\tau_{n+1},\\\
{\sigma}_{n+6}\tau_{n-1}&=&{\sigma}_{n+4}\tau_{n+1}+a_{4}\,\theta_{n+1}.\end{array}$
(2.28)
Initial evidence that this system has the Laurent property is provided by
setting
${\sigma}_{0}=\cdots={\sigma}_{5}=\eta_{0}=\theta_{0}=\tau_{-1}=\tau_{0}=\tau_{1}=1$,
corresponding to all initial $x_{j,0}=1$, $j=1,2,3,4$ as in Table 1, and
iterating the above with $a_{1}=2$, $a_{4}=3$, which produces integer-valued
tau functions as in Table 2.
Table 2: Tau functions for the same orbit of the deformed $A_{4}$ map as in
Table 1.
$n$ | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9
---|---|---|---|---|---|---|---|---|---|---
$\tau_{n+1}$ | 1 | 3 | 9 | 27 | 189 | 1728 | 97848 | 2608848 | 64408608 | 3516556032
$\eta_{n}$ | 1 | 4 | 12 | 90 | 648 | 37584 | 19999872 | 3399542784 | 1546939772928 | 1748502507552768
$\theta_{n}$ | 1 | 5 | 39 | 288 | 8424 | 454896 | 212004864 | 74543597568 | 59937513504768 | 487379529497051136
${\sigma}_{n+5}$ | 1 | 16 | 120 | 1008 | 9504 | 172800 | 24164352 | 1272692736 | 140540313600 | 15780710449152
Figure 4: The initial quiver associated with the extended exchange matrix
(2.30).
If the initial data for (2.28) is regarded as a cluster, that is
$(\tilde{x}_{1},\ldots,\tilde{x}_{11})=({\sigma}_{0},\ldots,{\sigma}_{5},\eta_{0},\theta_{0},\tau_{-1},\tau_{0},\tau_{1}),$
then the pullback of the symplectic form (2.22) under the map $\tilde{\pi}$
defined by (2.27) is
$\tilde{{\omega}}=\tilde{\pi}^{*}{\omega}=\sum_{i<j}b_{ij}^{*}\,\mathrm{d}\log\tilde{x}_{i}\wedge\mathrm{d}\log\tilde{x}_{j},$
where $B^{*}=(b^{*}_{ij})$ is the exchange matrix
$B^{*}=\left(\begin{array}[]{ccccccccccc}0&0&-1&0&0&0&1&0&0&-1&0\\\
&0&1&0&0&0&-1&0&0&1&0\\\ &&0&1&0&0&0&-1&0&0&1\\\ &&&0&1&-1&1&0&-1&0&0\\\
&&&&0&0&0&1&0&-1&0\\\ &&&&&0&0&-1&0&1&0\\\ &&&&&&0&1&0&0&-1\\\
&&&$*$&&&&0&1&0&0\\\ &&&&&&&&0&1&0\\\ &&&&&&&&&0&1\\\
&&&&&&&&&&0\end{array}\right)$ (2.29)
(since the matrix is skew-symmetric, for brevity we put an asterisk to
represent the terms below the diagonal). As in the $A_{3}$ case, this is
sufficient to generate a sequence of mutations for the tau functions in the
original undeformed system, but in order to include the parameters
$a_{1},a_{4}$ it it necessary to add these as frozen variables.
###### Theorem 2.6.
The sequences of tau functions $(\tau_{n})$, $(\eta_{n})$, $(\theta_{n})$,
$({\sigma}_{n})$ for the integrable map $\varphi=\mu_{4}\mu_{3}\mu_{2}\mu_{1}$
defined by (2.21) with $b_{1}=b_{4}=1$ consist of elements of the Laurent
polynomial ring ${\mathbb{Z}}_{>0}[a_{1},a_{4},{\sigma}_{0}^{\pm
1},{\sigma}_{1}^{\pm 1},{\sigma}_{2}^{\pm 1},{\sigma}_{3}^{\pm
1},{\sigma}_{4}^{\pm 1},{\sigma}_{5}^{\pm 1},\eta_{0}^{\pm 1},\theta_{0}^{\pm
1},\tau_{-1}^{\pm 1},\tau_{0}^{\pm 1},\tau_{1}^{\pm 1}]$, being generated by a
sequence of mutations in a cluster algebra defined by the exchange matrix
(2.29) with the addition of two frozen variables, corresponding to the quiver
shown in Figure 4.
* Proof:
We take an extended cluster
$\tilde{\bf
x}=(\tilde{x}_{1},\ldots,\tilde{x}_{13})=({\sigma}_{0},\ldots,{\sigma}_{5},\eta_{0},\theta_{0},\tau_{-1},\tau_{0},\tau_{1},a_{1},a_{4}),$
with the coefficients $a_{1},a_{4}$ corresponding to additional frozen nodes
in the quiver associated with $\tilde{B}^{*}=(b^{*}_{ij})$, the extended
exchange matrix given by
$\tilde{B}^{*}=\left(\begin{array}[]{ccccccccccc}0&0&-1&0&0&0&1&0&0&-1&0\\\
0&0&1&0&0&0&-1&0&0&1&0\\\ 1&-1&0&1&0&0&0&-1&0&0&1\\\
0&0&-1&0&1&-1&1&0&-1&0&0\\\ 0&0&0&-1&0&0&0&1&0&-1&0\\\
0&0&0&1&0&0&0&-1&0&1&0\\\ -1&1&0&-1&0&0&0&1&0&0&-1\\\
0&0&1&0&-1&1&-1&0&1&0&0\\\ 0&0&0&1&0&0&0&-1&0&1&0\\\
1&-1&0&0&1&-1&0&0&-1&0&1\\\ 0&0&-1&0&0&0&1&0&0&-1&0\\\
-1&-1&0&0&0&0&0&0&0&1&1\\\ 0&0&0&0&1&1&0&0&-1&-1&0\end{array}\right)$ (2.30)
(here we have shown the full matrix so that the exponents of all the exchange
relations are visible in each column). The initial quiver is shown in Figure
4. Mutating at node 1 gives the exchange relation
$\tilde{\mu}_{1}:\quad\tau_{2}{\sigma}_{0}=\tau_{0}{\sigma}_{2}+a_{1}\,\eta_{0},$
producing the new cluster $\tilde{\mu}_{1}(\tilde{\bf
x})=(\tau_{2},{\sigma}_{1},\ldots,{\sigma}_{5},\eta_{0},\theta_{0},\tau_{-1},\tau_{0},\tau_{1},a_{1},a_{4})$,
and subsequently applying mutations
$\tilde{\mu}_{7},\tilde{\mu}_{8},\tilde{\mu}_{9}$ successively generates
exchange relations corresponding to the other three equations in (2.28) for
$n=0$, with the result being the cluster
$\tilde{\mu}_{9}\tilde{\mu}_{8}\tilde{\mu}_{7}\tilde{\mu}_{1}(\tilde{\bf
x})=(\tau_{2},{\sigma}_{1},\ldots,{\sigma}_{5},\eta_{1},\theta_{1},{\sigma}_{6},\tau_{0},\tau_{1},a_{1},a_{4})$.
To generate each new instance of the four equations in (2.28) with the index
$n$ increased by 1, it is necessary to apply a similar block of four
mutations. Let us define the following composition of four mutations by
$\hat{\mu}_{ij}:=\tilde{\mu}_{i}\tilde{\mu}_{8}\tilde{\mu}_{7}\tilde{\mu}_{j},$
and to index mutations we use $\overline{10},\overline{11}$ to distinguish
nodes 10 and 11 from nodes with single-digit labels. Then if we take a
particular composition of 36 mutations given by 9 of these blocks of four,
namely
$\hat{\hat{\mu}}:=\hat{\mu}_{6\overline{11}}\,\hat{\mu}_{5\overline{10}}\,\hat{\mu}_{49}\,\hat{\mu}_{36}\,\hat{\mu}_{25}\,\hat{\mu}_{14}\,\hat{\mu}_{\overline{11}3}\,\hat{\mu}_{\overline{10}2}\,\hat{\mu}_{91}=\tilde{\mu}_{687\overline{11}587\overline{10}4879387628751874\overline{11}873\overline{10}8729871}$
(where in the second expression the notation from (2.20) has been reused),
then the quiver returns to its starting position; so we have
$\hat{\hat{\mu}}(\tilde{B}^{*})=\tilde{B}^{*},\qquad\hat{\hat{\mu}}(\tilde{\bf
x})=({\sigma}_{9},{\sigma}_{10},{\sigma}_{11},{\sigma}_{12},{\sigma}_{13},{\sigma}_{14},\eta_{9},\theta_{9},\tau_{8},\tau_{9},\tau_{10},a_{1},a_{4}),$
with the index of each of the tau functions increased by 9. Thus by repeatedly
applying these 9 blocks of four mutations, all of the tau functions for the
integrable map are produced from clusters in the cluster algebra defined by
(2.30). ∎
## 3 Reductions of the discrete sine-Gordon equation
In this section we consider two examples of four-dimensional maps that arise
as reductions of the lattice sine-Gordon equation introduced in [18], that is
$a_{1}(x_{n,m}x_{n+1,m+1}-x_{n+1,m}x_{n,m+1})+a_{2}x_{n,m}x_{n+1,m}x_{n,m+1}x_{n+1,m+1}=a_{3}\;,$
(3.1)
where $a_{j}$, $j=1,2,3$ are arbitrary parameters. Travelling waves of (3.1)
are obtained by imposing periodicity under shifts by $N$ steps in one lattice
direction together with $M$ steps in the other direction, so that
$u_{n+N,m+M}=u_{n,m}\implies u_{n,m}=x_{k},\quad k=Mn-Nm;$
this is called the $(N,M)$ reduction.
The two examples we consider below each correspond to particular orientations
of the affine $A_{3}^{(1)}$ Dynkin diagram, as in Figure 5 (where the notation
$\tilde{A}_{p,q}$ means there are $p$ clockwise arrows and $q$ anticlockwise
arrows).
(a) The quiver $\tilde{A}_{2,2}$.
(b) The quiver $\tilde{A}_{3,1}$.
Figure 5: Two orientations of the $A_{3}^{(1)}$ Dynkin diagram.
### 3.1 (2,2) periodic reduction of the lattice sine-Gordon equation
Let us consider the quiver with exchange matrix
$B=\left(\begin{array}[]{cccc}0&1&0&1\\\ -1&0&-1&0\\\ 0&1&0&1\\\
-1&0&-1&0\end{array}\right);$
this is mutation equivalent to $\tilde{A}_{2,2}$ as in Figure 5(a), which
corresponds to the exchange matrix $\mu_{3}(B)$. Then for $k=1,2,3,4$ we take
the function
$g_{k}(x)=\frac{a_{1}x+a_{3}}{a_{2}x+a_{1}},$
for arbitrary parameters $a_{1},a_{2},a_{3}$, so that the exchange relation
(1.8) contains the function
$f_{k}(M^{+}_{k},M^{-}_{k})=M^{+}_{k}g_{k}\left(\frac{M^{-}_{k}}{M^{+}_{k}}\right)=M^{+}_{k}\frac{a_{1}M^{-}_{k}+a_{3}M^{+}_{k}}{a_{2}M^{-}_{k}+a_{1}M^{+}_{k}}\;.$
Next, we consider a sequence of mutations which leaves matrix $B$ invariant,
specifically
$\varphi(B,\mathbf{x}):={\mu}_{3}{\mu}_{1}{\mu}_{4}{\mu}_{2}(B,\mathbf{x})=(B,\tilde{\mathbf{x}}),\
\text{where}\
\tilde{\mathbf{x}}=(\tilde{x}_{1},\tilde{x}_{2},\tilde{x}_{3},\tilde{x}_{4})$
and
$\displaystyle\tilde{x}_{2}$
$\displaystyle=\frac{1}{x_{2}}\left(\frac{a_{1}x_{1}x_{3}+a_{3}}{a_{2}x_{1}x_{3}+a_{1}}\right)\;,\
\tilde{x}_{4}=\frac{1}{x_{4}}\left(\frac{a_{1}x_{1}x_{3}+a_{3}}{a_{2}x_{1}x_{3}+a_{1}}\right)\;,$
$\displaystyle\tilde{x}_{1}$
$\displaystyle=\frac{1}{x_{1}}\left(\frac{a_{1}\tilde{x}_{2}\tilde{x}_{4}+a_{3}}{a_{2}\tilde{x}_{2}\tilde{x}_{4}+a_{1}}\right)\;,\
\tilde{x}_{3}=\frac{1}{x_{3}}\left(\frac{a_{1}\tilde{x}_{2}\tilde{x}_{4}+a_{3}}{a_{2}\tilde{x}_{2}\tilde{x}_{4}+a_{1}}\right)\;.$
So, according to Theorem 1.3, the map
$\varphi:\mathbf{x}\mapsto\tilde{\mathbf{x}}$ preserves the two form
$\omega=\frac{1}{x_{1}x_{2}}\mathrm{d}x_{1}\wedge\mathrm{d}x_{2}+\frac{1}{x_{1}x_{4}}\mathrm{d}x_{1}\wedge\mathrm{d}x_{4}-\frac{1}{x_{2}x_{3}}\mathrm{d}x_{2}\wedge\mathrm{d}x_{3}+\frac{1}{x_{3}x_{4}}\mathrm{d}x_{3}\wedge\mathrm{d}x_{4}\;.$
In this case, the map $\varphi$ corresponds to the $(2,2)$ periodic reduction
of the lattice sine-Gordon equation (3.1) (see Figure 6).
$x_{1}$$x_{2}$$x_{3}$$x_{4}$$x_{1}$$x_{2}$$x_{2}^{{}^{\prime}}$$x_{4}^{{}^{\prime}}$$x_{3}$$x_{2}^{{}^{\prime}}$$x_{3}^{{}^{\prime}}$$x_{1}^{{}^{\prime}}$
Figure 6: The $(2,2)$ staircase periodic reduction of the quadrilateral
equation (3.1)
The matrix $B$ (and hence ${\omega}$) is degenerate, of rank two. To obtain a
symplectic map, we take a pair of monomials corresponding to an integer basis
for
$\mathrm{im}\,B=<(1,0,1,0)^{T},(0,1,0,1)^{T}>,$
namely
$\pi:\qquad y_{1}=x_{1}x_{3},\quad y_{2}=x_{2}x_{4}.$
Under the projection $\pi$ defined above, ${\omega}$ is the pullback of the
symplectic form
$\hat{{\omega}}=\frac{1}{y_{1}y_{2}}\,\mathrm{d}y_{1}\wedge\mathrm{d}y_{2},$
which is preserved by the induced map
$\hat{\varphi}:\quad\left(\begin{array}[]{c}y_{1}\\\
y_{2}\end{array}\right)\mapsto\left(\begin{array}[]{c}\tilde{y}_{1}\\\
\tilde{y}_{2}\end{array}\right),\quad\tilde{y}_{2}=\frac{1}{y_{2}}\left(\frac{a_{1}y_{1}+a_{3}}{a_{2}y_{1}+a_{1}}\right)^{2},\tilde{y}_{1}=\frac{1}{y_{1}}\left(\frac{a_{1}\tilde{y}_{2}+a_{3}}{a_{2}\tilde{y}_{2}+a_{1}}\right)^{2}.$
(3.2)
The above map has the first integral
$K=\frac{a_{2}^{2}y_{1}^{2}y_{2}^{2}+2a_{1}a_{2}(y_{1}^{2}y_{2}+y_{1}y_{2}^{2})+a_{1}^{2}(y_{1}^{2}+y_{2}^{2})+2a_{1}a_{3}(y_{1}+y_{2})+a_{3}^{2}}{y_{1}y_{2}},$
so it is Liouville integrable. In fact it is of QRT type: the level sets
$K=\,$const are symmetric biquadratic curves, and
$\hat{\varphi}=\iota_{h}\circ\iota_{v}=(\iota\circ\iota_{v})^{2}$ where the
involutions $\iota_{h},\iota_{v}$ correspond to the horizontal and vertical
switches on each level set, and $\iota:\,y_{1}\leftrightarrow y_{2}$. For
Laurentification of symmetric QRT maps, see [17].
In four dimensions, the other degrees of freedom in the original map $\varphi$
have essentially trivial dynamics, since
$\frac{\tilde{x}_{1}}{\tilde{x}_{3}}=\left(\frac{x_{1}}{x_{3}}\right)^{-1},\qquad\frac{\tilde{x}_{2}}{\tilde{x}_{4}}=\left(\frac{x_{2}}{x_{4}}\right)^{-1}.$
### 3.2 (4,-1) periodic reduction of the lattice sine-Gordon equation
We consider the quiver with exchange matrix
$B=\left(\begin{array}[]{rrrr}0&1&0&1\\\ -1&0&1&0\\\ 0&-1&0&1\\\
-1&0&-1&0\end{array}\right).$
The matrix $B$ is non-degenerate and satisfies $\mu_{1}(B)=\rho(B)$ for the
cyclic permutation $\rho:(1,2,3,4)\mapsto(4,1,2,3)$, so it defines a cluster
mutation-periodic quiver with period 1 [13]. Following the example in
subsection 3.1, we consider
$g_{1}(x)=x\,\left(\frac{a_{1}+a_{3}x}{a_{2}+a_{1}x}\right)\;.$
Here, $M^{+}_{1}={x_{2}x_{4}}$, $M^{-}_{1}=1$ and
$f_{1}(M^{+}_{1},M^{-}_{1})=M^{+}_{1}g_{1}\left(\frac{M^{-}_{1}}{M^{+}_{1}}\right)=\frac{a_{1}x_{2}x_{4}+a_{3}}{a_{2}x_{2}x_{4}+a_{1}}.$
Hence, the appropriate analogue of Theorem 1.3 (see Remark 1.4) implies that
the map $\varphi=\rho^{-1}\mu_{1}$ given by
$\varphi:\,(x_{1},x_{2},x_{3},x_{4})\mapsto\left(x_{2},x_{3},x_{4},\frac{1}{x_{1}}\Big{(}\frac{a_{1}x_{2}x_{4}+a_{3}}{a_{2}x_{2}x_{4}+a_{1}}\Big{)}\right)$
(3.3)
preserves the symplectic form
$\omega=\frac{1}{x_{1}x_{2}}\mathrm{d}x_{1}\wedge\mathrm{d}x_{2}+\frac{1}{x_{1}x_{4}}\mathrm{d}x_{1}\wedge\mathrm{d}x_{4}+\frac{1}{x_{2}x_{3}}\mathrm{d}x_{2}\wedge\mathrm{d}x_{3}+\frac{1}{x_{3}x_{4}}\mathrm{d}x_{3}\wedge\mathrm{d}x_{4}\;.$
The map (3.3) is associated with the $(4,-1)$ periodic reduction of the
lattice sine-Gordon equation (3.1), and can be rewritten in recurrence form as
$a_{1}(x_{n}x_{n+4}-x_{n+1}x_{n+3})+a_{2}x_{n}x_{n+1}x_{n+3}x_{n+4}=a_{3}\;.$
Closed-form expressions for integrals of periodic reductions of the sine-
Gordon equation were presented in [23] and their involutivity was proved in
[35].
## 4 Concluding remarks
We have considered autonomous recurrences or maps obtained by including
additional constant parameters in sequences of cluster mutations that generate
completely periodic dynamics, and have shown that it is possible to preserve
the presymplectic structure defined by the exchange matrix, and also (by
imposing suitable constraints on the parameters) obtain Liouville integrable
maps. Our starting point for showing Liouville integrability has been the fact
that the original periodic maps admit first integrals defined by cyclic
symmetric functions of variables along a period of the orbit. Only the
examples of $A_{2}$, $A_{3}$ and $A_{4}$ have been dealt with here, but it
would be instructive to make a more systematic study of such functions from
the viewpoint of the associated Poisson algebra in order to extend these
results to cluster algebras defined by other finite type Dynkin diagrams. We
have also treated more general types of mutations, involving Möbius
transformations, and shown that for some particular affine type exchange
matrices these lead to reductions of the discrete sine-Gordon equation.
The parameters $a_{k},b_{k}$ appearing in our deformed mutations have been
assumed constant, but Theorem 1.3 applies equally well to non-autonomous
recurrences/maps. In particular, taking
$a_{k}=\frac{y_{k}}{1+y_{k}},\quad b_{k}=\frac{1}{1+y_{k}}$
in (2.1) leads to the expression for a mutation $\mu_{k}$ in a cluster algebra
with coefficients [11], which themselves mutate according to
$y_{j}^{\prime}=\begin{cases}y_{k}^{-1}&\text{if}\,\,j=k,\\\
y_{j}\left(1+y_{k}^{-\text{sgn}(b_{jk})}\right)^{-b_{jk}}&\text{otherwise}.\end{cases}$
The dynamics of the coefficients generates the associated Y-system [26]. In
[21], it is shown that non-autonomous dynamics also arises from autonomous
Y-systems in the case where the exchange matrix is degenerate: one of the
simplest examples is provided by the Y-system
$y_{n+7}y_{n}=\frac{(1+y_{n+6})(1+y_{n+1})}{(1+y_{n+4}^{-1})(1+y_{n+3}^{-1})}$
corresponding to the Somos-7 recurrence (2.4), solved in terms of the
q-Painlevé V equation
$x_{n+2}x_{n}=x_{n+1}+{\alpha}_{n}\,\mathfrak{q}^{n},\qquad{\alpha}_{n+6}={\alpha}_{n},$
(4.1)
which is a non-autonomous version of the Lyness recurrence. The fact that the
period of ${\alpha}_{n}$ is 6 is important, since if $\mathfrak{q}=1$ and
${\alpha}_{n}$ is periodic with a period that is not a divisor of 6, then
(4.1) appears to exhibit chaotic dynamics [2].
As another example based on the $A_{2}$ exchange matrix, taking
$g_{1}(x)=\frac{ax+b}{cx+d}$ and letting the coefficients $a,b,c,d$ depend on
the index $n$ gives the sequence of symplectic maps
$\varphi_{n}(x,y)=\left(y,\frac{a_{n}y+b_{n}}{x(c_{n}y+d_{n})}\right)$
that corresponds to the non-autonomous nonlinear recurrence
$x_{n+2}=\frac{a_{n}x_{n+1}+b_{n}}{x_{n}(c_{n}x_{n+1}+d_{n})}\;.$
Invariants of this recurrence when the coefficients are periodic were
presented in [8] and have also been studied in the framework of QRT (and non-
QRT) maps with periodic coefficients [32, 33].
Acknowledgments: This research was supported by Fellowship EP/M004333/1 from
the Engineering & Physical Sciences Research Council, UK, and grant
IEC\R3\193024 from the Royal Society. All of the pictures of quivers were
produced using Bernhard Keller’s JavaScript mutation applet [25]. On behalf of
all authors, the corresponding author states that there is no conflict of
interest.
## References
* [1] J. Blanc, Symplectic birational transformations of the plane, Osaka J. Math. 50 (2013) 573–590.
* [2] A. Cima, A. Gasull and V. Mañosa, Integrability and non-integrability of periodic non-autonomous Lyness recurrences, Dyn. Syst. 285 (2013) 18–38.
* [3] H. Coxeter, Frieze patterns, Acta Arithmetica 18 (1971) 297–310.
* [4] A. Doliwa and R. Lin, Discrete KP equation with self-consistent sources, Phys. Lett. A 378 (2014) 1925–1931.
* [5] J.J. Duistermaat, Discrete Integrable Systems: QRT Maps and Elliptic Surfaces, Springer Monographs in Mathematics, vol. 304. Springer, 2010.
* [6] J. Esch and T.D. Rogers, The screensaver map: dynamics on elliptic curves arises from polygonal folding, Discrete Comput. Geom. 25 (2001) 477–502.
* [7] C.A. Evripidou, G.R.W. Quispel and J.A.G. Roberts, Poisson structures for difference equations, J. Phys. A: Math. Theor. 51 (2018) 475201.
* [8] J. Feuer, E.J. Janowski and G. Ladas, Invariants for some rational recursive sequences with periodic coefficients, J. Difference Equ. Appl. 2 (1996) 167–174.
* [9] V.V. Fock and A.B. Goncharov, Cluster ensembles, quantization and the dilogarithm, Ann. Sci. Éc. Norm. Supér. 42 (2009) 865–930.
* [10] S. Fomin and A. Zelevinsky, Y-systems and generalized associahedra, Ann. Math. 158 (2003) 977–1018.
* [11] S. Fomin and A. Zelevinsky, Cluster algebras IV: coefficients, Comp. Math. 143 (2007) 112–164.
* [12] A.P. Fordy and A.N.W. Hone, Discrete integrable systems and Poisson algebras from cluster maps, Comm. Math. Phys. 325 (2014) 527–584.
* [13] A.P. Fordy and R.J. Marsh, Cluster Mutation-Periodic Quivers and Associated Laurent Sequences, J. Algebr. Comb. 34 (2011) 19–66.
* [14] P. Galashin and P. Pylyavskyy, Quivers with subadditive labelings: classification and integrability, Math. Z. 295 (2020) 945–999.
* [15] M. Gekhtman, M. Shapiro and A. Vainshtein, Cluster algebras and Weil-Petersson forms, Duke Math. J. 127 (2005) 291–311.
* [16] M. Gross, P. Hacking, S. Keel and M. Kontsevich, Canonical bases for cluster algebras, J. Amer. Math. Soc. 31 (2018) 497–608.
* [17] K. Hamad, A.N.W. Hone, P.H. van der Kamp and G.R.W. Quispel, QRT maps and related Laurent systems, Adv. Appl. Math. (2018) 216–248.
* [18] R. Hirota, Nonlinear partial difference equations III: Discrete Sine-Gordon equation, J. Phys. Soc. Jpn. 43 (1977) 2079–2086.
* [19] R. Hirota and S. Tsujimoto, Conserved quantities of a class of nonlinear difference-difference equations, J. Phys. Soc. Jpn. 64 (1995) 3125–3127.
* [20] A.N.W. Hone, T.E. Kouloukas and G.R.W. Quispel, Some integrable maps and their Hirota bilinear forms, J. Phys. A: Math. Theor. 51 (2018) 044004.
* [21] A.N.W. Hone and R. Inoue, Discrete Painlevé equations from Y-systems, J. Phys. A: Math. Theor. 47 (2014) 474007.
* [22] R. Inoue and T. Nakanishi, Difference equations and cluster algebras I: Poisson bracket for integrable difference equations, RIMS Kokyuroku Bessatsu B 28 (2011) 63–88.
* [23] P.H. van der Kamp, O. Rojas and G.R.W. Quispel, Closed-form expressions for integrals of MKdV and sine-Gordon maps, J. Phys. A: Math. Theor. 40 (2007) 12789.
* [24] M. Kanki, J. Mada, K.M. Tamizhmani, T. Tokihiro, Discrete Painlevé II equation over finite fields, J. Phys. A: Math. Theor. 45 (2012) 342001.
* [25] B. Keller, Quiver mutation in JavaScript and Java/Mutation des carquois en JavaScript et Java, https://webusers.imj-prg.fr/~bernhard.keller/quivermutation/
* [26] A. Kuniba, T. Nakanishi and J. Suzuki, T-systems and Y-systems in integrable systems, J. Phys. A: Math. Theor. 44 (2011) 103001.
* [27] K. Lee and R. Schiffler, Positivity for cluster algebras, Ann. Math. 182 (2015) 73–125.
* [28] R.C. Lyness, Note 1581, Math. Gaz. 26 (1942) 62.
* [29] T. Nakanishi, Periodicities in cluster algebras and dilogarithm identities, Representations of Algebras and Related Topics (EMS Series of Congress Reports), ed. A. Skowronski and K. Yamagata (Zurich: European Mathematical Society), pp 407–43, 2011.
* [30] P. Pylyavskyy, Zamolodchikov integrability via rings of invariants, J. Integrable Systems 1 (2016) xyw010.
* [31] G.R.W. Quispel, J.A.G. Roberts and C.J. Thompson, Integrable mappings and soliton equations, Phys. Lett. A 126 (1988) 419–421.
* [32] A. Ramani, B. Grammaticos and R. Willox, Generalized QRT mappings with periodic coefficients, Nonlinearity 24 (2011) 113.
* [33] J.A.G. Roberts and D. Jogia, Birational maps that send biquadratic curves to biquadratic curves, J. Phys. A: Math. Theor. 48 (2015) 08FT02.
* [34] D.T. Tran, P.H. van der Kamp and G.R.W. Quispel, Sufficient number of integrals for the pth-order Lyness equation, J. Phys. A: Math. Theor. 43 (2010) 302001.
* [35] D.T. Tran, P.H. van der Kamp and G.R.W. Quispel, Involutivity of integrals of sine-Gordon, modified KdV and potential KdV maps, J. Phys. A: Math. Theor. 44 (2011) 295206.
* [36] A.V. Zabrodin, A survey of Hirota’s difference equations, Theoret. Math. Phys. 113 (1997) 1347–1392.
* [37] Al.B. Zamolodchikov, On the thermodynamic Bethe ansatz equations for reflectionless ADE scattering theories, Phys. Lett. B 253 (1991) 391–394.
| arxiv-papers | 2021-07-25T18:38:43 | 2024-09-04T03:07:17.117241 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Andrew N. W. Hone and Theodoros E. Kouloukas",
"submitter": "Andrew Hone N.W.",
"url": "https://arxiv.org/abs/2107.11866"
} |
2107.11867 | # CP-generic expansions of models of Peano Arithmetic
Athar Abdul-Quader School of Natural and Social Sciences, SUNY Purchase
College, 735 Anderson Hill Road, Purchase, NY 10577
[email protected] and James H. Schmerl Department of
Mathematics, University of Connecticut, Storrs, CT 06269
[email protected]
###### Abstract.
We study notions of genericity in models of $\mathsf{PA}$, inspired by lines
of inquiry initiated by Chatzidakis and Pillay and continued by Dolich, Miller
and Steinhorn in general model-theoretic contexts. These papers studied the
theories obtained by adding a “random” predicate to a class of structures.
Chatzidakis and Pillay axiomatized the theories obtained in this way. In this
article, we look at the subsets of models of $\mathsf{PA}$ which satisfy the
axiomatization given by Chatzidakis and Pillay; we refer to these subsets in
models of $\mathsf{PA}$ as CP-generics. We study a more natural property,
called strong CP-genericity, which implies CP-genericity. We use an arithmetic
version of Cohen forcing to construct (strong) CP-generics with various
properties, including ones in which every element of the model is definable in
the expansion, and, on the other extreme, ones in which the definable closure
relation is unchanged.
###### Key words and phrases:
models of arithmetic, expansions, genericity, definability
###### 2010 Mathematics Subject Classification:
Primary 03C62, 03H15
## 1\. Introduction
In [2], Chatzidakis and Pillay studied “generic” expansions of theories. Given
a first order theory $T$ in a language $\mathcal{L}$, and a unary predicate
$P\not\in\mathcal{L}$, Chatzidakis and Pillay axiomatized the theory $T_{P}$,
the model companion of $T$ in the language $\mathcal{L}\cup\\{P\\}$. This is,
roughly, the theory of the expansion of models of $T$ by a generic unary
predicate. Dolich, Miller and Steinhorn, in [3] and [4], continued this work
in studying the notion of adding “generic” predicates to o-minimal theories.
In the context of arithmetic, the methods used in the above papers do not work
as well. However, one can take a particular model
$\mathcal{M}\models\mathsf{PA}$ and consider the Chatzidakis-Pillay conditions
on so-called “generic” subsets of $M$. This line of inquiry was explored in
[1], and we investigate this further here.
### 1.1. Background
All models in this article are models of PA and their expansions. We use
$\mathcal{M}$, $\mathcal{N}$, $\mathcal{K}$, etc. for models of PA, and $M$,
$N$, $K$, etc. for their respective domains.
By convention, definability refers to definability with parameters. If a set
is definable without parameters, then we say that it is 0-definable. Given a
model $\mathcal{M}\models\mathsf{PA}$ and $a\in M$, $\operatorname{Scl}(a)$
denotes the Skolem closure of $a$; since $\mathsf{PA}$ has definable Skolem
terms, this coincides with $\operatorname{dcl}(a)$. For models of
$\mathsf{PA}$, we refer to Skolem closures rather than definable closures in
the rest of this article for this reason; for expansions of models of
$\mathsf{PA}$ which do not have definable Skolem terms, we continue to refer
to definable closures.
The following two definitions appeared in [1].
###### Definition 1.
A subset $X$ of $M$ is called CP-generic if whenever $D\subseteq M^{n}$ is
definable using only $a\in M$ as a parameter and $I\subseteq\\{1,\ldots,n\\}$,
if there are distinct $b_{1},\ldots b_{n}\in M$ such that each
$b_{i}\not\in\operatorname{Scl}(a)$ and $\langle b_{1},\ldots,b_{n}\rangle\in
D$, then there is $\langle b_{1},\ldots,b_{n}\rangle\in D$ such that $b_{i}\in
X$ iff $i\in I$.
###### Definition 2.
A subset $X$ of $M$ is _neutral_ if for all $a$ in $M$,
$\operatorname{Scl}(a)$ coincides with
$\operatorname{dcl}^{(\mathcal{M},X)}(a)$.
We define another notion of genericity in this paper.
###### Definition 3.
A subset $X$ of $M$ is strongly CP-generic if whenever $D\subseteq M^{n}$ is
definable and $I\subseteq\\{1,\ldots,n\\}$, if there is an infinite
$B\subseteq D$ such that for all $\langle b_{1},\ldots,b_{n}\rangle\neq\langle
c_{1},\ldots,c_{n}\rangle\in B$, $b_{i}\neq b_{j}$ for all $i\neq j$ and
$\\{b_{1},\ldots,b_{n}\\}\cap\\{c_{1},\ldots,c_{n}\\}=\emptyset$, then there
is $\langle b_{1},\ldots,b_{n}\rangle\in D$ such that $b_{i}\in X$ iff $i\in
I$.
Neutrality was explored in [1]. In this article we explore the relationship
between CP-genericity and neutrality, answering, in particular, Problem 1.3
from [1] which asked if CP-generics are necessarily neutral. The relationship
between CP-genericity and strong CP-genericity will be made clear in Section
2. Section 3 contains our main results. First, we show that CP-generics exist
for all countable models, and moreover, that every countable, recursively
saturated model of $\mathsf{PA}$ has a CP-generic which is not neutral,
answering Problem 1.3 in [1] negatively. In fact, we show a complete failure
of neutrality in some cases. We also show a construction of a neutral CP-
generic. In Section 4, we examine some properties of CP-generics in comparison
to properties exhibited by neutral sets. We end, in Section 5, with some open
questions.
## 2\. Strong CP-genericity
In [1], the notion of CP-genericity was introduced, but not explored. In this
article, we introduce _strong CP-genericity_. The following results show that
strong CP-genericity is equivalent to CP-genericity in the case of recursively
saturated models.
###### Proposition 4.
Let $\mathcal{M}\models\mathsf{PA}$ and $X\subseteq M$.
1. (1)
If $X$ is strongly CP-generic, then $X$ is CP-generic.
2. (2)
If $\mathcal{M}$ is recursively saturated and $X$ is CP-generic, then $X$ is
strongly CP-generic.
In general, the converse to (1) is not true. For example, if $\mathcal{M}$ is
prime, then every subset $X\subseteq M$ is CP-generic, although every strong
CP-generic is infinite.
###### Proof.
Suppose $X$ is strongly CP-generic. Let $D\subseteq M^{n}$ be definable using
parameter $a\in M$, and let $I\subseteq\\{1,\ldots,n\\}$. Assume there exist
distinct $b_{1},\ldots,b_{n}$ such that $b_{i}\not\in\operatorname{Scl}(a)$
for each $i$ and $\langle b_{1},\ldots,b_{n}\rangle\in D$. Then one can
construct a $B$ as in Definition 3: choose the smallest tuple
$\bar{b}_{0}=\langle b_{0,1},\ldots,b_{0,n}\rangle\in D$ where the $b_{0,i}$
are pairwise distinct, and inductively, if $\bar{b}_{0},\ldots,\bar{b}_{m-1}$
have been chosen, choose $\bar{b}_{m}=\langle b_{m,1},\ldots,b_{m,n}\rangle\in
D$ such that $b_{m,i}$ are all pairwise distinct and all differ from the
previously chosen $b_{j,k}$ ($j<m,1\leq k\leq n$). For each $m\in\omega$ and
$1\leq k\leq n$, $b_{m,k}\in\operatorname{Scl}(a)$, and so at each finite
stage there is a tuple distinct from those previously chosen. Therefore
$B=\\{\langle b_{m,1},\ldots,b_{m,n}\rangle:m\in\omega\\}\subseteq D$
satisfies the hypothesis for $B$ in Definition 3. By strong CP-genericity,
then, there is $\langle b_{1},\ldots,b_{n}\rangle\in D$ such that $b_{i}\in X$
if and only if $i\in I$.
Now assume $\mathcal{M}$ is recursively saturated and $X$ is CP-generic. Let
$D\subseteq M^{n}$ be definable using parameter $c\in M$, and suppose it
satisfies the hypothesis of Definition 3. Let $I\subseteq\\{1,\ldots,n\\}$.
Consider the type
$\displaystyle p(x_{1},\ldots,x_{n})=$ $\displaystyle\\{\langle
x_{1},\ldots,x_{n}\rangle\in D\\}\cup\\{x_{i}\neq x_{j}:1\leq i,j\leq n,i\neq
j\\}\cup$ $\displaystyle\\{t_{m}(c)\neq x_{i}:1\leq i\leq n,m\in\omega\\},$
where the $t_{m}$ range over all unary ${\mathcal{L}}_{\mathsf{PA}}$ Skolem
terms (in some recursive enumeration of such Skolem terms). By assumption,
there is an infinite $B\subseteq D$ such that for all distinct
$\bar{b},\bar{c}\in B$, the sets $\\{b_{1},\ldots,b_{n}\\}$ and
$\\{c_{1},\ldots,c_{n}\\}$ are disjoint. This implies that
$p(x_{1},\ldots,x_{n})$ is finitely satisfiable. If $\langle
x_{1},\ldots,x_{n}\rangle$ realizes $p$, then the $x_{i}$ are pairwise
distinct and $x_{i}\not\in\operatorname{Scl}(c)$ for each $i$, satisfying the
hypothesis for CP-genericity. Then since $X$ is CP-generic, there are $\langle
x_{1},\ldots,x_{n}\rangle\in D$ such that $x_{i}\in X$ iff $i\in I$. ∎
## 3\. Main Results
The goal of this section is to answer Problem 1.3 from [1]: if $X$ is CP-
generic, must $X$ necessarily be neutral? We see in Theorem 11 that the answer
is no; moreover, in Corollaries 12 and 13, that in fact, neutrality can fail
badly in (strong) CP-generics. Lastly, we show in Corollary 17 that every
countable model of $\mathsf{PA}$ has neutral strong CP-generics.
Many of the proofs in this section use _$\mathcal{M}$ -Cohen generics_, which
we define as follows.
###### Definition 5.
Let $\mathcal{M}\models\mathsf{PA}$. Consider the notion of forcing in
$(\mathcal{M},\omega)$ whose conditions are functions $p:A\to\\{0,1\\}$, where
$A\subseteq M$ is finite. Define $p\trianglelefteq q$ if $q$ extends $p$. Let
$G\subseteq M$ be generic for this notion of forcing. Then $X=\\{a\in
M:p(a)=0\text{ for some }p\in G\\}$ is an _$\mathcal{M}$ -Cohen generic_.
For a review of the terminology of arithmetic forcing, see [6, Chapter 6]. The
usual forcing and truth lemmas hold; see [6, Lemma 6.2.6]. That is: forcing is
definable in $(\mathcal{M},\omega)$, and for any formula
$\theta(\overline{x})$ in the expanded language and any $\overline{m}\in M$,
$(\mathcal{M},\omega,X)\models\theta(\overline{m})$ if and only if there is
$p\in G$ such that $(\mathcal{M},\omega)\models(p\Vdash\theta(\overline{m}))$.
In particular, $p\Vdash m\in X$ iff $m\in\operatorname{dom}(p)$ and $p(m)=0$.
The reader should be cautioned here that for nonstandard $\mathcal{M}$,
$\mathcal{M}$-Cohen generics are not the same as Cohen generics in the sense
of [6, Chapter 6]. That is, given a model $\mathcal{M}$, Cohen forcing (in the
sense of [6, Chapter 6]) is the notion of forcing whose conditions are
definable functions $p:[0,m)\to\\{0,1\\}$, for $m\in M$. If $G$ is generic for
this forcing, then the set $X=\\{a\in M:p(a)=0\text{ for some }p\in G\\}$ is
referred to as a Cohen generic.
###### Lemma 6.
Every $\mathcal{M}$-Cohen generic is strongly CP-generic.
###### Proof.
Let $p$ be a condition. Let $D\subseteq M^{n}$ be a definable set such that
there is an infinite $B\subseteq D$ as in Definition 3. We show that for each
$I\subseteq\\{1,\ldots n\\}$, there is $q_{I}\trianglerighteq p$ such that
(1) $q_{I}\Vdash\exists x_{1}\ldots\exists x_{n}[\langle
x_{1},\ldots,x_{n}\rangle\in D\wedge\bigwedge\limits_{i\leq n}(x_{i}\in
X\leftrightarrow i\in I)].$
Since $p:A\to\\{0,1\\}$ is finite, there are $x_{1},\ldots,x_{n}\not\in A$
such that $\mathcal{M}\models\langle x_{1},\ldots,x_{n}\rangle\in D$. Take
$A^{\prime}=A\cup\\{x_{i}:1\leq i\leq n\\}$ and $q_{I}=p\cup\\{\langle
x_{i},0\rangle:i\in I\\}\cup\\{\langle x_{i},1\rangle:i\not\in I\\}$.
If $X$ and $G$ are as in the definition of $\mathcal{M}$-Cohen genericity,
then for each $D\subseteq M^{n}$ definable satisfying the hypothesis in
Definition 3, and each $I\subseteq\\{1,\ldots,n\\}$, there is $q_{I}\in G$
satisfying (1). Therefore $X$ is strongly CP-generic. ∎
The following lemma can be proven using the standard proof that generics exist
for countable models.
###### Lemma 7.
If $\mathcal{M}$ is countable, then $\mathcal{M}$-Cohen generics exist.∎
In fact, if $\mathcal{M}$ is uncountable, there are no $\mathcal{M}$-Cohen
generics.
###### Corollary 8.
For any countable $\mathcal{M}$, there is $X\subseteq M$ that is strongly CP-
generic.∎
###### Lemma 9.
If $\mathcal{M}$ is nonstandard and $X,Y\subseteq M$ are such that
$X\setminus\omega=Y\setminus\omega$, then $X$ is strongly CP-generic iff $Y$
is.
###### Proof.
Suppose $X$ is strongly CP-generic. Let $D\subseteq M^{n}$ and
$I\subseteq\\{1,\ldots,n\\}$ be such that there is an infinite $B\subseteq D$
as in Definition 3. By overspill, there is $c>\omega$ such that
$D^{\prime}=\\{\langle x_{1},\ldots,x_{n}\rangle:\mathcal{M}\models\langle
x_{1},\ldots,x_{n}\rangle\in D\wedge\bigwedge\limits_{1\leq i\leq
n}x_{i}>c\\}$
also has such an infinite subset $B$. By strong CP-genericity applied to
$D^{\prime}$, there is $\langle b_{1},\ldots,b_{n}\rangle\in D^{\prime}$ such
that $b_{i}\in X$ iff $i\in I$. Since each $b_{i}>\omega$, it follows that
$b_{i}\in Y$ iff $i\in I$. ∎
Note that in the standard model $\mathbb{N}$, the $\mathbb{N}$-Cohen generics
are exactly the Cohen generics in the sense of [6, Chapter 6]. By Lemma 6,
every Cohen generic in the standard model is strongly CP-generic. The converse
is false: there are strong CP-generics which are not Cohen generic. Moreover,
for every countable $\mathcal{M}$, there are strong CP-generics which are not
$\mathcal{M}$-Cohen generic.
###### Proposition 10.
For every countable $\mathcal{M}$, there is a strong CP-generic
$X\subseteq\omega$ which is not $\mathcal{M}$-Cohen generic.
###### Proof.
If $\mathcal{M}$ is standard and $X$ is Cohen generic, then there is
$n\in\omega$ such that $[n,2n]\subseteq X$. One confirms this by noticing that
the set of conditions which force this is dense. However, one can routinely
construct a strong CP-generic which avoids $[n,2n]$ as a subset for each $n$.
If $\mathcal{M}$ is nonstandard, then by Lemma 9, if $X$ is strongly CP-
generic, then $X\setminus\omega$ is also strongly CP-generic. However, every
$\mathcal{M}$-Cohen generic must nontrivially intersect $\omega$. ∎
Next we answer Problem 1.3 from [1] in the negative.
###### Theorem 11.
Let $\mathcal{M}$ be any countable, non-prime model. Then there is $X\subseteq
M$ such that $X$ is (strongly) CP-generic but not neutral.
###### Proof.
We proceed by first constructing an $\mathcal{M}$-Cohen generic with the
property that for all $a\neq b$, there is $n\in\omega$ such that $a+n\in X$
iff $b+n\not\in X$. Then, by Lemma 9, given any
$a\not\in\operatorname{Scl}(0)$, the set
$X^{\prime}=(X\setminus\omega)\cup\\{2n+1:n\in\omega,a+n\in X\\}$ is also
strongly CP-generic, and we will see that $a$ is definable in
$(\mathcal{M},X^{\prime})$.
To construct the $\mathcal{M}$-Cohen generic with the requisite property,
enumerate the model as $(a_{i}:i\in\omega)$ and the dense definable sets in
the $\mathcal{M}$-Cohen forcing in $(\mathcal{M},\omega)$ as
$(A_{i}:i\in\omega)$. At stage $2i$, meet $A_{i}$ with condition $p_{2i}$
(extending $p_{2i-1}$ if $i>0$). At stage $2i+1$, let $n\in\omega$ be the
least such that $a_{j}+n\not\in\operatorname{dom}(p_{2i})$ for each $j<i$, and
extend $p_{2i}$ to $p_{2i+1}$ such that $p_{2i+1}(a_{i}+n)=0$ and
$p_{2i+1}(a_{j}+n)=1$ for each $j<i$.
Let $X$ be the resulting $\mathcal{M}$-Cohen generic. Then for all $a\neq b$
nonstandard, there is $n\in\omega$ such that $a+n\in X\iff b+n\not\in X$.
To complete the proof, let $a\not\in\operatorname{Scl}(0)$ and let
$X^{\prime}=(X\setminus\omega)\cup\\{2n+1:a+n\in X\\}$. By Lemma 9,
$X^{\prime}$ is strongly CP-generic. Moreover, notice that $\omega$ is
$0$-definable in $(\mathcal{M},X)$ as
(2) $\\{n:(\mathcal{M},X)\models\forall i<n(2i\not\in X)\\}.$
To see this, let $J$ be the set of those $n$ satisfying (2). By definition,
$\omega\subseteq J$. If $c>\omega$, consider the set $D=\\{\langle
x_{0},x_{1}\rangle:\mathcal{M}\models x_{0}<x_{1}<c\wedge x_{1}=2\cdot
x_{0}\\}$. Since $c$ is nonstandard, $D$ contains an infinite set $B$ such
that if $\langle b_{0},b_{1}\rangle\neq\langle c_{0},c_{1}\rangle\in B$, then
$b_{0},b_{1},c_{0},c_{1}$ are all distinct. By strong CP-genericity, there is
$\langle x_{0},x_{1}\rangle\in D$ such that $x_{1}\in X$. Then, since $x_{1}$
is even, $c\not\in J$.
Lastly, $a$ is definable in $(\mathcal{M},X^{\prime})$ as $x=a$ iff
$(\mathcal{M},X^{\prime})\models\forall n\in\omega(x+n\in X\leftrightarrow
2n+1\in X).\qed$
We can further modify the above idea to show that there is a strong CP-generic
$X\subseteq M$ such that every element of $M$ is definable in
$(\mathcal{M},X)$. Instead of using the evens and odds, as we did above, take
a partition of $\omega$ into countably many uniformly definable disjoint
infinite sets $(I_{j}:j\in\omega)$. For example, let $I_{j}$ be the powers of
the $j$-th prime. Then ensure $I_{0}\cap X=\emptyset$, and for each $a_{j}\in
M$, put the $n$-th element of $I_{j+1}$ in $X$ if and only if $a_{j}+n\in X$.
In this way, we obtain the following corollary:
###### Corollary 12.
Let $\mathcal{M}$ be countable. Then there is a strong CP-generic $X\subseteq
M$ such that every element of $M$ is definable in $(\mathcal{M},X)$.∎
Note that if $\mathcal{M}$ is prime, every element of $M$ is already
definable, regardless of what $X\subseteq M$ is taken.
By another similar modification to the proof of Theorem 11, we find that for
every countable $\mathcal{M}$ and $A\subseteq M$, there is a strong CP-generic
$X\subseteq M$ such that $A\in\operatorname{Def}(\mathcal{M},X)$. Moreover,
there is a kind of uniformity in defining these functions.
###### Corollary 13.
There is a formula $\phi(x)\in{\mathcal{L}}_{\mathsf{PA}}\cup\\{X\\}$ such
that for any countable $\mathcal{M}$ and any subset $A\subseteq M$, there is a
strong CP-generic $X$ such that $\phi$ defines $A$ in $(\mathcal{M},X)$.
###### Proof.
Let $\mathcal{M}$ and $A$ be given. The formula $\phi(x)$ (and the uniformity
of it) will be clear as part of the construction of $X$.
In the standard model, one can routinely construct a Cohen generic $X$ such
that $A\in\operatorname{Def}(\mathbb{N},X)$, similar to the proof of [6,
Theorem 6.2.11]. Using Lemma 6, $X$ is also strongly CP-generic.
If $\mathcal{M}$ is nonstandard, we modify the construction in Theorem 11.
Start by constructing a strong CP-generic $X$ with the property that for all
$a\neq b$, there is $n\in\omega$ such that $a+n\in X$ iff $b+n\not\in X$. Note
that the following construction can be done for any nonstandard $\mathcal{M}$,
while Theorem 11 only applies to non-prime models.
Fix an enumeration of $A$ in order type $\omega$ as $(a_{n}:n\in\omega)$.
Partition $\omega$ into definable, disjoint, infinite sets
$I_{0},I_{1},I_{2},\ldots$. Define $X^{\prime}$ as follows: for $c>\omega$,
$c\in X$ iff $c\in X^{\prime}$, so that $X^{\prime}$ is strongly CP-generic by
Lemma 9. For $n\in I_{0}$, ensure $n\not\in X^{\prime}$ so that $\omega$ is
definable (as above). For $n\in A\cap\omega$, put the $n$-th element of
$I_{1}$ in $X^{\prime}$. Then for $x\in A\setminus\omega$, if $x=a_{n}$, put
the $m$-th element of $I_{n+2}$ in $X^{\prime}$ if and only if $x+m\in
X^{\prime}$.
Now $A$ is definable in $(\mathcal{M},X^{\prime})$ as $x\in A$ if $x\in\omega$
and the $x$-th element of $I_{1}$ is in $X^{\prime}$, or $x\not\in\omega$ and
there is $n\in\omega$ such that for all $m\in\omega$, $x+m\in X^{\prime}$ if
and only if the $m$-th element of $I_{n+2}$ is in $X^{\prime}$.
One checks that the definition of $A$ can be made uniform by noticing that
there is a statement true in $(\mathcal{M},X)$ (for all countable$\mathcal{M}$
and strong CP-generics constructed above) iff $\mathcal{M}$ is standard. ∎
###### Corollary 14.
Every countable $\mathcal{M}$ has $2^{\aleph_{0}}$ distinct strongly CP-
generic subsets.∎
Conversely, there are neutral sets which are CP-generic. We again use
$\mathcal{M}$-Cohen generics to establish this. As seen in Lemma 6,
$\mathcal{M}$-Cohen generics are strongly CP-generic. Here we see that they
are also neutral.
###### Theorem 15.
For any countable $\mathcal{M}$, every $\mathcal{M}$-Cohen generic is neutral.
###### Proof.
Let $X$ be an $\mathcal{M}$-Cohen generic and let $G$ be a corresponding
generic set of conditions, so that $X=\\{m:p(m)=0\text{ for some }p\in G\\}$.
By Lemma 6, it is strongly CP-generic.
We show that
$\operatorname{dcl}^{(\mathcal{M},\omega,X)}=\operatorname{dcl}^{(\mathcal{M},\omega)}$.
By Kanovei [5], generalized in [6, Theorem 8.4.7], the $\operatorname{dcl}$
relation in $(\mathcal{M},\omega)$ is identical to that in $\mathcal{M}$,
which shows that $X$ is neutral. Before we show this, we first show a lemma
about compatibility of conditions, which will be critical for various stages
of our proof.
###### Lemma 16.
Let $\\{X_{i}:i\in\omega\\}$ be a family of infinite sets of conditions. If
for all $i,j\in\omega$ and $p\in X_{i},q\in X_{j}$, $|p|=|q|$, then there are
$i\neq j\in\omega$, with $p\in X_{i}$, $q\in X_{j}$, and $p\neq q$ such that
$p$ and $q$ are compatible.
Note that the $X_{i}$ need not be pairwise distinct.
###### Proof.
Let $n$ be the cardinality of the domain of any condition in (any of the)
$X_{i}$. We prove this by induction on $n$.
If $n=0$, there are no such $X_{i}$, since there is only one condition of
whose domain is empty (the empty condition). If $n=1$, the Lemma holds by
pigeonholing.
Inductively suppose the Lemma holds for all collections
$\\{Y_{j}:j\in\omega\\}$ such that $|p|<n$ for each $p$ in (any of the)
$Y_{j}$. Fix $p\in X_{0}$. If $p$ is not compatible with any $q\neq p$ for all
$q\in\bigcup\limits_{i\geq 1}X_{i}$, then there is $a\in\operatorname{dom}(p)$
such that there are infinitely many $j\in\omega$, and infinitely many $q\in
X_{j}$ with $a\in\operatorname{dom}(q)$ but $p(a)\neq q(a)$. Without loss of
generality, assume $p(a)=0$, so for all such $q$, $q(a)=1$.
For such a $q$, define $q^{*}$ as $q\setminus\\{\langle a,1\rangle\\}$. Let
$Y_{j}$ be the $j$-th set in the collection $\\{X_{i}:i\in\omega\\}$ such that
there are infinitely many $q$ with $q(a)=1$. Let $Y_{j}^{*}=\\{q^{*}:q\in
Y_{j},q(a)=1\\}$. Then $\\{Y_{j}^{*}:j\in\omega\\}$ satisfies the inductive
hypothesis, and so there are $j_{0}$ and $j_{1}$, and $q_{0}^{*}\in
Y_{j_{0}}^{*}$, $q_{1}\in Y^{*}_{j_{1}}$ such that $q_{0}^{*}$ and $q_{1}^{*}$
are compatible. Then $q_{0}=q_{0}^{*}\cup\langle a,1\rangle$ and
$q_{1}=q_{1}^{*}\cup\langle a,1\rangle$ are also compatible. ∎
Now we return to the proof that
$\operatorname{dcl}^{(\mathcal{M},\omega,X)}=\operatorname{dcl}^{(\mathcal{M},\omega)}$.
Suppose $a,b\in M$ are such that $(\mathcal{M},\omega,X)\models\forall
x(\phi(x,b)\leftrightarrow x=a)$ for some $\phi$ in the expanded language.
There is $p\in G$ such that
(3) $(\mathcal{M},\omega)\models p\Vdash[\forall x(\phi(x,b)\leftrightarrow
x=a)].$
Let $p$ be such that it satisfies (3) and $|p|$ is minimal. Let
$Y=\\{q:|q|=|p|\text{ and }q\Vdash[\forall x(\phi(x,b)\leftrightarrow
x=a)]\\}$. We consider the two cases of whether $Y$ is finite or $Y$ is
infinite.
If $Y$ is finite, then $p\in\operatorname{dcl}^{(\mathcal{M},\omega)}(a,b)$.
Since $\omega$ is neutral, then $p\in\operatorname{Scl}(a,b)$, and so there
are $n\in\omega,$ Skolem terms $t_{0},\ldots,t_{n-1}$, and
$\sigma:[0,n-1]\to\\{0,1\\}$ such that $p(t_{i}(a,b))=\sigma(i)$ for each
$i<n$. Let $p(x)$ be the finite function defined by
$t_{i}(x,b)\mapsto\sigma(i)$ for $0\leq i<n$, so that $p=p(a)$. Now consider
the set $B=\\{c:(\mathcal{M},\omega)\models p(c)\Vdash\forall
x[(\phi(x,b)\leftrightarrow x=c)]\\}$. Clearly $a\in B$, and so if $B$ is
finite then $a\in\operatorname{dcl}^{(\mathcal{M},\omega)}(b)$. If $B$ is
infinite, let $Z=\\{p(c):c\in B\\}$ and apply Lemma 16 to the collection
$\\{X_{i}:i\in\omega\\}$ where each $X_{i}=Z$. We obtain compatible conditions
$p(c_{1})\neq p(c_{2})\in Z$. But in $(\mathcal{M},\omega)$,
$p(c_{1})\cup p(c_{2})\Vdash\forall x[\phi(x,b)\leftrightarrow
x=c_{1}]\wedge\forall x[\phi(x,b)\leftrightarrow x=c_{2}],$
which is impossible.
If $Y$ is infinite, for each $c\in M$ let $X_{c}=\\{q:|q|=|p|\text{ and
}q\Vdash[\forall x(\phi(x,b)\leftrightarrow x=c)]\\}$. If there are only
finitely many $c$ such that $X_{c}$ is infinite, then
$a\in\operatorname{dcl}^{(\mathcal{M},\omega)}(b)$, so assume that there are
infinitely many such $c$. Applying Lemma 16, there are $c_{1}\neq c_{2}$ with
$p\in X_{c_{1}},q\in X_{c_{2}}$ and $p$ and $q$ are compatible. But then
$p\cup q\Vdash[\forall x(\phi(x,b)\leftrightarrow x=c_{1})]\wedge[\forall
x(\phi(x,b)\leftrightarrow x=c_{2})]$, which is impossible. ∎
Combining Lemma 6, Lemma 7, and Theorem 15, we obtain the following:
###### Corollary 17.
Every countable $\mathcal{M}$ has a neutral, strong CP-generic.∎
## 4\. Cuts and Classes
In every model $\mathcal{M}$, the standard cut $\omega$ is neutral. This is
not true in general for CP-generics, per the following result. In the
following, an extension $\mathcal{N}\prec\mathcal{M}$ is called _superminimal_
(see [6, Section 2.1.2]) if whenever $b\in M\setminus N$, then
$\operatorname{Scl}(b)=M$.
###### Proposition 18.
For any $\mathcal{M}$, the following are equivalent:
1. (1)
$\mathcal{M}$ has a bounded CP-generic subset $X\subseteq M$.
2. (2)
All sufficiently large $b\in M$ generate $\mathcal{M}$.
3. (3)
$\mathcal{M}$ is prime or is a superminimal elementary end extension of some
$\mathcal{N}\prec\mathcal{M}$.
One notes here that conditions (2) and (3) both imply that $\mathcal{M}$ is
countable.
###### Proof.
The implication $\eqref{supermin-end}\implies\eqref{suff-large-gen}$ is clear
from definitions. To show $\eqref{suff-large-gen}\implies\eqref{supermin-
end}$, suppose (2) holds. Let $b\in M$ be such that
$\operatorname{Scl}(b)=\mathcal{M}$. Let $K$ be the set of those $a\in M$ such
that $b\not\in\operatorname{Scl}(a)$. Then by (2), either $K=\emptyset$ or $K$
is a proper cut of $\mathcal{M}$. If $K=\emptyset$, then
$\mathcal{M}=\operatorname{Scl}(0)$. If $K\neq\emptyset$, then we claim that
$\mathcal{K}$ is an elementary submodel of $\mathcal{M}$, and $\mathcal{M}$ is
a superminimal elementary end extension of $\mathcal{K}$. To see this, suppose
$\mathcal{M}\models\exists x(\phi(x,a))$, for some $a\in K$. Then there is
$c\in\operatorname{Scl}(a)$ such that $\mathcal{M}\models\phi(c,a)$. Then
since $\operatorname{Scl}(c)\subseteq\operatorname{Scl}(a)$ and
$b\not\in\operatorname{Scl}(a)$, it follows that
$b\not\in\operatorname{Scl}(c)$ and so $c\in K$. Moreover, for any $a\in
M\setminus K$, $\operatorname{Scl}(a)=\mathcal{M}$, and so $\mathcal{M}$ is a
superminimal extension of $\mathcal{K}$.
Next we show $\eqref{bounded-cpg}\implies\eqref{suff-large-gen}$. Suppose $X$
is bounded and is CP-generic. Let $b>X$. If
$\operatorname{Scl}(b)\neq\mathcal{M}$, then there is
$x\not\in\operatorname{Scl}(b)$ such that $x>b$. Then by CP-genericity,
$(\mathcal{M},X)\models\exists x(x>b\wedge x\in X),$
immediately contradicting the assertion that $X$ is bounded above by $b$.
Finally we show $\eqref{supermin-end}\implies\eqref{bounded-cpg}$. If
$\mathcal{M}$ is prime, then every $X\subseteq M$ is CP-generic by definition.
If $\mathcal{N}\prec\mathcal{M}$, and $\mathcal{M}$ is a superminimal
elementary end extension of $\mathcal{N}$, then we build $X\subseteq N$ which
is CP-generic in $\mathcal{M}$. To build $X$, we construct finite sets
$A_{i},B_{i}$, for each $i\in\omega$, such that the following hold:
1. (a)
if $i<j\in\omega$, then $A_{i}\subseteq A_{j}$,
2. (b)
for all $i\in\omega$, $A_{i}\cap B_{i}=\emptyset$,
3. (c)
for all $i\in\omega$, $A_{i}\cup B_{i}\subseteq N$, and
4. (d)
for every definable set $D\subseteq M^{n}$, $I\subseteq\\{1,\ldots,n\\}$, if
$D$ satisfies the hypothesis of Definition 1, then there is $i<\omega$ and
$\langle x_{1},\ldots,x_{n}\rangle\in D\cap(A_{i}\cup B_{i})$ such that for
$1\leq j\leq n$, $x_{j}\in A_{i}$ iff $j\in I$ and $x_{j}\in B_{i}$ iff
$j\not\in I$.
To begin the construction, let $A_{0}=B_{0}=\emptyset$. At stage $i+1$,
suppose $A_{i}$ and $B_{i}$ are defined and that we are considering a
definable $D\subseteq M^{n}$ and $I\subseteq\\{1,\ldots,n\\}$ satisfying the
hypothesis of Definition 1. By superminimality, if $D$ is definable from $a\in
M\setminus N$, there is nothing to show, so assume $D$ is definable from
parameter $a\in N$, and there are
$b_{1},\ldots,b_{n}\not\in\operatorname{Scl}(a)$ such that $\langle
b_{1},\ldots,b_{n}\rangle\in D$. Since $A_{i}$ and $B_{i}$ are finite, then
let $\langle x_{1},\ldots,x_{n}\rangle\in D$ be the smallest such that
$x_{j}\not\in A_{i}\cup B_{i}$ for any $1\leq j\leq n$. Then notice that each
$x_{j}\in\mathcal{N}$, as the tuple $\langle x_{1},\ldots,x_{n}\rangle$ is
definable from elements of $\mathcal{N}$. Let $A_{i+1}=A_{i}\cup\\{x_{j}:j\in
I\\}$ and $B_{i+1}=B_{i}\cup\\{x_{j}:j\not\in I\\}$.
As there are only countably many pairs $(D,I)$ where $D$ is definable,
$D\subseteq M^{n}$ for some $n\in\omega$ and $I\subseteq\\{1,\ldots,n\\}$,
each such $D$ and $I$ is handled at some stage $i\in\omega$, so (d) holds. Let
$X=\bigcup\limits_{i\in\omega}A_{i}$. Properties (a), (b), and (d) imply that
$X$ is CP-generic, and property (c) implies that $X\subseteq N$ (and therefore
is bounded). ∎
This shows that, in recursively saturated models (or any model which is not
finitely generated), no proper cut is CP-generic . Of course, the word
“proper” can be omitted from the previous sentence, as one can verify that for
any model $\mathcal{M}$, $M$ itself is not CP-generic.
[1] focused on neutral _classes_ and neutral inductive sets. A subset $X$ of a
model ${\mathcal{M}}$ is a _class_ if for each $a\in M$, $\\{x\in
X:{\mathcal{M}}\models x<a\\}$ is definable in ${\mathcal{M}}$. A subset $X$
of $M$ is _inductive_ if $({\mathcal{M}},X)\models{\sf PA}^{*}$, i.e. the
induction schema holds in $({\mathcal{M}},X)$ for all formulas of the language
of PA with a unary predicate symbol interpreted as $X$. All inductive sets are
classes. [1, Corollary 3.3] states that no undefinable neutral set in a
recursively saturated model is a class. It turns out that, in recursively
saturated models, no CP-generic is a class either. In fact, we have more: no
strong CP-generic is a class in a nonstandard model.
###### Theorem 19.
Let $\mathcal{M}$ be nonstandard. If $X\subseteq M$ is a class, then it is not
strongly CP-generic.
###### Proof.
Let $X$ be strongly CP-generic and $b>\omega$. Suppose $B=[0,b)\cap X$ is
infinite. If not, replace $X$ with its complement, which is also strongly CP-
generic by definition. If $X$ is a class, then $B$ is an infinite definable
subset of $M$, and so by strong CP-genericity, there is $x\in B$ such that
$x\not\in X$. ∎
## 5\. Open Questions
We close with some questions about CP-genericity. For the first question, we
recall the notion of the substructure lattice of a model. Given a structure
$\mathcal{M}$,
$\operatorname{Lt}(\mathcal{M})=\\{\mathcal{K}:\mathcal{K}\prec\mathcal{M}\\}$;
see [6, Chapter 4] for basic definitions and results on substructure lattices.
###### Question 1.
Let $\mathcal{M}$ be a countable, recursively saturated model of
$\mathsf{PA}$. For which subsemilattices $L$ of
$\operatorname{Lt}(\mathcal{M})$ is it the case that there is a (strong) CP-
generic $X$ such that the elementary substructures of $(\mathcal{M},X)$ are
exactly expansions of the $\mathcal{N}\prec\mathcal{M}$ such that
$\mathcal{N}\in L$?
Theorem 19 asserts that strong CP-generic subsets of nonstandard models are
not classes. In particular, this means that no CP-generic subset of a
recursively saturated model is a class. In a similar vein to the ideas studied
about neutrality in [1], we ask here if sets which are CP-generic (but not
strongly CP-generic) can ever be classes in a nonstandard model.
###### Question 2.
For which $\mathcal{M}$ is there $X\subseteq M$ such that $X$ is a CP-generic
class?
###### Question 3.
Let $\mathcal{M}\models\mathsf{PA}$ and $X\subseteq M$ strongly CP-generic. Is
there always a proper $(\mathcal{N},Y)\succ(\mathcal{M},X)$ such that $Y$ is
strongly CP-generic? Under what conditions on $(\mathcal{M},X)$ can we always
find such an $(\mathcal{N},Y)$?
## References
* [1] Athar Abdul-Quader and Roman Kossak. Neutrally expandable models of arithmetic. Mathematical Logic Quarterly, 65(2):212–217, 2019.
* [2] Zoé Chatzidakis and Anand Pillay. Generic structures and simple theories. Annals of Pure and Applied Logic, 95(1-3):71–92, 1998.
* [3] Alfred Dolich, Chris Miller, and Charles Steinhorn. Extensions of ordered theories by generic predicates. The Journal of Symbolic Logic, 78(2):369–387, 2013.
* [4] Alfred Dolich, Chris Miller, and Charles Steinhorn. Expansions of o-minimal structures by dense independent sets. Annals of pure and applied logic, 167(8):684–706, 2016.
* [5] V. Kanovei. Uniqueness, collection, and external collapse of cardinals in ${\rm IST}$ and models of Peano arithmetic. Journal of Symbolic Logic, 60(1):318–324, 1995.
* [6] Roman Kossak and James H. Schmerl. The structure of models of Peano arithmetic, volume 50 of Oxford Logic Guides. Oxford University Press, 2006.
| arxiv-papers | 2021-07-25T18:39:14 | 2024-09-04T03:07:17.131586 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Athar Abdul-Quader and James H. Schmerl",
"submitter": "Athar Abdul-Quader",
"url": "https://arxiv.org/abs/2107.11867"
} |
2107.11875 | # Stochastic differential equations in a scale of Hilbert spaces 2. Global
solutions.
Georgy Chargaziya Alexei Daletskii
Department of Mathematics, University of York, UK
###### Abstract
A stochastic differential equation with coefficients defined in a scale of
Hilbert spaces is considered. The existence, uniqueness and path-continuity of
infinite-time solutions is proved by an extension of the Ovsyannikov method.
This result is applied to a system of equations describing non-equilibrium
stochastic dynamics of (real-valued) spins of an infinite particle system on a
typical realization of a Poisson or Gibbs point process in ${\mathbb{R}}^{n}$.
The paper improves the results of the work by the second named author
”Stochastic differential equations in a scale of Hilbert spaces”, Electron. J.
Probab. 23, where finite-time solutions were constructed.
## 1 Introduction
The purpose of this work is to study an infinite-dimensional stochastic
differential equation (SDE)
$d\xi(t)=f(\xi(t)dt+B(\xi(t))dW(t),$ (1)
with the coefficients $f$ and $B$ defined in a scale of densely embedded
Hilbert spaces $\left(X_{\alpha}\right)_{\alpha\in\mathcal{A}}$, where
$\mathcal{A}$ is a real interval, and $W$ is a cylinder Wiener process on a
fixed Hilbert space $\mathcal{H}$. That is, $f$ and $B$ are Lipschitz
continuous maps $X_{\alpha}\rightarrow X_{\beta}$ and $X_{\alpha}\rightarrow
H_{\beta}:=HS(\mathcal{H},X_{\beta})$, $\beta>\alpha$, respectively, but are
not in general well-defined in any fixed $X_{\alpha}$, with the corresponding
Lipschitz constants $L_{\alpha\beta}$ becoming infinite as
$\left|\alpha-\beta\right|\rightarrow 0$. Here $HS(\mathcal{H},X_{\beta})$
stands for the space of Hilbert-Schmidt operators $\mathcal{H}\rightarrow
X_{\beta}$.
Equation (1) cannot be treated by methods of the classical theory of SDEs in
Banach spaces (see e.g. [11] and [15]), because its coefficients are singular
in any fixed $X_{\alpha}$. Some progress can be achieved if
$L_{\alpha\beta}\sim(\beta-\alpha)^{-1/q}\ \text{as
}\left|\alpha-\beta\right|\rightarrow 0,$ (2)
with $q=2$. Under this condition, a solution with initial value in
$X_{\alpha}$ exists in $X_{\beta}$ , with lifetime
$T_{\alpha\beta}\sim(\beta-\alpha)^{1/2}$, see [8]. This result generalizes
the Ovsyannikov method for ordinary differential equations, see e.g. [17], [4]
and [9], in which setting it is sufficient to assume that $q=1$.
It has been noticed in [9] that, in case of $q>1,$ a solution of the ODE
$\frac{d}{dt}u(t)=f(u(t)),\ u(0)\in X_{\alpha},$
exists in any $X_{\beta}$, $\beta>\alpha$, with infinite lifetime. In the
present paper, we build upon the ideas of [9], which enable us to generalize
the results of [8] and prove the existence and uniqueness of a global solution
$\xi(t)$ of equation (1) in any $X_{\beta}$, $\beta>\alpha$, with initial
value $\xi(0)\in X_{\alpha}$, provided (2) holds with $q>2$. Moreover, we show
that $\xi(t)$ is $p$-integrable for any $p<q$ and has a continuous
modification.
The structure of the paper is as follows. In Section 2 we introduce the
framework and notations and formulate our main existence and uniqueness
result. In Section 3 we obtain technical estimates, which play crucial role in
what follows. Section 4 is devoted to the proof of our main existence and
uniqueness result. In Sections 6 and 5 we derive an estimate of the growth of
solutions and prove the existence of its continuous modification,
respectively.
Section 7 is devoted to our main example, which is motivated by the study of
countable systems of particles randomly distributed in a Euclidean space
${\mathbb{R}}^{n}(=:\mathfrak{X})$. Each particle is characterized by its
position $x$ and an internal parameter (spin) $\sigma_{x}\in
S={\mathbb{R}^{1}}$. For a given fixed (“quenched”) configuration $\gamma$ of
particle positions, which is a locally finite subset of ${\mathbb{R}}^{n}$, we
consider a system of stochastic differential equations describing (non-
equilibrium) dynamics of spins $\sigma_{x},$ $x\in\gamma$. Two spins
$\sigma_{x}$ and $\sigma_{y}$ are allowed to interact via a pair potential if
the distance between $x$ and $y$ is no more than a fixed interaction radius
$r$, that is, they are neighbors in the geometric graph defined by $\gamma$
and $r.$ Vertex degrees of this graph are typically unbounded, which implies
that the coefficients of the corresponding equations cannot be controlled in a
single Hilbert or Banach space (in contrast to spin systems on a regular
lattice, which have been well-studied, see e.g. [16] and more recent
developments in [1], [2], [20], and references therein). However, under mild
conditions on the density of $\gamma$ (holding for e.g. Poisson and Gibbs
point processes in ${\mathbb{R}}^{n}$), it is possible to apply the approach
discussed above and construct a solution in the scale of Hilbert spaces
$S_{\alpha}^{\gamma}$ of weighted sequences $(q_{x})_{x\in\gamma}\in
S^{\gamma}$ such that
$\sum_{x\in\gamma}\left|q_{x}\right|^{2}e^{-\alpha\left|x\right|}<\infty,\
\alpha>0$. Local solutions of the above system were constructed in [8] by a
somewhat different method.
Construction of non-equilibrium stochastic dynamics of infinite particle
systems of the aforementioned type has been a long-standing problem, even in
the case of linear drift and a single-particle diffusion coefficient. It has
become important in the framework of analysis on spaces
$\Gamma(\mathfrak{X},S)$ of configurations $\\{(x,\sigma_{x})\\}_{x\in\gamma}$
with marks (see e.g. [14]), and is motivated by a variety of applications, in
particular in modeling of non-crystalline (amorphous) substances, e.g.
ferrofluids and amorphous magnets, see e.g. [27], [26, Section 11], [6] and
[12, 13]. $\Gamma(\mathfrak{X},S)$ possesses a fibration-like structure over
the space $\Gamma(\mathfrak{X})$ of position configurations $\gamma$, with the
fibres identified with $S^{\gamma}$, see [12]. Thus the construction of spin
dynamics of a quenched system (in $S^{\gamma}$) is complementary to that of
the dynamics in $\Gamma(\mathfrak{X})$.
Various aspects of the study of deterministic (Hamiltonian) and stochastic
evolution of configurations $\gamma\in\Gamma(\mathfrak{X})$, in its
deterministic (Hamiltonian) and stochastic form have been discussed by many
authors, see e.g. [24, 25, 19, 5, 3, 18] and references given there. It is
anticipated that (some of) these results can be combined with the approach
proposed in the present paper allowing to build stochastic dynamics on the
marked configuration space $\Gamma(\mathfrak{X},S)$. In particular, the
results of Section 7 are used in a forthcoming paper [10] for the construction
of a mixed-type jump diffusion dynamics in $\Gamma(\mathfrak{X},S)$.
Finally, in Section 8 we give two further examples of the maps satisfying
condition (2).
Observe that the family $X_{\alpha}=S_{\alpha}^{\gamma}$, $\alpha>0$, forms
the dual to nuclear space $\Phi^{\prime}=\cup_{\alpha}X_{\alpha}$. SDEs on
such spaces were considered in [21], [22]. The existence of solutions to the
corresponding martingale problem was proved under assumption of continuity of
coefficients on $\Phi^{\prime}$ and their linear growth (which, for the
diffusion coefficient, is supposed to hold in each $\alpha$-norm). Moreover,
the existence of strong solutions requires a dissipativity-type estimate in
each $\alpha$-norm, too, which does not hold in our framework.
Acknowledgment. We are very grateful to Dmitri Finkelshtein and Zdzislaw
Brzeźniak for their interest in this work and many stimulating discussions.
## 2 Setting and main results
In this section we introduce the general framework we will be using. We start
with the following general definition.
Let us consider a family $\mathfrak{B}$ of Banach spaces $B_{\alpha}$ indexed
by $\alpha\in\mathcal{A}:=\left[\alpha_{\ast},\alpha^{\ast}\right]$ with fixed
$0\leq\alpha_{\ast},\alpha^{\ast}<\infty$, and denote by
$\left\|\cdot\right\|_{B_{\alpha}}$ the corresponding norms. When speaking of
these spaces and related objects, we will always assume that the range of
indices is $\left[\alpha_{\ast},\alpha^{\ast}\right]$, unless stated
otherwise. The interval $\mathcal{A}$ remains fixed for the rest of this work.
###### Definition 1
The family $\mathfrak{B}$ is called a scale if
$B_{\alpha}\subset B_{\beta}\ {\text{and
}}\left\|u\right\|_{B_{\beta}}\leq\left\|u\right\|_{B_{\alpha}}{\text{ for any
}}\alpha<\beta,\ u\in X_{\alpha},$ (3)
where the embedding means that $B_{\alpha}$ is a vector subspace of
$B_{\beta}$.
We will use the following notations:
$\overline{B}:=\mathop{\displaystyle\bigcup}\limits_{\alpha\in\left[\alpha_{\ast},\alpha^{\ast}\right)}B_{a},\
\underline{B}:=\mathop{\displaystyle\bigcap}\limits_{\alpha\in\left(\alpha_{\ast},\alpha^{\ast}\right]}B_{a}.$
###### Definition 2
For two scales $\mathfrak{B}_{1}$, $\mathfrak{B}_{2}$ (with the same index
set) and a constant $q>0$ we introduce the class
${\mathcal{GL}}_{q}(\mathfrak{B}_{1},\mathfrak{B}_{2})$ of (generalized
Lipschitz) maps $f:\overline{B}\rightarrow\overline{B}$ such that
1. 1.
$f(B_{\alpha})\subset B_{\beta}$ for any $\alpha<\beta$;
2. 2.
there exists constant $L>0$ such that
$\left\|f(u)-f(v)\right\|_{\beta}\leq\frac{L}{\left|\beta-\alpha\right|^{1/q}}\left\|u-v\right\|_{B_{\alpha}}$
(4)
for any $\alpha<\beta$ and $u,v\in B_{\alpha}$.
We will write
${\mathcal{GL}}_{q}(\mathfrak{B}):={\mathcal{GL}}_{q}(\mathfrak{B}_{1},\mathfrak{B}_{2})$
if $\mathfrak{B}_{1}=\mathfrak{B}_{2}=:\mathfrak{B}$.
###### Remark 3
The constant $L$ may depend on $\alpha^{\ast}$ and $\alpha_{\ast}$, as usually
happens in applications.
###### Remark 4
Setting $v=0$ in (4), we obtain the linear growth condition
$\left\|f(u)\right\|_{B_{\beta}}\leq\frac{K}{\left|\beta-\alpha\right|^{1/q}}\left(1+\left\|u\right\|_{B_{\alpha}}\right),\
u\in B_{a},$ (5)
for some constant $K$ and any $\alpha<\beta$.
###### Remark 5
Assume that $\phi$ is Lipschitz continuous in each $B_{\alpha}$ with a uniform
Lipschitz constant $M$. Then $\phi\in{\mathcal{GL}}_{q}(\mathfrak{B})$ with
$L=\left(\alpha^{\ast}-\alpha_{\ast}\right)^{1/q}M$.
###### Remark 6
Some authors have used the scale $B_{\alpha}$ such that $B_{\alpha}\subset
B_{\beta}$ if $\alpha>\beta$. That framework can be transformed to our setting
by an appropriate change of the parametrization, e.g.
$\alpha\mapsto\alpha^{\ast}-\alpha$.
In what follows, we will use the following three main scales of spaces:
1. (1)
the scale $\mathfrak{X}$ of separable Hilbert spaces $X_{\alpha};$
2. (2)
the scale $\mathfrak{H}$ of spaces
$H_{\alpha}\equiv HS(\mathcal{H},X_{\alpha}):=\left\\{{\text{Hilbert-Schmidt
operators }}{\mathcal{H}}\rightarrow X_{\alpha}\right\\},$ (6)
for a fixed separable Hilbert space $\mathcal{H}$;
3. (3)
the scale $\mathfrak{Z}_{T}^{p}$ of Banach spaces $Z_{\alpha,T}^{p}$ of
progressively measurable random processes $u:\left[0,T\right)\rightarrow
X_{\alpha}$ with finite norm
$\left\|u\right\|_{Z_{\alpha,T}^{p}}:=\sup_{t\in\left[0,T\right)}\left({\mathbb{E}}\left\|u(t)\right\|_{X_{\alpha}}^{p}\right)^{1/p}\text{{,}}$
defined on a suitable filtered probability space
$\left(\Omega,\mathcal{F},P\right)$.
Our aim is to construct a strong solution of equation (1), that is, a solution
of the stochastic integral equation
$u(t)=u_{0}+\int_{0}^{t}f(u(s))ds+\int_{0}^{t}B(u(s))dW(s),\ t\leq T,\
u_{0}\in X_{\alpha_{\ast}},$ (7)
where $W(t),\ t\leq T,$ is a fixed cylinder Wiener process in $\mathcal{H}$
(cf. (6)) defined on the probability space
$\left(\Omega,\mathcal{F},P\right)$, with coefficients acting in the scale
$\mathfrak{X}$ for a fixed $p\geq 2$.
The following theorem states the main result of this paper.
###### Theorem 7 (Existence and uniqueness)
Assume that $f\in{\mathcal{GL}}_{q}(\mathfrak{X})$ and
$B\in{\mathcal{GL}}_{q}(\mathfrak{X},\mathfrak{H})$, $q>2$ and $u_{0}\in
X_{\alpha}$, $\alpha\in\mathcal{A}$. Then, for any $T>0$, the following holds:
1. (1)
equation (7) has a unique solution $u\in Z_{\alpha^{\ast},T}^{2}$;
2. (2)
$u\in Z_{\beta,T}^{p}$ for any $p\in\left[2,q\right)$ and $\beta>\alpha$;
3. (3)
$u$ has continuous sample paths a.s.
The proof of the first two statements is given in Section 4 below. We will
show that the map $u\mapsto\mathcal{T}(u)$, where
$\mathcal{T}(u)(t)=u_{0}+\int_{0}^{t}f(u(s))ds+\int_{0}^{t}B(u(s))dW(s),\
t\in[0,T],$ (8)
has a unique fixed point in $Z_{\beta,T}^{p}$ for any $\beta>\alpha$, by
Picard iterative process. The third statement is proved in Section 5 by
Kolmogorov’s continuity theorem.
From now on, we keep $u_{0}$ fixed assume without loss of generality that
$u_{0}\in X_{\alpha_{\ast}}$ (otherwise, we can always re-define the parameter
set $\mathcal{A}$). We also fix an arbitrary $T$ and write $Z_{\beta}^{p}$
instead of $Z_{\beta,T}^{p}$.
## 3 Main estimates
In this section, we derive certain estimates of the map $\mathcal{T}$ defined
by formula (8). We first observe that if $\xi\in Z_{\alpha}^{p}$ and
$\alpha<\beta$ then $\Phi(\xi)$ is a predictable $H_{\beta}$-valued process
because $\Phi$ is continuous by inequality (4). Now using inequality (5) we
also see that
$\int_{0}^{T}\left\|\Phi(\xi(s))\right\|_{H_{\beta}}^{2}ds\leq
C_{1}\int_{0}^{T}\left(1+\left\|\xi(s)\right\|_{B_{\alpha}}^{2}\right)ds\\\
\leq
C_{2}\int_{0}^{T}\left(1+\left\|\xi(s)\right\|_{B_{\alpha}}^{p}\right)ds<\infty$
because $\xi\in Z_{\alpha}^{p}\subset Z_{\alpha}^{2}$. Thus the stochastic
integral
$\int_{0}^{t}\Phi(\xi(s))dW(s),\ t\leq T,$
is unambiguously defined as a square integrable $X_{\beta}$-valued martingale.
Therefore $\mathcal{T}$ is a well-defined map $\overline{Z\,}\rightarrow
Z_{\alpha^{\ast}}$.
###### Theorem 8
Assume that $f\in{\mathcal{GL}}_{q}(\mathfrak{X})$ and
$B\in{\mathcal{GL}}_{q}(\mathfrak{X},\mathfrak{H})$. Then
$\mathcal{T\in}{\mathcal{GL}}_{q}(\mathfrak{Z}^{p})$ for any $p\geq 2$.
Proof. Let us fix $p\geq 2$ and $\alpha,\beta\in\mathcal{A}$, $\alpha<\beta$.
For simplicity, we will use the shorthand notation
$Z_{\alpha}:=Z_{\alpha,T}^{p}$.
We first show that $\mathcal{T}(Z_{\alpha})\subset Z_{\beta}$. Observe that
$f(u(s))\in X_{\beta}$ and $B(u(s))\in H_{\beta}\ $for any $s\in[0,T],$ and
the integrals in the right-hand side of (8) are well-defined in $X_{\beta}$.
The inclusion in question immediately follows from the properties of those
integrals.
Now we shall show that condition (4) of the Definition 2 also holds. Introduce
notations
$\bar{F}(s):=F(\xi_{1}(s))-F(\xi_{2}(s))\text{ and
}\bar{\Phi}(s):=\Phi(\xi_{1}(s))-\Phi(\xi_{2}(s)),\ s\in[0,T],$
we obtain
$\mathbb{E}\left[||\mathcal{T}(\xi_{1})(t)-\mathcal{T}(\xi_{2})(t)||_{X_{\beta}}^{p}\right]=\mathbb{E}\left[||\int_{0}^{t}\bar{F}(s)ds+\int_{0}^{t}\bar{\Phi}(s)dW(s)||_{X_{\beta}}\right]^{p}\\\\[0.72229pt]
\leq
2^{p-1}\mathbb{E}\left[\int_{0}^{t}||\bar{F}(s)||_{X_{\beta}}ds\right]^{p}+2^{p-1}\mathbb{E}\left[||\int_{0}^{t}\bar{\Phi}(s)dW(s)\
||_{X_{\beta}}\right]^{p}.$ (9)
Applying the Hölder inequality, well-known formula for the moments of Ito
integral (see e.g. [9]) and estimate (4) to inequality (9) above we obtain the
estimate
$\mathbb{E}\left[||\mathcal{T}(\xi_{1})(t)-\mathcal{T}(\xi_{2})(t)||_{X_{\beta}}^{p}\right]\leq
2^{p-1}t^{p-1}\int_{0}^{t}\mathbb{E~{}}||\bar{F}(s)||_{X_{\beta}}^{p}ds\\\
+2^{p-1}\left[\frac{p}{2}(p-1)\right]^{p/2}t^{p/2-1}\int_{0}^{t}\mathbb{E~{}}||\bar{\Phi}(s)||_{H_{\beta}}^{p}ds\\\
\leq\frac{\hat{L}(T)}{(\beta-\alpha)^{p/q}}\int_{0}^{t}\mathbb{E~{}}||\xi_{1}(s)-\xi_{2}(s)||_{X_{\alpha}}^{p}ds,$
(10)
where
$\hat{L}(T)=(T^{p-1}+\left[\frac{p}{2}(p-1)\right]^{p}T^{p/2-1})2^{p-1}L^{p}$
. Consequently for all $\alpha<\beta\in\mathcal{A}$ and $\xi_{1},\xi_{2}\in
Z_{\alpha}$ the following general result holds:
$||\mathcal{T}(\xi_{1})-\mathcal{T}(\xi_{2})||_{Z_{\beta}}\leq\frac{\hat{L}(T)T}{(\beta-\alpha)^{p/q}}\sup_{s\in[0,T]}\mathbb{E}~{}||\xi_{1}(s)-\xi_{2}(s)||_{X_{\alpha}}^{p}\\\
=\frac{\sqrt[p]{\hat{L}(T)T}}{(\beta-\alpha)^{1/q}}||\xi_{1}-\xi_{2}||_{Z_{\alpha}},$
(11)
and the proof is complete.
###### Corollary 9
For any $\alpha>\alpha_{\ast}$ and all $n\in\mathbb{N}$
$\mathcal{T}^{n}:Z_{\alpha_{\ast}}\rightarrow Z_{\alpha},$
where $\mathcal{T}^{n}$ stands for the $n$-th composition power of
$\mathcal{T}$.
Corollary 9 shows in particular that given $\xi\in Z_{\alpha_{\ast}}$ the
sequence of processes $\\{\mathcal{T}^{n}(\xi)\\}_{n=1}^{\infty}$ belongs to
$Z_{\alpha}$ for all $\alpha>\alpha_{\ast}$.
###### Remark 10
Observe that we have
$\sqrt[p]{\hat{L}(T)T}\leq a(T)=\left\\{\begin{array}[]{c}a_{p}LT,\ T\geq 1\\\
a_{p}LT^{1/2},\ T<1\end{array}\right.\text{, }$ (12)
where $a_{p}=2^{p-1}\left(\left(\frac{p}{2}\right)^{p/2}(p-1)+1\right).$
###### Lemma 11
For any $n\in\mathbb{N}$, $\alpha<\beta$ and $\xi_{1},\xi_{2}\in Z_{\alpha}$
we have the estimate
$||\mathcal{T}^{n}(\xi_{1})-\mathcal{T}^{n}(\xi_{2})||_{Z_{\beta}}^{p}\leq\frac{n^{np/q}}{n!}\left(\frac{\hat{L}(T)T}{(\beta-\alpha)^{p/q}}\right)^{n}||\xi_{1}-\xi_{2}||_{Z_{\alpha}}^{p}.$
(13)
Proof. We fix a partition of the interval $\left[\alpha,\beta\right]$ in $n$
intervals $\left[\psi_{k},\psi_{k+1}\right],~{}k=0,...,n-1$,
$\psi_{0}=\alpha$, $\psi_{n}=\beta$, of equal length $\frac{\beta-\alpha}{n}$.
Then, iterating estimate (10), we obtain
$\mathbb{E}\left[||\mathcal{T(T}^{n-1}(\xi_{1}))(t)-\mathcal{T(T}^{n-1}(\xi_{2}))(t)||_{X_{\beta}}^{p}\right]\\\
\leq\frac{\hat{L}(T)n^{p/q}}{(\beta-\alpha)^{p/q}}\int_{0}^{t}\mathbb{E~{}}||\mathcal{T}^{n-1}(\xi_{1}(s))-\mathcal{T}^{n-1}(\xi_{2}(s))||_{X_{\alpha}}^{p}ds\\\
\leq...\leq\left[\frac{\hat{L}(T)n^{p/q}}{(\beta-\alpha)^{p/q}}\right]^{n}\int_{0}^{t}\int_{0}^{t_{1}}...\int_{0}^{t_{n-1}}\mathbb{E~{}}||\xi_{1}(s)-\xi_{2}(s)||_{X_{\alpha}}^{p}dsdt_{n-1}...dt_{1},$
(14)
and the result follows.
###### Corollary 12
For any $n\in\mathbb{N}$, $\alpha<\beta$ and $\xi\in Z_{\alpha}$ we have the
estimates
$\mathbb{E}\left[||\mathcal{T}^{n}(\xi)(t)-\mathcal{T}^{n+1}(\xi)(t)||_{X_{\beta}}^{p}\right]\\\
\leq\left[\frac{\hat{L}(T)n^{p/q}}{(\beta-\alpha)^{p/q}}\right]^{n}\int_{0}^{t}\int_{0}^{t_{1}}...\int_{0}^{t_{n-1}}\mathbb{E~{}}||\xi(s)-\mathcal{T(}\xi(s))||_{X_{\alpha}}^{p}dsdt_{n-1}...dt_{1}$
(15)
and
$||\mathcal{T}^{n}(\xi)-\mathcal{T}^{n+1}(\xi)||_{Z_{\beta}}^{p}\leq\frac{n^{np/q}}{n!}\left(\frac{\hat{L}(T)T}{(\beta-\alpha)^{p/q}}\right)^{n}||\xi-\mathcal{T}(\xi)||_{Z_{\alpha}}^{p}.$
(16)
###### Lemma 13
Suppose $\alpha<\beta\in\mathcal{A}$ and $\xi\in Z_{\alpha_{\ast}}$. For all
$m,n\in\mathbb{N}$, $m>n$, the following inequality holds
$||\mathcal{T}^{n}(\xi)-\mathcal{T}^{m}(\xi)||_{Z_{\beta}}\leq||\xi-\mathcal{T}(\xi)||_{Z_{\alpha}}\sum_{k=n}^{m}\frac{\sqrt[p]{\hat{L}(T)^{k}T^{k}}}{(\beta-\alpha)^{k/q}}\frac{k^{\theta
k}}{\sqrt[p]{k!}}.$ (17)
Proof. We have
$||\mathcal{T}^{n}(\xi)-\mathcal{T}^{m}(\xi)||_{Z_{\beta}}\leq\sum_{k=n}^{m-1}||\mathcal{T}^{k}(\xi)-\mathcal{T}^{k+1}(\xi)||_{Z_{\beta}}\\\
\leq\sum_{k=n}^{m-1}\frac{k^{n/q}}{\left(k!\right)^{1/p}}\left(\frac{\hat{L}(T)T}{(\beta-\alpha)^{p/q}}\right)^{n/p}||\xi-\mathcal{T}(\xi)||_{Z_{\alpha}}.$
The result is proved.
Finally, we prove regularity of the right-hand side of (17). In what follows,
we will use the notation
$E^{(p)}(t,\varepsilon,\theta):=1+\sum_{n=1}^{\infty}\frac{t^{n}}{\varepsilon^{\theta
n}}\frac{n^{\theta n}}{\left(n!\right)^{1/p}}$ (18)
Observe that for $p=1$ and $\theta=0$ the right-hand side of (18) reduces to
an exponential series, so that $E^{(1)}(c,\varepsilon,0)=e^{c}$.
###### Lemma 14
For any $t,p,\varepsilon>0$ and $\theta\in[0,\frac{1}{p})$ we have
$E^{(p)}(t,\varepsilon,\theta)<\infty.$
Proof. By analyzing the ratio of terms of series (18) we get
$\lim_{n\rightarrow\infty}\frac{\frac{t^{\left(n+1\right)}}{\varepsilon^{\theta(n+1)}}\frac{\left(n+1\right)^{\theta
n}}{\left((n+1)!\right)^{1/p}}}{\frac{t^{n}}{\varepsilon^{\theta
n}}\frac{n^{\theta
n}}{\left(n!\right)^{1/p}}}=\lim_{n\rightarrow\infty}\frac{t}{\varepsilon^{\theta}}(n+1)^{\theta
n+\theta-\frac{1}{p}}\frac{1}{n^{\theta n}},\\\
=\lim_{n\rightarrow\infty}\frac{t}{\varepsilon^{\theta}}\left(1+\frac{1}{n}\right)_{.}^{\theta
n}(n+1)^{\theta-\frac{1}{p}}\\\
=\frac{t}{\varepsilon^{\theta}}e^{\theta}\lim_{n\rightarrow\infty}(n+1)^{\theta-\frac{1}{p}}=0,$
provided $\theta-\frac{1}{p}<0,$which proves the result.
###### Corollary 15
For any $t,p>0$ and $\theta\in[0,\frac{1}{p})$ we have
$\lim_{n\rightarrow\infty}\frac{t^{n}}{\varepsilon^{\theta n}}\frac{n^{\theta
n}}{\left(n!\right)^{1/p}}=0.$
###### Corollary 16
We have
$\lim_{n\rightarrow\infty}\sum_{k=n}^{m}\frac{\sqrt[p]{\hat{L}(T)^{k}T^{k}}}{(\beta-\alpha)^{k/q}}\frac{k^{\theta
k}}{\sqrt[p]{k!}}=0$
for any $\alpha<\beta$ and $q>p$.
## 4 Proof of the existence and uniqueness
We now prove an important result which will immediately allow us to establish
Theorem 7.
###### Theorem 17
There exists a unique element $\xi_{0}\in\underline{\,Z}$ such that for all
$t\in\mathcal{T}$ we have $\mathcal{T}(\xi_{0})(t)=\xi_{0}(t)$ almost
everywhere. Moreover and for all $\xi\in Z_{\alpha_{\ast}}$
$\lim_{n\rightarrow\infty}\mathcal{T}^{n}(\xi)=\xi_{0},$
is true in $Z_{\alpha}$ for all $\alpha\in(\alpha_{\ast},\overline{\alpha})$.
Proof. Let us fix $\xi\in Z_{\alpha_{\ast}}$. Lemma 13 and Corollary 16 show
that the sequence $\\{\mathcal{T}^{n}(\xi)\\}_{n=1}^{\infty}$ is Cauchy in
$Z_{\beta}$ and therefore converges in $Z_{\beta}$ for all
$\beta>\alpha_{\ast}$. Thus there exists
$\xi_{0}\in\underline{Z}=\cap_{\beta>\alpha_{\ast}}Z_{\beta}$ such that
$\lim_{n\rightarrow\infty}\mathcal{T}^{n}(\xi)=\xi_{0},$
where the convergence takes place in $Z_{\beta}$ for all
$\beta>\alpha_{\ast}$.
We can now fix arbitrary $\delta<\beta$ and observe that
$\mathcal{T}:Z_{\delta}\rightarrow Z_{\beta}$ is continuous. Therefore,
passing to the limit in both sides of the equality
$\mathcal{T}(\mathcal{T}^{n}(\xi))=\mathcal{T}^{n+1}(\xi)\in Z_{\beta}$
we can conclude that
$\mathcal{T}(\xi_{0})=\xi_{0}\text{ in }Z_{\beta}\text{ for any
}\beta\in\mathcal{A},$
which implies that for all $t\in\mathcal{T}$ we have
$\mathcal{T}(\xi_{0})(t)=\xi_{0}(t)$ almost everywhere.
Finally, suppose there exists another element $\eta_{0}\in\underline{\,Z}$
such that for all $t\in\mathcal{T}$ we have
$\mathcal{T}(\eta_{0})(t)=\eta_{0}(t)$ almost everywhere. Then we see from the
inequality (13) that,
$||\xi_{0}-\eta_{0}||_{Z_{\beta}}^{p}=||\mathcal{T}^{n}(\xi_{0})-\mathcal{T}^{n}(\eta_{0})||_{Z_{\beta}}^{p}\leq\frac{\left(a_{p}LT\right)^{n}}{(\alpha-\phi_{1})^{\theta
n}}\frac{n^{\theta
n}}{\sqrt[2p]{n!}}||\xi_{0}-\eta_{0}||_{Z_{\alpha}}\rightarrow 0,\
n\rightarrow\infty.$
Thus $||\xi_{0}-\eta_{0}||_{Z_{\beta}}\ =0$ for any $\beta\in\mathcal{A}$.
Hence $\xi_{0}$ is unique and the proof is complete.
The proof of the first two statements of Theorem 7 follows immediately from
Theorem 17 above by letting $\xi\equiv u_{0}$.
## 5 Continuity of the solution
Let $\xi(t),t>0$, be the solution of equation (7) constructed in Theorems 17
and 7.
###### Theorem 18
For any $\alpha\in\mathcal{A}$, process $\xi$ has a continuous modification
$\eta(t)\in X_{\alpha}$, $t\in[0,T]$, which solves equation (7).
Proof. Let us fix any $\beta\in\left(\alpha_{\ast},\alpha^{\ast}\right]$ and
$p\in\left[2,q\right)$. We can prove the existence of a continuous
modification by an application of Kolmogorov’s continuity theorem in a rather
standard way. Indeed, using (7) and the arguments similar to those used in the
proof of (9) and (10) we obtain
$\displaystyle\mathbb{E}||\xi(t)-\xi(s)||_{X_{\beta}}^{p}$ $\displaystyle\leq$
$\displaystyle\mathbb{E}\left[||\int_{s}^{t}F(\xi(\tau))d\tau+\int_{s}^{t}\Phi(\xi(\tau))dW(\tau)||_{X_{\alpha}}^{p}\right]$
$\displaystyle\leq$
$\displaystyle\frac{C(t-s)}{(\beta-\alpha)^{p\theta}}\left\|\xi\right\|_{Z_{a,T}^{p}}^{p},\
0\leq s<t\leq T,$
where, for $\tau>0$,
$C(\tau)=(\tau^{p}+\left[\frac{p}{2}(p-1)\right]^{p/2}\tau^{p/2})2^{p-1}L^{p}\leq(T^{p/2}+\left[\frac{p}{2}(p-1)\right]^{p/2})2^{p-1}L^{p}~{}\tau^{p/2}.$
So we obtain the estimate
$\mathbb{E}||\xi(t)-\xi(s)||_{X_{\beta}}^{p}=k(\xi,T)\left|t-s\right|^{p/2}$
with
$k(\xi,T)=(T^{p/2}+\left[\frac{p}{2}(p-1)\right]^{p/2})2^{p-1}L^{p}\left\|\xi\right\|_{Z_{a}}^{p}$.
The existence of a continuous modification $\eta(t)\in X_{\beta}$ follows from
Kolmogorov’s continuity theorem.
Since $\xi$ satisfies (7) and $\eta(t)=\xi(t)$ a.s. we have
$\eta(t)=\mathcal{T(\xi)(}t\mathcal{)}\text{ a.s.}$
Observe now that by (11) we have
$\mathbb{E}\left[||\mathcal{T(\xi)(}t\mathcal{)-T(\eta)(}t\mathcal{)}||_{X_{\beta}}^{p}\right]\leq\frac{C(t)}{(\beta-\alpha)^{p/q}}\left\|\xi-\eta\right\|_{Z_{a,T}^{p}}^{p}=0,\
0\leq t\leq T,$
which implies that $\mathcal{T(\xi)(}t\mathcal{)=T(\eta)(}t\mathcal{)}$ a.s.
So we proved that
$\eta(t)=\mathcal{T(}\eta\mathcal{)(}t\mathcal{)}\text{ a.s.}$
This equality holds in $X_{\beta}$ for any
$\beta\in\left(\alpha_{\ast},\alpha^{\ast}\right]$. The proof is complete.
###### Remark 19
Observe that $\left\|\xi-\eta\right\|_{Z_{\alpha,T}^{p}}=0$ for any $\alpha$,
so the processes $\xi$ and $\eta$ coincide as elements of
$\underline{Z_{T}^{p}}$.
## 6 Estimate of the solution
In this section, we derive a norm estimate of the solution $\xi$ from Theorem
17.
###### Lemma 20
For any $\alpha<\beta\in\mathcal{A}$ we have
$||\mathcal{\xi}||_{Z_{\beta}}\leq
E^{(p)}\left(\sqrt[p]{\hat{L}(T)T},\beta-\alpha,q^{-1}\right)\left(1+||\zeta_{\alpha_{\ast}}||_{Z_{\alpha_{\ast}}}\right)^{p}.$
Proof. Consider the approximating sequence
$\left\\{\xi_{n}\right\\}\subset\underline{Z}$ defined by
$\xi_{n}=\mathcal{T}^{n}\mathcal{(}\zeta_{\alpha_{\ast}}),\ n=1,2,...$
We can use inequality (15) and further estimate its right-hand side in the
following way. We have
$||\zeta_{\alpha_{\ast}}-\mathcal{T}(\zeta_{\alpha_{\ast}})(s)||_{X_{\alpha}}^{p}=\mathbb{E}\left[||\int_{0}^{t}F(\zeta_{\alpha_{\ast}})ds+\int_{0}^{t}\Phi(\zeta_{\alpha_{\ast}})dW(s)||_{X_{\alpha}}^{p}\right].$
Remark 4 combined with the arguments similar to those used in the proof of (9)
and (10) implies the estimate
$\mathbb{E}\left[||\mathcal{T}^{n}(\zeta_{\alpha_{\ast}})(t)-\mathcal{T}^{n+1}(\zeta_{\alpha_{\ast}})(t)||_{X_{\beta}}^{p}\right]\\\
\leq\frac{n^{np/q}\hat{L}(T)}{(\alpha-\alpha_{\ast})^{p/q}}\left[\frac{\hat{L}(T)}{(\beta-\alpha)^{p/q}}\right]^{n}\frac{T^{n+1}}{\left(n+1\right)!}\left(1+||\zeta_{\alpha_{\ast}}||_{X_{\alpha_{\ast}}}\right)^{p}.$
In particular, we can set
$\alpha=\psi_{n}=\beta-\frac{\beta-\alpha_{\ast}}{n+1}=\alpha_{\ast}+n\frac{\beta-\alpha_{\ast}}{n+1}$.
A direct calculation shows that the above inequality transforms into
$\mathbb{E}\left[||\mathcal{T}^{n}(\zeta_{\alpha_{\ast}})(t)-\mathcal{T}^{n+1}(\zeta_{\alpha_{\ast}})(t)||_{X_{\beta}}^{p}\right]\\\
\leq\left[\frac{\hat{L}(T)(n+1)^{p/q}}{(\beta-\alpha_{\ast})^{p/q}}\right]^{n+1}\frac{T^{n+1}}{\left(n+1\right)!}\left(1+||\zeta_{\alpha_{\ast}}||_{X_{\alpha_{\ast}}}\right)^{p},$
which implies that
$||\mathcal{T}^{n}(\zeta_{\alpha_{\ast}})-\mathcal{T}^{n+1}(\zeta_{\alpha_{\ast}})||_{Z_{\beta}}^{p}\leq\frac{(n+1)^{(n+1)p/q}}{\left(n+1\right)!}\left[\frac{\hat{L}(T)T}{(\beta-\alpha_{\ast})^{p/q}}\right]^{n+1}\left(1+||\zeta_{\alpha_{\ast}}||_{X_{\alpha_{\ast}}}\right)^{p}.$
Then
$||\zeta_{\alpha_{\ast}}-\mathcal{T}^{m}(\zeta_{\alpha_{\ast}})||_{Z_{\beta}}\leq\sum_{n=1}^{m+1}\frac{n^{np/q}}{n!}\left[\frac{\hat{L}(T)T}{(\beta-\alpha_{\ast})^{p/q}}\right]^{n}\left(1+||\zeta_{\alpha_{\ast}}||_{X_{\alpha_{\ast}}}\right)^{p}.$
Passing to the limit as $m\rightarrow\infty$ we obtain the bound
$||\zeta_{\alpha_{\ast}}-\mathcal{\xi}||_{Z_{\beta}}\leq\sum_{n=1}^{\infty}\frac{n^{np/q}}{n!}\left[\frac{\hat{L}(T)T}{(\beta-\alpha_{\ast})^{p/q}}\right]^{n}\left(1+||\zeta_{\alpha_{\ast}}||_{X_{\alpha_{\ast}}}\right)^{p}.$
Therefore
$||\mathcal{\xi}||_{Z_{\beta}}\leq||\zeta_{\alpha_{\ast}}||_{X_{\beta}}+\sum_{n=1}^{\infty}\frac{n^{np/q}}{n!}\left[\frac{\hat{L}(T)T}{(\beta-\alpha_{\ast})^{p/q}}\right]^{n}\left(1+||\zeta_{\alpha_{\ast}}||_{X_{\alpha_{\ast}}}\right)^{p}\\\
\leq\left(1+\sum_{n=1}^{\infty}\frac{n^{np/q}}{n!}\left[\frac{\hat{L}(T)T}{(\beta-\alpha_{\ast})^{p/q}}\right]^{n}\right)\left(1+||\zeta_{\alpha_{\ast}}||_{X_{\alpha_{\ast}}}\right)^{p}\\\
=E^{(p)}\left(\sqrt[p]{\hat{L}(T)T},\beta-\alpha,q^{-1}\right)\left(1+||\zeta_{\alpha_{\ast}}||_{X_{\alpha_{\ast}}}\right)^{p},$
which completes the proof.
## 7 Stochastic spin dynamics of a quenched particle system
Our main example is motivated by the study of stochastic dynamics of
interacting particle systems. We follow the scheme of paper [8], adapted to
our present setting, which allows to show the existence of solutions with
arbitrary large lifetime and their path-continuity.
Let $\gamma\subset X={\mathbb{R}}^{d}$ be a locally finite set (configuration)
representing a collection of point particles. Each particle with position
$x\in X$ is characterized by an internal parameter (spin) $\sigma_{x}\in
S={\mathbb{R}}^{1}$.
We fix a configuration $\gamma$ and look at the time evolution of spins
$\sigma_{x}(t)$, $x\in\gamma$, which is described by a system of stochastic
differential equations in $S$ of the form
$d\sigma_{x}(t)=f_{x}(\bar{\sigma})dt+B_{x}(\bar{\sigma})dW_{x}(t),\
x\in\gamma,$ (19)
where $\bar{\sigma}=(\sigma_{x})_{x\in\gamma}$ and $W=(W_{x})_{x\in\gamma}$ is
a collection of independent Wiener processes in $S$. We assume that both drift
and diffusion coefficients $f_{x}$ and $B_{x}$ depend only on spins
$\sigma_{y}$ with $\left|y-x\right|<r$ for some fixed interaction radius $r>0$
and have the form
$f_{x}(\bar{\sigma})=\sum_{y\in\gamma}\varphi_{xy}(\sigma_{x},\sigma_{y}),\ \
B_{x}(\bar{\sigma})=\sum_{y\in\gamma}\Psi_{xy}(\sigma_{x},\sigma_{y}),$ (20)
where the mappings $\varphi_{xy}:S\times S\rightarrow S$ and
$\Psi_{xy}:S\times S\rightarrow S$ satisfy finite range and uniform Lipschitz
conditions, see Definition 23 and Condition 25 below.
Our aim is to realize system (19) as an equation in a suitable scale of
Hilbert spaces and apply the results of previous sections in order to find its
strong solutions.
We introduce the following notations:
\-
$S^{\gamma}:=\prod_{x\in\gamma}S_{x}\ni\bar{\sigma}=(\sigma_{x})_{x\in\gamma},\
\sigma_{x}\in S_{x}=S$;
\- $\gamma_{x,r}:=\left\\{y\in\gamma:\left|x-y\right|<r\right\\},\
x\in\gamma$;
\- $n_{x}\equiv n_{x,r}(\gamma):=$ number of points in $\gamma_{x,r}$ ( $=$
number of particles interacting with particle in position $x$).
Observe that, although the number $n_{x}$ is finite, it is in general
unbounded function of $x$. We assume that it satisfies the following
regularity condition.
From now on, we assume that the following condition holds.
###### Condition 21
There exist constants $q>2$ and $a(\gamma,r,q)>0$ such that
$n_{x,r}(\gamma)\leq a(\gamma,r,q)\left(1+\left|x\right|\right)^{1/q}$ (21)
for all $x\in X$.
###### Remark 22
Condition (21) holds if $\gamma$ is a typical realization of a Poisson or
Gibbs (Ruelle) point process in $X$. For such configurations, stronger
(logarithmic) bound holds:
$n_{x,r}(\gamma)\leq c(\gamma)\left[1+\log(1+\left|x\right|)\right]r^{d},$
see e.g. [28] and [23, p. 1047]. Thus (21) holds for any $q>0$.
### 7.1 Existence of the dynamics
Our dynamics will live in the scale of Hilbert spaces
$X_{\alpha}=S_{\alpha}^{\gamma}:=\left\\{\bar{q}\in
S^{\gamma}:\left\|\bar{q}\right\|_{\alpha}:=\sqrt{\sum_{x\in\gamma}\left|q_{x}\right|^{2}e^{-\alpha\left|x\right|}}<\infty\right\\},\
0<\alpha_{\ast}<\alpha<\alpha^{\ast}.$
We fix the parameters $\alpha_{\ast}$ and $\alpha^{\ast}$, which can be chosen
in an arbitrary way.
We set
${\mathcal{H}}=S_{0}^{\gamma}:=\left\\{\bar{q}\in
S^{\gamma}:\left\|\bar{q}\right\|_{0}:=\sqrt{\sum_{x\in\gamma}\left|q_{x}\right|^{2}}<\infty\right\\}$
and define the corresponding spaces ${\mathcal{GL}}_{p}(\mathfrak{X})$ and
${\mathcal{GL}}_{p}(\mathfrak{X},\mathfrak{H})$ (cf. Definition 2). Observe
that $W(t):=\left(W_{x}(t)\right)_{x\in\gamma}$ is a cylinder Wiener process
in $\mathcal{H}$.
Let ${\mathcal{V}}$ be a family of mappings $V_{xy}:S^{2}\rightarrow S$,
$x,y\in\gamma$.
###### Definition 23
We call the family $\mathcal{V}$ admissible if it satisfies the following two
assumptions:
* •
finite range: there exists constant $r>0$ such that $V_{xy}\equiv 0$ if
$\left|x-y\right|\geq r$;
* •
uniform Lipschitz continuity: there exists constant $C>0$ such that
$\left|V_{xy}(q_{1}^{\prime},q_{2}^{\prime})-V_{xy}(q_{1}^{\prime\prime},q_{2}^{\prime\prime})\right|\leq
C\left(\left|q_{1}^{\prime}-q_{1}^{\prime\prime}\right|+\left|q_{2}^{\prime}-q_{2}^{\prime\prime}\right|\right)$
(22)
for all $x,y\in\gamma$ and
$q_{1}^{\prime},q_{2}^{\prime},q_{1}^{\prime\prime},q_{2}^{\prime\prime}\in
S$.
Define a map $\overline{V}:S^{\gamma}\rightarrow S^{\gamma}$ and a linear
operator $\widehat{V}(\bar{q}):S^{\gamma}\rightarrow S^{\gamma}$, $\bar{q}\in
S^{\gamma}$, by the formula
$\overline{V}_{x}(\bar{q})=\sum_{y\in\gamma}V_{xy}(q_{x},q_{y}),$
and
$\left(\widehat{V}(\bar{q}){\bar{\sigma}}\right)_{x}:=\overline{V}_{x}(\bar{q})\sigma_{x},\,x\in\gamma,\,{\bar{\sigma}}\in
S^{\gamma},$
respectively.
###### Lemma 24
Assume that ${\mathcal{V}}$ is admissible. Then
$\overline{V}\in{\mathcal{GL}}_{q}(\mathfrak{X})$ and
$\widehat{V}\in{\mathcal{GL}}_{q}(\mathfrak{X},\mathfrak{H})$.
The proof of this Lemma is quite tedious and will be given in Section 7.2
below.
Now we can return to the discussion of system (19). Assume that the following
condition holds.
###### Condition 25
The families of mappings $\left\\{\varphi_{xy}\right\\}_{x,y\in\gamma}$ and
$\left\\{\Psi_{xy}\right\\}_{x,y\in\gamma}$ from (20) are admissible.
By Lemma 24 we have $\overline{\varphi}\in{\mathcal{GL}}_{p}(\mathfrak{X})$
and $\widehat{\Psi}\in{\mathcal{GL}}_{p}(\mathfrak{X},\mathfrak{H})$. Thus we
can write (19) in the form
$\bar{\sigma}(t)=\overline{\varphi}(\bar{\sigma})dt+\widehat{\Psi}(\bar{\sigma})dW(t),$
where $W(t)=\left(W_{x}(t)\right)_{x\in\gamma}$, and apply the results of the
previous sections to its integral counterpart. We summarize those results in
the following theorem, which follows directly from Theorem 7.
###### Theorem 26
Assume that Conditions 21 and 25 hold. Then, for any $\alpha>0$,
$\bar{\sigma}_{0}\in X_{\alpha}$, $p\in\left[2,q\right)$ and $T>0$, system
(19) has a unique strong solution $u\in Z_{\beta,T}^{p}$, for any
$\beta>\alpha$. This solution has continuous sample paths a.s.
This result implies of course that, for each $x\in\gamma$, equation (19) has a
path-continuous strong solution, which is unique in the class of progressively
measurable square-integrable processes.
### 7.2 Proof of Lemma 24.
This proof is a modification of the proof given in [8] for $q=2$.
Step 1. We first show that $\overline{V}$ is a mapping
$S_{\alpha}^{\gamma}\rightarrow S_{\beta}^{\gamma}$ for any $\alpha<\beta$.
For any $\bar{q}\in S_{\alpha}^{\gamma}$ we have
$\displaystyle\left\|\overline{V}(\bar{q})\right\|_{\beta}^{2}$
$\displaystyle=$
$\displaystyle\sum_{x\in\gamma}\left|\sum_{y\in\gamma}V_{xy}(q_{x},q_{y})\right|^{2}e^{-\beta\left|x\right|}$
$\displaystyle\leq$ $\displaystyle
3C^{2}\sum_{x\in\gamma}\sum_{y\in\gamma_{x,r}}n_{x}\left(1+\left|q_{x}\right|^{2}+\left|q_{y}\right|^{2}\right)e^{-\beta\left|x\right|}.$
The polynomial bound on the growth of $n_{x}$ implies that
$\sum_{x\in\gamma}\sum_{y\in\gamma_{x,r}}n_{x}e^{-\beta\left|x\right|}=\sum_{x\in\gamma}n_{x}^{2}e^{-\beta\left|x\right|}\leq\sum_{x\in\gamma}n_{x}^{2}e^{-\alpha_{\ast}\left|x\right|}=:c(\gamma,\alpha_{\ast})<\infty.$
Next, we estimate
$\sum_{x\in\gamma}\sum_{y\in\gamma_{x,r}}n_{x}\left|q_{x}\right|^{2}e^{-\beta\left|x\right|}=\sum_{x\in\gamma}n_{x}^{2}\left|q_{x}\right|^{2}e^{-\left(\beta-\alpha\right)\left|x\right|}e^{-\alpha\left|x\right|}\\\
\leq\sup_{x\in\gamma}\left(n_{x}^{2}e^{-\left(\beta-\alpha\right)\left|x\right|}\right)\left\|\bar{q}\right\|_{\alpha}^{2}.$
Observe that
$\sum\limits_{x\in\gamma}\sum\limits_{y\in\gamma_{x,r}}=\sum\limits_{\begin{subarray}{c}x,y\in\gamma\\\
\left|x-y\right|<r\end{subarray}}=\sum\limits_{y\in\gamma}\sum\limits_{x\in\gamma_{y,r}}$,
and so
$\sum_{x\in\gamma}\sum_{y\in\gamma_{x,r}}n_{x}\left|q_{y}\right|^{2}e^{-\beta\left|x\right|}\leq
e^{\beta
r}\sum_{y\in\gamma}N_{y}\left|q_{y}\right|^{2}e^{-(\beta-\alpha)\left|y\right|}e^{-\alpha\left|y\right|}\\\
\leq e^{\beta
r}\sup_{y\in\gamma}\left(N_{y}e^{-(\beta-\alpha)\left|y\right|}\right)\left\|\bar{q}\right\|_{\alpha}^{2},$
where $N_{y}:=\sum_{x\in\gamma_{y,r}}n_{x}$. Here we used inequality
$\left|y\right|\leq\left|y-x\right|+\left|x\right|\leq r+\left|x\right|$ for
$y\in\gamma_{x,r}$, so that $e^{-\beta\left|x\right|}\leq e^{\beta
r}e^{-\beta\left|y\right|}$. Condition 21 implies that
$N_{x}\leq
a(\gamma,r,q)^{2}\left(1+\left|x\right|\right)^{1/q}\left(1+r+\left|x\right|\right)^{1/q}<c(\gamma,r,q)\left(1+\left|x\right|\right)^{2/q},$
for some constant $c(\gamma,r,q)>0$, and
$n_{x}^{2}\leq a(\gamma,r,q)^{2}\left(1+\left|x\right|\right)^{2/q}$
for any $x\in\gamma$. Eventually we obtain the bound
$\left\|\overline{V}(\bar{q})\right\|_{\beta}^{2}\leq
L^{2}\left[\sup_{s>0}(1+s)e^{-(\beta-\alpha)s}\right]^{2/q}\left\|\bar{q}\right\|_{\alpha}^{2}\leq
L^{2}\left(\beta-\alpha\right)^{-2/q}\left\|\bar{q}\right\|_{\alpha}^{2}<\infty,\
L<\infty.$
Step 2. Lipschitz condition (22) implies the estimate
$\left\|\overline{V}(\bar{q}^{\prime})-\overline{V}(\bar{q}^{\prime\prime})\right\|_{\beta}^{2}=\sum_{x\in\gamma}\left|\sum_{y\in\gamma}V_{xy}(q_{x}^{\prime},q_{y}^{\prime})-\sum_{y\in\gamma}V_{xy}(q_{x}^{\prime\prime},q_{y}^{\prime\prime})\right|^{2}e^{-\beta\left|x\right|}\\\
\leq
2C^{2}\sum_{x\in\gamma}\sum_{y\in\gamma_{x,r}}n_{x}\left(\left|q_{x}^{\prime}-q_{x}^{\prime\prime}\right|^{2}+\left|q_{y}^{\prime}-q_{y}^{\prime\prime}\right|^{2}\right)e^{-\beta\left|x\right|}$
for any $\bar{q}^{\prime},\bar{q}^{\prime\prime}\in S_{\alpha}^{\gamma}$.
Similar to Step 1, we obtain the bound
$\left\|\overline{V}(\bar{q}^{\prime})-\overline{V}(\bar{q}^{\prime\prime})\right\|_{\beta}^{2}\leq
L^{2}\left[\sup_{s>0}(1+s)e^{-(\beta-\alpha)s}\right]^{2/q}\left\|\bar{q}^{\prime}-\bar{q}^{\prime\prime}\right\|_{\alpha}^{2}\\\
\leq
L^{2}\left(\beta-\alpha\right)^{-2/q}\left\|\bar{q}^{\prime}-\bar{q}^{\prime\prime}\right\|_{\alpha}^{2}<\infty,\
L<\infty.$
Step 3. The inclusion $\overline{V}(\bar{q})\in S_{\beta}^{\gamma}$ implies
that $\widehat{V}(\bar{q}){\bar{\sigma}}\in S_{\beta}^{\gamma}$ for any
${\bar{\sigma}}\in\mathcal{H}=S_{0}^{\gamma}$. A direct calculation shows that
$\widehat{V}(\bar{q}):\mathcal{H}\rightarrow{S}_{\beta}^{\gamma}$ is a
Hilbert-Schmidt operator with the norm equal to
$\left\|\bar{V}(\bar{q})\right\|_{\beta}$. Thus the inclusion
$\overline{V}\in{\mathcal{GL}}^{(1)}$ implies that
$\widehat{V}\in{\mathcal{GL}}^{(2)}$. $\square$
## 8 Further examples
In this section we give two examples of linear maps of the class
${\mathcal{GL}}_{q}(\mathfrak{B})$.
Example 1. Let
$B_{\alpha}:=L^{p}(\mathbb{R}^{1},e^{-\alpha\left|x\right|}dx)$, $p>1$, and
$f(u)=Au$, where $A$ is the integral operator with kernel $K$:
$Au(x)=\int K(x,y)u(y)dy,\ x,y\in\mathbb{R}^{1}.$
###### Condition 27
There exist $\beta^{\ast}>\alpha^{\ast}$ and $a>0$ such that
$\left|K(x,y)\right|\leq
ae^{-\frac{\beta^{\ast}}{p}\left|x-y\right|}\left(1+\left|y\right|\right)^{\delta},\
\delta>0,$
for a.a. $x\in\mathbb{R}$.
###### Remark 28
It is clear that $K(x,y)$ can grow to infinity along the main diagonal $x=y$,
which implies that $A$ is in general unbounded in any weighted $L^{p}$.
###### Proposition 29
Assume that Condition 27 holds. Then $A\in{\mathcal{GL}}_{q}(\mathfrak{B})$
with $q=\frac{p-1}{p\delta}$.
###### Remark 30
For an implementation of any version of Ovsyannikov-type method, we need
$q\geq 1$, which implies $\delta\leq\frac{p-1}{p}<1.$
Proof. We start with the following estimate of the norm of operator $A$:
$\left\|Au\right\|_{B_{\beta}}^{p}=\int\left[\int
K(x,y)u(y)dy\right]^{p}e^{-\beta\left|x\right|}dx\\\ \leq a^{p}\int\left[\int
e^{-\frac{\beta^{\ast}}{p}\left|x-y\right|}\left(1+\left|y\right|\right)^{\delta}\left|u(y)\right|dy\right]^{p}~{}e^{-\beta\left|x\right|}dx\\\
=a^{p}\int\left[\int
e^{-\varepsilon\left|x-y\right|}\left(1+\left|y\right|\right)^{\delta}\left|u(y)\right|e^{-\frac{\beta}{p}\left|x-y\right|}dy\right]^{p}~{}e^{-\beta\left|x\right|}dx,$
where $\varepsilon=\frac{\beta^{\ast}-\beta}{p}$. Observe that
$e^{-\frac{\beta}{p}\left|x-y\right|}e^{-\frac{\beta}{p}\left|x\right|}\leq
e^{-\frac{\beta}{p}\left|y\right|},$
so that
$\left\|Au\right\|_{B_{\beta}}^{p}\leq a^{p}\int\left[\int
e^{-\varepsilon\left|x-y\right|}\left(1+\left|y\right|\right)^{\delta}\left|u(y)\right|e^{-\frac{\beta}{p}\left|y\right|}dy\right]^{p}dx.$
For $\theta$ such that $\theta^{-1}+p^{-1}=1$ we have
$e^{-\varepsilon\left|x-y\right|}\left(1+\left|y\right|\right)^{\delta}\left|u(y)\right|e^{-\frac{\beta}{p}\left|y\right|}=\left[e^{-\frac{\varepsilon}{\theta}\left|x-y\right|}\left(1+\left|y\right|\right)^{\delta}e^{-\frac{\beta-\alpha}{p}\left|y\right|}\right]\times\left[e^{-\frac{\varepsilon}{p}\left|x-y\right|}\left|u(y)\right|e^{-\frac{\alpha}{p}\left|y\right|}\right].$
Then, by Holder’s inequality,
$\left\|Au\right\|_{B_{\beta}}^{p}\\\ \leq
a^{p}\int\left[\left\\{\int\left[e^{-\frac{\varepsilon}{\theta}\left|x-y\right|}\left(1+\left|y\right|\right)^{\delta}e^{-\frac{\beta-\alpha}{p}\left|y\right|}\right]^{\theta}dy\right\\}^{p/\theta}\times\int\left[e^{-\frac{\varepsilon}{p}\left|x-y\right|}\left|u(y)\right|e^{-\frac{\alpha}{p}\left|y\right|}\right]^{p}dy\right]dx\\\
=a^{p}\int\left[\left\\{\int
e^{-\varepsilon\left|x-y\right|}\left(1+\left|y\right|\right)^{\theta\delta}e^{-\frac{\theta}{p}(\beta-\alpha)\left|y\right|}dy\right\\}^{p/\theta}\times\int
e^{-\varepsilon\left|x-y\right|}\left|u(y)\right|^{p}e^{-\alpha\left|y\right|}dy\right]dx\\\
\leq a^{p}b\left[\int
e^{-\varepsilon\left|x-y\right|}dy\right]^{p/\theta}\times\int\int
e^{-\varepsilon\left|x-y\right|}\left|u(y)\right|^{p}e^{-\alpha\left|y\right|}dydx\\\
\leq a^{p}bc^{p/\theta}\int\int
e^{-\varepsilon\left|x-y\right|}\left|u(y)\right|^{p}e^{-\alpha\left|y\right|}dydx,$
where
$b=\sup_{s\geq
0}\left(1+s\right)^{\theta\delta}e^{-\frac{\theta}{p}(\beta-\alpha)s}$
and
$c=\int e^{-\frac{\beta^{\ast}-\beta}{p}\left|x-y\right|}dy>\int
e^{-\varepsilon\left|y\right|}dy.$
Observe that
$\int\int
e^{-\varepsilon\left|x-y\right|}\left|u(y)\right|^{p}e^{-\alpha\left|y\right|}dydx=\int
e^{-\varepsilon\left|x-y\right|}dy~{}\left\|u\right\|_{B_{\alpha}}^{p}=c\left\|u\right\|_{B_{\alpha}}^{p},$
which leads to the bound
$\left\|Au\right\|_{B_{\beta}}^{p}\leq
a^{p}bc^{p/\theta+1}\left\|u\right\|_{B_{\alpha}}^{p}.$
It remains to compute constant $b=\left[\sup_{s\geq
0}\left(1+s\right)e^{-\frac{1}{p\delta}(\beta-\alpha)s}\right]^{\theta\delta}$.
Equating to $0$ the derivative $\frac{\partial}{\partial
s}\left(1+s\right)e^{-\frac{1}{p\delta}(\beta-\alpha)s}$ we obtain
$b=\frac{C}{(\beta-\alpha)^{\theta\delta}},$
for some constant $C>0.$
It is clear that estimate (4) holds with
$q=\frac{1}{\theta\delta}=\frac{p-1}{p\delta}$. $\square$
Example 2. A somewhat similar example is given by the spaces of sequences
$B_{\alpha}:=\left\\{(u_{k})_{k\in\mathbb{Z}}:\sum_{k\in\mathbb{Z}}\left|u_{k}\right|^{p}e^{-\alpha\left|k\right|}<\infty\right\\},\
p>1,$
and the linear map given an infinite matrix $A=(A_{kj})_{k,j\in\mathbb{Z}}$ is
with elements satisfying the bound
$\left|A_{kj}\right|\leq
ae^{-\frac{\beta^{\ast}}{p}\left|k-j\right|}\left(1+\left|j\right|\right)^{\delta}$
for some $\beta^{\ast}>\alpha^{\ast}$, $a>0$ and all $k\in\mathbb{Z}$. The
proof of the inclusion $A\in{\mathcal{GL}}_{q}(\mathfrak{B})$,
$q=\frac{p-1}{p\delta}$, is similar to that of Proposition 29. Similar to the
previous example, we have in general
$\left|A_{kk}\right|\rightarrow\infty,\ k\rightarrow\infty,$
so that operator $A$ is unbounded in any weighted $l^{p}$.
## References
* [1] S. Albeverio, A. Daletskii, Yu. Kondratiev, Stochastic equations and Dirichlet operators on product manifolds. Infinite Dimensional Analysis, Quantum Probability and Related Topics 6 (2003), 455-488.
* [2] S. Albeverio, A. Daletskii, Yu. Kondratiev, Stochastic analysis on product manifolds: Dirichlet operators on differential forms. J. Funct. Anal. 176 (2000), no. 2, 280-316.
* [3] S. Albeverio, Yu. Kondratiev, M. Röckner, Analysis and geometry on configuration spaces: The Gibbsian case, _J. Funct. Anal._ 157 (1998), 242–291.
* [4] R. Barostichi, A. Himonas, G. Petronilho, Autonomous Ovsyannikov theorem and applications to nonlocal evolution equations and systems, J. Funct. Anal. 270 (2016) 330–358.
* [5] T. Bodineau, I. Gallagher, L. Saint-Raymond, The Brownian motion as the limit of a deterministic system of hard-spheres, Invent. Math. 203 (2016), 493–553
* [6] A. Bovier, Statistical Mechanics of Disordered Systems. A Mathematical Perspective (Cambridge Series in Statistical and Probabilistic Mathematics. Cambridge University Press, Cambridge, 2006).
* [7] R. Dalang, M. Dozzi, F. Flandoli, F. Russo (eds.), Stochastic Analysis: A Series of Lectures, Centre Interfacultaire Bernoulli, January–June 2012, Ecole Polytechnique Fédérale de Lausanne, Switzerland, _Progress in Probability_ (2015), Birkhauser.
* [8] A. Daletskii, Stochastic differential equations in a scale of Hilbert spaces, _Electron. J. Probab._ 23 (2018), no. 119, 1-15.
* [9] A. Daletskii, D. Finkelshtein, Non-equilibrium particle dynamics with unbounded number of interacting neighbors, J. Stat. Phys. (2018), published on-line http://link.springer.com/article/10.1007/s10955-018-2159-x.
* [10] A. Daletskii, D. Finkelshtein, BaD processes in marked configuration spaces: diffusion of marks, in preparation.
* [11] Yu. L. Dalecky, S. V. Fomin, Measures and Differential Equations in Infinite-Dimensional Space, Kluwer 1992.
* [12] A. Daletskii, Yu. Kondratiev, Yu. Kozitsky, T. Pasurek, Gibbs states on random configurations, J. Math. Phys. 55 (2014), 083513\.
* [13] A. Daletskii, Yu. Kondratiev, Yu. Kozitsky, T. Pasurek, Phase Transitions in a quenched amorphous ferromagnet, J. Stat. Phys. 156 (2014), 156-176.
* [14] D. J. Daley, D. Vere-Jones, An Introduction to the Theory of Point Processes, Volume I: Elementary Theory and Methods, 2nd edition, Springer, New York, 2003.
* [15] G. Da Prato, J. Zabczyk, Stochastic Differential Equations in Infinite Dimensions, Cambridge 1992.
* [16] G. Da Prato, J. Zabczyk, Ergodicity for Infinite Dimensional Systems, _London Mathematical Society Lecture Note Series_ 229, University Press, Cambridge, 1996.
* [17] K. Deimling, Ordinary differential equations in Banach spaces, Lecture Notes in Mathematics 596, Springer 1977.
* [18] D. Finkelshtein, Yu. Kondratiev, O. Kutoviy, Semigroup approach to birth-and-death stochastic dynamics in continuum, _J. Funct. Anal._ 262 (2012), 1274-1308.
* [19] J. Fritz, C. Liverani, S. Olla, Reversibility in Infinite Hamiltonian Systems with Conservative Noise, _Commun. Math. Phys._ 189 (1997), 481 - 496.
* [20] J. Inglis, M. Neklyudov, B. Zegarliński, Ergodicity for infinite particle systems with locally conserved quantities, _Infin. Dimens. Anal. Quantum Probab. Relat. Top_. 15 (2012), No. 1, 1250005\.
* [21] G. Kallianpur , I. Mitoma, R. L. Wolpert, Diffusion equations in duals of nuclear spaces, _Stochastics and Stochastic Reports_ , 29 (1990), No. 2, 285-329
* [22] G. Kallianpur, Jie Xiong, Stochastic differential equations in infinite dimensional spaces, _Lecture notes-monograph series_ 26, Institute of Mathematical Statistics 1995.
* [23] D. Klein and W. S. Yang, A characterization of first order phase transitions for superstable interactions in classical statistical mechanics, _J. Stat. Phys._ 71 (1993), 1043-1062.
* [24] O. Lanford, Time evolution of large classical systems, Lecture notes in physics 38, pp. 1-111, Springer (1975)
* [25] O. Lanford, J. Lebowitz, E. Lieb, Time Evolution of Infinite Anharmonic Systems, J. Stat. Phys. 16 (1977), No. 6, 453–461.
* [26] R. C. O’Handley, Modern Magnetic Materials: Principles and Applications, Wiley, 2000.
* [27] S. Romano and V. A. Zagrebnov, Orientational ordering transition in a continuous-spin ferrofluid, Phys. A 253 (1998), 483–497.
* [28] D. Ruelle, Superstable interactions in classical statistical mechanics, _Commun. Math. Phys._ 18 (1970), 127–159.
| arxiv-papers | 2021-07-25T19:14:45 | 2024-09-04T03:07:17.143108 | {
"license": "Creative Commons Zero - Public Domain - https://creativecommons.org/publicdomain/zero/1.0/",
"authors": "Georgy Chargaziya and Alexei Daletskii",
"submitter": "Alex Daletskii",
"url": "https://arxiv.org/abs/2107.11875"
} |
2107.11878 |
Spatio-Temporal Representation Factorization
for Video-based Person Re-Identification
Abhishek Aich^⋆,2, Meng Zheng^1, Srikrishna Karanam^1, Terrence Chen^1,
Amit K. Roy-Chowdhury^2, and Ziyan Wu^1
^1United Imaging Intelligence, Cambridge, MA, USA, ^2University of California, Riverside, CA, USA
{aaich001@, amitrc@ece.}ucr.edu, {first.last}@uii-ai.com
Despite much recent progress in video-based person re-identification (re-ID), the current state-of-the-art still suffers from common real-world challenges such as appearance similarity among various people, occlusions, and frame misalignment. To alleviate these problems, we propose Spatio-Temporal Representation Factorization (STRF), a flexible new computational unit that can be used in conjunction with most existing 3D convolutional neural network architectures for re-ID. The key innovations of STRF over prior work include explicit pathways for learning discriminative temporal and spatial features, with each component further factorized to capture complementary person-specific appearance and motion information. Specifically, temporal factorization comprises two branches, one each for static features (e.g., the color of clothes) that do not change much over time, and dynamic features (e.g., walking patterns) that change over time. Further, spatial factorization also comprises two branches to learn both global (coarse segments) as well as local (finer segments) appearance features, with the local features particularly useful in cases of occlusion or spatial misalignment. These two factorization operations taken together result in a modular architecture for our parameter-wise light STRF unit that can be plugged in between any two 3D convolutional layers, resulting in an end-to-end learning framework. We empirically show that STRF improves performance of various existing baseline architectures while demonstrating new state-of-the-art results using standard person re-ID evaluation protocols on three benchmarks.
§ INTRODUCTION
$^\star$ This work was done during Abhishek Aich's internship with United Imaging Intelligence. Corresponding author: Srikrishna Karanam.We consider the problem of video-based person re-IDentification (re-ID). Given a video tracklet of a person of interest, the task is to retrieve the closest match (which ideally should be the true match) among a gallery set of video tracklets. With numerous applications in security, surveillance, and forensics [2], this problem has seen a dramatic increase in interest and various methodologies in the vision community [16, 33, 36, 32, 42, 60, 56, 7].
While there has been admirable progress in image-based re-ID as evidenced by recent quantitative results [7], there are many challenges that still preclude the ubiquitous use of re-ID algorithms in real-world systems. One such issue is appearance similarity, where multiple people wear similar looking clothes (e.g., large conferences or public events with a strict dress code). Other challenging issues include occlusions and frame misalignment that are a direct consequence of large crowd flow densities (e.g., in airports just after flight arrival) and inter-camera viewpoint disparities. Having access to additional data, e.g., an extra temporal dimension like videos instead of 2D images, can help alleviate some of these issues by leveraging spatio-temporal data.
Video-based re-ID has seen much recent work [31, 4, 51, 22, 52, 55, 17, 5] in part due to the availability of relevant large-scale video datasets [58, 47]. However, learning a spatio-temporal representation that can alleviate the issues noted above still remains a challenge. While advances in general 3D convolutional networks (3D-CNNs) provide reasonable baseline spatio-temporal features, existing re-ID techniques typically rely on specialized architectures [52, 23, 51, 55] that are inflexible to be used with these baseline models.
Other lines of work are focused entirely on learning either temporal or spatial representations separately [4, 5, 22], overlooking the complementarity that both streams of information provide in challenging scenarios, e.g., distinguishing people wearing similar clothes.
To address the aforementioned issues, we present a flexible new computational unit called Spatio-Temporal Representation Factorization (STRF) module. Given a feature volume from a certain 3D convolutional layer in a baseline 3D-CNN model, STRF extracts complementary information along both spatial ($h\times w$) and temporal (time, $t$) dimensions. By design, the proposed STRF module can be inserted in an existing 3D-CNN model after any convolutional layer, introducing only $\sim$0.15 million learnable parameters per unit (for instance, this results in only a $\sim$1.73% overall parameter increase with I3D [3]), resulting in a flexible and parameter-wise economic framework that is end-to-end trainable. STRF comprises two modules, called temporal feature factorization module (FFM) and spatial feature factorization module, to process feature tensors. The design principles of these modules are motivated by certain observations in video tracklets, which we discuss next.
The intuition behind STRF is demonstrated in Figure <ref>. We begin with the factorization module in the temporal dimension. First, the overall or “global" appearance of the person (e.g., color of clothes, skin, hair, etc) in a tracklet does not change (static) substantially over time. While one can argue these can change with illumination variations, we assume these variations are limited in a given camera view over a short period of time. Next, the walking patterns of a person may change over time, e.g., walking on a level surface vs. climbing stairs (dynamic). Consequently, there are two possible information factorization strategies when processing feature maps: low-frequency (static) sampling and high-frequency (dynamic) sampling. Low-frequency sampling of feature maps results in capturing the “slowly-moving" or approximately constant features, i.e., the appearance information. On the other hand, high-frequency sampling of feature maps results in capturing information that is more dynamically varying, i.e., walking patterns [35]. The temporal factorization module results in capturing static and dynamic features across time, which is especially helpful in identifying different individuals with similar appearance (see last row video tracklet in Figure <ref>).
The spatial factorization module, on the other hand, does the same low-frequency (which we call “coarse") and high-frequency (which we call “fine") sampling and processing as above, but along the spatial $h\times w$ dimensions. This is motivated by commonly occurring real-world issues such as occlusions and frame misalignment. Under these scenarios, the spatial FFM's high-frequency sampling and processing unit is able to capture more “details" of the person of interest as opposed to the other entities that are the causes of occlusion, or other background information in the case of misalignment. To understand this better, observe the attention maps for top row video tracklet in Figure <ref>. The baseline model, without our proposed module, highlights mostly the bicycle regions in the feature maps, whereas by adding our module, the model is able to capture the person regions in the frames more comprehensively. Similarly, to cover cases where there are no occlusions or misalignment, the spatial FFM's low-frequency sampling and processing unit become responsible for capturing more slowly-varying or spatially global appearance information. This results in the spatial factorization module to capture two separate streams of spatial information for robust representations.
To summarize, when multiple people in the gallery “look alike" (e.g., same clothes), features from our temporal factorization branch help disambiguate (i.e., people may look alike but walk differently). On the other hand, with occlusion/clutter, our idea is to rely on “local" features, which can be learned using our spatial branch. Our main contributions are as follows.
* We present a novel framework in video-based re-ID to learn discriminative 3D features by factorizing both temporal and spatial dimension of features into low-frequency (static/coarse) and high-frequency (dynamic/fine) components to tackle misalignment, occlusion, and similar appearance problems.
* To realize these factorization, we propose a flexible trainable unit with negligible computational overhead, called Spatio-Temporal Representation Factorization (STRF) module, that can be used in conjunction with any baseline 3D-CNN based re-ID architecture (see Figure <ref>).
* We conduct extensive experiments on multiple datasets to demonstrate how the proposed STRF module improves the performance of baseline architectures and also achieves state-of-the-art performance obtained by standard re-ID evaluation protocols (see Table <ref> and <ref>).
§ RELATED WORK
In this section, we review some recent methods pertaining to video-based person re-ID, and later discuss 3D-CNNs as feature extractors for video re-ID tasks.
Video-based re-ID. Following the success in image-based re-ID [33, 36, 32, 42, 60, 56, 7, 26], there has been much recent progress in video-based re-ID as well [31, 50, 62, 34, 5, 17, 22, 13]. For instance, [51] proposed multi-granular hypergraph learning framework which leveraged hierarchically divided feature maps at last layer of feature encoder with different levels of granularities to capture spatial and temporal cues, treating both the spatial and temporal dimensions the same. Additionally, there have also been a class of methods [22, 28] that perform feature modulation by expanding the feature extractor with additional learning modules instead of processing just the last layer's output as in [51]. Different from all the above works, we focus on learning factorized (dynamic/static and coarse/fine) information in both spatial and temporal dimensions (see Figure <ref>). This leads to a flexible feature processing module that can be used anywhere in any 3D-CNN based re-ID architecture, leading to improved performance of various baseline 3D-CNN models (see Table <ref>). We provide a characteristic comparison of recent works in Table <ref>.
3D-CNN based Feature Extractor. 3D-CNNs [24] naturally process input videos to output spatio-temporal features, whereas 2D-CNNs need additional modules such as recurrent networks to extract temporal information. Given this advantage, 3D-CNNs are more suitable for video-related applications [49, 24, 6, 48, 11], including video-based re-ID tasks [34, 29, 17]. For example, [14] introduced a two-stream model with the first branch comprised of 3D-CNNs and the other comprised of 2D-CNNs to extract temporal and spatial cues. In [17], appearance-preserving 3D convolution (AP3D) was proposed to leverage the idea of image registration [63] to perform feature-level image alignment. While these methods demonstrated good results, they either required both 3D and 2D CNNs [29], or additional operations, e.g., non-local convolutions, to achieve best performances [17], leading to parameter-wise bulky models. Furthermore, these methods do no explicitly exploit spatial cues of video tracklets. On the other hand, our proposed STRF method modifies the backbone feature encoder by means of a modular computational unit, does not require specialized modules such as recurrent networks or non-local operations, leading to only a minimal increase in learnable parameters while also demonstrating state-of-the-art performance on benchmark datasets.
§ SPATIO-TEMPORAL FACTORIZATION
As noted in Section <ref>, existing re-ID methods for learning video representations do not focus on the complementarity that is provided by the spatial and temporal dimensions. Specifically, we conjecture that the temporal dimension contains both static (e.g., appearance across time) as well as dynamic (e.g., walking patterns) content, whereas the spatial dimension comprises both fine (e.g., focus on details such as a person's legs that may be missed under occlusions) as well as coarse (e.g., overall global appearance) details. Consequently, we argue that all these features should be learned jointly in order to deal with unavoidable challenges such as appearance similarity, occlusions, and frame misalignment.
To address these issues, we introduce Spatio-Temporal Representation Factorization (STRF), a generic parameter-wise lightweight computational unit that can be inserted between convolutional layers in any 3D-CNN architecture for re-ID (note that by the term factorization, we refer to the joint sampling and processing operations for discussion below). This modularity makes STRF particularly appealing for practical applications that may require customized architectures based on data distribution. Along with the performance improvements in baseline architectures (see Table <ref>), STRF also demonstrates superior utility of the proposed module over existing specialized architectures for learning spatio-temporal re-ID representations (see Table <ref>) [52, 29, 23, 17, 51].
Notations. Let $\bm{\mathcal{V}} = \begin{bmatrix}\bm{v}_1, \bm{v}_2, \cdots, \bm{v}_t\end{bmatrix} \in \mathbb{R}^{t\times h\times w}$ denote an input video tracklet comprising $t$ frames each of height $h$ and width $w$. Let $\mathcal{F}_{\bm{\theta}}(\cdot)$ denote the feature encoder of any baseline 3D-CNN (e.g., I3D ResNet-50 [3]). Let $\bm{f}_\ell\in\mathbb{R}^{c_\ell \times t_\ell \times h_\ell \times w_\ell}$ be the feature tensor at the $\ell$th layer of $\mathcal{F}_{\bm{\theta}}(\cdot)$, where $c_\ell, t_\ell, h_\ell,$ and $w_\ell$ indicate number of channels, number of frames, height, and width, respectively. Let the input and output feature volumes of our STRF module at the $\ell$th layer be $\bm{f}_\ell^{(\ip)}$ and $\bm{f}_\ell^{(\op)}$, respectively. Finally, let the static/coarse and dynamic/fine components be denoted with $\varsigma$ and $\tau$, respectively and subscript $\temp$ and $\spat$ denote the temporal and spatial dimension, respectively. We use $d\in\{\temp,\spat\}$ and $k\in\{\tau,\varsigma\}$ for compact notations.
§.§ Feature Factorization Module (FFM)
Given $\bm{f}_\ell^{(\ip)}$, we propose to factorize this feature volume into four parts: static and dynamic content from temporal $t_\ell$ dimension, and coarse and fine detail from spatial $h_\ell \times w_\ell$ dimension. The intuition here is that the static content in the temporal dimension will capture “what does not change over time", e.g., appearance such as color of clothes, and the dynamic content will capture “what may change over time", e.g., walking patterns [35]. Similarly, coarse details in the spatial dimension will capture overall global information in the current feature map (e.g., “where is the person?") whereas fine detail helps address situations where the person of interest may be occluded by other entities, by capturing local context at different locations of the feature map.
Our motivations above are particularly relevant given existing 3D-CNN architectures for re-ID do not have explicit mechanisms to focus on features corresponding to the person of interest in cases such as occlusions, image misalignment, or people with similar clothing appearing together in same tracklet. Furthermore, such a factorization enables a 3D-CNN to weight features that are important for downstream matching and re-ID, e.g., the dynamic content along the temporal dimension is more important in cases where people wear similar clothes and can be distinguished only by their walking patterns.
To realize this proposed factorization and feature re-weighting, STRF proposes to use four FFM modules, in which each FFM learns a different type of attention mask from Factorized Attention Mask (FAM) block (we discuss detailed architecture of FAM in next section) for either static/dynamic or coarse/fine content along the temporal and spatial dimensions respectively, and output refined feature volumes.
Specifically, given $\bm{f}_\ell^{(\ip)}$, we first reshape it into the feature volume $\widehat{\bm{f}}_\ell^{(\ip)}$ with size $c_\ell t_\ell \times h_\ell w_\ell$ and then, use the FAM block to generate a factorized attention mask $\mathcal{M}_{dk}$. This mask is then used to compute a new feature volume as:
\begin{align}
\widehat{\bm{f}}_\ell^{(dk)} = \widehat{\bm{f}}_\ell^{(\ip)}\mathcal{M}_{dk} \quad d \in \{\temp,\spat\}, k \in \{\tau, \varsigma\}
\label{eq:out-eq}
\end{align}
STRF then integrates the four attention-weighted feature volumes $\{\widehat{\bm{f}}_\ell^{(\temp\tau)}, \widehat{\bm{f}}_\ell^{(\temp\varsigma)}, \widehat{\bm{f}}_\ell^{(\spat\tau)}, \widehat{\bm{f}}_\ell^{(\spat\varsigma)}\}$ to output a new feature volume which is then passed on to the subsequent convolutional layer. The output of this subsequent layer is then processed by the next instantiation of the STRF. This way, STRF provides a flexible computational unit that can be easily integrated with existing 3D-CNN architectures. Our proposed methodology is illustrated in Figure <ref> where one can note that the four individual factorization modules, FFM($\temp, \tau$), FFM($\temp, \varsigma$), FFM($\spat, \tau$), and FFM($\spat, \varsigma$), combine to produce an enhanced feature representation $\bm{f}_\ell^{(\op)}$ using their respective FAM blocks. We next discuss the factorization attention masks and each of these proposed individual FFM modules in more detail.
§.§ Factorized Attention Masks (FAM) Block
To realize the four-way factorization for the feature volume $\bm{f}_\ell^{(\ip)}$ discussed above, we define four functions below:
𝒯^k_d(f_ℓ^()) = 𝒢_dk(ℋ_dk(f_ℓ^())),
with, d ∈{,}, k ∈{τ, ς}
where $\mathcal{G}_{dk}(\cdot)$ are the factorizing functions. Different for each FFM block, $\mathcal{G}_{dk}(\cdot)$ is designed using pooling functions to extract specific information after the input feature volume is passed through a channel reduction layer $\mathcal{H}_{dk}(\cdot):c_\ell \rightarrow \nicefrac{c_\ell}{n}$, where $\mathcal{H}_{dk}(\cdot)$ is a convolutional layer with $\nicefrac{c_\ell}{n}$ kernels of size 1. Following [17, 25], we set $n = 16$. With the output composite function $\mathcal{T}^{k}_{d}\big{(}\bm{f}_\ell^{(\ip)}\big{)}$ of size $\nicefrac{c_\ell}{n} t_\ell \times h_\ell w_\ell$ from (<ref>), we summarize input features by computing their variance matrix $\mathcal{C}_{dk}$ to obtain a representation of each point of $\mathcal{T}^{k}_{d}\big{(}\bm{f}_\ell^{(\ip)}\big{)}$ as:
\begin{align}
\mathcal{C}_{dk} &= \kappa\mathcal{T}^{k}_{d}\big{(}\bm{f}_\ell^{(\ip)}\big{)}^\top\mathcal{T}^{k}_{d}\big{(}\bm{f}_\ell^{(\ip)}\big{)}
\label{eq:cov-mat}
\end{align}
where $\top$ represents transpose operation. We set the temperature hyper-parameter $\kappa$ as 4 following [17, 22]. Then, the factorizing mask is computed using the unnormalized sample covariance matrix as $\mathcal{M}_{dk}(q) =\sigma(\mathcal{C}_{dk})$, where $\sigma(\cdot)$ is the softmax function.
This factorized mask is employed in (<ref>) to obtain the specific factorized representation of $\bm{f}_\ell^{(\ip)}$. Next, each factorization module is discussed in more detail.
Temporal Factorization Module, FFM($\temp, \tau, \varsigma$).
While methods for learning static and dynamic information have been presented in prior work [11, 1, 44, 19], we take a modular approach to this problem, proposing computational units that can be applied at multiple layers of the base feature encoder. Instead of skipping frames as in [11], we define the following temporal factorizing functions:
\begin{equation}
\begin{gathered}
\mathcal{G}_{\temp\tau} = \texttt{pool}\big{(}{r_{\temp\tau}, 1, 1}\big{)}, ~
\mathcal{G}_{\temp\varsigma} = \texttt{pool}\big{(}{r_{\temp\varsigma}, 1, 1}\big{)}
\label{eq:temp-fact-func}
\end{gathered}
\end{equation}
where $r_{\temp\varsigma} > r_{\temp\tau}$. These degenerate functions can be implemented using the max pooling (denoted as $m$) and average pooling (denoted as $a$) operations with their corresponding static temporal resolutions $r_{\temp\varsigma}$ and dynamic temporal resolutions $r_{\temp\tau}$. We also use suitable padding on $\mathcal{H}_{\temp\varsigma}(\bm{f}_\ell^{(\ip)})$ and $\mathcal{H}_{\temp\tau}(\bm{f}_\ell^{(\ip)})$ to maintain the same size between the input and output feature volumes. The intuition behind setting $r_{\temp\varsigma} > r_{\temp\tau}$ is to factorize features in time dimension to capture the information that does not vary much, whereas $r_{\temp\tau}$ helps in summarizing information that shows more variations. Capturing such static information with $\mathcal{G}_{\temp\varsigma}$ will aid in learning the global appearance features of the person that does not change much along the time dimension. On the other hand, $\mathcal{G}_{\temp\tau}$ captures dynamic information in the input feature volume, e.g., walking patterns of the person. Finally, the output of FFM($\temp, \tau, \varsigma$) is defined as:
\begin{align}
\bm{f}_\ell^{(\temp\op)} = \widehat{\bm{f}}_\ell^{(\temp\tau)} + \widehat{\bm{f}}_\ell^{(\temp\varsigma)}
\end{align}
where $\widehat{\bm{f}}_\ell^{(\temp\tau)}$ and $\widehat{\bm{f}}_\ell^{(\temp\varsigma)}$ are computed using (<ref>).
Spatial Factorization Modules, FFM($\spat, \tau, \varsigma$).
Similar to the temporal dimension above, we factorize the feature volume along the spatial dimension as well, extracting coarse-level and fine-level information. The intuition here is that coarse-level information in the spatial dimension comprise global features of the person in the input frames that do not have much occlusion. For frames where the person is occluded or there is spatial misalignment, fine-level features capture the “person-part" of the frame. To realize this, we define the following spatial factorizing functions:
\begin{equation}
\begin{gathered}
\mathcal{G}_{\spat\tau} = \texttt{pool}\big{(}{1, r_{\spat\tau}, r_{\spat\tau}}\big{)}, ~
\mathcal{G}_{\spat\varsigma} = \texttt{pool}\big{(}{1, r_{\spat\varsigma}, r_{\spat\varsigma}}\big{)}
\label{eq:spat-fact-func}
\end{gathered}
\end{equation}
where $r_{\spat\varsigma} > r_{\spat\tau}$ are the spatially coarse and fine resolution, respectively. As in FFM($\temp, \tau, \varsigma$), we use appropriate padding on $\mathcal{H}_{\spat\varsigma}(\bm{f}_\ell^{(\ip)})$ and $\mathcal{H}_{\spat\tau}(\bm{f}_\ell^{(\ip)})$ to maintain the same size between the input and output feature volumes. Finally, the output of FFM($\spat, \tau, \varsigma$) is defined as:
\begin{align}
\bm{f}_\ell^{(\spat\op)} = \widehat{\bm{f}}_\ell^{(\spat\tau)} + \widehat{\bm{f}}_\ell^{(\spat\varsigma)}
\end{align}
where $\widehat{\bm{f}}_\ell^{(\spat\tau)}$ and $\widehat{\bm{f}}_\ell^{(\spat\varsigma)}$ are computed using (<ref>). Note that when the resolutions are set as 1 in (<ref>) and (<ref>), the factorizing functions behave as identity mapping. In our experiments, we set $r_{\spat\tau} = r_{\temp\tau}$ and $r_{\spat\varsigma} = r_{\temp\varsigma}$ for simplicity.
Integration and overall STRF output. After computing $\bm{f}_\ell^{(\temp\op)}$ and $\bm{f}_\ell^{(\spat\op)}$ as discussed above, we provide two schemes to integrate them and generate the final feature volume output of our proposed STRF computational unit:
\begin{align}
\bm{f}_\ell^{(\op)} &= \bm{\phi}\big{(}\bm{f}_\ell^{(\temp\op)}, \bm{f}_\ell^{(\spat\op)}\big{)} \quad\text{where,}~~\bm{\phi}\big{(}\cdot\big{)}\in\{\textcolor{blue}{\bm{\rightarrow}}, \textcolor{blue}{\bm{\Vert}}\}
\label{eq:integration}
\end{align}
Here, $\textcolor{blue}{\bm{\rightarrow}}$ denotes using the temporal and spatial factorization modules in cascade, and $\textcolor{blue}{\bm{\Vert}}$ denotes using them in parallel. When in cascade, the input $\bm{f}_\ell^{(\ip)}$ is fed to both modules in sequence, i.e. FFM($\spat, \tau, \varsigma$) followed by FFM($\temp, \tau, \varsigma$), or vice-versa. When in parallel, the outputs of FFM($\spat, \tau, \varsigma$) and FFM($\temp, \tau, \varsigma$) are simply added. In our experiments, we noticed only minor performance differences across these operations (see Figure <ref>).
Learning Objective. Any STRF-aided network can be trained in an end-to-end manner with following objective:
\begin{align}
\mathcal{L} = \mathcal{L}_{\text{ce}} + \mathcal{L}_{\text{triplet}}
\end{align}
where $\mathcal{L}_{\text{ce}}$ is the standard cross-entropy classification, $\mathcal{L}_{\text{triplet}}$ is the cosine distance based triplet loss with batch-hard mining [21], and $\mathcal{L}$ is the overall loss function. Note that our method demonstrates state-of-the-art results (see Table <ref>) without any re-ID tricks [38], e.g. label smoothing [43], in our learning objective.
How do we employ STRF?
The problem of person re-ID benefited tremendously with introduction of residual blocks [20, 15]. With the backbone feature extractor as inflated C2D (time dimension of kernel set to 1) residual network, we propose to enhance its feature representation learning paradigm by simply replacing residual blocks at different stages with different STRF-aided I3D or STRF-aided Pseudo-3D (P3D) [39] residual blocks (see Figure [fig:exp-fig]2(B)). To convert P3D residual blocks to their STRF-P3D forms, we add the STRF module with the convolutional layer of kernel size $3\times 1\times 1$ demonstrating the generic ability of the proposed unit. We have empirically analyzed and discussed this choice of location in the supplementary material. Moreover, a single STRF module introduces only minimal extra-parameters which makes it parameter-wise lightweight but performance-wise beneficial (see Table <ref>).
§.§ Discussion
FAM $\bm{vs}$ Channel Attention (CA). We note that there are substantial differences between FAM and the popular CA strategy [54, 8, 18]. Unlike CA that has one global feature pooling layer, i.e., no separate spatial and temporal operations, FAM has four pooling functions $\mathcal{G}_{dk}(\cdot)$, defined in (<ref>) and (<ref>). This captures both spatial and temporal feature dependencies without any new learning parameters. In fact, with $r_\varsigma$ and $r_\tau$ set to same size of the input feature maps, CA can be considered to be a special case of FAM.
FFM $\bm{vs}$ Non-Local (NL). Unlike the popular NL module [46] where there is no factorization, FFM factorizes $f^{(i)}$ into its constituent spatio/temporal factors. The appropriate weighting of $f^{(i)}$ with these factors to obtain $f^{(o)}$ is automatically learned with FAM, making the proposed design different from NL and more suitable for re-ID. For additional empirical substantiation, using the P3DC architecture on the MARS dataset [58], the NL module gives 84.8% mAP and 89.9% R@1, whereas STRF gives 86.1% mAP and 90.3% R@1. Further, STRF only adds an additional $\sim$0.5 million parameters (w.r.t. the baseline) as opposed to NL's $\sim$5 million additional parameters, demonstrating better compute efficiency.
Please see supplementary material for additional insights and discussions on our proposed STRF module.
§ EXPERIMENTATION
Datasets, implementation details, and evaluation metrics. We conduct extensive experiments on standard publicly available video-based person re-ID datasets, including MARS [58], DukeMTMC-VideoReID [47], and iLIDS-VID
[45]. For evaluation, we use the value of the cumulative matching characteristic curve at rank-1 (R@1), and mean average precision (mAP) [59]. See supplementary material for full implementation details.
§.§ Improvement over Baselines
Quantitative analysis. We build a model with inflated 2D convolutions in ResNet50 (temporal kernel size set to 1) architecture. We then replace stage and stage (See Table <ref>) with four residual blocks I3D (temporal kernel size set to 3) and three pseudo-3D residual blocks P3D-A, P3D-B and P3D-C to create four baselines. For comparative evaluation, we replace these I3D and P3D residual blocks with STRF-I3D, STRF-P3DA, STRF-P3DB and STRF-P3DC residual blocks respectively and summarize the results in Table <ref>. One can clearly note that the STRF-aided models give improved performance (at least 2.5% mAP increment for P3D baselines and about 0.5% mAP increment for I3D baseline on MARS), with the best performance achieved with STRF-P3DC. Similar trends can be observed on the DukeMTMC-VideoReID as well. Furthermore, when compared to the number of baseline model parameters (denoted in Table <ref> as P(M) on MARS in the millions of parameters), the number of new parameters introduced by our proposed module is only 0.05 million more compared with I3D or P3D models, suggesting it does not add any substantial computational overhead. This also demonstrates that STRF can improve performance of diverse architectures. For all subsequent experiments, we report results with STRF-P3DC following its best performance from Table <ref>.
Qualitative Analysis. To qualitatively demonstrate STRF's impact, we visualize feature maps of challenging videos (e.g., occlusions, misalignment) using off-the-shelf techniques [53, 17] in Figure <ref>. Note that STRF helps focus more clearly on the person of interest (e.g., under “occlusion", unlike the baseline, STRF is able to more clearly distinguish between person's foreground and occlusion regions). Please see supplementary material for more qualitative and attention map results.
§.§ Ablation Study
Utility of FAM block.
Our temporal and spatial factorization modules are realized with the proposed factorized attention masks $\mathcal{M}_{dk}$. These self-attention masks are utilized to re-weight the input feature volume $\bm{f}^{(\ip)}_\ell$ in order to produce a richer representation of the video tracklet. Specific information captured via $\mathcal{M}_{dk}$ (due to different $\mathcal{G}_{dk}$ for both low-frequency (static/coarse) and high-frequency (dynamic/fine) information) enhance input feature volume to represent robust features by re-weighting them as in (<ref>). Consequently, FAM is an important component of our proposed STRF module. To validate this, we present an analysis of STRF with and without the FAM in Figure <ref> on MARS [58]. It can be observed that without FAM, the proposed module weakens the feature representations (non-weighted multiplication ($\otimes$) of $\bm{f}^{(i)}$ with itself) resulting in a comparatively lower performance. More concretely, without FAM, we do not have “coarse/fine" and “static/dynamic" factors, and hence FFM does not receive appropriate factors to re-weight $\bm{f}^{(i)}$. Note that using FAM alone (without FFM) is not possible by design.
Analysis of different components.
The proposed static and dynamic factorizing functions differ essentially in their temporal and spatial resolutions, and in Figure <ref>, we analyze various combinations of these resolution parameters while keeping the static resolution (or coarser) $r_\varsigma$ larger than the dynamic (or finer) resolution $r_\tau$. Note that we keep the low- and high-frequency (static and coarse) resolutions of both these modules the same for simplicity and reduced parameter search space. The maximum resolution is dependent on output size of the last conv layer STRF is applied to. In our case, this last layer output is $\bm{f}^{(i)} \in \mathbb{R}^{2048\times 8 \times 14 \times 7}$, giving only possible choices of 1, 3, 5, and 7. A (1, 7, 7) filter will give $\bm{f}^{(o)} \in \mathbb{R}^{2048\times 8 \times 7 \times 1}$, i.e., $7 \times 1$ spatial dimension, unsuitable for computing $\mathcal{M}_{dk}$. As coarse resolution should be larger than fine resolution, only 4 plausible pairs (including $(r_\tau,r_\varsigma) = (1,1)$ for reference) results are presented. One can note from the graph that STRF performs the best with the resolution pair $(r_\tau, r_\varsigma) = (1, 3)$. The graph also shows that STRF is not very sensitive to the different resolution pairs, with a difference of 0.4% mAP when $(r_\tau, r_\varsigma) = (3, 5)$, and difference of 0.2% mAP when $(r_\tau, r_\varsigma) = (1, 5)$. Next, we analyze various combinations of factorizing functions defined as part of STRF modules in Figure <ref>. Our framework performs the best with both temporal and spatial $\mathcal{G}_{dk}$ set to the max pool ($m$) operation. This is likely because factorization based on max pooling helps focus on information that represents the discriminative portion of the feature volume. Finally, we analyze the different integration functions described in (<ref>), where we note that the best performance is obtained when we first factorize $\bm{f}_\ell^{(\ip)}$ by the temporal factorization module FFM($\temp, \tau, \varsigma$) and then feed this output to spatial factorization module FFM($\spat, \tau, \varsigma$), i.e., when $\phi(\cdot)=\textcolor{blue}{\rightarrow}$. Further, when $\phi(\cdot)=\textcolor{blue}{\Vert}$, a comparable performance is observed with a difference of about 0.4% in mAP.
Which stage to add?
Table <ref> presents results of adding STRF at various stages of a baseline model. Using STRF module in Stage and Stage gives the best performance, but reduces (in mAP) when added to Stage . This is likely because with Stage , low-level features do not contain enough descriptive semantic information for detailed factorization. Additionally, Stage (last two rows in Table <ref>) exhibits differing behavior, likely due to the feature pooling operation performed at this layer (for subsequent classification), which provides spatio-temporally-entangled gradients which may not be useful for our STRF module. Please see supplementary material for more results.
Influence of each factorization module. To study the efficacy of each module, we perform an ablation analysis (see Table <ref>). Each individual module FFM($\temp,\tau$), FFM($\temp,\varsigma$), FFM($\spat,\tau$), and FFM($\spat,\varsigma$) improves the baseline with at least 2% in mAP and 1.2% in R@1. Further, temporal and spatial factorization modules perform better when used together. The temporal/spatial similarity (in margins) in Table <ref> suggests each module is equally effective in identifying unique features w.r.t. baseline. Finally, the best performance is obtained when all modules are put together, demonstrating their focus on complementary information.
§.§ Comparison with state-of-the-art approaches
Despite being parameter-wise lightweight and agnostic to baseline architectures, STRF gives competitive results when compared to sophisticated 3D-CNN methods. As can be observed in Figure <ref>, STRF outperforms both AP3D and M3D with $\sim$6 million (w.r.t. AP3D [17]) and $\sim$75 million (w.r.t. M3D [29]) fewer parameters. Finally, STRF establishes a new state-of-the-art (w.r.t. mAP) on MARS, DukeMTMC, and iLIDS-VID as shown in Table <ref>.
§ CONCLUSION
We proposed a novel Spatio-Temporal Representation Factorization (STRF) computational unit that learns complementary spatio-temporal feature representations to deal with real-world re-ID challenges such as occlusions, imperfect detection, and appearance similarity. Our STRF module factorizes temporal dynamic/static, and spatial coarse/fine components from input 3D-CNN feature maps, helping baseline models discover more complementary and discriminative spatio-temporal representations for robust video re-ID. Extensive evaluations of our STRF module with various baseline architectures on benchmark video-based re-ID datasets show its efficacy and generality. As part of future work, we would like to extend it to general video understanding problems like semantic segmentation.
Acknowledgements. This work was partially supported
by ONR grants N00014-19-1-2264 and N00014-18-1-2252.
[1]
Abhishek Aich, Akash Gupta, Rameswar Panda, Rakib Hyder, M Salman Asif, and
Amit K Roy-Chowdhury.
Non-Adversarial Video Synthesis with Learned Prior.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 6090–6099, 2020.
[2]
Octavia Camps, Mengran Gou, Tom Hebble, Srikrishna Karanam, Oliver Lehmann,
Yang Li, Richard J Radke, Ziyan Wu, and Fei Xiong.
From the Lab to the Real World: Re-Identification in an Airport
Camera Network.
IEEE Transaction on Circuit Systems and Video Technology,
27(3):540–553, 2016.
[3]
Joao Carreira and Andrew Zisserman.
Quo Vadis, Action Recognition? A New Model and the Kinetics
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 6299–6308, 2017.
[4]
D. Chen, H. Li, T. Xiao, S. Yi, and X. Wang.
Video Person Re-Identification With Competitive Snippet-Similarity
Aggregation and Co-Attentive Snippet Embedding.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 1169–1178, 2018.
[5]
Guangyi Chen, Yongming Rao, Jiwen Lu, and Jie Zhou.
Temporal Coherence or Temporal Motion: Which is More Critical for
Video-based Person Re-identification?
In Proceedings of the European Conference of Computer Vision,
[6]
Wei Chen, Boqiang Liu, Suting Peng, Jiawei Sun, and Xu Qiao.
S3D-UNet: Separable 3D U-Net for Brain Tumor Segmentation.
In International MICCAI Brainlesion Workshop, pages 358–368.
Springer, 2018.
[7]
X. Chen, C. Fu, Y. Zhao, F. Zheng, J. Song, R. Ji, and Y. Yang.
Salience-Guided Cascaded Suppression Network for Person
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, 2020.
[8]
Tao Dai, Jianrui Cai, Yongbing Zhang, Shu-Tao Xia, and Lei Zhang.
Second-Order Attention Network for Single Image Super-Resolution.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 11065–11074, 2019.
[9]
Afshin Dehghan, Shayan Modiri Assari, and Mubarak Shah.
GMMCP tracker: Globally Optimal Generalized Maximum Multi-Clique
Problem for Multiple Object Tracking.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 4091–4099, 2015.
[10]
Jia Deng, Wei Dong, Richard Socher, Li-Jia Li, Kai Li, and Li Fei-Fei.
ImageNet: A Large-Scale Hierarchical Image Database.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 248–255. IEEE, 2009.
[11]
Christoph Feichtenhofer, Haoqi Fan, Jitendra Malik, and Kaiming He.
SlowFast Networks for Video Recognition.
In Proceedings of the IEEE/CVF International Conference on
Computer Vision, pages 6202–6211, 2019.
[12]
Pedro F Felzenszwalb, Ross B Girshick, David McAllester, and Deva Ramanan.
Object Detection with Discriminatively Trained Part-based Models.
IEEE Transactions on Pattern Analysis and Machine Intelligence,
32(9):1627–1645, 2009.
[13]
Jiyang Gao and Ram Nevatia.
Revisiting Temporal Modeling for Video-based Person Re-Id.
arXiv preprint arXiv:1805.02104, 2018.
[14]
N. Gheissari, T. B. Sebastian, and R. Hartley.
Person Re-identification Using Spatio-temporal Appearance.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 1528–1535, 2006.
[15]
Mengran Gou, Ziyan Wu, Angels Rates-Borras, Octavia Camps, Richard J Radke,
et al.
A Systematic Evaluation and Benchmark for Person Re-Identification:
Features, Metrics, and Datasets.
IEEE Transactions on Pattern Analysis and Machine Intelligence,
41(3):523–536, 2018.
[16]
Douglas Gray, Shane Brennan, and Hai Tao.
Evaluating Appearance Models for Recognition, Re-acquisition, and
In Proceedings of the IEEE International Workshop on Performance
Evaluation for Tracking and Surveillance, 2007.
[17]
Xinqian Gu, Hong Chang, Bingpeng Ma, Hongkai Zhang, and Xilin Chen.
Appearance-Preserving 3D Convolution for Video-based Person
In Proceedings of the European Conference of Computer Vision,
[18]
Akash Gupta, Abhishek Aich, and Amit K Roy-Chowdhury.
ALANET: Adaptive Latent Attention Network for Joint Video Deblurring
and Interpolation.
In Proceedings of the 28th ACM International Conference on
Multimedia, pages 256–264, 2020.
[19]
Akash Gupta, Padmaja Jonnalagedda, Bir Bhanu, and Amit K. Roy-Chowdhury.
Ada-VSR: Adaptive Video Super-Resolution with Meta-Learning.
In Proceedings of the 28th ACM International Conference on
Multimedia, 2021.
[20]
Kaiming He, Xiangyu Zhang, Shaoqing Ren, and Jian Sun.
Deep Residual Learning for Image Recognition.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 770–778, 2016.
[21]
Alexander Hermans, Lucas Beyer, and Bastian Leibe.
In Defense of the Triplet Loss for Person Re-Identification.
arXiv preprint arXiv:1703.07737, 2017.
[22]
Ruibing Hou, Hong Chang, Bingpeng Ma, Shiguang Shan, and Xilin Chen.
Temporal Complementary Learning for Video Person Re-Identification.
In Proceedings of the European Conference of Computer Vision,
[23]
Ruibing Hou, Bingpeng Ma, Hong Chang, Xinqian Gu, Shiguang Shan, and Xilin
VRSTC: Occlusion-Free Video Person Re-Identification.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 7176–7185. IEEE, 2019.
[24]
Shuiwang Ji, Wei Xu, Ming Yang, and Kai Yu.
3D Convolutional Neural Networks for Human Action Recognition.
IEEE Transactions on Pattern Analysis and Machine Intelligence,
35(1):221–231, 2012.
[25]
Xin Jin, Cuiling Lan, Wenjun Zeng, Zhibo Chen, and Li Zhang.
Style Normalization and Restitution for Generalizable Person
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 3143–3152, 2020.
[26]
Srikrishna Karanam, Mengran Gou, Ziyan Wu, Angels Rates-Borras, Octavia Camps,
Richard J Radke, et al.
A Systematic Evaluation and Benchmark for Person Re-Identification:
Features, Metrics, and Datasets.
IEEE Transactions on Pattern Analysis and Machine Intelligence,
41(3):523–536, 2018.
[27]
Diederik P Kingma and Jimmy Ba.
Adam: A Method for Stochastic Optimization.
arXiv preprint arXiv:1412.6980, 2014.
[28]
Jianing Li, Jingdong Wang, Qi Tian, Wen Gao, and Shiliang Zhang.
Global-Local Temporal Representations for Video Person
In Proceedings of the IEEE/CVF International Conference on
Computer Vision, pages 3958–3967, 2019.
[29]
J. Li, S. Zhang, and T. Huang.
Multi-scale temporal cues learning for video person
IEEE Transactions on Image Processing, 29:4461–4473, 2020.
[30]
Mengliu Li, Han Xu, Jinjun Wang, Wenpeng Li, and Yongli Sun.
Temporal Aggregation with Clip-level Attention for Video-based
Person Re-Identification.
In Proceedings of the IEEE/CVF Winter Conference on Applications
of Computer Vision, pages 3376–3384, 2020.
[31]
Shuang Li, Slawomir Bak, Peter Carr, and Xiaogang Wang.
Diversity Regularized Spatiotemporal Attention for Video-based
Person Re-Identification.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 369–378, 2018.
[32]
Wei Li, Xiatian Zhu, and Shaogang Gong.
Harmonious Attention Network for Person Re-Identification.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, 2018.
[33]
S. Liao, Y. Hu, X. Zhu, and S. Z. Li.
Person Re-Identification by Local Maximal Occurrence Representation
and Metric Learning.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 2197–2206, 2015.
[34]
Xingyu Liao, Lingxiao He, Zhouwang Yang, and Chi Zhang.
Video-based Person Re-Identification via 3D Convolutional Networks
and Non-Local Attention.
In Asian Conference on Computer Vision, pages 620–634, 2018.
[35]
Yasushi Makihara, Daisuke Adachi, Chi Xu, and Yasushi Yagi.
Gait Recognition by Deformable Registration.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition Workshops, pages 561–571, 2018.
[36]
Hyeonseob Nam, Jung-Woo Ha, and Jeonghee Kim.
Dual Attention Networks for Multimodal Reasoning and Matching.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 299–307, 2017.
[37]
Adam Paszke, Sam Gross, Francisco Massa, Adam Lerer, James Bradbury, Gregory
Chanan, Trevor Killeen, Zeming Lin, Natalia Gimelshein, Luca Antiga, et al.
PyTorch: An Imperative Style, High-Performance Deep Learning
In Advances in Neural Information Processing Systems, pages
8026–8037, 2019.
[38]
Priyank Pathak, Amir Erfan Eshratifar, and Michael Gormish.
Video Person Re-ID: Fantastic Techniques and Where to Find Them.
arXiv preprint arXiv:1912.05295, 2019.
[39]
Zhaofan Qiu, Ting Yao, and Tao Mei.
Learning Spatio-Temporal Representation with Pseudo-3D Residual
In Proceedings of the IEEE/CVF International Conference on
Computer Vision, pages 5533–5541, 2017.
[40]
Ergys Ristani, Francesco Solera, Roger Zou, Rita Cucchiara, and Carlo Tomasi.
Performance Measures and a Data set for Multi-Target, Multi-Camera
In European Conference on Computer Vision, pages 17–35.
Springer, 2016.
[41]
Arulkumar Subramaniam, Athira Nambiar, and Anurag Mittal.
Co-Segmentation Inspired Attention Networks for Video-based Person
In Proceedings of the IEEE/CVF International Conference on
Computer Vision, pages 562–572, 2019.
[42]
Yifan Sun, Liang Zheng, Yi Yang, Qi Tian, and Shengjin Wang.
Beyond Part Models: Person Retrieval with Refined Part Pooling (and
A Strong Convolutional Baseline).
In Proceedings of the European Conference of Computer Vision,
[43]
Christian Szegedy, Vincent Vanhoucke, Sergey Ioffe, Jon Shlens, and Zbigniew
Rethinking the Inception Architecture for Computer Vision.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 2818–2826, 2016.
[44]
Sergey Tulyakov, Ming-Yu Liu, Xiaodong Yang, and Jan Kautz.
MoCoGAN: Decomposing Motion and Content for Video Generation.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 1526–1535, 2018.
[45]
Taiqing Wang, Shaogang Gong, Xiatian Zhu, and Shengjin Wang.
Person Re-Identification by Video Ranking.
In Proceedings of the European Conference on Computer Vision,
pages 688–703. Springer, 2014.
[46]
Xiaolong Wang, Ross Girshick, Abhinav Gupta, and Kaiming He.
Non-local Neural Networks.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 7794–7803, 2018.
[47]
Yu Wu, Yutian Lin, Xuanyi Dong, Yan Yan, Wanli Ouyang, and Yi Yang.
Exploit the Unknown Gradually: One-Shot Video-based Person
Re-Identification by Stepwise Learning.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 5177–5186, 2018.
[48]
Saining Xie, Chen Sun, Jonathan Huang, Zhuowen Tu, and Kevin Murphy.
Rethinking Spatio-Temporal Feature Learning: Speed-Accuracy
Trade-offs in Video Classification.
In Proceedings of the European Conference on Computer Vision,
pages 305–321, 2018.
[49]
Huijuan Xu, Abir Das, and Kate Saenko.
R-C3D: Region Convolutional 3D Network for Temporal Activity
In Proceedings of the IEEE/CVF International Conference on
Computer Vision, pages 5783–5792, 2017.
[50]
Shuangjie Xu, Yu Cheng, Kang Gu, Yang Yang, Shiyu Chang, and Pan Zhou.
Jointly Attentive Spatial-Temporal Pooling Networks for Video-based
Person Re-Identification.
In Proceedings of the IEEE/CVF International Conference on
Computer Vision, pages 4733–4742, 2017.
[51]
Yichao Yan, Jie Qin, Jiaxin Chen, Li Liu, Fan Zhu, Ying Tai, and Ling Shao.
Learning Multi-Granular Hypergraphs for Video-based Person
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 2899–2908, 2020.
[52]
Jinrui Yang, Wei-Shi Zheng, Qize Yang, Ying-Cong Chen, and Qi Tian.
Spatial-Temporal Graph Convolutional Network for Video-based Person
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 3289–3299, 2020.
[53]
Sergey Zagoruyko and Nikos Komodakis.
Paying More Attention to Attention: Improving the Performance of
Convolutional Neural Networks via Attention Transfer.
arXiv preprint arXiv:1612.03928, 2016.
[54]
Yulun Zhang, Kunpeng Li, Kai Li, Lichen Wang, Bineng Zhong, and Yun Fu.
Image Super-Resolution using Very Deep Residual Channel Attention
In Proceedings of the European Conference on Computer Vision,
pages 286–301, 2018.
[55]
Zhizheng Zhang, Cuiling Lan, Wenjun Zeng, and Zhibo Chen.
Multi-Granularity Reference-Aided Attentive Feature Aggregation for
Video-based Person Re-identification.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 10407–10416, 2020.
[56]
Z. Zhang, C. Lan, W. Zeng, X. Jin, and Z. Chen.
Relation-Aware Global Attention for Person Re-Identification.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, 2020.
[57]
Yiru Zhao, Xu Shen, Zhongming Jin, Hongtao Lu, and Xian-sheng Hua.
Attribute-Driven Feature Disentangling and Temporal Aggregation for
Video Person Re-Identification.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 4913–4922, 2019.
[58]
Liang Zheng, Zhi Bie, Yifan Sun, Jingdong Wang, Chi Su, Shengjin Wang, and Qi
MARS: A Video Benchmark for Large-Scale Person Re-Identification.
In Proceedings of the European Conference on Computer Vision,
pages 868–884, 2016.
[59]
Liang Zheng, Liyue Shen, Lu Tian, Shengjin Wang, Jingdong Wang, and Qi Tian.
Scalable Person Re-Identification: A Benchmark.
In Proceedings of the IEEE/CVF International Conference on
Computer Vision, pages 1116–1124, 2015.
[60]
M. Zheng, S. Karanam, Z. Wu, and R. J. Radke.
Re-Identification with Consistent Attentive Siamese Networks.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, 2019.
[61]
Zhun Zhong, Liang Zheng, Guoliang Kang, Shaozi Li, and Yi Yang.
Random Erasing Data Augmentation.
In Proceedings of the AAAI Conference on Artificial
Intelligence, pages 13001–13008, 2020.
[62]
Zhen Zhou, Yan Huang, Wei Wang, Liang Wang, and Tieniu Tan.
See the Forest for the Trees: Joint Spatial and Temporal Recurrent
Neural Networks for Video-based Person Re-Identification.
In Proceedings of the IEEE/CVF Conference on Computer Vision and
Pattern Recognition, pages 4747–4756, 2017.
[63]
Barbara Zitova and Jan Flusser.
Image Registration Methods: A Survey.
Image and Vision Computing, 21(11):977–1000, 2003.
Spatio-Temporal Representation Factorization
for Video-based Person Re-Identification
(Supplementary Material)
§ SIMPLIFIED DEMONSTRATION OF STRF
We present a simplified demonstration of our proposed framework STRF. STRF is designed to extract four types of information from input feature maps. Intuitively, STRF learns:
I. What is static temporally or changing slowly in time (e.g., how people look, TS)
II. What is changing temporally or dynamic in time (e.g., how people move, TD)
III. What is coarsely observable spatially (e.g., global appearance/outline, SC)
IV. What is finely observable spatially (e.g., fine appearance details, SF)
Each “factor" above has its own contribution. For instance, TD can provide robust features when people can only be distinguished based on motion/dynamics (e.g., same dress code). Under frame misalignment, TS (with SF/SC) can provide person-specific features while suppressing background/occlusions. The questions, then, are
Q1. How are they learned?
Q2. How to “weight" the input feature map using them?
Factor-specific pooling functions $\mathcal{G}_{dk}(\cdot)$ help answer Q1 above. Given input feature map $\bm{f}_{\ell}^{(i)} \in \mathbb{R}^{c_{\ell}\times f_{\ell}\times h_{\ell}\times w_{\ell}}$ (e.g., channels $c_{\ell}=2048$, frames $f_{\ell}=8$, height $h_{\ell}=14$, width $w_{\ell}=7$), each $\mathcal{G}_{dk}(\cdot)$ operates differently. For instance, $\mathcal{G}_{\temp\varsigma}(\cdot)$ of TS uses a $4 \times 1 \times 1$ kernel (with stride 4) to give intermediate feature map $\bm{f}_{\ell}^{(p)} \in \mathbb{R}^{c \times \textcolor{blue}{2} \times h\times w}$, i.e., temporally pooling 8 into 2 feature maps to capture what changes slowly over time. On the other hand, TD's $\mathcal{G}_{\temp\tau}(\cdot)$, with kernel $2 \times 1 \times 1$, gives $\bm{f}_{\ell}^{(p)} \in \mathbb{R}^{c \times \textcolor{blue}{4} \times h\times w}$, i.e., more temporal feature maps (i.e. 4) since one needs more data points to capture what is changing dynamically (compared to TS above) in time. Similar argument holds for SF/SC spatially. Finally, the factor-specific attention map $\mathcal{M}_{dk}$ helps weight feature volumes appropriately using matrix multiplication in eq. (1) () towards our objective function (helping answer question Q2 above). This shows why 4 pooling functions are necessary. As each FFM has unique $\mathcal{G}_{dk}(\cdot)$, they are different and help in focusing different aspects of information available in input feature maps.
§ DATASETS DETAILS
In this section, we provide more details for each of the three datasets, MARS [58], DukeMTMC-VideoReID [47], and iLIDS-VID
[45], used in the paper. Sample video tracklets for each are shown in Figure <ref>.
* MARS [58]: MARS is a large-scale multi-camera (six views) dataset, comprising 17503 tracklets corresponding to 1261 identities, with an average number of 59 frames per tracklet. Of the 1261 identities, 625 identities are used for training and the rest for testing. Additionally, it has 3248 distractor tracklets to be used as part of the gallery. Each bounding box is detected and subsequently tracked using the DPM detection [12] and GMCP tracking [9] algorithms, respectively.
* DukeMTMC-VideoReID [47]:
DukeMTMC-VideoReID is part of the DukeMTMC tracking dataset [40], comprising 1812 identities of which 702 are used for training, 702 for testing, and the rest 408 as distractors. In total, there are 2196 video tracklets for training and 2636 video tracklets for testing. Each frame in the video tracklet is sampled at an interval of 12 frames and has manually annotated bounding boxes.
* iLIDS-VID [45]: iLIDS-VID is a two-camera-view dataset comprising 600 video tracklets with 300 identities with an average of 73 frames per identity and manually annotated bounding boxes.
§ IMPLEMENTATION DETAILS
Hyperparameters Details. We build our feature extractors by first inflating 2D-ResNet50 [20], pre-trained on ImageNet [10], with time dimension of all kernels set to 1 (See Figure 2(A) in main manuscript). The last stride of the model is set to 1 following [42, 52]. Then, we replace stage and with the proposed STRF-P3D residual blocks. We train our model with the Adam [27] optimizer with a weight decay of 0.0005 for 250 epochs. The initial learning rate is set to 0.0003, and is reduced by a factor of 10 times after every 50 epochs. For data augmentation, we use random erasing [61] and random horizontal flip following [22, 5]. As part of each training batch, we randomly sample 4 frames with a stride of 8 frames to form a clip for each tracklet. Each batch contains 8 persons with 4 video clips each. All the frames are resized to $256 \times 128$. The feature dimension is set to 2048 which is obtained after temporal pooling for both training and testing. We use PyTorch [37] for all our experiments. Training time is $\sim$10 hrs on 3 NVIDIA Tesla-V100 GPUs.
Testing Protocol. For fair comparisons, we follow exact testing protocols as in prior works [22, 17]. We split each video tracklet into several four-frame clips and extract their feature representations. The final feature representation is computed by averaging across all the clips. Finally, for retrieval, cosine distances are computed between query and gallery video features.
§ ADDITIONAL DISCUSSIONS ON STRF
Location of STRF in Pseudo-3D [39] residual blocks. We observe in our preliminary experiments that STRF is more effective with the $3 \times 1 \times 1$ convolutional layer rather than the $1\times 3\times 3$ convolutional layer (see Figure <ref>). Hence, we place the STRF module with the $3\times 1\times 1$ convolutional layer as indicated in Figure 2(B) of the main manuscript. One explanation for this behavior of STRF can be attributed to the fact that time-degenerate convolutions are more effective in extracting rich information of temporal dimension which has shown to be more important for recognition in [5, 11]. Moreover, the temporal integrity is diminished with $1\times 3\times 3$ as each feature map in the volume is treated individually. Hence, after the proposed enhancement of the feature volume, the $3\times 1\times 1$ convolutional layer performs comparatively well.
Additional analysis of STRF on different stages of feature extractor. We present additional analysis of the impact of adding the proposed STRF module at various stages to the baseline model in Table <ref>. We can observe that the STRF module is effective at every stage to enhance the performance of the baseline model.
table[Additional experiments on per-stage influence of STRF]Per-stage influence of STRF. STRF is effective at various stages of STRF-P3DC on MARS [58].
figure[Design choice for STRF: Location of STRF in residual modules]Location of STRF. Our STRF module performs the best with $3\times 1\times 1$ compared to $1\times 3\times 3$ as demonstrated here on MARS [58].
Additional analysis of STRF's four factorization components. We present additional analysis of the different combinations of factorization modules of STRF in Table <ref>.
table[Additional analysis of STRF's four factorization components]Contribution of each factorization module. Additional analysis of STRF's four factorization components with the P3DC baseline on MARS [58].
$(\spat,\tau)$ ($\spat,\varsigma$) $(\temp,\tau)$ ($\temp,\varsigma$) mAP(%)
85.40 89.50
85.30 89.60
85.60 90.10
85.70 90.20
85.40 89.80
85.60 90.00
§ ATTENTION MAPS
In this section, we present the efficacy of the proposed STRF module in challenging real-world scenarios of occlusion, frame misalignment, and different identities with similar appearance. From Figure <ref> (DukeMTMC-VideoReID) and Figure <ref> (MARS), it can be observed that STRF is able to locate the person of interest more precisely when employed with the baseline model. Note that these attention maps are obtained from stage of the feature extractor as we add our proposed module here.
§ QUALITATIVE RESULTS
In this section, we present some cases where the baseline model was unable to find the right match of the query in the gallery (see Figure <ref> for DukeMTMC-VideoReID and Figure <ref> for MARS) in Rank-1 retrieval. It can be observed that our proposed module helps to enhance the ability of the baseline model to identify the person of interest in difficult examples.
| arxiv-papers | 2021-07-25T19:29:37 | 2024-09-04T03:07:17.156594 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Abhishek Aich, Meng Zheng, Srikrishna Karanam, Terrence Chen, Amit K.\n Roy-Chowdhury, Ziyan Wu",
"submitter": "Abhishek Aich",
"url": "https://arxiv.org/abs/2107.11878"
} |
2107.11880 | From Binary Error Correcting Codes
to a Relation Between Maximal D=4 and D=3 Supergravities
Thomas Fischbacher1 and Krzysztof Pilch2
1 Google Research,
Brandschenkestrasse 110, 8002 Zürich, Switzerland
2 Department of Physics and Astronomy,
University of Southern California,
Los Angeles, CA 90089-0484, USA
tfish @ google.com, pilch @ usc.edu
###### Abstract
This short note provides (TensorFlow-based) numerical evidence for the
embedability (in the limit of a scalar parameter going to infinity) of the
scalar potential of dyonic $\mathcal{N}=8,\;D=4\;SO(8)$ supergravity into the
scalar potential of $\mathcal{N}=16,\;D=3\;SO(8)\times SO(8)$ supergravity.
One finds that the dyonic $\omega$-rotation gets identified with the compact
$U(1)$ part of the $SL(2)$ factor of the $SL(2)\times E_{7(+7)}$ subgroup of
$E_{8(+8)}$.
## 1 Claims and Insights
This short note is accompanied by a Google Colab notebook111Available at
https://github.com/google-research/google-
research/tree/master/m_theory/colab/hamming78.ipynb, and also alongside the
arXiv source code of this article. The reader can launch this via web browser
by navigating to https://colab.research.google.com/, selecting ‘GitHub’ as
source for a new notebook, and pasting the above url. (based on TensorFlow
[1]) that numerically demonstrates the validity of each of these claims:
1. 1.
(From [2], Eq. (7.5)): One can embed $\mathcal{M}_{14}:=(SU(1,1)/U(1))^{\times
7}$ in such a way into $E_{7(+7)}$ that the holomorphic superpotential is in
$1{:}1$ correspondence with the code words of the 1-bit error correcting
(7,4,3) Hamming code [3]:
$\begin{aligned} \mathcal{W}_{7}:=\\\ \\\ \\\ \\\
\end{aligned}\quad\begin{gathered}+\zeta_{1}\zeta_{2}\zeta_{3}\zeta_{4}\zeta_{5}\zeta_{6}\zeta_{7}\\\
+\zeta_{3}\zeta_{5}\zeta_{6}\zeta_{7}+\zeta_{2}\zeta_{4}\zeta_{5}\zeta_{7}+\zeta_{2}\zeta_{3}\zeta_{4}\zeta_{6}+\zeta_{1}\zeta_{3}\zeta_{4}\zeta_{5}+\zeta_{1}\zeta_{4}\zeta_{6}\zeta_{7}+\zeta_{1}\zeta_{2}\zeta_{5}\zeta_{6}+\zeta_{1}\zeta_{2}\zeta_{3}\zeta_{7}\\\
+\zeta_{1}\zeta_{2}\zeta_{4}+\zeta_{1}\zeta_{3}\zeta_{6}+\zeta_{1}\zeta_{5}\zeta_{7}+\zeta_{2}\zeta_{6}\zeta_{7}+\zeta_{2}\zeta_{3}\zeta_{5}+\zeta_{3}\zeta_{4}\zeta_{7}+\zeta_{4}\zeta_{5}\zeta_{6}\\\
+1\,.\end{gathered}$ (1)
2. 2.
Expanding the $(7,4,3)$ Hamming code with a parity bit to the self-dual
$(8,4,4)$ Hamming code, we can define a corresponding hypothesized holomorphic
superpotential as follows, by adding a factor $\zeta_{8}$ to those summands
that have an odd number of $\zeta$-factors:
$\begin{aligned} \mathcal{W}_{8}:=\\\ \\\ \\\ \\\
\end{aligned}\quad\begin{gathered}+\zeta_{1}\zeta_{2}\zeta_{3}\zeta_{4}\zeta_{5}\zeta_{6}\zeta_{7}\zeta_{8}\\\
+\zeta_{3}\zeta_{5}\zeta_{6}\zeta_{7}+\zeta_{2}\zeta_{4}\zeta_{5}\zeta_{7}+\zeta_{2}\zeta_{3}\zeta_{4}\zeta_{6}+\zeta_{1}\zeta_{3}\zeta_{4}\zeta_{5}+\zeta_{1}\zeta_{4}\zeta_{6}\zeta_{7}+\zeta_{1}\zeta_{2}\zeta_{5}\zeta_{6}+\zeta_{1}\zeta_{2}\zeta_{3}\zeta_{7}\\\
+\zeta_{1}\zeta_{2}\zeta_{4}\zeta_{8}+\zeta_{1}\zeta_{3}\zeta_{6}\zeta_{8}+\zeta_{1}\zeta_{5}\zeta_{7}\zeta_{8}+\zeta_{2}\zeta_{6}\zeta_{7}\zeta_{8}+\zeta_{2}\zeta_{3}\zeta_{5}\zeta_{8}+\zeta_{3}\zeta_{4}\zeta_{7}\zeta_{8}+\zeta_{4}\zeta_{5}\zeta_{6}\zeta_{8}\\\
+1\,.\end{gathered}$ (2)
Observing that the scalar potential corresponding to such a holomorphic
superpotential on $\left(SU(1,1)/U(1)\right)^{\times 8}$ indeed does have many
equilibria that align nicely (after rescaling the cosmological constant) with
equilibiria reported in [4] for $\mathcal{N}=16,\;D=3\;SO(8)\times SO(8)$
supergravity, one may conjecture that one can indeed obtain this “$(8,4,4)$
Hamming code holomorphic superpotential” from the $A_{1}$-tensor of maximal
$D=3$ supergravity. This indeed holds – the details can be found in appendix
B.
3. 3.
Starting from the commonly used roots for the $\mathfrak{e}_{8(+8)}$ algebra,
where the $120-8=112$ roots of the compact $\mathfrak{spin}(16)$ subalgebra
are given by $(\pm 1;\pm 1;0;0;0;0;0;0)+\\{\text{permutations}\\}$, and the
$128$ “$\mathfrak{spin}(16)$-spinor” roots corresponding to the generators
used to define the scalar manifold of $SO(8)\times SO(8)$ supergravity [5, 6],
$(\pm\frac{1}{2};\pm\frac{1}{2};\pm\frac{1}{2};\pm\frac{1}{2};\pm\frac{1}{2};\pm\frac{1}{2};\pm\frac{1}{2};\pm\frac{1}{2})$
(where the total number of $(-)$ signs is _even_), it is possible to choose
eight positive roots from the $128$ such that when adding the corresponding
eight negative roots to the set, no pair taken from these 16 roots have the
same sign in exactly two positions222This would be a requirement for the
commutator of the associated ladder operators to belong to
$\mathfrak{spin}(16)$, but not the $\mathfrak{u}(1)^{8}$ generated by the
commutators of the ladder operators for each positive root and its associated
negative root.. For any such choice, adding the corresponding negative roots,
and encoding a $(+)$-sign as 1 and a $(-)$-sign as 0 (or vice versa) gives us
sixteen eight-bit code words that correspond to a self-dual $(8,4,4)$ Hamming
code.333A related well-known observation is that scaling the self-dual $E_{8}$
lattice to integer coordinates and then taking coordinates modulo 2 yields the
$(8,4,4)$ self-dual Hamming code. Doing the same for the $E_{7}$ root lattice
yields the $(7,3,4)$ ‘little Hamming code’, while doing this for the dual
$E_{7}$ weight lattice ($E_{7}^{*}$) yields the $(7,4,3)$ Hamming code, see
e.g. [7, 8]. These sixteen roots then correspond to a
$\mathfrak{sl}(2)^{\times 8}$ subalgebra of $\mathfrak{e}_{8}$.
4. 4.
Performing $\omega$-deformation [9, 10, 11, 12] of
$\mathcal{N}=8,\,D=4\,SO(8)$ supergravity [13], the superpotential in Eq. (1)
acquires phase factors $\phi:=\exp(-i\omega)$ on summands with an _odd_ number
of $\zeta$-factors and $\bar{\phi}=\exp(+i\omega)$ on summands with an _even_
number of $\zeta$-factors:
$\begin{aligned} \mathcal{W}_{7c}:=\\\ \\\ \\\ \\\
\end{aligned}\quad\begin{gathered}+\zeta_{1}\zeta_{2}\zeta_{3}\zeta_{4}\zeta_{5}\zeta_{6}\zeta_{7}\phi\\\
+\zeta_{3}\zeta_{5}\zeta_{6}\zeta_{7}\bar{\phi}+\zeta_{2}\zeta_{4}\zeta_{5}\zeta_{7}\bar{\phi}+\zeta_{2}\zeta_{3}\zeta_{4}\zeta_{6}\bar{\phi}+\zeta_{1}\zeta_{3}\zeta_{4}\zeta_{5}\bar{\phi}+\zeta_{1}\zeta_{4}\zeta_{6}\zeta_{7}\bar{\phi}+\zeta_{1}\zeta_{2}\zeta_{5}\zeta_{6}\bar{\phi}+\zeta_{1}\zeta_{2}\zeta_{3}\zeta_{7}\bar{\phi}\\\
+\zeta_{1}\zeta_{2}\zeta_{4}\phi+\zeta_{1}\zeta_{3}\zeta_{6}\phi+\zeta_{1}\zeta_{5}\zeta_{7}\phi+\zeta_{2}\zeta_{6}\zeta_{7}\phi+\zeta_{2}\zeta_{3}\zeta_{5}\phi+\zeta_{3}\zeta_{4}\zeta_{7}\phi+\zeta_{4}\zeta_{5}\zeta_{6}\phi\\\
+\bar{\phi}\,.\end{gathered}$ (3)
Observing that the scalar potential does not change if the superpotential gets
multiplied by a complex number of magnitude 1, and multiplying the above
expression with $\phi$ shows
$\bar{\phi}\mathcal{W}_{7c}=\mathcal{W}_{8|\zeta_{8}=\phi^{2}}$. Indeed, one
finds that for $\omega=\pi/8$, the corresponding scalar potential on
$(SU(1,1)/U(1))^{\times 7}$ has equilibria for which the cosmological
constants closely correspond to known solutions of the ‘dyonic SO(8)’ gauging
with $\omega=\pi/8$ [14]. The relation between the scalar potentials and
superpotentials is given in appendix B.
5. 5.
The above properties suggest that, at least on $(SL(2)/U(1))^{\times
7}\sim(SU(1,1)(2)/U(1))^{\times 7}$, we might be able to retrieve the scalar
potential of $D=4\,SO(8)$ supergravity from that of $D=3\,SO(8)\times SO(8)$
supergravity by taking some suitable $\zeta_{8}\to 1$ limit444Given that the
$\zeta$ parameters are coordinates in the Poincare disc model of the
hyperbolic plane, this is at infinite distance from the origin. – and
correspondingly, get the scalar potential of dyonic $D=4\,SO(8)_{c}$
supergravity by taking some $\zeta_{8}\to\exp(i\omega)$ limit. Hence, it seems
natural to expect that a corresponding limit may exist for the full scalar
potential: Using the $E_{7(+7)}\times SL(2)\subset E_{8(+8)}$ embedding for
which we have ${\bf 248}\mapsto({\bf 133},{\bf 1})+({\bf 56},{\bf 2})+({\bf
1},{\bf 3})$, the $SL(2)$ becomes the eighth $SL(2)$ in $E_{8}$ that commutes
with the seven $SL(2)$s whose noncompact directions yield $\mathcal{M}_{14}$.
Considering the triality-symmetric constructions of
$\mathfrak{e}_{7}=\mathfrak{spin}(8)+{\bf 35}_{v}+{\bf 35}_{s}+{\bf 35}_{c}$
and $\mathfrak{e}_{8}=\mathfrak{spin(8)}^{L}+\mathfrak{spin(8)}^{R}+({\bf
8}^{L}_{v},{\bf 8}^{R}_{v})+({\bf 8}^{L}_{s},{\bf 8}^{R}_{s})+({\bf
8}^{L}_{c},{\bf 8}^{R}_{c})$, it is clear how
$\mathfrak{e}_{7}+\mathfrak{sl}(2)$ is obtained from the ‘symmetric’ pieces of
the decomposition of $\mathfrak{e}_{8}$ with respect to the555Given that we
can apply a triality relabeling on one of the $\mathfrak{spin}(8)$ algebras,
there is more than one way to take a diagonal. The relevant diagonal here does
not involve a triality rotation. diagonal $\mathfrak{spin}(8)$ subalgebra of
$\mathfrak{spin}(8)^{L}+\mathfrak{spin}(8)^{R}$.
Using the corresponding embedding of the
$\mathfrak{e}_{7(7)}+\mathfrak{sl}(2)$ $D=4$ scalar manifold coset generators
${\bf 35}_{s}+{\bf 35}_{c}+{\bf 1}_{s}+{\bf 1}_{c}$ (‘symmetric traceless
$8\times 8$ matrices over the spinors and co-spinors from $\mathfrak{e}_{7}$
plus multiples-of-the-identity trace-parts from $\mathfrak{sl}(2)$’) into the
space of $D=4$ scalar manifold coset generators $({\bf 8}^{L}_{s},{\bf
8}^{R}_{s})+({\bf 8}^{L}_{c},{\bf 8}^{R}_{c})$ via a linear function
$E(v_{70},s,c):\mathbb{R}^{70+2}\to\mathbb{R}^{128}$, one finds for the $D=3$
scalar potential of $SO(8)\times SO(8)$ supergravity:
$g_{D=3}^{-2}V_{D=3}(E(\vec{0},s,0))<0$, and for $s>0$: $|\nabla V|>0$. These
are non-equilibrium points with negative cosmological constant. If we now
introduce an auxiliary (helper) function666 The factor $-6$ is for alignment
with the usual normalization of the $D=4$ scalar potential.
$H:\mathbb{R}^{70+1}\to\mathbb{R}$ as:
$H(\vec{v},s):=(-6)\,\cdot\,\frac{V_{D=3}(E(\vec{v},s,0))}{V_{D=3}(E(\vec{0},s,0))},$
(4)
then we may conjecture that $H$ is related to the $D=4$ scalar potential of
$SO(8)$ supergravity $g_{D=4}^{-2}V_{D=4}:\mathbb{R}^{70}\to\mathbb{R}$ via:
$V_{D=4}(\vec{v})=\lim_{s\to\infty}H(\vec{v},s).$ (5)
Numerical evidence strongly supports that this hypothesis holds _on the full
70-dimensional scalar manifold of $\mathcal{N}=8,\,D=4\,SO(8)$ Supergravity_!
6. 6.
The generalization to dyonic-$SO(8)$ also holds. Specifically, with
$H_{c}(\vec{v},s,\omega):=(-6)\,\cdot\,\frac{V_{D=3}(E(\vec{v},s\cos(2\,\omega),s\sin(2\,\omega)))}{V_{D=3}(E(\vec{0},s\cos(2\,\omega),s\sin(2\,\omega)))},$
(6)
we find:
$V_{D=4}(\vec{v},\omega)=\lim_{s\to\infty}H_{c}(\vec{v},s,\omega).$ (7)
(As one would expect from the $\omega$-invariance of the $SO(8)$-symmetric
vacuum of $SO(8)$ supergravity, one actually finds
$V_{D=3}(E(\vec{0},s\cos(2\,\omega),s\sin(2\,\omega)))=V_{D=3}(E(\vec{0},s,0))$,
so the above expression, presented ‘in symmetric form’, can be simplified.)
Appendix A shows the numerical evidence, verifiable by running the
accompanying Google Colab notebook.
## 2 Discussion
The maximal (32 supercharges) gauged $D=2+1$ supergravity of Nicolai and
Samtleben [5, 6] so far has been mostly regarded as an exotic curiosity, as to
this date there is no known way to embed it into M theory. Correspondingly, it
has perhaps not yet received as much attention as this note suggests it should
have – given that we observe that it indeed seems to be closely related to the
$S^{7}$-compactification of 11-dimensional supergravity, i.e. the de Wit-
Nicolai model – as well as the dyonic deformations of that model [10], for
which there currently is no known way to embed these into M theory, either
[15, 16]. Naturally, this then means that taking the limit in a different way
will also allow us to retrieve scalar potentials of other gaugings with
already-known M theory embeddings, such as that of ‘dyonic ISO(7)
supergravity’ [17, 18].
### 2.1 Early Clues
As it is often useful to understand the intuition that underlies an idea, it
may be appropriate to list some major clues that contributed to generating the
idea of exploring the final claim in the list presented above. In
chronological order, these clues were:
* •
The (stable and also unstable) equilibria of maximal supergravities often have
remarkable similarities across different dimensions. Notably, this also holds
in particular for $D=4$ and $D=3$. For example, whereas maximal $D=5$
supergravity has a $SU(2)\times U(1)\;\mathcal{N}=2$ vacuum, maximal $D=4$
supergravity has a $SU(3)\times U(1)\;\mathcal{N}=2$ vacuum; in $D=4$, we see
a $G_{2}\;\mathcal{N}=1$ vacuum, whereas in $D=3$, we find $G_{2}\times
G_{2}\;\mathcal{N}=(1,1)$, etc. – see [19, 20, 4].
* •
As the problem of finding equilibria can be expressed entirely in terms of
geometric invariants, the relevant properties of the equilibria can be
expressed in terms of algebraic numbers. There is a general tendency for the
$D=3$ expressions to often have remarkably low algebraic complexity (see e.g.
[21]), just as if $D=4$ had to rebalance terms to make up for some loss of a
more fundamental symmetry.
* •
John Baez’s article about triality and the exceptional groups [22] clearly was
inspirational for structuring the code that does calculations in $E_{7}$ in
such a way that it emphasizes the role of triality, despite virtually all of
the other literature only using (anti)self-dual four-form language for
$E_{7}$.
* •
Closely studying the long list of equilibria of $SO(8)$ supergravity [23]
reveals some remarkable coincidences, such as the existence of a triplet of
equilibria with residual symmetry $SO(4)$ where embeddings of $SO(4)$ into
$SO(8)$ are related by triality. Likewise, there are closely-related-via-
triality pairs of solutions, such as S0668704–S0698771, S0869596–S0983994,
S1068971–S1301601, etc., that are related by triality (see also [24], as well
as [25]).
* •
There have been various earlier indications that the 7-bit Hamming code is
useful to understand some nontrivial aspects of M theory [26, 27].
### 2.2 Outlook
It certainly is bemusing to observe how intuition related to binary error
correcting codes did provide a relevant clue here towards uncovering a
relation between $D=4$ and $D=3$ supergravities – especially with a view on
Wheeler’s “it from bit” essay [28] which proposes an agenda that includes “
_[Translating] the quantum versions of string theory and of Einstein’s
geometrodynamics from the language of continuum to the language of bits_ ”.
One may wonder whether there are more interesting insights that could be
obtained by focusing on the relation between remarkable lattices and binary
codes – noting however that the (even unimodular Lorentzian) $E_{10}$ root
lattice [29] does not directly correspond to an error correcting binary code –
likely due to the implicit notion of ‘Euclidean distance’ in the definition of
error-correcting codes. This might, however, be fixable, and suggests that a
study of the relation between $\mathfrak{e}_{10}$ and generalized binary codes
might bear fruit.
While our focus here was exclusively on the scalar potential, this is of
course closely linked to the entire structure of the model supersymmetry.
Nominally, we are here observing a correspondence between $D=3$ and $D=4$
supergravity in some “AdS radius goes to zero” (i.e. $g^{-2}V\to-\infty$)
limit. To do this, we had to ad-hoc fix one scalar parameter and move it
towards infinity without Supergravity offering a mechanism to stabilize this
configuration. We may, at this point, only speculate whether M theory also in
this setting “fights against being squeezed” by growing new spatial dimensions
via some tower of massive excitations (which would mean: degrees of freedom
not present in the supergravity truncation) collapsing to zero mass. Given our
current understanding of M theory, this speculation is however too outlandish
to be taken seriously.
More tangibly, the observation that there is a $SO(8)$ subgroup of $E_{8(8)}$
that rotates the eight commuting $SL(2)$s may provide useful to extract
additional information about the structure of the $D=4$ potential, given that
this $SO(8)$ cannot be a subgroup of $E_{7}$ (since it mixes the seven $U(1)$s
sitting inside $E_{7}$ with the one outside). This might lead to an
explanation for some observations about the equilibria of the $D=4$ scalar
potential that are currently hard to explain, such as the high degeneracies in
the mass spectra of the equilibrium S1800000 _despite complete breaking of
$SO(8)$_ with zero residual symmetry – neither Lie nor discrete. Signs of a
hidden $E_{8(+8)}$ symmetry in maximal $D=4$ supergravity are, of course, not
new (e.g. [30]), and so the hope is that the rather concrete new puzzle piece
explained in this work will lead to new angles of attack to resolve the
question about the underlying symmetries of M theory.
Acknowledgments
Thomas Fischbacher would like to thank Moritz Firsching for independently
confirming claim 3, and also Jyrki Alakuijala, George Toderici, Ashok Popat,
Rahul Sukthankar, Jay Yagnik, and Jeff Dean for on-going support and
encouragement of research that comprises a unusual but scientifically
successful applications of TensorFlow. We also would like to thank Gianluca
Inverso, David Berman, Nikolay Bobev, Fridrik Freyr Gautason, and Hermann
Nicolai for useful discussions. Krzysztof Pilch is supported in part by DOE
grant DE-SC0011687.
Appendix
## Appendix A Notebook transcript from checking claim 6
It certainly is gratifying to look at the numbers that substantiate the claim
in Eq. (6). Below, we see what happens when one randomly picks ten (generic,
non-equilibrium) points on the $E_{7(+7)}/(SU(8)/\mathbb{Z}_{2})$ manifold
and, in $E_{8(8)}$, rotates outwards using $SL(2)$, both for $\omega=0$ and
some generic $\omega$.
⬇
>>> check_so8c_limit(omega=0, r=3, num_spot_checks=10)
V_so8c = -10.4047666737, V_so8xso8 = -10.4056327510, rel_delta = 0.000083
V_so8c = -9.4520901976, V_so8xso8 = -9.4528652474, rel_delta = 0.000082
V_so8c = -5.0839620894, V_so8xso8 = -5.0848796316, rel_delta = 0.000180
V_so8c = +10.8347526006, V_so8xso8 = +10.8334767696, rel_delta = 0.000118
V_so8c = -10.6441227948, V_so8xso8 = -10.6448297935, rel_delta = 0.000066
V_so8c = -7.1489366176, V_so8xso8 = -7.1496814995, rel_delta = 0.000104
V_so8c = +1.3503469257, V_so8xso8 = +1.3489888355, rel_delta = 0.001006
V_so8c = +26.0683069298, V_so8xso8 = +26.0658295953, rel_delta = 0.000095
V_so8c = +9.4490606160, V_so8xso8 = +9.4480316002, rel_delta = 0.000109
V_so8c = +9.7993167162, V_so8xso8 = +9.7976288261, rel_delta = 0.000172
>>> check_so8c_limit(omega=0, r=3.5, num_spot_checks=10)
V_so8c = -10.4047666737, V_so8xso8 = -10.4048838868, rel_delta = 0.000011
V_so8c = -9.4520901976, V_so8xso8 = -9.4521950911, rel_delta = 0.000011
V_so8c = -5.0839620894, V_so8xso8 = -5.0840862675, rel_delta = 0.000024
V_so8c = +10.8347526006, V_so8xso8 = +10.8345799326, rel_delta = 0.000016
V_so8c = -10.6441227948, V_so8xso8 = -10.6442184784, rel_delta = 0.000009
V_so8c = -7.1489366176, V_so8xso8 = -7.1490374282, rel_delta = 0.000014
V_so8c = +1.3503469257, V_so8xso8 = +1.3501631249, rel_delta = 0.000136
V_so8c = +26.0683069298, V_so8xso8 = +26.0679716529, rel_delta = 0.000013
V_so8c = +9.4490606160, V_so8xso8 = +9.4489213515, rel_delta = 0.000015
V_so8c = +9.7993167162, V_so8xso8 = +9.7990882811, rel_delta = 0.000023
>>> check_so8c_limit(omega=-4.567, r=3.0, num_spot_checks=10, scale=0.21)
V_so8c = +62.8771050816, V_so8xso8 = +62.8744930759, rel_delta = 0.000042
V_so8c = +4.4054319480, V_so8xso8 = +4.4041637306, rel_delta = 0.000288
V_so8c = +11.2968023928, V_so8xso8 = +11.2953240524, rel_delta = 0.000131
V_so8c = +15.7005232999, V_so8xso8 = +15.6989137243, rel_delta = 0.000103
V_so8c = +3.2290683270, V_so8xso8 = +3.2278830222, rel_delta = 0.000367
V_so8c = -3.3373855762, V_so8xso8 = -3.3383922744, rel_delta = 0.000302
V_so8c = +28.9523837775, V_so8xso8 = +28.9501294956, rel_delta = 0.000078
V_so8c = +90.8875944078, V_so8xso8 = +90.8832244306, rel_delta = 0.000048
V_so8c = -5.0578665526, V_so8xso8 = -5.0587664349, rel_delta = 0.000178
V_so8c = +5.9928537431, V_so8xso8 = +5.9908625218, rel_delta = 0.000332
>>> check_so8c_limit(omega=-4.567, r=3.5, num_spot_checks=10, scale=0.21)
V_so8c = +62.8771050816, V_so8xso8 = +62.8767515791, rel_delta = 0.000006
V_so8c = +4.4054319480, V_so8xso8 = +4.4052603105, rel_delta = 0.000039
V_so8c = +11.2968023928, V_so8xso8 = +11.2966023177, rel_delta = 0.000018
V_so8c = +15.7005232999, V_so8xso8 = +15.7003054637, rel_delta = 0.000014
V_so8c = +3.2290683270, V_so8xso8 = +3.2289079107, rel_delta = 0.000050
V_so8c = -3.3373855762, V_so8xso8 = -3.3375218204, rel_delta = 0.000041
V_so8c = +28.9523837775, V_so8xso8 = +28.9520786883, rel_delta = 0.000011
V_so8c = +90.8875944078, V_so8xso8 = +90.8870029854, rel_delta = 0.000007
V_so8c = -5.0578665526, V_so8xso8 = -5.0579883407, rel_delta = 0.000024
V_so8c = +5.9928537431, V_so8xso8 = +5.9925842557, rel_delta = 0.000045
# ’Farther out’:
>>> check_so8c_limit(omega=-4.567, r=4.0, num_spot_checks=10, scale=0.21)
V_so8c = +62.8771050816, V_so8xso8 = +62.8770572401, rel_delta = 0.000001
V_so8c = +4.4054319480, V_so8xso8 = +4.4054087194, rel_delta = 0.000005
V_so8c = +11.2968023928, V_so8xso8 = +11.2967753155, rel_delta = 0.000002
V_so8c = +15.7005232999, V_so8xso8 = +15.7004938190, rel_delta = 0.000002
V_so8c = +3.2290683270, V_so8xso8 = +3.2290466170, rel_delta = 0.000007
V_so8c = -3.3373855762, V_so8xso8 = -3.3374040149, rel_delta = 0.000006
V_so8c = +28.9523837775, V_so8xso8 = +28.9523424881, rel_delta = 0.000001
V_so8c = +90.8875944078, V_so8xso8 = +90.8875143673, rel_delta = 0.000001
V_so8c = -5.0578665526, V_so8xso8 = -5.0578830348, rel_delta = 0.000003
V_so8c = +5.9928537431, V_so8xso8 = +5.9928172719, rel_delta = 0.000006
## Appendix B Scalar Potentials from Superpotentials
While numerics currently often appears to be the most powerful tool to study
the scalar potentials of maximal $D=4,5,6$ supergravities on the _full_ coset
manifolds $E_{d(+d)}/\mathcal{K}(E_{d(+d)})$, consistent truncation to maximal
sets of commuting $SU(1,1)\simeq SL(2)$ subgroups yields analytically rather
manageable expressions on these low-dimensional subspaces777This likely may be
a useful starting point for explorations of larger subspaces, observing that
the Fano plane also shows in the decomposition of $E_{7}$, respectively
$E_{8}$, into irreducible representations of $SL(2)^{\times 7,8}$..
Following the conventions of [2], we start from the Kähler potentials for the
product manifold of seven, respectively eight, Poincare discs:
$\mathcal{K}^{(7,8)}=-\sum_{j=1}^{7,\;\text{resp.}\;8}\log(1-\zeta_{j}\bar{\zeta}_{j}).$
(B.8)
From this, we obtain the Kähler metric and its inverse:
$\mathcal{K}_{a\bar{b}}:=\partial_{a}\partial_{\bar{b}}\mathcal{K},\quad\mathcal{K}^{a\bar{b}}=\left(\mathcal{K}_{a\bar{b}}\right)^{-1}.$
(B.9)
With the covariant derivative being given by
$\nabla_{a}(\cdot)=\partial_{a}(\cdot)+(\cdot)\partial_{a}\mathcal{K},$ (B.10)
the scalar potential of $\mathcal{N}=8,\;D=4\;SO(8)$ on
$\mathcal{M}_{14}=(SU(1,1)/U(1))^{\times 7}$ is given by
$V_{D=4|\mathcal{M}_{14}}=2\exp(\mathcal{K})\,\left(\mathcal{K}^{a\bar{b}}\nabla_{a}\mathcal{W}_{7}\nabla_{\bar{b}}\overline{\mathcal{W}_{7}}-3\,\mathcal{W}_{7}\overline{\mathcal{W}_{7}}\right),$
(B.11)
while the scalar potential of $\mathcal{N}=16,\;D=3\;SO(8)\times SO(8)$
supergravity on $\mathcal{M}_{16}:=(SU(1,1)/U(1))^{\times 8}$ is found to
match
$V_{D=3|\mathcal{M}_{16}}=2\exp(\mathcal{K})\,\left(\mathcal{K}^{a\bar{b}}\nabla_{a}\mathcal{W}_{8}\nabla_{\bar{b}}\overline{\mathcal{W}_{8}}-4\,\mathcal{W}_{8}\overline{\mathcal{W}_{8}}\right).$
(B.12)
In both cases, the superpotential can be read off from the $A_{1}$-tensor of
the model: For $D=4$, there is a 8-vector $X^{i}$ such that
$A^{1}_{ij}X^{i}X^{j}\cdot\prod_{k}\left(1-\zeta_{k}\bar{\zeta}_{k}\right)^{1/2}=\mathcal{W}_{7}$,
and in $D=3$, there is a 16-vector $Y^{I}$ such that
$A^{1}_{IJ}Y^{I}Y^{J}\cdot\prod_{k}\left(1-\zeta_{k}\bar{\zeta}_{k}\right)^{1/2}=\mathcal{W}_{8}$.
## References
* [1] M. Abadi, P. Barham, J. Chen, Z. Chen, A. Davis, J. Dean et al., _TensorFlow: A system for large-scale machine learning_ , in _12th USENIX Symposium on Operating Systems Design and Implementation (OSDI 16)_ , pp. 265–283, 2016, https://www.usenix.org/system/files/conference/osdi16/osdi16-abadi.pdf.
* [2] N. Bobev, T. Fischbacher and K. Pilch, _Properties of the new $\mathcal{N}$ = 1 AdS4 vacuum of maximal supergravity_, _JHEP_ 01 (2020) 099 [1909.10969].
* [3] R.W. Hamming, _Error detecting and error correcting codes_ , _The Bell System Technical Journal_ 29 (1950) 147.
* [4] T. Fischbacher, _The many vacua of gauged extended supergravities_ , _General Relativity and Gravitation_ 41 (2009) 315.
* [5] H. Nicolai and H. Samtleben, _Maximal gauged supergravity in three-dimensions_ , _Phys. Rev. Lett._ 86 (2001) 1686 [hep-th/0010076].
* [6] H. Nicolai and H. Samtleben, _Compact and noncompact gauged maximal supergravities in three-dimensions_ , _JHEP_ 04 (2001) 022 [hep-th/0103032].
* [7] J.H. Conway and N.J.A. Sloane, _Sphere packings, lattices and groups_ , vol. 290, Springer Science & Business Media (2013).
* [8] P. Belitz, _Applications on multi-dimensional sphere packings: derivative-free optimization_ , University of California, San Diego (2011).
* [9] G. Dall’Agata and G. Inverso, _On the vacua of $\mathcal{N}$ = 8 gauged supergravity in 4 dimensions_, _Nuclear Physics B_ 859 (2012) 70.
* [10] G. Dall’Agata, G. Inverso and M. Trigiante, _Evidence for a Family of SO(8) Gauged Supergravity Theories_ , _Physical Review Letters_ 109 (2012) 201301.
* [11] B. de Wit and H. Nicolai, _Deformations of gauged SO(8) supergravity and supergravity in eleven dimensions_ , _JHEP_ 05 (2013) 077 [1302.6219].
* [12] G. Dall’Agata, G. Inverso and A. Marrani, _Symplectic deformations of gauged maximal supergravity_ , _Journal of High Energy Physics_ 2014 (2014) .
* [13] B. de Wit and H. Nicolai, _N=8 Supergravity_ , _Nucl. Phys. B_ 208 (1982) 323.
* [14] D. Bermann, T. Fischbacher, G. Inverso and B. Scellier, _Vacua of dyonic SO(8) supergravity (in preparation)_ , .
* [15] K. Lee, C. Strickland-Constable and D. Waldram, _New gaugings and non-geometry_ , 2015.
* [16] G. Inverso, _Generalised scherk-schwarz reductions from gauged supergravity_ , _Journal of High Energy Physics_ 2017 (2017) .
* [17] A. Guarino, D.L. Jafferis and O. Varela, _String theory origin of dyonic n=8 supergravity and its chern-simons duals_ , _Physical Review Letters_ 115 (2015) .
* [18] A. Guarino and O. Varela, _Dyonic iso(7) supergravity and the duality hierarchy_ , _Journal of High Energy Physics_ 2016 (2016) .
* [19] A. Khavaev, K. Pilch and N.P. Warner, _New vacua of gauged N=8 supergravity in five-dimensions_ , _Phys. Lett. B_ 487 (2000) 14 [hep-th/9812035].
* [20] N. Warner, _Some new extrema of the scalar potential of gauged $\mathcal{N}$ = 8 supergravity_, _Physics Letters B_ 128 (1983) 169.
* [21] T. Fischbacher, H. Nicolai and H. Samtleben, _Vacua of maximal gauged D = 3 supergravities_ , _Class. Quant. Grav._ 19 (2002) 5297 [hep-th/0207206].
* [22] J. Baez, “This week’s finds in mathematical physics (week 90).”
* [23] I.M. Comsa, M. Firsching and T. Fischbacher, _SO(8) Supergravity and the Magic of Machine Learning_ , _JHEP_ 08 (2019) 057 [1906.00207].
* [24] A. Borghese, A. Guarino and D. Roest, _Triality, Periodicity and Stability of SO(8) Gauged Supergravity_ , _JHEP_ 05 (2013) 107 [1302.6057].
* [25] T. Fischbacher, K. Pilch and N.P. Warner, _New supersymmetric and stable, non-supersymmetric phases in supergravity and holographic field theory_ , 2010\.
* [26] L. Borsten, M.J. Duff and P. Lévay, _The black-hole/qubit correspondence: an up-to-date review_ , _Classical and Quantum Gravity_ 29 (2012) 224008.
* [27] M. Gunaydin, R. Kallosh, A. Linde and Y. Yamada, _M-theory Cosmology, Octonions, Error Correcting Codes_ , _JHEP_ 01 (2021) 160 [2008.01494].
* [28] J.A. Wheeler, _Information, physics, quantum: The search for links_ , in _3rd International Symposium on Foundations of Quantum Mechanics in Light_ , 1989.
* [29] R. Gebert and H. Nicolai, _E10 for beginners_ , in _Strings and Symmetries_ , G. Aktaş, C. Saçlioğlu and M. Serdaroğlu, eds., (Berlin, Heidelberg), pp. 197–210, Springer Berlin Heidelberg, 1995.
* [30] S. Ananth, L. Brink and S. Majumdar, _E 8 in $\mathcal{N}=8$ supergravity in four dimensions_, _JHEP_ 01 (2018) 024 [1711.09110].
| arxiv-papers | 2021-07-25T19:43:19 | 2024-09-04T03:07:17.170095 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Thomas Fischbacher and Krzysztof Pilch",
"submitter": "Thomas Fischbacher",
"url": "https://arxiv.org/abs/2107.11880"
} |
2107.11882 | 11institutetext: EECS, Vanderbilt University, Nashville, TN, USA 37235
22institutetext: Vanderbilt University Medical Center, Nashville, TN, USA
37235 22email: [email protected]
# Lung Cancer Risk Estimation with Incomplete Data: A Joint Missing Imputation
Perspective
Riqiang Gao 11 Yucheng Tang 11 Kaiwen Xu 11 Ho Hin Lee 11 Steve Deppen 22 Kim
Sandler 22 Pierre Massion 22 Thomas A. Lasko 1122 Yuankai Huo 11 Bennett A.
Landman 11
###### Abstract
Data from multi-modality provide complementary information in clinical
prediction, but missing data in clinical cohorts limits the number of subjects
in multi-modal learning context. Multi-modal missing imputation is challenging
with existing methods when 1) the missing data span across heterogeneous
modalities (e.g., image vs. non-image); or 2) one modality is largely missing.
In this paper, we address imputation of missing data by modeling the joint
distribution of multi-modal data. Motivated by partial bidirectional
generative adversarial net (PBiGAN), we propose a new Conditional PBiGAN
(C-PBiGAN) method that imputes one modality combining the conditional
knowledge from another modality. Specifically, C-PBiGAN introduces a
conditional latent space in a missing imputation framework that jointly
encodes the available multi-modal data, along with a class regularization loss
on imputed data to recover discriminative information. To our knowledge, it is
the first generative adversarial model that addresses multi-modal missing
imputation by modeling the joint distribution of image and non-image data. We
validate our model with both the national lung screening trial (NLST) dataset
and an external clinical validation cohort. The proposed C-PBiGAN achieves
significant improvements in lung cancer risk estimation compared with
representative imputation methods (e.g., AUC values increase in both NLST
(+2.9%) and in-house dataset (+4.3%) compared with PBiGAN, p$<$0.05).
###### Keywords:
Missing data Multi-modal GAN Lung Cancer
## 1 Introduction
Lung cancer has the highest cancer death rate [1] and early diagnosis with
low-dose computed tomography (CT) can reduce the risk of dying from lung
cancer by 20% [2, 3]. Risk factors (e.g., age and nodule size) are widely used
in machine learning and established prediction models [4, 5, 6, 7]. With deep
learning techniques, CT image features can be automatically extracted at the
nodule-level [8], scan-level [9], or patient-level with longitudinal scans
[10]. Previous studies demonstrated that CT image features and risk factors
provide complementary information, which is combined to improve lung cancer
risk estimation [11].
Figure 1: Missing data in multiple modalities. The upper panel shows a general
screening process. In practice, missing data can happen at different phases
(as red text). Lower panel shows that patient may miss risk factors or/and
follow-up CT scans.
In the clinical screening process (Fig. 1), patients’ demographic information
(e.g., age and gender) is captured in electronic medical records (EMR). In the
shared decision-making (SDM) visit, lung cancer risk factors (e.g., smoke
status) are collected to determine if a chest CT is necessary. For each
performed CT scan, a radiology report is created. Then, such a process might
recur according to clinical guidelines. Extensive efforts have been made to
collect comprehensive information for patients. However, data can be missing
due to multiple issues from data entry, data exchange, data description, et
cetera.
Missing data mechanisms were categorized into three types [12]: 1) missing
completely at random (MCAR): the missing has no dependency on data, 2) missing
at random (MAR): the missing only depends on observed variables, 3) missing
not at random (MNAR): the missing may be affected by unobserved variables. To
address missing data problems, various imputation approaches were proposed to
“make-up” missing data for downstream analyses [13, 14, 16, 15, 17, 18]. Mean
imputation is widely used to fill missing data with population averages. Last
observation carried forward (LOCF) [13] takes the last observation as a
replacement for missing data, which has been used in clinical serial trials.
Soft-imputer [14] provides a convex algorithm for minimizing the
reconstruction error corresponding to a bound on the nuclear norm. Recently,
deep learning based imputation methods have been developed using generative
models [17, 18] (e.g., variants of variational auto-encoder (VAE) [19] and
generative adversarial net (GAN) [20]). The partial bi-directional GAN
(PBiGAN) [18], an encoder-decoder imputation framework, has been validated as
a state-of-the-art performance of imputations. However, majority methods have
limited imputation within a single modality, which can lead to two challenges
in multi-modal context: 1) it is hard to integrate data spanning across
heterogeneous modalities (e.g., image vs. non-image) into a single-modal
imputation framework, 2) recovering discriminative information is unattainable
when data are largely missing in target modality (limiting case: data are
completely missing).
We posit that essential information missed in one modality can be maintained
in another. In this paper, we propose the Conditional PBiGAN (C-PBiGAN) to
model the joint distribution across modalities by introducing 1) a conditional
latent space in multi-modal missing imputation context; 2) a class
regularization loss to capture discriminative information during imputation.
Herein, we focus on lung cancer risk estimation, where risk factors and serial
CT scans are two essential modalities for rendering clinical decisions.
C-PBiGAN achieves superior predicting performance of downstream multi-modal
learning tasks in three broad settings: 1) missing data in image modality, 2)
missing data in non-image modality, and 3) both modalities have missing data.
With C-PBiGAN, we validate that 1) CT images are conducive to impute missed
factors for better risk estimation, and 2) lung nodules with malignancy
phenotype can be imputed conditioned on risk factors.
Our contributions are three folds: (1) To our knowledge, we are the first to
impute missing data by modeling joint distribution of image and non-image data
with adversarial training; (2) Our model can impute visually realistic data
and recover discriminative information, even when the target data in target
modality are completely missing; (3) Our model achieves superior downstream
predicting performance compared with benchmarks with simulated missing (MCAR)
and missing in practice (MNAR).
## 2 Theory
Encoder-Decoder and PBiGAN framework. PBiGAN [18] is a recently proposed
imputation method with encoder-decoder framework based on bidirectional GAN
(BiGAN) [21]. Our conditional PBiGAN (C-PBiGAN) is shown in Fig. 2, where the
PBiGAN [18] is consist of “black text” components. Note that PBiGAN only deals
with a single modality (i.e., modality $A$ in Fig. 2).
The generator of PBiGAN includes a separate encoder and decoder. The decoder
$g^{A}$ transforms a latent code $z$ into a complete data space $X^{A}$, where
$z$ is a feature space (e.g., $z_{o}^{A}$) or sampled from a simple
distribution (e.g., Gaussian). The encoder $q^{A}(z_{o}^{A}|x^{A},m)$, denoted
as $q^{A}$ for simplification, maps the missing distribution $p_{m}$ of an
incomplete data $(x^{A},m)$ into a latent vector $z_{o}^{A}$, where
$x^{A}\in\mathbb{R}^{n}$ denotes complete data, and
$m\in\left\\{0,1\right\\}^{n}$ is a missing indicator with same dimension of
$x^{A}$ that determines which entries in $x^{A}$ are missing (i.e., 1 for
observed, 0 for missing).
Figure 2: Structure of the proposed C-PBiGAN. The orange and green characters
highlight our contributions compared with PBiGAN [18]. $m$ is the missing
index of target modality $A$ and $z$ is the corresponding latent space.
$\tilde{x}^{B}$ is the complete data of conditional modality $B$, which can be
fully observed or imputed. ${\tilde{x}}^{A}$ is the imputed data of $A$ based
on observed data $[x^{A},m]$ and $\tilde{x}^{B}$. $\hat{x}^{A}$ is the
generated data of $A$ based on $\tilde{x}^{B}$ and noise distributions of
$p_{\hat{z}}$ and $p_{\hat{m}}$. $C$ is a classifying module along with cross-
entropy loss regularizing the generator for keeping the identities of imputed
data.
The discriminator $D$ of PBiGAN takes the observed data $[x^{A},m]$ and its
corresponding latent code $z_{o}^{A}$ as the “real” tuple in adversarial
training. The “fake” tuple $(\hat{x}^{A},\hat{m},\hat{z})$ is comprised of 1)
a random latent code $\hat{z}$ sampled from a simple distribution
$p_{\hat{z}}$ (e.g., Gaussian), 2) missing indices $\hat{m}$ from a missing
distribution $p_{\hat{m}}$, and 3) the generated data $\hat{x}^{A}$ based on
random latent code $\hat{z}$. The loss function of PBiGAN is defined as
follows, which is minimax optimized:
$\begin{split}L\left(D,g^{A},q^{A}\right)=&\mathbb{E}_{(x^{A},m)\sim
p_{m}}{\mathbb{E}_{z_{o}^{A}\sim q^{A}(z_{o}^{A}|x^{A},m)}[\log
D(x^{A},m,z_{o}^{A})]}\\\ &+\mathbb{E}_{(\cdot,\hat{m})\sim
p_{\hat{m}}}{\mathbb{E}_{\hat{z}\sim
p_{\hat{z}}}[\log(1-D(g^{A}(\hat{z},\hat{m}),\hat{m},\hat{z}))]}\end{split}$
(1)
The Proposed Conditional PBiGAN. The original PBiGAN [18] imputes data within
a single modality, which does not utilize complementary information from
multiple modalities. Herein, we propose C-PBiGAN to impute one modality
conditioned on another, and a cross-entropy loss is optimized during generator
training to effectively preserve discrimination for imputed data.
As Fig. 2, when imputing $A$ (target modality), the conditional data
$\tilde{x}^{B}$ is complete, either fully observed or imputed. Two encoders
$q^{A}$ and $q^{B}$ are used to map data space to latent space for modality
$A$ and $B$, respectively. The GAN loss of our method
$L_{G}\left(D,g^{A},q^{A},q^{B}\right)$, also denoted as $L_{G}$, is written
as follows:
$\begin{split}L_{G}=\mathbb{E}_{(x^{A},m)\sim
p_{m}}{\mathbb{E}_{z_{o}^{AB}\sim[q^{A}(z_{o}^{A}|x^{A},m),q^{B}(\tilde{z}^{B}|\tilde{x}^{B})]}[\log
D(x^{A},m,z_{o}^{AB})]}\\\ +\mathbb{E}_{(\cdot,\hat{m})\sim
p_{\hat{m}}}{\mathbb{E}_{\hat{z}^{B}\sim[p_{\hat{z}},q^{B}(\hat{z}^{B}|\tilde{x}^{B})]}[\log(1-D(g^{A}(\hat{z}^{B},\hat{m}),\hat{m},\hat{z}^{B}))]}\end{split}$
(2)
Different from Eq. (1) of PBiGAN focusing on single modality $A$, the latent
space $z_{o}^{AB}$ in Eq.(2) includes the knowledge from two modalities.
To enforce the imputed $\tilde{x}^{A}$ or generated $\hat{x}^{A}$ having the
same identity with $x^{A}$ even when data are largely missing, we further
introduce a feature extraction net $C$ along with cross-entropy loss (the
second term in Eq. 3) when training the generator. Specifically, C-PBiGAN is
optimized with:
${\min_{g^{A},q^{A}}}(\max_{D}(L_{G}(D,g^{A},q^{A},q^{B}))-\mathbb{E}_{\tilde{x}^{A}\sim
g^{A}(\cdot)}[\log p(y|C(\tilde{x}^{A}))])$ (3)
where $y$ is class label and $p(y|C(\tilde{x}^{A}))$ is the prediction from
$C$. Modules $q^{B}$, $C$ can be pretrained or trained with $g^{A}$,$q^{A}$
simultaneously.
Figure 3: An instantiation of limiting C-PBiGAN: imputing TP1 nodule in
longitudinal context. $\tilde{x}^{B}$ is the imputed risk factor of TP1.
$[x_{A},m=\textbf{1}]$ is complete TP1 data only used in training, as the
upper dashed box. “TP0 background” is the observed TP0 (or TP1 in training
phase) image with center masked, which is fed to $q^{A}$ to make the imputed
TP1 with a similar background as TP0. A comparable setting C-PBiGAN# is fed
with TP0 without masking center.
Different from conditional GAN [22], 1) our model can utilize the partially
observed data in the imputation context, and 2) a module $C$ along with cross-
entropy loss is introduced to highlight identity preservation of imputed data.
A limiting case of C-PBiGAN is to impute data that is completely missing
(i.e., $m=\textbf{0}$). In this case, complete data for training (i.e.,
$m=\textbf{1}$) are needed, and it is the generated $\hat{x}^{A}$, rather than
$\tilde{x}^{A}$ as in Fig. 2, that used for downstream task. In Eq. (3), the
$\tilde{x}^{A}$ is replaced with $\hat{x}^{A}$. One of our tasks imputing
nodules belongs to this limiting case, as Fig. 3 (details in Section 3).
## 3 Experiment Designs and Results
Datasets. We consider two longitudinal CTs (TP0 for previous, TP1 for current)
as the complete data for image modality. The non-image modality includes the
following 14 risk factors: age, sex, education, body mass index, race, quit
smoke time, smoke status, pack-year, chronic obstructive pulmonary disease,
personal cancer history, family lung cancer history, nodule size, spiculation,
upper lobe of nodule. The first two, the middle nine, and the last three
factors come from EMR, SDM visit, and radiology report (Fig. 1), respectively.
Two datasets are studied, 1) the national lung screening trail (NLST) [3] and
2) an in-house screening dataset from Vanderbilt Lung Screening Program (VLSP,
https://www.vumc.org/radiology/lung). Patients in NLST are selected if 1) they
have 14 selected risk factors available, 2) have a tissue-based diagnosis, and
3) the diagnosis happened within 2 years of the last scan if it is a cancer
case. Note that selected subjects are all high-risk patients (all received
biopsies), the distinction between cancer / non-cancer in our cohort is hard
than in the whole NLST population. In total, we have 3889 subjects from NLST
in which 601 were diagnosed with cancer. 404 subjects from the in-house
dataset are evaluated, in which 45 were diagnosed with lung cancer. Due to
issues as Fig. 1, the available factors have an average of 32% missing rate,
and 60% of patients do not have complete longitudinal scans.
Method Implementations. C-PBiGAN has been instantiated to impute risk factors
and longitudinal images. Risk factor imputation follows the general C-PBiGAN
(Fig. 2), as the factors can be partially observed even when some data are
missing. In this case, we only replace modality $A$ with partially observed
risk factors and modality $B$ with CT in Fig. 2. Image imputation is under the
limiting case of C-PBiGAN as described in Section 2 (as Fig. 3), since the
“nodule” of interest cannot be partially observed. We follow the C-PBiGAN
theory in Section 2 for image imputation, and we also utilize information from
longitudinal context in practice. We assume the background of a nodule would
not substantially change between TP0 and TP1. Thus, motivated by masking
strategies of [24, 25], nodule background is borrowed from observed CT (i.e.,
TP0 image) of the same patient by masking its center when generating the
target time point (i.e., TP1 image), see “TP0 background” in Fig. 3. In brief,
we target at the problem of missing whole image, while the implementation is
kind of central inpainting based on our assumption. We have reconstruction
regularization motivated by PBiGAN and UNet [23] skip connections in image-
modality implementation.
Given a CT scan, we follow Liao’s pipeline [9] to preprocess the data and
detect the top five confidence nodule regions for downstream work. Rather than
imputing a whole 3D CT scan, we focus on imputing the nodule areas of interest
in 2D context with axial/coronal/sagittal directions as 3 channels (i.e.,
3$\times$128$\times$128). Considering 1) radiographic reports regarding TP0
are rarely available, and 2) TP1 plays a more important role in lung cancer
risk estimation [10], we focus on the imputation on TP1 of image modality in
this study. The TP0 image is copied with the TP1 image when TP1 is observed
and TP0 is missing.
Networks. The structures of encoder, decoder, and discriminator are 1) adapted
from face example in PBiGAN [18] for image modality, and 2) separately
comprised of four dense layers for non-image modality. A unified multi-modal
longitudinal model (MLM), including an image path and a non-image path, is
used for lung cancer risk estimation to evaluate the effectiveness of
imputations. The image path includes a backbone of ResNeTP18 [26] to extract
image features and a LSTM [27] to integrate longitudinal images (from TP0 and
TP1). Risk factor features are extracted by a module with four dense layers.
The image path and non-image path in the MLM are validated to be effective by
comparing with representative prediction models (i.e., AUC in NLST: image-path
model (0.875) vs. Liao et al. [9] (0.872) with image data only, non-image path
model (0.883) vs. Mayo clinical model [7] (0.829)). The image and non-image
features are combined for the final prediction.
Settings and Evaluations. The NLST is randomly split into train / validation /
test sets with 2340 / 758 / 791 subjects. The in-house dataset of 404 subjects
is externally tested when training is finished in NLST. We follow the
experimental setup of PBiGAN opensource code [18] when training C-PBiGAN,
e.g., use Adam optimizer with a learning rate of 1e-4. The max number of
training epochs is set to 200. Our experiments are performed with Python 3.7
and PyTorch 1.5 on GTX Titan X. The mask size of “TP0 background” is 64
$\times$ 64\. The area under the receiver operating characteristic (AUC) [28]
for lung cancer risk estimation is used to quantitatively evaluate the
effectiveness of imputations.
Imputation Baselines. Representative imputations (introduced in Sec. 1) of
image (i.e., LOCF [13] and PBiGAN [18]) and non-image (i.e., mean imputation,
soft-imputer [14] and PBiGAN [18]) are combined for comparison as in Table 1.
As a comparable setting of ours, C-PBiGAN# denotes feeding TP0 nodule without
masking the center, rather than “TP0 background” in Fig. 3.
Table 1: AUC results (%) of the test set of NLST (upper, a case of MCAR mechanism) and external in-house set (lower, a case of MNAR mechanism). Generally, each row or each column represents an imputation option for image-missing or risk-factor-missing, respectively. “Image-only” or “factor-only” represents predicting only use imputed longitudinal-images or factors, respectively. Method | image-only | Mean-imput | Soft-imputer | PBiGAN | C-PBiGAN | fully-observed
---|---|---|---|---|---|---
test set of longitudinal NLST (30% factors, 50% TP1 image are missing, MCAR)
factor-only | N/A | 79.73 | 79.46 | 79.14 | 83.04 | 86.24
LOCF | 73.45 | 83.76 | 83.80 | 83.79 | 84.00 | 86.21
PBiGAN | 76.54 | 83.02 | 83.82 | 83.29 | 83.51 | 85.90
C-PBiGAN# | 82.70 | 85.00 | 85.62 | 85.17 | 85.87 | 86.72
C-PBiGAN | 84.15 | 85.72 | 85.90 | 85.91 | 86.20 | 88.27
fully-observed | 87.48 | 88.23 | 88.40 | 88.44 | 88.46 | 89.57
external test of in-house dataset (MNAR)
factor-only | N/A | 75.17 | 83.46 | 84.40 | 86.56 | N/A
LOCF | 75.52 | 82.83 | 87.11 | 86.99 | 87.63 | N/A
PBiGAN | 73.44 | 80.85 | 84.43 | 84.88 | 85.86 | N/A
C-PBiGAN# | 80.59 | 83.87 | 86.57 | 87.19 | 87.69 | N/A
C-PBiGAN | 82.61 | 85.29 | 88.11 | 88.49 | 89.19 | N/A
Results and Discussion. Table 1 shows 1) tests of NLST (upper) with 30% of
missing in risk factors and 50% of missing in longitudinal TP1 and 2) external
tests of in-house data with missing in practice. The C-PBiGAN combination
(bold in Table 1) significantly improves all imputation combinations without
C-PBiGAN across the image and non-image modalities (p$<$0.05, bootstrapped
two-tailed test, n=2000 [29]) in both NLST and external clinical dataset
(e.g., C-PBiGAN increases 4.3% AUC on PBiGAN in the external cohort). Those
indicate our model effectively imputes data when missing in both modalities
for cancer risk estimation.
Fig. 4 compares proposed C-PBiGAN with PBiGAN in terms of the lung cancer
predicting performance in NLST under (a) various TP1 missing rates when
factors are fully observed,
Figure 4: (a) AUCs of various TP1-image missing rates when factors are fully
observed in NLST, and (b) AUCs of various factor missing rates when images are
fully observed in NLST. The left start point is under condition that data are
not missing.
(b) various factor missing rates when longitudinal images are fully observed.
Our model outperforms PBiGAN in the image-missing and factor-missing contexts
of different rates. A more obvious superiority can be found when only using
the imputed modality for prediction (e.g., C-PBiGAN: 0.830 vs. PBiGAN: 0.652
when risk factors have missing rate of 80%), and the imputed factors
conditioned on images can even achieve higher AUC than the fully observed
factors at some missing rates. Those indicate the information from conditional
modality in C-PBiGAN does help the imputation.
Figure 5: Qualitative results of imputed TP1 nodules (upper: malignant,
bottom: benign). Malignant/benign cases from C-PBiGAN are most
distinguishable.
Fig. 5 shows malignant and benign cases from NLST and in-house dataset. Both
PBiGAN and proposed C-PBiGAN can reconstruct visually realistic images, while
malignant and bengin cases from PBiGAN are harder to distinguish.
As a comparable setting, C-PBiGAN# is less effective than C-PBiGAN (Table 1,
Fig. 5) given the current setting and network structure. It is probably
because when feeding TP0 without masking center to provide nodule background
(i.e., C-PBiGAN#), the central nodule region of imputed TP1 can be fit to the
center of TP0, just like the nodule background of imputed TP1 is designed to
fit TP0 nodule background. This limits the discrimination of imputed TP1, as
the examples in Fig. 5. Thus, it is essential to separate “background” and
“nodule” during learning, since we want the “background” of imputed TP1 to be
close to observed TP0 while the “nodule” of imputed TP1 should mainly be
conditioned on risk factors. Motivated by strategies in [24, 25], our C-PBiGAN
is fed with TP0 background masking the center when imputing the TP1 (in Fig.
3).
## 4 Conclusion
We propose a novel deep learning based missing imputation model for multi-
modal data. By modeling the joint distribution of multiple modalities, the
proposed C-PBiGAN can effectively impute the missing data across image and
non-image modalities. We validate our method on a large-scale NLST dataset
(MCAR) and an external clinical cohort (MNAR). Given no restriction on data
type, our model can be readily extended to other multi-modal missing contexts.
Acknowledgements. This research was supported by NSF CAREER 1452485, R01
EB017230 and R01 CA253923. This study was supported in part by U01 CA196405 to
Massion. This project was supported in part by the National Center for
Research Resources, Grant UL1 RR024975-01, and is now at the National Center
for Advancing Translational Sciences, Grant 2 UL1 TR000445-06. This study was
funded in part by the Martineau Innovation Fund Grant through the Vanderbilt-
Ingram Cancer Center Thoracic Working Group and NCI Early Detection Research
Network 2U01CA152662 to PPM.
## References
* [1] Siegel, R.L., Miller, K.D., Jemal, A.: Cancer statistics, 2019. CA. Cancer J. Clin. 69, 7–34 (2019).
* [2] Aberle, D.R., Adams, A.M., Berg, C.D., Black, W.C., Clapp, J.D., Fagerstrom, R.M., Gareen, I.F., Gatsonis, C., Marcus, P.M., Sicks, J.R.D.: Reduced lung-cancer mortality with low-dose computed tomographic screening. N. Engl. J. Med. 365, 395–409 (2011).
* [3] N.L.S.T.R.T.J.: The national lung screening trial: Overview and study design. Radiology. 258, 243–253 (2011).
* [4] Huang, P. et al.: Prediction of lung cancer risk at follow-up screening with low-dose CT: a training and validation study of a deep learning method. Lancet Digit. Heal. 1, e353–e362 (2019).
* [5] Tammemägi, M.C., Katki, H.A., Hocking, W.G., Church, T.R., Caporaso, N., Kvale, P.A., Chaturvedi, A.K., Silvestri, G.A., Riley, T.L., Commins, J., Berg, C.D.: Selection criteria for lung-cancer screening. N. Engl. J. Med. 368, 728–736 (2013).
* [6] Swensen, S.J.: The Probability of Malignancy in Solitary Pulmonary Nodules. Arch. Intern. Med. 157, 849 (1997).
* [7] McWilliams, A. et al.: Probability of cancer in pulmonary nodules detected on first screening CT. N. Engl. J. Med. 369, 910–919 (2013).
* [8] Liu, L., Dou, Q., Chen, H., Qin, J., Heng, P.A.: Multi-Task Deep Model with Margin Ranking Loss for Lung Nodule Analysis. IEEE Trans. Med. Imaging. 39, 718–728 (2020).
* [9] Liao, F., Liang, M., Li, Z., Hu, X., Song, S.: Evaluate the Malignancy of Pulmonary Nodules Using the 3-D Deep Leaky Noisy-or Network. IEEE Trans. Neural Networks Learn. Syst. 1–12 (2019).
* [10] Gao, R., Tang, Y., Xu, K., Huo, Y., Bao, S., Antic, S.L., Epstein, E.S., Deppen, S., Paulson, A.B., Sandler, K.L., Massion, P.P., Landman, B.A.: Time-Distanced Gates in Long Short-Term Memory Networks. Med. Image Anal. 65, 101785 (2020).
* [11] Gao, R. et al.: Deep Multi-path Network Integrating Incomplete Biomarker and Chest CT Data for Evaluating Lung Cancer Risk. arxiv 2010.09524. (2021)
* [12] Rubin, D.B.: Inference and missing data. Biometrika. 63, 581–592 (1976). https://doi.org/10.1093/biomet/63.3.581.
* [13] Van Buuren, S.: Flexible imputation of missing data. CRC Press. (2018).
* [14] Mazumder, R., Hastie, T., Edu, H., Tibshirani, R., Edu, T., Jaakkola, T.: Spectral Regularization Algorithms for Learning Large Incomplete Matrices. J. Mach. Learn. Res. 11, 2287–2322 (2010).
* [15] Yoon, J., Jordon, J., Van Der Schaar, M.: GAIN: Missing data imputation using generative adversarial nets. In: International Conference on Machine Learning. pp. 9042–9051. International Machine Learning Society (IMLS) (2018).
* [16] Stekhoven, D.J., Bühlmann, P.: Missforest-Non-parametric missing value imputation for mixed-type data. Bioinformatics. 28, 112–118 (2012).
* [17] Mattei, P.A., Freiisen, J.: Miwae: Deep generative modelling and imputation of incomplete data sets. In: 36th International Conference on Machine Learning, ICML 2019. pp. 7762–7772 (2019).
* [18] Cheng, S., Li, -Xian, Marlin, B.M.: Learning from Irregularly-Sampled Time Series: A Missing Data Perspective. Int. Conf. Mach. Learn. (2020).
* [19] Kingma, D.P., Welling, M.: Auto-encoding variational bayes. In: International Conference on Learning Representations. International Conference on Learning Representations, ICLR (2014).
* [20] Goodfellow, I.J., Pouget-Abadie, J., Mirza, M., Xu, B., Warde-Farley, D., Ozair, S., Courville, A., Bengio, Y.: Generative adversarial nets. In: Advances in Neural Information Processing Systems. pp. 2672–2680 (2014).
* [21] Donahue, J., Krähenbühl, P., Darrell, T.: Adversarial Feature Learning. (2016).
* [22] Mirza, M., Osindero, S.: Conditional Generative Adversarial Nets. arXiv Prepr. arXiv1411.1784. (2014).
* [23] Ronneberger, Olaf, Philipp Fischer, and Thomas Brox. ”U-net: Convolutional networks for biomedical image segmentation.” International Conference on Medical image computing and computer-assisted intervention. Springer, Cham, 2015.
* [24] Jin, D., Xu, Z., Tang, Y., Harrison, A.P., Mollura, D.J.: CT-Realistic Lung Nodule Simulation from 3D Conditional Generative Adversarial Networks for Robust Lung Segmentation. Lect. Notes Comput. Sci. (including Subser. Lect. Notes Artif. Intell. Lect. Notes Bioinformatics). 11071 LNCS, 732–740 (2018).
* [25] Mirsky, Y., Mahler, T., Shelef, I., Elovici, Y.: CT-GAN: Malicious Tampering of 3D Medical Imagery using Deep Learning. Proc. 28th USENIX Secur. Symp. 461–478 (2019).
* [26] He, K., Zhang, X., Ren, S., Sun, J.: Deep residual learning for image recognition. In: IEEE Computer Society Conference on Computer Vision and Pattern Recognition. pp. 770–778 (2016).
* [27] Hochreiter, S., Schmidhuber, J.: Long Short-Term Memory. Neural Comput. 9, 1735–1780 (1997). https://doi.org/10.1162/neco.1997.9.8.1735.
* [28] Fawcett, T.: An introduction to ROC analysis. Pattern Recognit. Lett. 27, 861–874 (2006). https://doi.org/10.1016/j.patrec.2005.10.010.
* [29] Mateuszbuda: Statistical functions based on bootstrapping for computing confidence intervals and p-values comparing machine learning models and human readers, https://github.com/mateuszbuda/ml-stat-util, last accessed 2021/02/27.
| arxiv-papers | 2021-07-25T20:15:16 | 2024-09-04T03:07:17.181149 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Riqiang Gao, Yucheng Tang, Kaiwen Xu, Ho Hin Lee, Steve Deppen, Kim\n Sandler, Pierre Massion, Thomas A. Lasko, Yuankai Huo, Bennett A. Landman",
"submitter": "Riqiang Gao",
"url": "https://arxiv.org/abs/2107.11882"
} |
2107.11884 | # Exact gyratons in higher and infinite derivative gravity
Ivan Kolář [email protected] Van Swinderen Institute, University of Groningen,
9747 AG, Groningen, Netherlands Tomáš Málek [email protected] Institute of
Mathematics of the Czech Academy of Sciences, Žitná 25, 115 67 Prague 1, Czech
Republic Suat Dengiz [email protected] Department of Mechanical
Engineering, University of Turkish Aeronautical Association, 06790 Ankara,
Turkey Ercan Kilicarslan [email protected] Department of
Mathematics, Usak University, 64200, Usak, Turkey
###### Abstract
We study solutions describing spinning null sources called gyratons in generic
theories of gravity with terms that are quadratic in curvature and contain an
arbitrary number of covariant derivatives. In particular, we show that the
properties of pp-waves of the algebraic type III allow for extreme
simplification of the field equations. It turns out that the resulting
differential equations are exactly solvable due to partial decoupling and
linearity of the equations. This is demonstrated explicitly by finding axially
symmetric gyraton solutions in Stelle’s fourth derivative gravity and the non-
local gravity with an infinite number of derivatives.
## I Introduction
In general relativity (GR), exact interior and exterior solutions of the field
equations with a spinning null matter were first studied by Bonnor in 1970
[1]. Later, the gravitational fields generated by spinning ultrarelativistic
particles were studied by Frolov and Fursaev [2], who called them gyratons.
They were further investigated and generalized in [3, 4, 5] (see also [6]).
These geometries belong to the class of pp-wave metrics with non-diagonal
terms in the Brinkmann form. Besides the null radiation component, the energy-
momentum tensor involves also non-diagonal terms corresponding to internal
angular momentum of gyratons. Inside the source, the gyraton spacetimes are of
algebraic type III with the aligned null direction being the generator of null
geodesics along the direction of propagation. Gyraton solutions beyond the
class of type III pp-waves within more general Kundt spacetimes are also
known. Namely, gyratons were studied on (anti-)de Sitter background [7]
(conformal to gyraton pp-waves), Melvin universe [8], and direct-product
spacetimes [9]. Lastly, it turns out that gyratons exist not only in non-
expanding Kundt geometries, but also in the Robinson–Trautman class of
spacetimes [10].
In higher derivative theories of gravity, the Einstein–Hilbert action is
modified by adding extra scalar curvature invariants. Their field equations
are very complex. In order to find their exact solutions, it is often
necessary to employ an appropriate ansatz that reduce the equations
considerably. A simple ansatz is the so-called universal spacetimes [11, 12,
13, 14], for which all rank-2 tensors constructed from the metric, the Riemann
tensor, and its covariant derivatives are multiples of the metric. The only
component of the vacuum field equations then gives an algebraic constraint
relating the value of the constant Ricci scalar with the parameters of the
given theory. Examples of universal spacetimes of all algebraically special
types (II, D, III, N) are known. Generalization of universal spacetimes by
relaxing the condition imposed on the rank-2 tensors lead to the almost
universal spacetimes [15]; the rank-2 tensors allowed have a tracefree part of
type N. Therefore, the field equations for almost universal spacetimes are
compatible with energy-momentum tensors of null radiation and reduce to the
algebraic constraint accompanied by a single partial differential equation.
The possible scalar invariant quadratic in curvature (without additional
derivative) that can be added to the action are Ricci scalar square, Ricci
tensor square, and Riemann tensor square. A generic theory that contains all
three is called the Stelle gravity (SG) [16]. Some exact solutions of this
theory were described in [17, 18, 19]. More complicated geometry ansatz (such
as the spherically symmetric spacetime) usually requires numerical treatment
or the use of the Frobenius method to find infinite series solution [20, 21,
22].
Going one step further, one can consider quadratic terms that also contain
covariant derivatives. A particularly interesting theory arises when one takes
an infinite number of derivatives, the so-called infinite derivative gravity
(IDG) [23, 24, 25] (discussed already in [26]). This non-local theory
attracted attention for its predisposition for resolving singularities
together with retaining the same degrees of freedom (around Minkowski
background) as there are in GR. Unfortunately, this theory leads to immensely
difficult non-local non-linear field equations, for which the numerical
methods are not available, so the research has focused mainly on solutions in
the linearized (weak-field) regime of the theory [27, 28, 29, 30, 31, 32, 33].
Gyratons in linearized IDG were constructed in [34] by boosting a solution for
stationary spinning object and taking its Penrose limit [35] in an analogy to
the Aichelburg–Sexl ultraboost of a point-like source [36]. An advancement in
the search for exact solutions of the full IDG came with the almost universal
spacetimes [37], which explained the previous discovery of exact
shock/impulsive waves in IDG [38, 39, 40].111Other exact solutions were
obtained in the context of cosmology, where the field equations were
effectively localized by imposing a recurrent ansatz on Ricci scalar [41, 42,
43, 44, 45]. With this ansatz, the field equations effectively reduce to a
linear but still non-local partial differential equation, which can be solved
exactly by eigenfunction expansion or using the heat kernel method. The
obtained solutions represent gravitational waves generated by null particles
propagating in maximally symmetric spacetimes.
In this paper, we step further out of the family of almost universal
spacetimes by permitting the rank-2 tensors to have a tracefree part of type
III. We show that many terms in the field equations of theories of gravity
with an arbitrary number of covariant derivatives either vanish or simplify
significantly for type III pp-waves. This allows us to find the reduced field
equations for gyraton metric ansatz, which are compatible with energy-momentum
tensors of spinning null sources. These equations can be solved exactly as we
show by finding exact axially symmetric gyraton solutions in full Stelle
gravity as well as full infinite derivative gravity.
The paper is structured as follows: In Section II we introduce the action and
the field equations of a generic gravity that is quadratic in curvature with
arbitrary analytic (covariant) differential operators. In Section III we
examine various properties of the pp-waves of algebraic type III. In
particular, we show that many terms that appear in the field equations either
vanish or simplify significantly. In Section IV, we derive the field equations
for gyratons and demonstrate that they are exactly solvable thanks to partial
decoupling and linearity. Sections V, VI, and VII are devoted to specific
examples. We review and find new solutions in the general relativity, the
Stelle gravity, and the infinite derivative gravity. In section VIII, we
comment on gravity theories of higher order in curvature. The paper is
concluded with a brief discussion of our results in Section IX. Appendices A,
B, and C provide supplementary material.
### Index/index-free tensor notation
Before we proceed to the main calculations, let us clarify the tensor notation
employed in this paper. We use the bold font for tensors and their indices,
which are understood as the abstract tensor indices [46]
$\boldsymbol{a},\boldsymbol{b},\dots$, thus indicating tensor type rather than
their components. The regular font is used for scalar quantities (such as
coordinates and tensor components) and non-tensorial indices. On top of that,
we also employ the index-free notation, where $\cdot$ indicates the
contraction between two adjacent tensor indices. For example, the contraction
of a vector $\boldsymbol{v}$ with a covector $\boldsymbol{c}$ reads
$\boldsymbol{v}\cdot\boldsymbol{c}=\boldsymbol{v^{a}c_{a}}$. Raising and
lowering of all tensor indices is achieved with the help of the musical
isomorphisms ♯ and ♭ [47]; e.g.,
${\boldsymbol{c}^{\sharp}=\boldsymbol{g}^{-1}\cdot\boldsymbol{c}}$ and
${\boldsymbol{v}^{\flat}=\boldsymbol{g}\cdot\boldsymbol{v}}$. Furthermore, we
use $\vee$ and $\wedge$ to denote the symmetric and anti-symmetric tensor
products,
${\boldsymbol{p}\vee\boldsymbol{q}=\boldsymbol{p}\boldsymbol{q}+\boldsymbol{q}\boldsymbol{p}}$
and
${\boldsymbol{p}\wedge\boldsymbol{q}=\boldsymbol{p}\boldsymbol{q}-\boldsymbol{q}\boldsymbol{p}}$.
## II Generic quadratic curvature gravity
Consider a 4-dimensional manifold $M$ equipped with a metric $\boldsymbol{g}$.
A generic gravity action that is quadratic in the Riemann tensor
$\boldsymbol{R}$ and analytic in the covariant derivative
$\boldsymbol{\nabla}$ can be written as
$S=\frac{1}{2}\int_{M}\\!\mathfrak{g}^{\frac{1}{2}}\,\big{[}\varkappa^{-1}\big{(}R-2\Lambda\big{)}+\boldsymbol{R^{abcd}}\boldsymbol{\mathcal{D}(\boldsymbol{\nabla}){}_{abcd}{}^{efgh}}\boldsymbol{R_{efgh}}\big{]}+S_{\textrm{m}}\;,$
(1)
where ${\boldsymbol{\mathcal{D}}}$ stands for an arbitrary tensorial-
differential operator. Using the symmetries of the Riemann tensor and the
Bianchi identities, one can show that, up to the higher-order terms in
curvature, the action can be recast to the form [25, 48]
$S\sim\frac{1}{2}\int_{M}\\!\mathfrak{g}^{\frac{1}{2}}\,\big{[}\varkappa^{-1}\big{(}R-2\Lambda\big{)}+R\mathcal{F}_{1}(\square)R+\boldsymbol{S^{ab}}\mathcal{F}_{2}(\square)\boldsymbol{S_{ab}}+\boldsymbol{C^{abcd}}\mathcal{F}_{3}(\square)\boldsymbol{C_{abcd}}\big{]}+S_{\textrm{m}}\;,$
(2)
where $R$ is the Ricci scalar, $\boldsymbol{S_{ab}}$ is the tracefree (TF)
Ricci tensor, $\boldsymbol{C_{abcd}}$ is the Weyl tensor, and
$\mathfrak{g}^{\frac{1}{2}}$ denotes the volume element (1-density associated
to the metric $\boldsymbol{g}$). The action (2) contains the form-factors,
which are analytic functions of the wave operator
${\square\equiv\boldsymbol{\nabla}^{\sharp}\cdot\boldsymbol{\nabla}}$,
$\mathcal{F}_{i}(\square)=\sum_{n=0}^{\infty}f_{i,n}\square^{n}\;,$ (3)
where $f_{i,n}$ are arbitrary constant coefficients. Varying the action (2)
with respect to the metric $\boldsymbol{g}$, one can find [49]222Contrary to
[49], we added the missing symmetrization to the terms that are not symmetric
(in general) in indices $\boldsymbol{a}$, $\boldsymbol{b}$ and used the
identity
$\boldsymbol{\boldsymbol{C}_{(\boldsymbol{a}}{}^{\boldsymbol{cde}}}\square^{n}\boldsymbol{\boldsymbol{C}_{\boldsymbol{b})\boldsymbol{cde}}}=\frac{1}{4}\boldsymbol{g_{ab}C^{cdef}}\square^{k}\boldsymbol{C_{cdef}}$.
This identity follows from theorem 3(a) in [50] for the Weyl tensor in four
dimensions,
${\boldsymbol{\boldsymbol{C}_{[\boldsymbol{cd}}{}^{[\boldsymbol{ef}}\boldsymbol{\delta}_{\boldsymbol{b}]}{}^{\boldsymbol{a}]}}=0}$,
when contracted with $\square^{n}\boldsymbol{C_{ef}{}^{cd}}$.
$\displaystyle\varkappa^{-1}\big{(}\boldsymbol{S_{ab}}-\tfrac{1}{4}R\boldsymbol{g_{ab}}+\Lambda\boldsymbol{g_{ab}}\big{)}+2\boldsymbol{S_{ab}}\mathcal{F}_{1}(\square)R-2\big{(}\boldsymbol{\nabla_{a}\nabla_{b}}-\boldsymbol{g_{ab}}\square\big{)}\mathcal{F}_{1}(\square)R+\big{(}\square+\tfrac{1}{2}R\big{)}\mathcal{F}_{2}(\square)\boldsymbol{S_{ab}}$
(4)
$\displaystyle-2\boldsymbol{g_{d(a}}\big{(}\boldsymbol{\nabla^{c}}\boldsymbol{\nabla^{d}}-\boldsymbol{S^{cd}}\big{)}\mathcal{F}_{2}(\square)\boldsymbol{S_{b)c}}+\boldsymbol{g_{ab}}\big{(}\boldsymbol{\nabla^{c}}\boldsymbol{\nabla^{d}}-\tfrac{1}{2}\boldsymbol{S^{cd}}\big{)}\mathcal{F}_{2}(\square)\boldsymbol{S_{cd}}-4\big{(}\boldsymbol{\nabla^{c}}\boldsymbol{\nabla^{d}}+\tfrac{1}{2}\boldsymbol{S^{cd}}\big{)}\mathcal{F}_{3}(\square)\boldsymbol{C_{d(ab)c}}$
$\displaystyle-\boldsymbol{\Omega}_{1}\boldsymbol{{}_{ab}}+\tfrac{1}{2}\boldsymbol{g_{ab}}\big{(}\boldsymbol{\Omega}_{1}\boldsymbol{{}^{c}{}_{c}}+\mho_{1}\big{)}-\boldsymbol{\Omega}_{2}\boldsymbol{{}_{ab}}+\tfrac{1}{2}\boldsymbol{g_{ab}}\big{(}\boldsymbol{\Omega}_{2}\boldsymbol{{}^{c}{}_{c}}+\mho_{2}\big{)}-\boldsymbol{\Omega}_{3}\boldsymbol{{}_{ab}}+\tfrac{1}{2}\boldsymbol{g_{ab}}\big{(}\boldsymbol{\Omega}_{3}\boldsymbol{{}^{c}{}_{c}}+\mho_{3}\big{)}-2\boldsymbol{\Upsilon}_{2}\boldsymbol{{}_{ab}}-4\boldsymbol{\Upsilon}_{3}\boldsymbol{{}_{ab}}=\boldsymbol{T_{ab}}\;,$
where the symmetric tensors $\boldsymbol{\Omega}_{i}\boldsymbol{{}_{ab}}$,
$\boldsymbol{\Upsilon}_{i}\boldsymbol{{}_{ab}}$, and scalars ${\mho_{i}}$ are
given by double-sums:
$\begin{gathered}\begin{aligned}
\boldsymbol{\Omega}_{1}\boldsymbol{{}_{ab}}&=\sum_{n=1}^{\infty}f_{1,n}\sum_{l=0}^{n-1}\boldsymbol{\nabla_{a}}\square^{l}R\,\boldsymbol{\nabla_{b}}\square^{n-l-1}R\;,&\mho_{1}&=\sum_{n=1}^{\infty}f_{1,n}\sum_{l=0}^{n-1}\square^{l}R\,\square^{n-l}R\;,\\\
\boldsymbol{\Omega}_{2}\boldsymbol{{}_{ab}}&=\sum_{n=1}^{\infty}f_{2,n}\sum_{l=0}^{n-1}\boldsymbol{\nabla_{a}}\square^{l}\boldsymbol{S^{cd}}\,\boldsymbol{\nabla_{b}}\square^{n-l-1}\boldsymbol{S_{cd}}\;,&\mho_{2}&=\sum_{n=1}^{\infty}f_{2,n}\sum_{l=0}^{n-1}\square^{l}\boldsymbol{S^{cd}}\,\square^{n-l}\boldsymbol{S_{cd}}\;,\\\
\boldsymbol{\Omega}_{3}\boldsymbol{{}_{ab}}&=\sum_{n=1}^{\infty}f_{3,n}\sum_{l=0}^{n-1}\boldsymbol{\nabla_{a}}\square^{l}\boldsymbol{C^{cdef}}\,\boldsymbol{\nabla_{b}}\square^{n-l-1}\boldsymbol{C_{cdef}}\;,&\mho_{3}&=\sum_{n=1}^{\infty}f_{3,n}\sum_{l=0}^{n-1}\square^{l}\boldsymbol{C^{cdef}}\,\square^{n-l}\boldsymbol{C_{cdef}}\;,\end{aligned}\\\
\begin{aligned}
\boldsymbol{\Upsilon}_{2}\boldsymbol{{}_{ab}}&=\sum_{n=1}^{\infty}f_{2,n}\sum_{l=0}^{n-1}\boldsymbol{\nabla_{c}}\big{[}\square^{l}\boldsymbol{S^{cd}}\,\boldsymbol{\nabla_{(a}}\square^{n-l-1}\boldsymbol{S_{{b)}d}}-\boldsymbol{\nabla_{(a}}\square^{l}\boldsymbol{S^{cd}}\,\square^{n-l-1}\boldsymbol{S_{{b)}d}}\big{]}\;,\\\
\boldsymbol{\Upsilon}_{3}\boldsymbol{{}_{ab}}&=\sum_{n=1}^{\infty}f_{3,n}\sum_{l=0}^{n-1}\boldsymbol{\nabla_{c}}\big{[}\square^{l}\boldsymbol{C^{cdef}}\,\boldsymbol{\nabla_{(a}}\square^{n-l-1}\boldsymbol{C_{{b)}def}}-\boldsymbol{\nabla_{(a}}\square^{l}\boldsymbol{C^{cdef}}\,\square^{n-l-1}\boldsymbol{C_{{b)}def}}\big{]}\;.\end{aligned}\end{gathered}$
(5)
## III Type III pp-waves
### III.1 Generic pp-waves
The class of pp-wave metrics describing plane-fronted waves with parallel rays
is geometrically defined by the property that it admits a covariantly constant
null vector (CCNV) $\boldsymbol{\xi^{a}}$,
$\boldsymbol{\nabla}\boldsymbol{\xi}=0\;.$ (6)
It immediately follows that $\boldsymbol{\xi}$ is a Killing vector,
${\pounds_{\boldsymbol{\xi}}\boldsymbol{g}=0}$, and consequently
$\boldsymbol{\xi}$ is a curvature collineation,
$\pounds_{\boldsymbol{\xi}}\boldsymbol{R}=\boldsymbol{\nabla_{\xi}R}=0\;,$ (7)
where
$\boldsymbol{\nabla_{\xi}}\equiv\boldsymbol{\xi}\cdot\boldsymbol{\nabla}$. On
the other hand, the Ricci identities for CCNV $\boldsymbol{\xi}$ (6) imply
$\boldsymbol{\xi}\cdot\boldsymbol{R}=0\;,$ (8)
and therefore $\boldsymbol{\nabla}$ and $\boldsymbol{\nabla_{\xi}}$ commute
when applied on an arbitrary tensor $\boldsymbol{t}$,
$[\boldsymbol{\nabla_{\xi}},\boldsymbol{\nabla}]\boldsymbol{t}=0\;.$ (9)
Substituting the Riemann tensor $\boldsymbol{R}$ for $\boldsymbol{t}$, it
turns out that
$\boldsymbol{\nabla_{\xi}\nabla}{\cdots}\boldsymbol{\nabla R}=0\;.$ (10)
Throughout the paper, we will make extensive use of the Newman–Penrose (NP)
formalism, which is summarized in Appendix A. It utilizes the orthonormal null
covector frame $\\{\boldsymbol{e}^{i}\\}_{i=0,\ldots,3}$ consisting of two
real null covectors
$\boldsymbol{e}^{0}_{\boldsymbol{a}}\equiv\boldsymbol{l_{a}}$,
$\boldsymbol{e}^{1}_{\boldsymbol{a}}\equiv\boldsymbol{n_{a}}$, a complex null
covector $\boldsymbol{e}^{2}_{\boldsymbol{a}}\equiv\boldsymbol{m_{a}}$, and
its conjugate
$\boldsymbol{e}^{3}_{\boldsymbol{a}}\equiv\bar{\boldsymbol{m}}{}_{\boldsymbol{a}}$
satisfying
$\boldsymbol{l}^{\sharp}\cdot\boldsymbol{n}=-1\;,\quad\boldsymbol{m}^{\sharp}\cdot\bar{\boldsymbol{m}}=1\;,$
(11)
where, for convenience, we identify the CCNV $\boldsymbol{\xi}$ of pp-waves
with the null frame vector ${\boldsymbol{l}^{\sharp}=\boldsymbol{\xi}}$. The
metric and its inverse can be then written as
$\boldsymbol{g}=-\boldsymbol{l}\vee\boldsymbol{n}+\boldsymbol{m}\vee\bar{\boldsymbol{m}}\;,\quad\boldsymbol{g}^{-1}=-\boldsymbol{l}^{\sharp}\vee\boldsymbol{n}^{\sharp}+\boldsymbol{m}^{\sharp}\vee\bar{\boldsymbol{m}}^{\sharp}\;.$
(12)
Various contractions of (8) with appropriate frame vectors lead to the
following relations of the frame components of the TF Ricci and the Weyl
tensor (defined in (134)):
$\Psi_{0}=\Psi_{1}=\Phi_{00}=\Phi_{01}=0,\quad
3\Psi_{2}=2\Phi_{11}=-R/4\;,\quad\Psi_{3}=-\Phi_{21}\;.$ (13)
Therefore, the TF Ricci tensor and the Weyl tensor of generic pp-wave metrics
are both of the algebraic types II and specialize to types III if the Ricci
scalar vanishes (see, e.g., [51] for a review of algebraic classification
based on null alignment which is equivalent to Petrov classification of the
Weyl tensor in four dimensions).
### III.2 Type III
Let us focus on the class of pp-wave spacetimes for which the TF Ricci tensor
and Weyl tensor are both of the algebraic types III. This means that there
exists an aligned null direction $\boldsymbol{l}^{\sharp}$ such that
$\boldsymbol{S}$ and $\boldsymbol{C}$ contain the following components
only:333To familiarize the reader with our notation, we write (14) also using
tensor indices, $\displaystyle\boldsymbol{S_{ab}}$
$\displaystyle=-4\Phi_{21}\boldsymbol{l_{(a}m_{b)}}-4\bar{\Phi}_{21}\boldsymbol{l_{(a}\bar{m}_{b)}}+2\Phi_{22}\boldsymbol{l_{a}l_{b}}\;,$
$\displaystyle\boldsymbol{C_{abcd}}$
$\displaystyle=-8\Psi_{3}\boldsymbol{l_{\\{a}n_{b}l_{c}m_{d\\}}}-8\bar{\Psi}_{3}\boldsymbol{l_{\\{a}n_{b}l_{c}\bar{m}_{d\\}}}-8\Psi_{3}\boldsymbol{l_{\\{a}m_{b}\bar{m}_{c}m_{d\\}}}-8\bar{\Psi}_{3}\boldsymbol{l_{\\{a}\bar{m}_{b}m_{c}\bar{m}_{d\\}}}$
$\displaystyle\mathrel{\phantom{=}}+4\Psi_{4}\boldsymbol{l_{\\{a}m_{b}l_{c}m_{d\\}}}+4\bar{\Psi}_{4}\boldsymbol{l_{\\{a}\bar{m}_{b}l_{c}\bar{m}_{d\\}}}\;.$
where the curly brackets correspond to
${\boldsymbol{X_{\\{abcd\\}}}\equiv\tfrac{1}{2}(\boldsymbol{X_{[ab][cd]}}+\boldsymbol{X_{[cd][ab]}})}$.
$\displaystyle\boldsymbol{S}$
$\displaystyle=-2\boldsymbol{l}\vee\big{(}\Phi_{21}\boldsymbol{m}+\bar{\Phi}_{21}\bar{\boldsymbol{m}}\big{)}+2\Phi_{22}\,\boldsymbol{l}\boldsymbol{l}\;,$
(14) $\displaystyle\boldsymbol{C}$
$\displaystyle=\Psi_{3}(\boldsymbol{l}\wedge\boldsymbol{m})\vee(\boldsymbol{n}\wedge\boldsymbol{l}+\boldsymbol{m}\wedge\bar{\boldsymbol{m}})+\bar{\Psi}_{3}(\boldsymbol{l}\wedge\bar{\boldsymbol{m}})\vee(\boldsymbol{n}\wedge\boldsymbol{l}+\bar{\boldsymbol{m}}\wedge\boldsymbol{m})$
$\displaystyle\mathrel{\phantom{=}}+\Psi_{4}(\boldsymbol{l}\wedge\boldsymbol{m})(\boldsymbol{l}\wedge\boldsymbol{m})+\bar{\Psi}_{4}(\boldsymbol{l}\wedge\bar{\boldsymbol{m}})(\boldsymbol{l}\wedge\bar{\boldsymbol{m}})\;.$
Then ${R=0}$ due to (13). On top of that, we require that the null frame is
parallel-propagated (PP) along $\boldsymbol{l}^{\sharp}$,
$\mathrm{D}\boldsymbol{n}=\mathrm{D}\boldsymbol{m}=\mathrm{D}\bar{\boldsymbol{m}}=0\;,$
(15)
where $\mathrm{D}\equiv\boldsymbol{l}^{\sharp}\cdot\boldsymbol{\nabla}$.
Inverting the relations (132) for the spin coefficients and using (6) and
(15), one can find for the pp-wave geometries in the PP frame,
$\kappa=\tau=\sigma=\rho=\pi=\varepsilon=\gamma+\bar{\gamma}=\alpha+\bar{\beta}=0\;.$
(16)
As a consequence of these relations and (133), the directional derivatives
${\centernot{\Delta}}\equiv\boldsymbol{n}^{\sharp}\cdot\boldsymbol{\nabla}$,
$\delta\equiv\boldsymbol{m}^{\sharp}\cdot\boldsymbol{\nabla}$, and
$\bar{\delta}\equiv\bar{\boldsymbol{m}}^{\sharp}\cdot\boldsymbol{\nabla}$
commute with $\mathrm{D}$ when acting on scalars,
$[{\centernot{\Delta}},\mathrm{D}]=[\delta,\mathrm{D}]=[\bar{\delta},\mathrm{D}]=0\;.$
(17)
Let us discuss the reduction of the field equations of a generic theory for
these geometries. The pp-waves of type III are the so-called T-III spacetimes
(see Proposition 16 in [15]), for which any rank-2 tensor
$\boldsymbol{B_{ab}}$ constructed from the $\boldsymbol{R}$ and
$\boldsymbol{\nabla}$ of an arbitrary order takes the form
$\boldsymbol{B}=\zeta\,\boldsymbol{g}+\bar{\psi}\,\boldsymbol{l}\vee\boldsymbol{m}+\psi\,\boldsymbol{l}\vee\bar{\boldsymbol{m}}+\omega\,\boldsymbol{l}\boldsymbol{l}\;.$
(18)
with ${\zeta=0}$ in our case (${R=0}$), since these spacetimes are of
vanishing scalar invariants (VSI) [52]. Therefore the field equations for the
pp-waves of type III reduce to a system of three partial differential
equations (one component $\omega$ and two components of complex $\psi$).
Before we look at these components in more detail, we need to introduce
several convenient notions. The frame normalization (11) and therefore the
form of the metric (12) is preserved by the Lorentz transformations of the
frame, namely spatial rotations, null rotations and boosts
$\boldsymbol{l}\rightarrow
e^{\varpi}\boldsymbol{l}\;,\quad\boldsymbol{n}\rightarrow
e^{-\varpi}\boldsymbol{n}\;,$ (19)
with a real parameter $\varpi$. We say that a quantity $q$ has a boost weight
(b.w.) $b$ if it transforms under boosts according to
$q\rightarrow e^{b\varpi}q\;.$ (20)
The boost order (b.o.) of a tensor with respect to a given frame is defined as
the maximal b.w. of its frame components. For example, $\Phi_{21}$ and
$\Phi_{22}$ are of b.w. $-1$ and $-2$, respectively, as can be seen directly
from (134). Then $\boldsymbol{S}$ in (14) is obviously of b.o. $-1$. We also
adopt the balanced scalar approach of [53] (see also [12, 13, 14, 15]). In a
PP frame along affinely parameterized null geodesics generated by vector field
$\boldsymbol{l}^{\sharp}$, a tensor $\boldsymbol{t}$ is said to be
$k$-balanced, if its boost weight $b$ part
$\boldsymbol{t}^{\langle{b}\rangle}$ satisfies
$\boldsymbol{t}^{\langle{b}\rangle}=0$ for $b\geq-k$ and
$\mathrm{D}^{-b-k}\boldsymbol{t}^{\langle{b}\rangle}=0$ for $b<-k$. If
$\boldsymbol{t}$ is 0-balanced, we say it is balanced.
In a PP frame (15) with CCNV $\boldsymbol{l}^{\sharp}$, frame components of a
tensor $\boldsymbol{t}=t_{i_{1}\cdots i_{p}}{}^{j_{1}\cdots
j_{q}}\boldsymbol{e}^{i_{1}}\cdots\boldsymbol{e}^{i_{p}}\boldsymbol{e}_{j_{1}}\cdots\boldsymbol{e}_{j_{q}}$
do not change along the null geodesics with $\boldsymbol{l}^{\sharp}$ being
the generator, i.e. $\mathrm{D}t_{i_{1}\cdots i_{p}}{}^{j_{1}\cdots j_{q}}=0$,
if $\mathrm{D}\boldsymbol{t}=0$ and vice versa. Therefore, (7) ensures that
all components of the curvature tensors are annihilated by the operator
$\mathrm{D}$ (this can be seen also from the Bianchi identities (136))
$\displaystyle b$ $\displaystyle=-1:$ $\displaystyle\mathrm{D}\Psi_{3}$
$\displaystyle=\mathrm{D}\Phi_{21}=0\;,$ (21) $\displaystyle b$
$\displaystyle=-2:$ $\displaystyle\mathrm{D}\Psi_{4}$
$\displaystyle=\mathrm{D}\Phi_{22}=0\;,$
where we also indicate the corresponding boost weight $b$ of given components.
The curvature tensors $\boldsymbol{S}$ and $\boldsymbol{C}$ (and consequently
the Riemann tensor $\boldsymbol{R}$) of pp-waves of type III are thus
balanced. Moreover, b.w. $-2$ parts of $\boldsymbol{S}$ and $\boldsymbol{C}$,
$\displaystyle\boldsymbol{S}^{{\langle{-2}\rangle}}$
$\displaystyle=2\Phi_{22}\,\boldsymbol{l}\boldsymbol{l}\;,$ (22)
$\displaystyle\boldsymbol{C}^{{\langle{-2}\rangle}}$
$\displaystyle=\Psi_{4}(\boldsymbol{l}\wedge\boldsymbol{m})(\boldsymbol{l}\wedge\boldsymbol{m})+\bar{\Psi}_{4}(\boldsymbol{l}\wedge\bar{\boldsymbol{m}})(\boldsymbol{l}\wedge\bar{\boldsymbol{m}})\;,$
are 1-balanced.
In general, the covariant derivative of a tensor can increase its boost order.
For example, applying the covariant derivative on a rank-$k$ contravariant
tensor $\boldsymbol{t}=t^{i_{1}\cdots
i_{k}}\boldsymbol{e}_{i_{1}}\cdots\boldsymbol{e}_{i_{k}}$ leads to
$\boldsymbol{\nabla}\boldsymbol{t}=(\boldsymbol{\nabla}t^{i_{1}\cdots
i_{k}})\boldsymbol{e}_{i_{1}}\cdots\boldsymbol{e}_{i_{k}}+t^{i_{1}\cdots
i_{k}}(\boldsymbol{\nabla}\boldsymbol{e}_{i_{1}})\cdots\boldsymbol{e}_{i_{k}}+\ldots+t^{i_{1}\cdots
i_{k}}\boldsymbol{e}_{i_{1}}\cdots(\boldsymbol{\nabla}\boldsymbol{e}_{i_{k}})$.
Using the frame decomposition of the covariant derivative (131), there appear
terms $(\mathrm{D}t_{i_{1}\cdots
i_{k}})\boldsymbol{n}\boldsymbol{e}^{i_{1}}\cdots\boldsymbol{e}^{i_{k}}$ and
thus the operator $\mathrm{D}$ increases boost weights of given components by
one. The terms $\boldsymbol{\nabla}\boldsymbol{e}_{i}$ in principle add $+2$
or $+1$ to b.w. of the resulting components via the spin coefficients $\kappa$
or $\rho$, $\sigma$, $\varepsilon$, respectively. However, these spin
coefficients vanish for pp-waves (16). Although the possible non-vanishing
spin coefficients introduced by $\boldsymbol{\nabla}\boldsymbol{e}_{i}$ either
do not change or decrease b.w., subsequent application of one more
$\boldsymbol{\nabla}$ on $\boldsymbol{\nabla}\boldsymbol{t}$ produces also
$\boldsymbol{\nabla}$ of these spin coefficients and again due to the
decomposition (131) the operator $\mathrm{D}$ increases b.w. For instance, the
spin coefficient $\alpha$ has b.w. 0 and thus $\mathrm{D}^{n}\alpha$ is of
b.w. $n$. Therefore, after several applications of the covariant derivative,
there could appear a component of the boost weight exceeding the boost order
of the original tensor. Nevertheless, such a situation does not happen in the
case of type III pp-waves since the Ricci identities (135) for the non-
vanishing spin coefficients imply
$\displaystyle b$ $\displaystyle=0:$
$\displaystyle\mathrm{D}\alpha=\mathrm{D}\beta=0\;,$ (23) $\displaystyle b$
$\displaystyle=-1:$
$\displaystyle\mathrm{D}\gamma=\mathrm{D}\lambda=\mathrm{D}\mu=0\;,$
$\displaystyle b$ $\displaystyle=-2:$ $\displaystyle\mathrm{D}\nu=0\;.$
Similar reasoning carried out formally results in Lemma 1 of [15]: the
covariant derivative of a $k$-balanced tensor in a degenerate444The Kundt
class is defined geometrically as spacetimes admiting a non-expanding, non-
shearing and non-twisting null geodesic congruence. The pp-wave metrics thus
belong to a special subfamily of the Kundt class. A Kundt spacetime is said to
be degenerate if the Riemann tensor and all its covariant derivatives are
algebraically special (i.e. of type II or more special) with the generator of
the Kundt null geodesic congruence being their aligned null direction. Kundt
spacetime is again a $k$-balanced tensor. One can thus conclude that
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$,
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$ are balanced
and
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\langle{-2}\rangle}$,
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\langle{-2}\rangle}$
are 1-balanced.
The balance property of the curvature tensors has several direct consequences
for rank-2 tensors and thus for the field equations. First, recall that
k-balanced tensors are of b.o. ${-(k{+}1)}$ (i.e.
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$,
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$ are of b.o.
$-1$ while
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\langle{-2}\rangle}$,
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\langle{-2}\rangle}$
are of b.o. $-2$) and rank-2 tensors only admit components with b.w. ranging
from $-2$ to $2$. Therefore, rank-2 tensors cubic or of a higher order in
curvature vanish (a tensor of order $k$ in curvature tensors which are of b.o.
$-1$ is thus of b.o. $-k$). Only b.w. $-1$ parts
$\boldsymbol{S}^{\langle{-1}\rangle}$, $\boldsymbol{C}^{\langle{-1}\rangle}$
and their covariant derivatives contribute to rank-2 tensors quadratic in
curvature specifically to the $\omega$ term of (18). Also, we immediately see
that the pp-wave spacetimes of type III are of VSI because all scalars are
constructed as contractions of balanced tensors
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$ and
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$, which are of
b.o. $-1$.
### III.3 Vanishing tensors quadratic in curvature
In this subsection, we consider tensors (of any rank) that are quadratic in
curvature, namely tensors constructed as contractions of
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$,
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$,
or
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$.
We show that such tensors with specific configurations of indices vanish for
type III pp-wave spacetimes:
* •
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
vanishes if at least one $\boldsymbol{S}$ has no free index.
Without loss of generality we assume that ${\boldsymbol{S}}$ with no free
index is the first one. Since ${\boldsymbol{S}}$ is of type III, the first
$\boldsymbol{S}$ contains at least one contracted $\boldsymbol{l}$, see (14).
Here, we only sketch the proof using a schematic notation, where
$\boldsymbol{l}$-contractions are denoted by lines between the contracted
expressions (similar to the well-known notation for Wick contractions).
Explicit calculations of all combinations are listed in Appendix B. Null
covector $\boldsymbol{l}$ from the first $\boldsymbol{S}$ may contract in four
different ways:
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\overset{\sqcap}{\boldsymbol{S}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
$\displaystyle=0\;,$ (24)
$\displaystyle\wick{\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\c{1}{\boldsymbol{S}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{S}}\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\boldsymbol{S}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{S}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{S}}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{S}\cdot\boldsymbol{l}^{\sharp})=0\;,$
each of which vanish. Prime denotes the expression obtained after the removal
of $\boldsymbol{l}$. The first case is zero due to vanishing trace of
${\boldsymbol{S}}$. In the remaining cases, we use ${\boldsymbol{\nabla
l}=0}$, which allows us to move $\boldsymbol{l}$ anywhere in the expression;
it gives rise either to the contraction
${\boldsymbol{S}\cdot\boldsymbol{l}^{\sharp}=0}$ (fourth line) or to
derivative ${\mathrm{D}=\boldsymbol{l}^{\sharp}\cdot\boldsymbol{\nabla}}$
(second and third lines). Thanks to (10),
$\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$ and
$\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}$
vanish.555It can be shown using (132) that $\mathrm{D}\boldsymbol{S}=0$
implies $\mathrm{D}\boldsymbol{S}^{\prime}=0$ for CCNV
$\boldsymbol{l}^{\sharp}$ in any frame (not necessarily the PP frame).
* •
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$
vanishes if ${\boldsymbol{S}}$ has no free index. In addition to that, if at
least one index of $\boldsymbol{S}$ is contracted with $\boldsymbol{C}$ then
$\boldsymbol{C}$ must have at most one free index for the expression to
vanish.
As before, it is always ensured that at least one index of $\boldsymbol{S}$
corresponds to $\boldsymbol{l}$ (since $\boldsymbol{S}$ is of type III), which
can be contracted back to $\boldsymbol{S}$, to derivatives, or to
$\boldsymbol{C}$:
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\overset{\sqcap}{\boldsymbol{S}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$
$\displaystyle=0\;,$ (25)
$\displaystyle\wick{\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\c{1}{\boldsymbol{S}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{S}}\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\boldsymbol{C}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{S}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{C}}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})\;.$
The first three lines vanish for similar reasons as the first three lines of
(24), specifically because $\boldsymbol{S}$ is tracefree and
${\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}=\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}=0}$
due to (10). However, this time the last line is non-zero in general since the
contraction of $\boldsymbol{C}$ with $\boldsymbol{l}$ reads
$\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp}=\Psi_{3}(\boldsymbol{l}\wedge\boldsymbol{m})\boldsymbol{l}+\bar{\Psi}_{3}(\boldsymbol{l}\wedge\bar{\boldsymbol{m}})\boldsymbol{l}\;.$
(26)
If this rank-3 tensor $\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp}$ has at most
one free index, then at least one of the remaining indices must be associated
with $\boldsymbol{l}$. Let us inspect all possible types of contractions with
this $\boldsymbol{l}$:
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\overset{\sqcap}{(\boldsymbol{C}\cdot\smash{\boldsymbol{l}^{\sharp}})}$
$\displaystyle=0\;,$ (27)
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\c{1}{(\boldsymbol{C}\cdot\smash{\boldsymbol{l}^{\sharp}})}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}=0\;,$
$\displaystyle\wick{\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\boldsymbol{S}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{(\boldsymbol{C}\cdot\smash{\boldsymbol{l}^{\sharp}})}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{S}^{\prime}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{(\boldsymbol{C}\cdot\smash{\boldsymbol{l}^{\sharp}})}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{S}^{\prime}\cdot\boldsymbol{l}^{\sharp})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}=0\;.$
The first line vanishes since the trace of
$\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp}$ is zero. The contractions with
derivatives (second and third lines) lead to vanishing expressions
${\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}=\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}^{\prime}=0}$.
(Let us recall that $(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}$ is
a rank-2 tensor that is obtained by stripping (26) of $\boldsymbol{l}$.)
Finaly, the last line involves
${\boldsymbol{S}^{\prime}\cdot\boldsymbol{l}^{\sharp}=0}$.
* •
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$
vanishes if ${\boldsymbol{C}}$ has no free index.
From the decomposition of $\boldsymbol{C}$ in (14), we see that at least one
$\boldsymbol{l}$ is contracted back to $\boldsymbol{C}$, to derivatives, or to
$\boldsymbol{S}$:
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\overset{\sqcap}{\boldsymbol{C}}$
$\displaystyle=0\;,$ (28)
$\displaystyle\wick{\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{C}}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\c{1}{\boldsymbol{C}}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{S}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{C}}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{S}\cdot\boldsymbol{l}^{\sharp})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}=0\;.$
All the possibilities vanish since $\boldsymbol{C}$ is traceless,
$\boldsymbol{S}\cdot\boldsymbol{l}^{\sharp}=0$, and
$\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}=\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}=0$.
* •
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$
vanishes if one ${\boldsymbol{C}}$ has no free index. In addition to that, if
at least one index of this $\boldsymbol{C}$ is contracted into the second
$\boldsymbol{C}$ then the latter must have at most one free index for the
expression to vanish.
Without loss of generality, let us assume that $\boldsymbol{C}$ without free
indices is the first one. As follows from (14), this (first) $\boldsymbol{C}$
has at least one $\boldsymbol{l}$ which can be contracted either back to
$\boldsymbol{C}$, to derivatives, or to the other (second) $\boldsymbol{C}$:
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\overset{\sqcap}{\boldsymbol{C}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$
$\displaystyle=0\;,$ (29)
$\displaystyle\wick{\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\c{1}{\boldsymbol{C}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{C}}\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\boldsymbol{C}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{C}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{C}}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})\;.$
The first three cases vanish due to the tracefreeness of $\boldsymbol{C}$ and
(10). In the last case, the rank-3 tensor
${\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp}}$ of the form (26) has at most
one free index and therefore at least one $\boldsymbol{l}$ is contracted
either back to $\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp}$, to derivatives,
or to $\boldsymbol{C}^{\prime}$:
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\overset{\sqcap}{(\boldsymbol{C}\cdot\smash{\boldsymbol{l}^{\sharp}})}$
$\displaystyle=0\;,$ (30)
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\c{1}{(\boldsymbol{C}\cdot\smash{\boldsymbol{l}^{\sharp}})}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}=0\;,$
$\displaystyle\wick{\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\boldsymbol{C}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{(\boldsymbol{C}\cdot\smash{\boldsymbol{l}^{\sharp}})}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{\boldsymbol{C}\smash{{}^{\prime}}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{(\boldsymbol{C}\cdot\smash{\boldsymbol{l}^{\sharp}})}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}^{\prime}\cdot\boldsymbol{l}^{\sharp})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}\;.$
The first three cases vanish for the same reasons as above. The rank-2 tensor
${\boldsymbol{C}^{\prime}\cdot\boldsymbol{l}^{\sharp}}$ still has one
$\boldsymbol{l}$ which is contracted either back to
${\boldsymbol{C}^{\prime}\cdot\boldsymbol{l}^{\sharp}}$, to derivatives, or to
$(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}$:
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\overset{\sqcap}{(\smash{\boldsymbol{C}^{\prime}}\cdot\smash{\boldsymbol{l}^{\sharp}})}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}$
$\displaystyle=0\;,$ (31)
$\displaystyle\wick{\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}\c{1}{(\smash{\boldsymbol{C}^{\prime}}\cdot\smash{\boldsymbol{l}^{\sharp}})}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}^{\prime}\cdot\boldsymbol{l}^{\sharp})^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{(\smash{\boldsymbol{C}^{\prime}}\cdot\smash{\boldsymbol{l}^{\sharp}})}\c{1}{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}}}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}^{\prime}\cdot\boldsymbol{l}^{\sharp})^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}=0\;,$
$\displaystyle\wick{\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{(\smash{\boldsymbol{C}^{\prime}}\cdot\smash{\boldsymbol{l}^{\sharp}})}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\c{1}{(\boldsymbol{C}\cdot\smash{\boldsymbol{l}^{\sharp}})\smash{{}^{\prime}}}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{C}^{\prime}\cdot\boldsymbol{l}^{\sharp})^{\prime}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}[(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}\cdot\boldsymbol{l}^{\sharp}]=0\;.$
Again, the first three cases vanish as before and, in the last case,
${(\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp})^{\prime}\cdot\boldsymbol{l}^{\sharp}=0}$
as follows for the Weyl tensor of type III from (14).
### III.4 Relevant scalars and rank-2 tensors
Here, we focus on particular scalars and rank-2 tensors appearing in the field
equations (4).
* •
$\boldsymbol{\nabla^{c}\nabla^{d}}\square^{n}\boldsymbol{S_{cd}}$,
$\boldsymbol{S^{cd}}\square^{n}\boldsymbol{S_{cd}}$, and
${\boldsymbol{C^{cdef}}\square^{n}\boldsymbol{C_{cdef}}}$ vanish.
As mentioned above, type III pp-wave spacetimes are of VSI, meaning that all
scalars constructed as contractions of
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$ and
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{C}$ vanish. Remark
that two latter scalars can also be shown to vanish using the results of
Section III.3.
* •
$\boldsymbol{\nabla_{c}\nabla_{(a}}\square^{n}\boldsymbol{S_{b)}{}^{c}}$ and
$\boldsymbol{S_{c(a}}\square^{n}\boldsymbol{S_{b)}{}^{c}}$ cancel each other.
Commuting $\boldsymbol{\nabla^{c}}$ and $\boldsymbol{\nabla^{d}}$, it turns
out that
$\boldsymbol{\nabla_{c}\nabla_{(a}}\square^{n}\boldsymbol{S_{b)}{}^{c}}$
cancels exactly with
$\boldsymbol{S_{c(a}}\square^{n}\boldsymbol{S_{b)}{}^{c}}$,
$\boldsymbol{g_{d(a}}(\boldsymbol{\nabla^{c}\nabla^{d}}-\boldsymbol{S^{cd}})\square^{n}\boldsymbol{S_{b)c}}=\boldsymbol{\nabla_{(a}\nabla^{c}}\square^{n}\boldsymbol{S_{b)c}}+\boldsymbol{S_{(a}{}^{c}}\square^{n}\boldsymbol{S_{b)c}}-\boldsymbol{C_{acbd}}\square^{n}\boldsymbol{S^{cd}}+\tfrac{1}{2}\boldsymbol{g_{ab}S_{cd}}\square^{n}\boldsymbol{S^{cd}}=0\;,$
(32)
where we employed (138), (141) and the fact that scalars constructed from
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
vanish.
* •
$\square^{n}\boldsymbol{S_{ab}}$ is of b.o. $-1$ because
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla S}$ are balanced tensors.
* •
$(\boldsymbol{\nabla^{c}\nabla^{d}}+\tfrac{1}{2}\boldsymbol{S^{cd}})\square^{n}\boldsymbol{C}_{\boldsymbol{c}\boldsymbol{(ab)}\boldsymbol{d}}$
takes the form (37).
Recasting the contracted Bianchi identities with $R=0$ in terms of TF Ricci
and Weyl, one obtains
$\boldsymbol{\nabla_{b}S^{b}{}_{a}}=0\;,\quad\boldsymbol{\nabla_{d}C_{abc}{}^{d}}=-\boldsymbol{\nabla_{[a}S_{b]c}}\;,$
(33)
and consequently, using (141),
$\boldsymbol{\nabla^{b}\nabla^{d}C_{abcd}}=\tfrac{1}{2}\left(\square\boldsymbol{S_{ac}}-\boldsymbol{S^{bd}C_{abcd}}\right)\;.$
(34)
The term under consideration (i.e., the term involving
$\mathcal{F}_{3}(\square)$ in (4)) can be expressed recursively by commuting
one $\square$ over $\boldsymbol{\nabla^{c}\nabla^{d}}$ as
$(\boldsymbol{\nabla^{c}\nabla^{d}}+\tfrac{1}{2}\boldsymbol{S^{cd}})\square^{n+1}\boldsymbol{C_{c(ab)d}}=\square(\boldsymbol{\nabla^{c}\nabla^{d}}+\tfrac{1}{2}\boldsymbol{S^{cd}})\square^{n}\boldsymbol{C_{c(ab)d}}-\boldsymbol{Q}_{n\boldsymbol{ab}}\;,$
(35)
with $\boldsymbol{Q}_{n}$ being rank-2 tensors of b.o. $-2$,
$\displaystyle\boldsymbol{Q}_{n\boldsymbol{ab}}$
$\displaystyle\equiv-\tfrac{1}{2}\square(\boldsymbol{S_{(a}{}^{c}}\square^{n}\boldsymbol{S_{b)c}})-\tfrac{3}{2}\boldsymbol{S_{(a}{}^{c}}\square^{n+1}\boldsymbol{S_{b)c}}+3\boldsymbol{\nabla_{c}S_{d(a}\nabla^{d}}\square^{n}\boldsymbol{S_{b)}{}^{c}}-\tfrac{9}{2}\boldsymbol{\nabla_{d}S_{c(a}\nabla^{d}}\square^{n}\boldsymbol{S_{b)}{}^{c}}$
(36)
$\displaystyle\mathrel{\phantom{=}}-\square^{n}\boldsymbol{C_{acbd}}\square\boldsymbol{S^{cd}}-4\boldsymbol{\nabla^{e}\nabla^{d}}\square^{n}\boldsymbol{S_{(a}{}^{c}C_{b)dce}}-\boldsymbol{\nabla^{e}\nabla^{d}S_{(a}{}^{c}}\square^{n}\boldsymbol{C_{b)ecd}}$
$\displaystyle\mathrel{\phantom{=}}-2\boldsymbol{\nabla_{f}}\square^{n}\boldsymbol{C_{dec(a}\nabla^{c}C_{b)}{}^{def}}-2\boldsymbol{\nabla_{f}}\square^{n}\boldsymbol{C_{dec(a}\nabla^{e}C_{b)}{}^{dcf}}\;.$
To get this expression, we employed the contracted Bianchi identities (33),
the results of Section III.3, equations (138), (142), (144), (147), the fact
that terms cubic in curvature vanish, and the fact that covariant derivatives
commute (since the commutator introduces one more curvature tensor). Starting
with (34) and applying (35) repeatedly, we finally obtain
$(\boldsymbol{\nabla^{c}\nabla^{d}}+\tfrac{1}{2}\boldsymbol{S^{cd}})\square^{n}\boldsymbol{\boldsymbol{C}_{\boldsymbol{c}(\boldsymbol{ab})\boldsymbol{d}}}=-\tfrac{1}{2}\square^{n+1}\boldsymbol{S_{ab}}-\sum_{k=0}^{n-1}\square^{k}\boldsymbol{Q}_{(n-k-1)\boldsymbol{ab}}\;.$
(37)
Note that for ${n=0}$ the sum is empty and we recover (34).
## IV Field equations for gyratons
### IV.1 Gyratons
Let us now focus on specific geometries called gyratons, which are known to
describe various spinning null sources. Their subclass within type III pp-
waves is given by the metric [5]
$\boldsymbol{g}=-\boldsymbol{\mathrm{d}}u\vee\big{(}\boldsymbol{\mathrm{d}}r+H\,\boldsymbol{\mathrm{d}}u+J\,\boldsymbol{\mathrm{d}}\varphi\big{)}+\boldsymbol{\mathrm{d}}\rho\boldsymbol{\mathrm{d}}\rho+\rho^{2}\boldsymbol{\mathrm{d}}\varphi\boldsymbol{\mathrm{d}}\varphi\;,$
(38)
where $H=H(u,\rho,\varphi)$ and $J=J(u,\rho,\varphi)$ are two arbitrary
functions that can be determined from the field equations. The coordinate $r$
is an affine parameter along the null congruence generated by CCNV
${\boldsymbol{\xi}=\boldsymbol{\partial}_{r}}$. The null coordinate $u$ is the
retarded time for which
${\boldsymbol{\xi}^{\flat}=-\boldsymbol{\mathrm{d}}u}$. The coordinates $\rho$
and $\varphi$ are polar coordinates spanning the 2-dimensional flat
submanifolds of constant $u$ at each $r$. Occasionally, we will also use the
Cartesian coordinates ${x=\rho\cos\varphi}$, ${y=\rho\sin\varphi}$, which are
regular at the origin ${x=y=0}$ (i.e., $\rho=0$). The metric $\boldsymbol{g}$
then takes the form
$\boldsymbol{g}=-\boldsymbol{\mathrm{d}}u\vee\big{(}\boldsymbol{\mathrm{d}}r+H\,\boldsymbol{\mathrm{d}}u-\tfrac{y}{\rho^{2}}J\boldsymbol{\mathrm{d}}x+\tfrac{x}{\rho^{2}}J\boldsymbol{\mathrm{d}}y\big{)}+\boldsymbol{\mathrm{d}}x\boldsymbol{\mathrm{d}}x+\boldsymbol{\mathrm{d}}y\boldsymbol{\mathrm{d}}y\;.$
(39)
To utilize the NP formalism we need introduce the natural covector null frame,
$\boldsymbol{l}=-\boldsymbol{\mathrm{d}}u\;,\quad\boldsymbol{n}=-\boldsymbol{\mathrm{d}}r-H\boldsymbol{\mathrm{d}}u-J\boldsymbol{\mathrm{d}}\varphi\;,\quad\boldsymbol{m}=\frac{1}{\sqrt{2}}\left(\boldsymbol{\mathrm{d}}\rho+i\rho\boldsymbol{\mathrm{d}}\varphi\right)\;,$
(40)
and the corresponding dual vector frame,
$\boldsymbol{l}^{\sharp}=\boldsymbol{\partial}_{r}\;,\quad\boldsymbol{n}^{\sharp}=\boldsymbol{\partial}_{u}-H\boldsymbol{\partial}_{r}\;,\quad\boldsymbol{m}^{\sharp}=\frac{1}{\sqrt{2}}\boldsymbol{\partial}_{\rho}+\frac{i}{\sqrt{2}\rho}(\boldsymbol{\partial}_{\varphi}-J\boldsymbol{\partial}_{r})\;.$
(41)
The vector $\boldsymbol{l}^{\sharp}$ is CCNV and the natural null frame is PP
along geodesics generated by $\boldsymbol{l}^{\sharp}$. The spacetime has
vanishing Ricci scalar, ${R=0}$. The non-vanishing components of the TF Ricci
and Weyl tensors in this frame read
$\begin{gathered}\Phi_{21}=-\Psi_{3}=-\frac{J_{,\rho\varphi}}{4\sqrt{2}\rho^{2}}+i\frac{J_{,\rho}-\rho
J_{,\rho\rho}}{4\sqrt{2}\rho^{2}}\;,\quad\Phi_{22}=\frac{1}{2}\triangle
H+\frac{\bigl{(}J_{,\rho}\bigr{)}^{2}-2J_{,u\varphi}}{4\rho^{2}}\;,\\\
\Psi_{4}=\frac{1}{2\rho^{2}}\big{(}-\rho H_{,\rho}-2i\rho
H_{,\rho\varphi}+\rho^{2}H_{,\rho\rho}+2iH_{,\varphi}-H_{,\varphi\varphi}-2iJ_{,u}+J_{,u\varphi}+i\rho
J_{,u\rho}\big{)}\;,\end{gathered}$ (42)
where we defined the Laplace operator on 2-dimensional transversal space,666Do
not confuse with ${\centernot{\Delta}}$-derivative of the NP formalism.
$\triangle\equiv\partial_{\rho}^{2}+\frac{1}{\rho}\partial_{\rho}+\frac{1}{\rho^{2}}\partial^{2}_{\varphi}\;.$
(43)
The non-vanishing spin coefficients are
$\alpha=-\beta=-\frac{1}{2\sqrt{2}\rho}\;,\quad\mu=2\gamma=i\frac{J_{,\rho}}{2\rho}\;,\quad\nu=\frac{H_{,\rho}}{\sqrt{2}}-i\frac{H_{,\varphi}-J_{,u}}{\sqrt{2}\rho}\;.$
(44)
Note that $\lambda$ vanishes for gyratons (38) even though it is non-zero for
general pp-waves of type III.
In what follows we will repeatedly use several properties of directional
derivatives of the NP formalism. First, let us recall the properties of
$\mathrm{D}$-derivative. It annihilates all frame covectors (15) and curvature
components (21). Moreover, $\mathrm{D}$ also commutes with all remaining
derivatives (17). On the contrary, the commutators of $\delta$-derivatives (on
scalars) are
$[\delta,{\centernot{\Delta}}]=-\bar{\nu}\mathrm{D}\;,\quad[\bar{\delta},\delta]=-2\mu\mathrm{D}-2\alpha\bar{\delta}+2\alpha\delta\;.$
(45)
The action of $\delta$ and $\bar{\delta}$ on the null frame is given by
$\displaystyle\delta\boldsymbol{l}$ $\displaystyle=0\;,$
$\displaystyle\delta\boldsymbol{m}$ $\displaystyle=-2\alpha\boldsymbol{m}\;,$
(46) $\displaystyle\delta\boldsymbol{n}$ $\displaystyle=\mu\boldsymbol{m}\;,$
$\displaystyle\bar{\delta}\boldsymbol{m}$
$\displaystyle=-\mu\boldsymbol{l}+2\alpha\boldsymbol{m}\;.$
In addition, we will also need $\delta$-derivatives of the spin coefficients
$\alpha$ and $\mu$,
$\delta\alpha=\bar{\delta}\alpha=2\alpha^{2}\;,\quad\bar{\delta}\mu=-2\Phi_{21}\;,\quad\delta\mu=2\bar{\Phi}_{21}\;.$
(47)
Finally, the action of ${\centernot{\Delta}}$-derivative on the frame
covectors is
$\displaystyle{\centernot{\Delta}}\boldsymbol{l}$ $\displaystyle=0\;,$
$\displaystyle{\centernot{\Delta}}\boldsymbol{n}$
$\displaystyle=\nu\boldsymbol{m}+\bar{\nu}\bar{\boldsymbol{m}}\;,$
$\displaystyle{\centernot{\Delta}}\boldsymbol{m}$
$\displaystyle=\bar{\nu}\boldsymbol{l}+\mu\boldsymbol{m}\;.$ (48)
### IV.2 Field equations
The action of the wave operator $\square$ can be expressed in terms of the
directional derivatives using the decomposition (131) and the properties (6),
(15), (46), and (48). We arrive at the formula
$\square=-{\centernot{\Delta}}\mathrm{D}+\mathrm{D}{\centernot{\Delta}}+\bar{\delta}\delta+\delta\bar{\delta}-2\alpha\delta-2\alpha\bar{\delta}\;.$
(49)
For a scalar field $\phi$ subject to ${\mathrm{D}\phi=0}$, the wave operator
reduces to 2-dimensional Laplace (43),
$\square\phi=\triangle\phi\;,$ (50)
and $\delta$-derivative of $\phi$ is given by
$\delta\phi=\frac{\phi_{,\rho}}{\sqrt{2}}+i\frac{\phi_{,\varphi}}{\sqrt{2}\rho}\;.$
(51)
With the help of (49), we can find the following useful formulas:
$\displaystyle\square(\phi\boldsymbol{m})$
$\displaystyle=\big{[}\big{(}\triangle-4\alpha\bar{\delta}+4\alpha\delta-8\alpha^{2}\big{)}\phi\big{]}\boldsymbol{m}+\big{[}\big{(}-2\mu\delta-\delta\mu+4\alpha\mu\big{)}\phi\big{]}\boldsymbol{l}\;,$
(52)
$\displaystyle\square(\phi\bar{\boldsymbol{m}}\boldsymbol{m}\boldsymbol{m})$
$\displaystyle=\big{[}\big{(}\triangle-4\alpha\bar{\delta}+4\alpha\delta-8\alpha^{2}\big{)}\phi\big{]}\bar{\boldsymbol{m}}\boldsymbol{m}\boldsymbol{m}+\big{[}\big{(}-2\bar{\mu}\bar{\delta}-\bar{\delta}\bar{\mu}-4\alpha\bar{\mu}\big{)}\phi\big{]}\boldsymbol{l}\boldsymbol{m}\boldsymbol{m}$
$\displaystyle\mathrel{\phantom{=}}+\big{[}\big{(}-2\mu\delta-\delta\mu+4\alpha\mu\big{)}\phi\big{]}\bar{\boldsymbol{m}}(\boldsymbol{l}\vee\boldsymbol{m})+[(2\mu\bar{\mu})\phi]\,\boldsymbol{l}(\boldsymbol{l}\vee\boldsymbol{m})\;.$
Applying the first one repeatedly on type III TF Ricci tensor (14),
${\square^{n}\boldsymbol{S}{=}-2\boldsymbol{l}\vee\square^{n}\big{(}\Phi_{21}\boldsymbol{m}{+}\bar{\Phi}_{21}\bar{\boldsymbol{m}}\big{)}{+}2(\square^{n}\Phi_{22})\boldsymbol{l}\boldsymbol{l}}$,
we obtain
$\square^{n}\boldsymbol{S}=-2\boldsymbol{l}\vee\big{[}\big{(}\mathsf{B}^{n}\Phi_{21}\big{)}\boldsymbol{m}+\big{(}\bar{\mathsf{B}}^{n}\bar{\Phi}_{21}\big{)}\bar{\boldsymbol{m}}\big{]}+2\bigg{[}\triangle^{n}\Phi_{22}+2\sum_{k=0}^{n-1}\triangle^{k}\left(\mathsf{M}\mathsf{B}^{n-k-1}\Phi_{21}+\bar{\mathsf{M}}\bar{\mathsf{B}}^{n-k-1}\bar{\Phi}_{21}\right)\bigg{]}\boldsymbol{l}\boldsymbol{l}\;,$
(53)
where we introduced the auxiliary differential operators
$\mathsf{B}\equiv\triangle-4\alpha\bar{\delta}+4\alpha\delta-8\alpha^{2}\;,\quad\mathsf{M}\equiv
2\mu\delta+\delta\mu-4\alpha\mu\;.$ (54)
In order to express (37) explicitly, we also need to calculate tensors
$\boldsymbol{Q}_{n}$. Using the above properties (particularly (52)), we can
write the individual terms of (36) as
$\displaystyle\boldsymbol{S_{a}{}^{c}}\square^{k+1}\boldsymbol{S_{bc}}$
$\displaystyle=4\big{(}\Phi_{21}\bar{\mathsf{B}}^{k+1}\bar{\Phi}_{21}+\bar{\Phi}_{21}\mathsf{B}^{k+1}\Phi_{21}\big{)}\boldsymbol{l_{a}l_{b}}\;,$
(55)
$\displaystyle\boldsymbol{\nabla_{c}S_{da}\nabla^{d}}\square^{k}\boldsymbol{S_{b}{}^{c}}$
$\displaystyle=4\big{[}(\bar{\delta}\bar{\Phi}_{21}-2\alpha\bar{\Phi}_{21})(\bar{\delta}\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}-2\alpha\bar{\mathsf{B}}^{k}\bar{\Phi}_{21})+(\bar{\delta}\Phi_{21}+2\alpha\Phi_{21})(\delta\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}+2\alpha\bar{\mathsf{B}}^{k}\bar{\Phi}_{21})$
$\displaystyle\mathrel{\phantom{=}}+(\delta\Phi_{21}-2\alpha\Phi_{21})(\delta\mathsf{B}^{k}\Phi_{21}-2\alpha\mathsf{B}^{k}\Phi_{21})+(\delta\bar{\Phi}_{21}+2\alpha\bar{\Phi}_{21})(\bar{\delta}\mathsf{B}^{k}\Phi_{21}+2\alpha\mathsf{B}^{k}\Phi_{21})\big{]}\boldsymbol{l_{a}l_{b}}\;,$
$\displaystyle\boldsymbol{\nabla_{d}S_{ca}\nabla^{d}}\square^{k}\boldsymbol{S_{b}{}^{c}}$
$\displaystyle=4\big{[}(\delta\Phi_{21}-2\alpha\Phi_{21})(\bar{\delta}\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}-2\alpha\bar{\mathsf{B}}^{k}\bar{\Phi}_{21})+(\bar{\delta}\Phi_{21}+2\alpha\Phi_{21})(\delta\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}+2\alpha\bar{\mathsf{B}}^{k}\bar{\Phi}_{21})$
$\displaystyle\mathrel{\phantom{=}}+(\delta\bar{\Phi}_{21}+2\alpha\bar{\Phi}_{21})(\bar{\delta}\mathsf{B}^{k}\Phi_{21}+2\alpha\mathsf{B}^{k}\Phi_{21})+(\bar{\delta}\bar{\Phi}_{21}-2\alpha\bar{\Phi}_{21})(\delta\mathsf{B}^{k}\Phi_{21}-2\alpha\mathsf{B}^{k}\Phi_{21})\big{]}\boldsymbol{l_{a}l_{b}}\;,$
$\displaystyle\square^{k}\boldsymbol{C_{acbd}}\square\boldsymbol{S^{cd}}$
$\displaystyle=4\big{(}\mathsf{B}^{k}\Phi_{21}\bar{\mathsf{B}}\bar{\Phi}_{21}+\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}\mathsf{B}\Phi_{21}\big{)}\boldsymbol{l_{a}l_{b}}\;,$
$\displaystyle\boldsymbol{\nabla^{e}\nabla^{d}}\square^{k}\boldsymbol{S_{a}{}^{c}C_{bdce}}$
$\displaystyle=-2\big{[}\Phi_{21}[(\delta^{2}-2\alpha\delta-4\alpha^{2})\mathsf{B}^{k}\Phi_{21}-(\delta\bar{\delta}-2\alpha\delta-4\alpha^{2})\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}]$
$\displaystyle\quad+\bar{\Phi}_{21}[(\bar{\delta}^{2}-2\alpha\bar{\delta}-4\alpha^{2})\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}-(\bar{\delta}\delta-2\alpha\bar{\delta}-4\alpha^{2})\mathsf{B}^{k}\Phi_{21}]\big{]}\boldsymbol{l_{a}l_{b}}\;,$
$\displaystyle\boldsymbol{\nabla^{e}\nabla^{d}S_{a}{{}^{c}}}\square^{k}\boldsymbol{C_{becd}}$
$\displaystyle=-2\big{[}\mathsf{B}^{k}\Phi_{21}(\delta\delta\Phi_{21}-2\alpha\delta\Phi_{21}-4\alpha^{2}\Phi_{21}-\delta\bar{\delta}\bar{\Phi}_{21}+2\alpha\delta\bar{\Phi}_{21}+4\alpha^{2}\bar{\Phi}_{21})$
$\displaystyle\mathrel{\phantom{=}}+\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}(\bar{\delta}\bar{\delta}\bar{\Phi}_{21}-2\alpha\bar{\delta}\bar{\Phi}_{21}-4\alpha^{2}\bar{\Phi}_{21}-\bar{\delta}\delta\Phi_{21}+2\alpha\bar{\delta}\Phi_{21}+4\alpha^{2}\Phi_{21})\big{]}\boldsymbol{l_{a}l_{b}}\;,$
$\displaystyle\boldsymbol{\nabla^{f}}\square^{k}\boldsymbol{C_{a}{{}^{cde}}\nabla_{c}C_{bdef}}$
$\displaystyle=2\big{[}(\delta\Phi_{21}-2\alpha\Phi_{21})(\delta\mathsf{B}^{k}\Phi_{21}-2\alpha\mathsf{B}^{k}\Phi_{21})+(\bar{\delta}\bar{\Phi}_{21}-2\alpha\bar{\Phi}_{21})(\bar{\delta}\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}-2\alpha\bar{\mathsf{B}}^{k}\bar{\Phi}_{21})\big{]}\boldsymbol{l_{a}l_{b}}\;,$
$\displaystyle\boldsymbol{\nabla^{f}}\square^{k}\boldsymbol{C_{a}{}^{cde}\nabla_{e}C_{bdcf}}$
$\displaystyle=\big{[}(\bar{\delta}\Phi_{21}+2\alpha\Phi_{21})(\delta\bar{\mathsf{B}}^{k}{}\bar{\Phi}_{21}+2\alpha\bar{\mathsf{B}}^{k}\bar{\Phi}_{21})+(\delta\bar{\Phi}_{21}+2\alpha\bar{\Phi}_{21})(\bar{\delta}\mathsf{B}^{k}\Phi_{21}+2\alpha\mathsf{B}^{k}\Phi_{21})$
$\displaystyle\mathrel{\phantom{=}}+(\delta\Phi_{21}-2\alpha\Phi_{21}+2\bar{\delta}\bar{\Phi}_{21}-4\alpha\bar{\Phi}_{21})(\bar{\delta}\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}-2\alpha\bar{\mathsf{B}}^{k}\bar{\Phi}_{21})$
$\displaystyle\mathrel{\phantom{=}}+(\bar{\delta}\bar{\Phi}_{21}-2\alpha\bar{\Phi}_{21}+2\delta\Phi_{21}-4\alpha\Phi_{21})(\delta\mathsf{B}^{k}\Phi_{21}-2\alpha\mathsf{B}^{k}\Phi_{21})\big{]}\boldsymbol{l_{a}l_{b}}\;.$
After adding all these terms together, we arrive at the compact expression
$\boldsymbol{Q}_{k}=\big{(}\mathsf{N}\mathsf{B}^{k}\Phi_{21}+\bar{\mathsf{N}}\bar{\mathsf{B}}^{k}\bar{\Phi}_{21}\big{)}\boldsymbol{ll}\;,$
(56)
where we introduced another operator
$\displaystyle\mathsf{N}$
$\displaystyle=-2\big{[}4\bar{\Phi}_{21}\mathsf{B}-4\Phi_{21}\delta^{2}+4\bar{\Phi}_{21}\bar{\delta}\delta-2(5\delta\Phi_{21}-14\alpha\Phi_{21}-5\bar{\delta}\bar{\Phi}_{21}+10\alpha\bar{\Phi}_{21})\delta+4\delta\bar{\Phi}_{21}\bar{\delta}$
(57)
$\displaystyle\mathrel{\phantom{=}}+3\bar{\mathsf{B}}\bar{\Phi}_{21}-\delta^{2}\Phi_{21}+\delta\bar{\delta}\bar{\Phi}_{21}+22\alpha\delta\Phi_{21}-20\alpha^{2}\Phi_{21}-20\alpha\bar{\delta}\bar{\Phi}_{21}+36\alpha^{2}\bar{\Phi}_{21}+6\alpha\delta\bar{\Phi}_{21}\big{]}\;.$
The field equations can be satisfied only if the cosmological constant
$\Lambda$ vanish (because ${R=0}$) and the energy-momentum tensor
$\boldsymbol{T}$ is of the algebraic type III,
$\displaystyle\boldsymbol{T}$
$\displaystyle=-2\boldsymbol{l}\vee\big{[}\Xi_{21}\boldsymbol{m}+\bar{\Xi}_{21}\bar{\boldsymbol{m}}\big{]}+2\Xi_{22}\boldsymbol{l}\boldsymbol{l}$
(58)
$\displaystyle=2\sqrt{2}\,\boldsymbol{\mathrm{d}}u\vee\big{[}\operatorname{Re}{\Xi_{21}}\,\boldsymbol{\mathrm{d}}\rho-\operatorname{Im}{\Xi_{21}}\rho\,\boldsymbol{\mathrm{d}}\varphi\big{]}+2\Xi_{22}\,\boldsymbol{\mathrm{d}}u\boldsymbol{\mathrm{d}}u$
$\displaystyle=2\sqrt{2}\rho^{-1}\,\boldsymbol{\mathrm{d}}u\vee\left[\big{(}x\operatorname{Re}{\Xi_{21}}+y\operatorname{Im}{\Xi_{21}}\big{)}\boldsymbol{\mathrm{d}}x+\big{(}y\operatorname{Re}{\Xi_{21}}-x\operatorname{Im}{\Xi_{21}}\big{)}\boldsymbol{\mathrm{d}}y\right]+2\Xi_{22}\,\boldsymbol{\mathrm{d}}u\boldsymbol{\mathrm{d}}u\;,$
where we introduced the components $\Xi_{21}$ and $\Xi_{22}$ in analogy to the
notation for components of TF Ricci tensor, cf. (14). The resulting field
equations for the gyraton metric take the form
$\boxed{\begin{aligned}
\big{[}1+\varkappa\mathcal{F}_{2}(\mathsf{B})\mathsf{B}\big{]}\Phi_{21}&=\varkappa\Xi_{21}\;,\\\
\big{[}1+\varkappa\left(\mathcal{F}_{2}(\triangle)+2\mathcal{F}_{3}(\triangle)\right)\triangle\big{]}\Phi_{22}+2\varkappa\sum_{n=1}^{\infty}\sum_{k=0}^{n-1}\triangle^{k}\big{[}\left(f_{2,n-1}\mathsf{M}+f_{3,n}\mathsf{N}\right)\mathsf{B}^{n-k-1}\Phi_{21}\phantom{\big{]}}\\\
+\left(f_{2,n-1}\bar{\mathsf{M}}+f_{3,n}\bar{\mathsf{N}}\right)\bar{\mathsf{B}}^{n-k-1}\bar{\Phi}_{21}\big{]}&=\varkappa\Xi_{22}\;.\end{aligned}}$
(59)
Upon inserting the components of the TF Ricci $\Phi_{21}$ and $\Phi_{22}$ from
(42) in (59), we see that the two field equations are partly decoupled.
Indeed, the first equation of (59) is independent of $H$, so we can find $J$
from this equation. With the obtained $J$, we can then calculate the
corresponding operators $\mathsf{M}$ and $\mathsf{N}$ that appear in the
second equation of (59) and solve it for $H$. Due to the linearity of the
first equation in $J$ and the second equation in $H$, we may rely on the
theorems for the existence of the solutions and make use of known mathematical
methods for linear partial differential equations.
### IV.3 Axial symmetry
The field equations reduce even further if we assume the axial symmetry
described by the Killing vector ${\boldsymbol{\partial}_{\varphi}}$,
$\pounds_{\boldsymbol{\partial}_{\varphi}}\boldsymbol{g}=0\;.$ (60)
This will not only make the functions $H$ and $J$ independent of $\varphi$,
but also the derivatives $\partial_{u}$ will drop out from the field
equations. As a result, we will be left with ordinary differential equations
in coordinate $\rho$ (with additional trivial dependence on $u$). To arrive at
this result, we first notice that the axial symmetry significantly simplifies
the operators defined above (note that ${\delta=\bar{\delta}}$),
$\triangle=\triangle_{0}\;,\quad\mathsf{B}=\bar{\mathsf{B}}=\triangle_{1}\;,\quad\mathsf{M}=-\bar{\mathsf{M}}=\diamondsuit/4\;,\quad\mathsf{N}=-\bar{\mathsf{N}}=\heartsuit/4\;,$
(61)
and the components of the TF Ricci tensor,
$\displaystyle\Phi_{21}$ $\displaystyle=-\bar{\Phi}_{21}=\circledcirc J\;,$
(62) $\displaystyle\Phi_{22}$
$\displaystyle=\frac{1}{2}\triangle_{0}H+\frac{\big{(}J_{,\rho}\big{)}^{2}}{4\rho^{2}}\;.$
In these equations, we introduced $\triangle_{w}$, which denotes the Bessel
operators of order $w$, and three other auxiliary ordinary differential
operators ${\circledcirc}$, $\diamondsuit$, and $\heartsuit$,
$\displaystyle\triangle_{w}$
$\displaystyle\equiv\partial_{\rho}^{2}+\frac{1}{\rho}\partial_{\rho}-\frac{w^{2}}{\rho^{2}}\;,$
$\displaystyle\diamondsuit$
$\displaystyle\equiv\frac{4i}{\sqrt{2}}\left(\frac{J_{,\rho}}{\rho}\partial_{\rho}+\frac{J_{,\rho\rho}}{2\rho}+\frac{J_{,\rho}}{2\rho^{2}}\right)\;,$
(63) $\displaystyle{\circledcirc}$
$\displaystyle\equiv-\frac{i}{4\sqrt{2}}\partial_{\rho}\left(\frac{1}{\rho}\partial_{\rho}\right)\;,$
$\displaystyle\heartsuit$ $\displaystyle\equiv-4i\sqrt{2}\left(2\frac{\rho
J_{,\rho\rho}-J_{,\rho}}{\rho^{2}}\partial^{2}_{\rho}+\frac{3\rho^{2}J_{,\rho\rho\rho}-2\rho
J_{,\rho\rho}+2J_{,\rho}}{\rho^{3}}\partial_{\rho}+\frac{J_{,\rho\rho\rho\rho}}{\rho}\right)\;.$
Furthermore, it follows from the first equation of (59) that the components of
the energy-momentum tensor $\boldsymbol{T}$ must also obey
${\Xi_{21}=-\bar{\Xi}_{21}}$ to match the left-hand side; therefore,
$\boldsymbol{T}$ takes the form
$\displaystyle\boldsymbol{T}$
$\displaystyle=-2\Xi_{21}\,\boldsymbol{l}\vee\left(\boldsymbol{m}-\bar{\boldsymbol{m}}\right)+2\Xi_{22}\,\boldsymbol{l}\boldsymbol{l}$
(64)
$\displaystyle=2\sqrt{2}i\,\Xi_{21}\rho\,\boldsymbol{\mathrm{d}}u\vee\boldsymbol{\mathrm{d}}\varphi+2\Xi_{22}\,\boldsymbol{\mathrm{d}}u\boldsymbol{\mathrm{d}}u$
$\displaystyle=2\sqrt{2}i\,\Xi_{21}\rho^{-1}\boldsymbol{\mathrm{d}}u\vee\left(-y\boldsymbol{\mathrm{d}}x+x\boldsymbol{\mathrm{d}}y\right)+2\Xi_{22}\,\boldsymbol{\mathrm{d}}u\boldsymbol{\mathrm{d}}u\;.$
Using (61) and (64), the field equations (59) finally reduce to
$\boxed{\begin{aligned}
\big{[}1+\varkappa\mathcal{F}_{2}(\triangle_{1})\triangle_{1}\big{]}\Phi_{21}&=\varkappa\Xi_{21}\;,\\\
\big{[}1+\varkappa\left(\mathcal{F}_{2}(\triangle_{0})+2\mathcal{F}_{3}(\triangle_{0})\right)\triangle_{0}\big{]}\Phi_{22}+\varkappa\sum_{n=1}^{\infty}\sum_{k=0}^{n-1}\triangle_{0}^{k}(f_{2,n-1}\diamondsuit+f_{3,n}\heartsuit)\triangle_{1}^{n-k-1}\Phi_{21}&=\varkappa\Xi_{22}\;.\end{aligned}}$
(65)
These equations along with (62) form a set of field equations for axially
symmetric gyratons that we will study in the rest of the paper.
Notice that (65) are ordinary differential equations for $H$ and $J$ in
variable $\rho$ with additional (non-derivative) dependence on $u$. The
partial linearity and decoupling of the equations enables us to split the
general solution in two parts:
$\displaystyle J$
$\displaystyle=J_{\textrm{hom}}+J_{\textrm{part}}[\Xi_{21}]\;,$ (66)
$\displaystyle H$
$\displaystyle=H_{\textrm{hom}}+H_{\textrm{part}}[J,\Xi_{22}]\;.$
The homogeneous parts $J_{\textrm{hom}}$ and $H_{\textrm{hom}}$ stand for all
solutions of the homogeneous equations obtained by keeping the linear terms
(in $J$ of the first equation and $H$ of the second equation),
$\displaystyle\big{[}1+\varkappa\mathcal{F}_{2}(\triangle_{1})\triangle_{1}\big{]}{\circledcirc}J_{\textrm{hom}}$
$\displaystyle=0\;,$ (67)
$\displaystyle\big{[}1+\varkappa\left(\mathcal{F}_{2}(\triangle_{0})+2\mathcal{F}_{3}(\triangle_{0})\right)\triangle_{0}\big{]}\triangle_{0}H_{\textrm{hom}}$
$\displaystyle=0\;.$
The particular parts $J_{\textrm{part}}$ and $H_{\textrm{part}}$ denote
solutions satisfying the full inhomogeneous equations. It is important to
emphasize that the homogeneous part $H_{\textrm{hom}}$ does not necessarily
correspond to the solutions in the vacuum because $H_{\textrm{part}}$ may
actually be non-trivial (different from any $H_{\textrm{hom}}$) even for
${\boldsymbol{T}=0}$. This is because the equation for $H_{\textrm{part}}$
also depends on $J$ obtained from the first equation. Through this dependence
it is affected not only by $J_{\textrm{part}}$ (i.e., by $\Xi_{21}$), but also
by $J_{\textrm{hom}}$.
Since the Bessel operators ${\triangle}_{w}$ arise naturally for the axially
symmetric source, it turns out to be practical to introduce the Hankel
transform of the order $w$ (see e.g. [54]),777Hankel transforms of various
functions can be found in tables [55, 56].
$\mathcal{H}_{w}[\phi](s)=\int_{\mathbb{R}^{+}}\\!\\!d\rho\,\rho\,\phi(\rho)J_{w}(\rho
s)\;,\quad\mathcal{H}_{w}^{-1}[\psi](\rho)=\int_{\mathbb{R}^{+}}\\!\\!ds\,s\,\psi(s)J_{w}(s\rho)\;.$
(68)
The reason is because the Bessel functions $J_{w}(\rho s)$ are the
eigenfunctions of ${\triangle}_{w}$ with the eigenvalues $-s^{2}$; as a
consequence of which the Hankel transforms of ${\triangle}_{w}$ are simply
$\mathcal{H}_{w}[\triangle_{w}\phi](s)=-s^{2}\mathcal{H}_{w}[\phi](s)\;.$ (69)
One method that can be used to find particular parts $J_{\textrm{part}}$ and
$H_{\textrm{part}}$ is to first solve the first equation of (65) for
$\Phi_{21}^{\textrm{part}}$ using the Hankel transform of the order 1,
$\Phi_{21}^{\textrm{part}}=\varkappa\mathcal{H}_{1}^{-1}\bigg{[}\frac{\mathcal{H}_{1}[\Xi_{21}](\tilde{s})}{1-\varkappa\mathcal{F}_{2}(-\tilde{s}^{2})\tilde{s}^{2}}\bigg{]}(\rho)\;.$
(70)
Then we can obtain $J_{\textrm{part}}$ by integrating the first equation in
(62), i.e.,
${\circledcirc}J_{\textrm{part}}=\Phi_{21}^{\textrm{part}}\;.$ (71)
Its solution can be written in the form
$J_{\textrm{part}}=2\sqrt{2}i\int_{0}^{\rho}\\!\\!d\rho^{\prime}\,(\rho^{2}-\rho^{\prime
2})\Phi_{21}^{\textrm{part}}(\rho^{\prime})\;,$ (72)
where we used the Cauchy formula for repeated integration. After choosing one
specific function ${J=J_{\textrm{hom}}+J_{\textrm{part}}}$ (with desired
asymptotic behavior, etc.), we get the explicit form of the operators
$\diamondsuit$ and $\heartsuit$ from (63).
A particular part $H_{\textrm{part}}$ is then obtained by solving the second
equation of (65). This can be done again in two steps. First, we apply the
Hankel transforms of orders 0 and 1 to get
$\displaystyle\Phi_{22}^{\textrm{part}}$
$\displaystyle=\varkappa\mathcal{H}_{0}^{-1}\left[\frac{\mathcal{H}_{0}[\Xi_{22}](s)}{1-\varkappa\left(\mathcal{F}_{2}(-s^{2})+2\mathcal{F}_{3}(-s^{2})\right)s^{2}}\right](\rho)$
(73)
$\displaystyle\mathrel{\phantom{=}}-\varkappa\sum_{n=1}^{\infty}\sum_{k=0}^{n-1}\mathcal{H}_{0}^{-1}\left[\frac{(-s^{2})^{k}\mathcal{H}_{0}\left[\left(f_{2,n-1}\diamondsuit+f_{3,n}\heartsuit\right)\mathcal{H}_{1}^{-1}\left[(-\tilde{s}^{2})^{n-k-1}\mathcal{H}_{1}[\Phi_{21}](\tilde{s})\right](\rho)\right](s)}{1-\varkappa\left(\mathcal{F}_{2}(-s^{2})+2\mathcal{F}_{3}(-s^{2})\right)s^{2}}\right](\rho)\;.$
Then we employing (62) and arrive at the equation for $H_{\textrm{part}}$,
$\triangle_{0}H_{\textrm{part}}=2\Phi_{22}^{\textrm{part}}-\frac{\big{(}J_{,\rho}\big{)}^{2}}{2\rho^{2}}\equiv
W(u,\rho)\;,$ (74)
in which we recognize Poisson’s equation with an axially symmetric right-hand
side $W(u,\rho)$. It can be solved using convolution with the Green’s function
(integrated out over angles $\varphi$),
$\displaystyle H_{\textrm{part}}=G\star W$
$\displaystyle=\frac{1}{4\pi}\int_{0}^{\infty}\\!\\!\int_{0}^{2\pi}\\!\\!d\rho^{\prime}d\varphi^{\prime}\rho^{\prime}\log\left(\frac{\rho^{2}+\rho^{\prime
2}-2\rho\rho^{\prime}\cos(\varphi-\varphi^{\prime})}{\rho_{0}^{2}}\right)W(u,\rho^{\prime})$
(75)
$\displaystyle=\int_{0}^{\infty}\\!\\!d\rho^{\prime}\,\rho^{\prime}L(\rho,\rho^{\prime})W(u,\rho^{\prime})\;,$
where
$L(\rho,\rho^{\prime})\equiv\begin{cases}\log\big{(}\rho^{\prime}/\rho_{0}\big{)}\;,&\rho<\rho^{\prime}\;,\\\
\log\big{(}\rho/\rho_{0}\big{)}\;,&\rho>\rho^{\prime}\;.\end{cases}$ (76)
Finally, let us mention that these generic methods assume convergence of
certain integrals. If these assumptions are not satisfied, one has to use
different techniques as we will also need to do in one example bellow.
## V Gyratons in GR
Before we move on to the application in higher derivative gravity theories, we
focus on the general relativity. We will review a known vacuum solution (in
the notation of this paper) and also discuss a non-vacuum solution obtained by
regularization of its Dirac-delta source. The Einstein–Hilbert action
corresponds to setting all form-factors to zero,
$\mathcal{F}_{1}(\square)=\mathcal{F}_{2}(\square)=\mathcal{F}_{3}(\square)=0\;.$
(77)
Then the field equations for axially symmetric gyratons read
$\displaystyle\Phi_{21}=\varkappa\Xi_{21}\;,$ (78)
$\displaystyle\Phi_{22}=\varkappa\Xi_{22}\;,$
where $\Phi_{21}$ and $\Phi_{22}$ should be understood in terms of $J$ and $H$
through (62).
### V.1 Homogeneous parts
It is instructive to first focus on the homogeneous parts $J_{\textrm{hom}}$
and $H_{\textrm{hom}}$. Following (67), these functions satisfy two
independent second order differential equations
$\displaystyle{\circledcirc}J_{\textrm{hom}}$ $\displaystyle=0\;,$ (79)
$\displaystyle\triangle_{0}H_{\textrm{hom}}$ $\displaystyle=0\;.$
which can be easily integrated out,
$\displaystyle J_{\textrm{hom}}$ $\displaystyle=c_{1}(u)\rho^{2}+c_{2}(u)\;,$
(80) $\displaystyle H_{\textrm{hom}}$
$\displaystyle=c_{3}(u)\log\rho+c_{4}(u)\;,$
where $c_{i}(u)$ denote four arbitrary functions of the null coordinate $u$.
To clarify the meaning of (80), we have to treat $J_{\textrm{hom}}$ and
$H_{\textrm{hom}}$ in the language of distributions. For this purpose, we
switch to Cartesian coordinates, which are well defined at the origin
${\rho=0}$ (unlike the polar coordinates). In these coordinates, the operators
$\triangle_{0}$ and ${\circledcirc}$ from (63) are given by formulas
$\displaystyle\triangle_{0}H$
$\displaystyle=\left(\partial_{x}^{2}+\partial_{y}^{2}\right)H\;,$ (81)
$\displaystyle{\circledcirc}J$
$\displaystyle=-\frac{i}{4\sqrt{2}}\big{(}\tfrac{x}{\rho}\partial_{x}+\tfrac{y}{\rho}\partial_{y}\big{)}\big{[}\partial_{x}\big{(}\tfrac{x}{\rho^{2}}J\big{)}+\partial_{y}\big{(}\tfrac{y}{\rho^{2}}J\big{)}\big{]}\;.$
Taking into consideration the distributional identity
$\triangle_{0}\log\rho=\partial_{x}\big{(}\tfrac{x}{\rho^{2}}\big{)}+\partial_{y}\big{(}\tfrac{y}{\rho^{2}}\big{)}=2\pi\delta(x)\delta(y)\;,$
(82)
we can now evaluate the action of the operators $\triangle_{0}$ and
${\circledcirc}$ on the homogeneous parts (80),
$\displaystyle{\circledcirc}J_{\textrm{hom}}$ $\displaystyle=-\frac{i\pi
c_{2}(u)}{2\sqrt{2}}\bigg{[}\frac{y}{\rho}\delta(x)\delta^{\prime}(y)+\frac{x}{\rho}\delta^{\prime}(x)\delta(y)\bigg{]}\;,$
(83) $\displaystyle\triangle_{0}H_{\textrm{hom}}$ $\displaystyle=2\pi
c_{3}(u)\delta(x)\delta(y)\;.$
Thus, we see that the functions ${J_{\textrm{hom}}=c_{2}(u)}$ and
${H_{\textrm{hom}}=c_{3}(u)\log\rho}$ may be considered as homogeneous parts
only for ${\rho>0}$ (when treated as functions), but not in the distributional
sense. With this in mind, we can now proceed to solutions for various sources.
### V.2 Vacuum
Let us first look for solutions of (78) in the region with no matter content,
${\boldsymbol{T}=0}$. The condition ${\Xi_{21}=0}$ implies $J=J_{\hom}$,
which, after taking into account ${\Xi_{22}=0}$, leads to a general solution
$\displaystyle J$ $\displaystyle=c_{1}(u)\rho^{2}+c_{2}(u)\;,$ (84)
$\displaystyle H$
$\displaystyle=c_{3}(u)\log\rho+c_{4}(u)-\tfrac{1}{2}c_{1}(u)^{2}\rho^{2}\;.$
The function $c_{1}(u)$ can be removed by a coordinate transformation
${\tilde{\varphi}=\varphi-\int\\!\mathrm{d}u\,c_{1}(u)}$ and the function
$c_{4}(u)$ is of no physical relevance [6]. Consequently, the solution can be
equivalently rewritten in the form [1, 2]
$\displaystyle J$
$\displaystyle=\frac{\varkappa\chi_{\textrm{J}}(u)}{4\pi}\;,$ (85)
$\displaystyle H$
$\displaystyle=\frac{\varkappa\chi_{\textrm{H}}(u)}{4\pi}\log\left(\frac{\rho^{2}}{\rho_{0}^{2}}\right)\;,$
where $\chi_{\textrm{J}}(u)$ and $\chi_{\textrm{H}}(u)$ are the profile
functions and $\rho_{0}$ is an arbitrary constant (without any physical
significance).
In order to interpret this solution, we will calculate the energy-momentum
tensor $\boldsymbol{T}$ in a distributional sense. If we use the
distributional formulas (83) together with
${J_{,\rho}/\rho=\partial_{x}(xJ/\rho^{2})+\partial_{y}(yJ/\rho^{2})}$ and
(82), we obtain
$\displaystyle\Xi_{21}$
$\displaystyle=-\frac{i\chi_{\textrm{J}}(u)}{2^{3}\sqrt{2}}\bigg{[}\frac{y}{\rho}\delta(x)\delta^{\prime}(y)+\frac{x}{\rho}\delta^{\prime}(x)\delta(y)\bigg{]}\;,$
(86) $\displaystyle\Xi_{22}$
$\displaystyle=\frac{\chi_{\textrm{H}}(u)}{2}\delta(x)\delta(y)+\frac{\varkappa\chi_{\textrm{J}}^{2}(u)}{2^{4}}(\delta(x)\delta(y))^{2}\;.$
The ill-defined term $(\delta(x)\delta(y))^{2}$ obviously arises because of
the naive application of linear distributions to non-linear expressions [2].
It signifies that the distributional Dirac-delta sources describing null
particles can be used only in the non-spinning case, ${\chi_{\textrm{J}}=0}$,
or in the linearized regime of slow rotation,
${O(\chi_{\textrm{J}}^{2})\approx 0}$. In other words, the spinning null
sources in the full theory must be spatially distributed. One possibility to
overcome this issue is to glue the exterior vacuum solution (85) to an
interior non-vacuum solution representing the spinning cylindrical beam of
light of finite radius [1]. Another option, employed here, is to consider
Gaussian-type distribution of the spinning null matter that is non-zero
throughout the spacetime.
### V.3 Gaussian beam
Motivated by (86), we may obtain the Gaussian-type source by regularizing
Dirac-delta distribution $\delta(x)$ using the nascent delta function
$\delta_{\epsilon}(x)$ given by the heat kernel, i.e., the Gaussian function,
$\delta_{\epsilon}(x)=\frac{e^{-x^{2}/4\epsilon^{2}}}{2\sqrt{\pi}\epsilon}\;.$
(87)
Here, the parameter ${\epsilon>0}$ controls the width of the Gaussian.
Replacing $\delta(x)$ by $\delta_{\epsilon}(x)$ in (86), we get the energy-
momentum tensor
$\displaystyle\Xi_{21}$
$\displaystyle=\frac{i\chi_{\textrm{J}}(u)}{2^{6}\sqrt{2}\pi\epsilon^{4}}\rho
e^{-\rho^{2}/4\epsilon^{2}}\;,$ (88) $\displaystyle\Xi_{22}$
$\displaystyle=\frac{\chi_{\textrm{H}}(u)}{2^{3}\pi\epsilon^{2}}e^{-\rho^{2}/4\epsilon^{2}}+\frac{\varkappa\chi_{\textrm{J}}^{2}(u)}{2^{8}\pi^{2}\epsilon^{4}}e^{-\rho^{2}/2\epsilon^{2}}\;.$
This specific choice of regularization will prove very useful in evaluating
the Hankel transforms of the relevant functions that would otherwise be very
difficult if not impossible. The corresponding function $J$ can be obtained
from the formula for particular part (72),
$J=\frac{\varkappa\chi_{\textrm{J}}(u)}{4\pi}\Big{(}1-e^{-\frac{\rho^{2}}{4\epsilon^{2}}}\Big{)}\;,$
(89)
where we subtracted the homogeneous part proportional to $\rho^{2}$ to match
the asymptotic behavior at $\rho\to\infty$ with the vacuum solution (85).
Having found $J$, we can now calculate $H$ by means of Green’s function (75)
and using the identity
$G\star
e^{-b\rho^{2}}=\frac{1}{4b}\left[\log\left(\rho^{2}/\rho_{0}^{2}\right)-\operatorname{Ei}\left(-b\rho^{2}\right)\right]\;,$
(90)
with $b$ being a positive constant. The result is
$H=\frac{\varkappa\chi_{\textrm{H}}(u)}{4\pi}\left[\log\left(\frac{\rho^{2}}{\rho_{0}^{2}}\right)-\operatorname{Ei}\left(-\frac{\rho^{2}}{4\epsilon^{2}}\right)\right]\;.$
(91)
Notice that the regularization of the source induces the behavior
${J=O(\rho^{2})}$ and ${H=O(1)}$ near ${\rho=0}$. Finally, let us point out
that the parameter ${\epsilon}$, characterizing the width of the Gaussian
beam, should not be regarded as infinitesimal but as a finite quantity. In
fact, due to the presence of $(\delta_{\epsilon}(x)\delta_{\epsilon}(y))^{2}$
term, many expressions are expected to blow up in the limit ${\epsilon\to 0}$
unless we neglect the non-linear terms proportional to
${O(\chi_{\mathrm{J}}^{2})}$.
## VI Gyratons in SG
The Stelle gravity is obtained if we set the form-factors to constants [16,
57],
$\mathcal{F}_{1}(\square)=\alpha+\beta/4\;,\quad\mathcal{F}_{2}(\square)=\beta\;,\quad\mathcal{F}_{3}(\square)=0\;.$
(92)
where, without loss of generality, we left out the Weyl term. It is always
possible to achieve $\mathcal{F}_{3}(\square)=0$ by adding the Gauss–Bonnet
term to the action, which does not affect the field equations in four
dimensions. In order for the theory to admit a spin-2 degree of freedom with
positive mass (around the Minkowski background), it is often required that
${m^{2}\equiv-1/\varkappa\beta>0}$. In the GR limit, ${m\to\infty}$, the
action reduces to the Einstein–Hilbert term, so we can also expect to get the
GR solutions when this limit is applied to SG solutions. Since ${\varkappa
f_{2,n-1}=-m^{-2}\delta_{n-1}^{0}}$, we can write the field equations for
axially symmetric gyratons as (cf. (65))
$\displaystyle\big{(}1-m^{-2}\triangle_{1}\big{)}\Phi_{21}$
$\displaystyle=\varkappa\Xi_{21}\;,$ (93)
$\displaystyle\big{(}1-m^{-2}\triangle_{0}\big{)}\Phi_{22}-m^{-2}\diamondsuit\Phi_{21}$
$\displaystyle=\varkappa\Xi_{22}\;,$
where $\Phi_{21}$ and $\Phi_{22}$ are again given by (62).
### VI.1 Homogeneous parts
As before, let us start by identifying the homogeneous parts
$J_{\textrm{hom}}$ and $H_{\textrm{hom}}$. This time, they obey the
differential equations of the fourth order,
$\displaystyle\big{(}1-m^{-2}\triangle_{1}\big{)}{\circledcirc}J_{\textrm{hom}}$
$\displaystyle=0\;,$ (94)
$\displaystyle\big{(}1-m^{-2}\triangle_{0}\big{)}\triangle_{0}H_{\textrm{hom}}$
$\displaystyle=0\;.$
The general solutions of these two independent equations are given by linear
combinations
$\displaystyle J_{\textrm{hom}}$ $\displaystyle=c_{1}(u)m\rho
I_{1}(m\rho)+c_{2}(u)m\rho K_{1}(m\rho)+c_{3}(u)\rho^{2}+c_{4}(u)\;,$ (95)
$\displaystyle H_{\textrm{hom}}$
$\displaystyle=c_{5}(u)I_{0}(m\rho)+c_{6}(u)K_{0}(m\rho)+c_{7}(u)\log\rho+c_{8}(u)\;,$
with eight arbitrary functions $c_{i}(u)$. With this in hand we can now focus
on vacuum solutions.
### VI.2 Vacuum
In contrast to GR gyratons, the gyratons in SG solving (93) may be of type III
even in the region with no matter (${\boldsymbol{T}=0}$). The condition
${\Xi_{21}=0}$ is satisfied by ${J=J_{\textrm{hom}}}$ with arbitrary
$c_{i}(u)$. In what follows, we focus on solutions that approach the vacuum GR
solutions for ${\rho\to\infty}$ and give rise to continuous metric in
Cartesian coordinates. These two assumptions lead to ${c_{1}(u)=c_{3}(u)=0}$
and ${c_{2}(u)=-c_{4}(u)}$, respectively. After renaming the function
$c_{4}(u)$ to match (85), we get
$J=\frac{\varkappa\chi_{\textrm{J}}(u)}{4\pi}\big{(}1-m\rho
K_{1}(m\rho)\big{)}\;.$ (96)
The corresponding curvature component ${\Phi_{21}}$ is non-zero,
$\Phi_{21}=\frac{i\varkappa\chi_{\textrm{J}}(u)m^{3}}{2^{4}\sqrt{2}\pi}K_{1}(m\rho)\;,$
(97)
which signifies the algebraic type III as we foreshadowed.
Moving on to the second equation of (93) with ${\Xi_{22}=0}$, we observe that
the particular part $H_{\textrm{part}}$ cannot be found by blindly following
the methods presented in Section IV.3 in this specific case. Namely, we cannot
use the formula (73),
$\Phi_{22}^{\textrm{part}}=\mathcal{H}_{0}^{-1}\left[\frac{\mathcal{H}_{0}\left[m^{-2}\diamondsuit\Phi_{21}\right](s)}{1+m^{-2}s^{2}}\right](\rho)\;,$
(98)
because the integral in the Hankel transform of the expression
$m^{-2}\diamondsuit\Phi_{21}=\frac{\varkappa^{2}m^{4}\chi_{\textrm{J}}^{2}(u)}{2^{6}\pi^{2}}\left(2K_{0}(m\rho){}^{2}+K_{1}(m\rho){}^{2}\right)\equiv
m^{4}U(u,m\rho)$ (99)
does not converge. Despite this inconvenience, we can find $\Phi_{22}$ by
direct integration, which results in
$\displaystyle\Phi_{22}$
$\displaystyle=\frac{m^{2}}{2}c_{5}(u)I_{0}(m\rho)+\frac{m^{2}}{2}c_{6}(u)K_{0}(m\rho)+m^{6}\int_{\rho_{1}}^{\rho}\\!\\!d\tilde{\rho}\,\tilde{\rho}\big{(}I_{0}(m\tilde{\rho})K_{0}(m\rho)-I_{0}(m\rho)K_{0}(m\tilde{\rho})\big{)}U(u,m\tilde{\rho})$
(100)
$\displaystyle=\frac{m^{2}}{2}c_{6}(u)K_{0}(m\rho)+m^{6}\int_{0}^{\infty}\\!\\!d\tilde{\rho}\,\tilde{\rho}\left[Z(m\tilde{\rho},m\rho)-\theta(\rho_{1}-\tilde{\rho})I_{0}(m\tilde{\rho})K_{0}(m\rho)\right]U(u,m\tilde{\rho})\;.$
In this derivation, we included two arbitrary functions $c_{5}(u)$ and
$c_{6}(u)$ corresponding to the freedom in adding a homogeneous part. The
function $c_{5}(u)$ was set to
$c_{5}(u)=2m^{4}\int_{\rho_{0}}^{\infty}\\!\\!d\tilde{\rho}\,\tilde{\rho}K_{0}(m\tilde{\rho})U(u,m\tilde{\rho})$
(101)
so as to achieve vanishing $\Phi_{22}$ for ${\rho\to\infty}$. We also rewrote
the expression in terms of the Heaviside step function $\theta$ and the
function $Z$,
$Z(x,y)\equiv\begin{cases}I_{0}(x)K_{0}(y)\;,&x<y\;,\\\
I_{0}(y)K_{0}(x)\;,&x>y\;.\end{cases}$ (102)
The choice of $c_{5}(u)$ also guarantees the convergence of the convolution
integral with the Green’s function (75), which we can use to find $H$, where
without loss of generality we choose ${\rho_{1}=\rho_{0}}$. The corresponding
solution is then given by
$\displaystyle H$
$\displaystyle=c_{6}(u)\big{(}K_{0}(m\rho)+\log(\rho/\rho_{0})\big{)}+2m^{6}\int_{0}^{\infty}\\!\\!d\rho^{\prime}\,\rho^{\prime}L(\rho,\rho^{\prime})\int_{0}^{\infty}\\!\\!d\tilde{\rho}\,\tilde{\rho}\left[Z(m\tilde{\rho},m\rho^{\prime})-\theta(\rho_{0}-\tilde{\rho})I_{0}(m\tilde{\rho})K_{0}(m\rho^{\prime})\right]U(u,m\tilde{\rho})$
(103)
$\displaystyle\mathrel{\phantom{=}}-\frac{\varkappa^{2}m^{2}\chi_{\textrm{J}}^{2}(u)}{2^{6}\pi^{2}}\big{(}m^{2}\rho^{2}K_{0}(m\rho){}^{2}+m\rho
K_{1}(m\rho)K_{0}(m\rho)-m^{2}\rho^{2}K_{1}(m\rho){}^{2}+\log(\rho/\rho_{0})\big{)}\;.$
The function $c_{6}(u)$ can be determined by comparing the asymptotic behavior
of $H$ for ${\rho\to\infty}$ with (85). To get a match, we set
$c_{6}(u)=-2m^{6}\int_{0}^{\infty}\\!\\!d\rho^{\prime}\,\rho^{\prime}\int_{0}^{\infty}\\!\\!d\tilde{\rho}\,\tilde{\rho}\left[Z(m\tilde{\rho},m\rho^{\prime})-\theta(\rho_{0}-\tilde{\rho})I_{0}(m\tilde{\rho})K_{0}(m\rho^{\prime})\right]U(u,m\tilde{\rho})+\frac{\varkappa^{2}m^{2}\chi_{\textrm{J}}^{2}(u)}{2^{6}\pi^{2}}+\frac{\varkappa\chi_{\textrm{H}}(u)}{2\pi}\;,$
(104)
which then gives us the same asymptotic expansion
$H(\rho\to\infty)\approx\frac{\varkappa\chi_{\textrm{H}}(u)}{4\pi}\log\left(\frac{\rho^{2}}{\rho_{0}^{2}}\right)\;.$
(105)
Employing the properties of the Bessel functions, it is not difficult to check
that the GR limit of the solution given by (96) and (103) is nothing but GR
gyraton in vacuum (85). Interestingly, we can also observe the regular
behavior ${J=O(\rho^{2})}$ and ${H=O(1)}$ near ${\rho=0}$, even though no
regularization of the source was imposed by hand. In the slowly-rotating
linearized regime $H$ reduces to
$H_{\textrm{lin}}=\frac{\varkappa\chi_{\textrm{H}}(u)}{4\pi}\left[\log\left(\frac{\rho^{2}}{\rho_{0}^{2}}\right)+2K_{0}(m\rho)\right]\;.$
(106)
The relevant graphs for the SG vacuum gyraton are plotted in dimensionless
quantities in Figure 1.
Figure 1: Vacuum gyraton in SG. Functions ${4\pi
J}/{\varkappa\chi_{\textrm{J}}}$ (left) and function ${4\pi
H}/{\varkappa\chi_{\textrm{H}}}$ (right) with respect to the variable $m\rho$
for the values: ${m\rho_{0}=3}$ and
${(\varkappa/\chi_{\textrm{H}})^{1/2}m\chi_{\textrm{J}}=3,6,9}$.
## VII Gyratons in IDG
Consider a non-local gravity with the form-factors [25]:
$\varkappa\mathcal{F}_{2}(\square)=-4\varkappa\mathcal{F}_{1}(\square)=\frac{\mathcal{A}(\square)-1}{\square}\;,\quad\mathcal{F}_{3}(\square)=0\;,$
(107)
where $\mathcal{A}$ is an arbitrary analytic non-polynomial function with no
zeros in the complex plane satisfying ${\mathcal{A}(0)=1}$. This theory is
often referred to as the infinite derivative gravity. The choice of the form-
factor ensures that (around the Minkowski background) the theory has no ghosts
or extra degrees of freedom when compared to GR. As a simple example, we take
the exponential operator
$\mathcal{A}(\square)=e^{-\ell^{2}\square}\;,$ (108)
which implies ${\varkappa f_{2,n-1}=(-\ell^{2})^{n}/n!}$. The parameter $\ell$
is called the (length) scale of non-locality. Einstein–Hilbert action is
recovered in the (local) GR limit, ${\ell\to 0}$. The exponential operator
(108) has also a technical advantage over other common choices. It allows us
to simplify the infinite double-sum operator in (65),
$\sum_{n=1}^{\infty}\tfrac{(-\ell^{2})^{n}}{n!}\sum_{k=0}^{n-1}\triangle_{0}^{k}\diamondsuit\triangle_{1}^{n-k-1}=\sum_{k=0}^{\infty}\triangle_{0}^{k}\diamondsuit\sum_{l=0}^{\infty}\tfrac{(-\ell^{2})^{k+l+1}}{(k+l+1)!}\triangle_{1}^{l}=-\ell^{2}\int_{0}^{1}\\!\\!dt\,e^{-t\ell^{2}\triangle_{0}}\diamondsuit
e^{-(1-t)\ell^{2}\triangle_{1}}\;,$ (109)
using the integral identity
$\int_{0}^{1}\\!\\!dt\,t^{k}(1-t)^{l}=\frac{k!l!}{(k+l+1)!}\;.$ (110)
This mathematical trick brings the field equations to much more tractable form
with an integral instead of infinite double-sums,
$\displaystyle e^{-\ell^{2}\triangle_{1}}\Phi_{21}$
$\displaystyle=\varkappa\Xi_{21}\;,$ (111) $\displaystyle
e^{-\ell^{2}\triangle_{0}}\Phi_{22}-\ell^{2}\int_{0}^{1}\\!\\!dt\,e^{-t\ell^{2}\triangle_{0}}\diamondsuit
e^{-(1-t)\ell^{2}\triangle_{1}}\Phi_{21}$ $\displaystyle=\varkappa\Xi_{22}\;.$
Let us now proceed to discuss the solutions of these non-local equations.
### VII.1 Homogeneous parts
Once more we start with homogeneous parts $J_{\text{hom}}$ and
$H_{\text{hom}}$, which now obey the differential equations
$\displaystyle e^{-\ell^{2}\triangle_{1}}{\circledcirc}J_{\textrm{hom}}$
$\displaystyle=0\;,$ (112) $\displaystyle
e^{-\ell^{2}\triangle_{0}}\triangle_{0}H_{\textrm{hom}}$ $\displaystyle=0\;.$
It is a well-known fact [58, 59] that the structure of solutions of
homogeneous equations is affected only by the operators with zeros in the
complex plane. Following this rule, the non-local exponential operators should
not change the homogeneous parts, thus the solutions of (112) should be
equivalent to the solutions of (79), which are given by (80). However, this is
only true for ${\rho>0}$ and we have no reasons to exclude the origin
${\rho=0}$ from the domain of functions on which the operators act. At this
moment, we recall the result (83), which states that
${J_{\textrm{hom}}=c_{2}(u)}$ as well as ${H_{\textrm{hom}}=c_{3}(u)\log\rho}$
are not homogeneous solutions when ${\rho=0}$ is taken into account in a
distributional sense. Since the actions of $e^{-\ell^{2}\triangle_{1}}$ and
$e^{-\ell^{2}\triangle_{0}}$ on Dirac-delta sources (83) are not even
mathematically well-defined (the integrals in the Fourier space blow up), we
are forced to set ${c_{2}(u)=c_{3}(u)=0}$, i.e.,
$\displaystyle J_{\textrm{hom}}$ $\displaystyle=c_{1}(u)\rho^{2}\;,$ (113)
$\displaystyle H_{\textrm{hom}}$ $\displaystyle=c_{4}(u)\;.$
For this reason, we should again expect the full solution to behave like
${J=O(\rho^{2})}$ and ${H=O(1)}$ near ${\rho=0}$.
### VII.2 Gaussian beam
Let us consider the source (88) describing the spinning null matter of
Gaussian-type distribution. This kind of source is not only physically
relevant, but also makes the calculations exceptionally simple. The reason is
because the formulas for the particular parts (70) and (73) reduce to
$\Phi_{21}^{\textrm{part}}=\varkappa\mathcal{H}_{1}^{-1}\left[e^{-\ell^{2}\tilde{s}^{2}}\mathcal{H}_{1}[\Xi_{21}](\tilde{s})\right](\rho)$
(114)
and
$\Phi_{22}^{\textrm{part}}=\varkappa\mathcal{H}_{0}^{-1}\left[e^{-\ell^{2}s^{2}}\mathcal{H}_{0}[\Xi_{22}](s)\right](\rho)+\ell^{2}\int_{0}^{1}\\!\\!dt\,\mathcal{H}_{0}^{-1}\left[e^{-(1-t)\ell^{2}s^{2}}\mathcal{H}_{0}\left[\diamondsuit\mathcal{H}_{1}^{-1}\left[e^{(1-t)\ell^{2}\tilde{s}^{2}}\mathcal{H}_{1}[\Phi_{21}](\tilde{s})\right](\rho)\right](s)\right](\rho)\;.$
(115)
respectively. Although these expressions may look pretty intimidating at first
sight, the actual calculations will involve just repeated evaluation of Hankel
transforms of Gaussian-type functions (Gaussian functions multiplied by
even/odd polynomials) which are then turned into other Gaussian-type
functions. Moreover, because all integrals converge, we can follow the methods
from Section IV.3 exactly as written there.
In particular, the formula (114) leads to
$\Phi_{21}^{\textrm{part}}=\frac{i\varkappa\chi_{\textrm{J}}(u)}{2^{6}\sqrt{2}\pi\left(\ell^{2}+\epsilon^{2}\right)^{2}}\rho
e^{-\frac{\rho^{2}}{4\left(\ell^{2}+\epsilon^{2}\right)}}\;.$ (116)
which can be then integrated using (72) to obtain
$J=\frac{\varkappa\chi_{\textrm{J}}(u)}{4\pi}\Big{(}1-e^{-\frac{\rho^{2}}{4\left(\ell^{2}+\epsilon^{2}\right)}}\Big{)}\;,$
(117)
where we used the freedom in adding a homogeneous part proportional to
$\rho^{2}$ that provides GR-like asymptotic behavior for ${\rho\to\infty}$,
cf. (89). Notice that the difference lies only in the replacement of
$\epsilon^{2}$ by the effective width ${\epsilon^{2}{+}\ell^{2}}$.
With this $J$ in hand, we can now express the operator $\diamondsuit$, and
evaluate all the Hankel transforms in (115),
$\Phi_{22}^{\textrm{part}}=\frac{\varkappa\chi_{\textrm{H}}(u)}{2^{3}\pi\left(\ell^{2}+\epsilon^{2}\right)}e^{-\frac{\rho^{2}}{4\left(\ell^{2}+\epsilon^{2}\right)}}+\frac{\varkappa^{2}\chi_{\textrm{J}}^{2}(u)}{2^{8}\pi^{2}\epsilon^{2}\left(2\ell^{2}+\epsilon^{2}\right)}e^{-\frac{\rho^{2}}{2(2\ell^{2}+\epsilon^{2})}}+\frac{\varkappa^{2}\chi_{\textrm{J}}^{2}(u)\ell^{2}}{2^{10}\pi^{2}}\int_{0}^{1}\\!\\!dt\,\left[\alpha_{0}(t)+\alpha_{2}(t)\rho^{2}\right]e^{-\beta(t)\rho^{2}}\;,$
(118)
where we introduced the functions
$\displaystyle\alpha_{0}(t)$
$\displaystyle\equiv-\frac{4(3+t(2-(4-t)t))\ell^{6}+4(11-t(t+4))\ell^{4}\epsilon^{2}+12(3-t)\ell^{2}\epsilon^{4}+8\epsilon^{6}}{\left[(1+(1-t)t)\ell^{4}+(3-t)\ell^{2}\epsilon^{2}+\epsilon^{4}\right]^{3}}\;,$
(119) $\displaystyle\alpha_{2}(t)$
$\displaystyle\equiv\frac{\left(\ell^{2}+\epsilon^{2}\right)\left((t+2)\ell^{2}+3\epsilon^{2}\right)}{\left[(1+(1-t)t)\ell^{4}+(3-t)\ell^{2}\epsilon^{2}+\epsilon^{4}\right]^{3}}\;,$
$\displaystyle\beta(t)$
$\displaystyle\equiv\frac{(t+1)\ell^{2}+2\epsilon^{2}}{4\left[(1+(1-t)t)\ell^{4}+(3-t)\ell^{2}\epsilon^{2}+\epsilon^{4}\right]}\;.$
We can observe that the first two terms and the integrand in the third term of
(118) as well as the term
$\frac{\big{(}J_{,\rho}\big{)}^{2}}{2\rho^{2}}=\frac{\varkappa^{2}\chi_{\textrm{J}}^{2}(u)}{128\pi^{2}\left(\ell^{2}+\epsilon^{2}\right)^{2}}e^{-\frac{\rho^{2}}{2\left(\ell^{2}+\epsilon^{2}\right)}}$
(120)
have the same form,
$W_{\varsigma}=\big{(}a_{0}(u)+a_{2}(u)\rho^{2}\big{)}e^{-b\rho^{2}}=\big{(}a_{0}(u)-a_{2}(u)\partial_{b}\big{)}e^{-b\rho^{2}}\;.$
(121)
Here $\varsigma$ labels the individual ‘summands’, where the integrand is also
treated as a summand with a continuous index $t$. Therefore, we can formally
write the right-hand side of (74) as
$W=\operatorname*{\mathchoice{\ooalign{$\displaystyle\sum$\cr$\displaystyle\int$\cr}}{\ooalign{\raisebox{0.14pt}{\scalebox{0.7}{$\textstyle\sum$}}\cr$\textstyle\int$\cr}}{\ooalign{\raisebox{0.2pt}{\scalebox{0.6}{$\scriptstyle\sum$}}\cr$\scriptstyle\int$\cr}}{\ooalign{\raisebox{0.2pt}{\scalebox{0.6}{$\scriptstyle\sum$}}\cr$\scriptstyle\int$\cr}}}\nolimits_{\varsigma}\\!\\!\\!W_{\varsigma}\;.$
(122)
Owing to the linearity of (74), we can calculate the solutions for individual
terms by means of the Green’s function (75),
$\displaystyle H_{\varsigma}$ $\displaystyle=G\star
W_{\varsigma}=G\star\big{(}a_{0}(u)-a_{2}(u)\partial_{b}\big{)}e^{-b\rho^{2}}=\big{(}a_{0}(u)-a_{2}(u)\partial_{b}\big{)}\big{(}G\star
e^{-b\rho^{2}}\big{)}$ (123)
$\displaystyle=\frac{a_{0}(u)b+a_{2}(u)}{4b^{2}}\left[\log\left(\rho^{2}/\rho_{0}^{2}\right)-\operatorname{Ei}\left(-b\rho^{2}\right)\right]+\frac{a_{2}(u)}{4b^{2}}e^{-b\rho^{2}}\;,$
where we used again (90). After summing and integrating these terms we arrive
at the result
$\displaystyle H$
$\displaystyle=\frac{\varkappa\chi_{\textrm{H}}(u)}{4\pi}\left[\log\left(\frac{\rho^{2}}{\rho_{0}^{2}}\right)-\operatorname{Ei}\left(-\frac{\rho^{2}}{4\left(\ell^{2}+\epsilon^{2}\right)}\right)\right]$
(124)
$\displaystyle\mathrel{\phantom{=}}+\frac{\varkappa^{2}\chi_{\textrm{J}}^{2}(u)}{2^{8}\pi^{2}}\left\\{\frac{\ell^{2}}{\epsilon^{2}\left(\ell^{2}+2\epsilon^{2}\right)}\log\left(\frac{\rho^{2}}{\rho_{0}^{2}}\right)+\frac{1}{\ell^{2}+\epsilon^{2}}\operatorname{Ei}\left(-\frac{\rho^{2}}{2\left(\ell^{2}+\epsilon^{2}\right)}\right)-\frac{1}{\epsilon^{2}}\operatorname{Ei}\left(-\frac{\rho^{2}}{2(2\ell^{2}+\epsilon^{2})}\right)\right.$
$\displaystyle\mathrel{\phantom{=}}+\int\limits_{0}^{1}dt\;\frac{\ell^{2}}{\left((t+1)\ell^{2}+2\epsilon^{2}\right)^{2}}\left[\operatorname{Ei}\left(-\frac{(1+t)\ell^{2}+2\epsilon^{2}}{4\left[((1-t)t+1)\ell^{4}+(3-t)\ell^{2}\epsilon^{2}+\epsilon^{4}\right]}\rho^{2}\right)\right.$
$\displaystyle\mathrel{\phantom{=}}\left.\left.+\frac{\left(\ell^{2}+\epsilon^{2}\right)\left((t+2)\ell^{2}+3\epsilon^{2}\right)}{((1-t)t+1)\ell^{4}+(3-t)\ell^{2}\epsilon^{2}+\epsilon^{4}}\exp\left(-\frac{(1+t)\ell^{2}+2\epsilon^{2}}{4\left[((1-t)t+1)\ell^{4}+(3-t)\ell^{2}\epsilon^{2}+\epsilon^{4}\right]}\rho^{2}\right)\right]\right\\}\;.$
Notice that the asymptotic behavior of $H$ for ${\rho\to\infty}$,
$H(\rho\to\infty)\approx\left[\frac{\varkappa\chi_{\textrm{H}}(u)}{4\pi}+\frac{\varkappa^{2}\chi_{\textrm{J}}^{2}(u)}{2^{8}\pi^{2}}\frac{\ell^{2}}{\epsilon^{2}\left(\ell^{2}+2\epsilon^{2}\right)}\right]\log\left(\frac{\rho^{2}}{\rho_{0}^{2}}\right)\;,$
(125)
is governed by a different constant compared to the GR solution. In IDG,
unlike in GR or SG, this logarithmic behavior cannot be modified by adding a
homogeneous part, cf. (113). If we take the GR limit of the non-local solution
given by (117) and (124) and use the properties of the exponential integral,
we recover the Gaussian beam solution in GR, (89) and (91). Near ${\rho=0}$
the solution has the expected behavior ${J=O(\rho^{2})}$ and ${H=O(1)}$. In
the linearized approximation of slow rotation, $H$ simplifies to
$H_{\textrm{lin}}=\frac{\varkappa\chi_{\textrm{H}}(u)}{4\pi}\left[\log\left(\frac{\rho^{2}}{\rho_{0}^{2}}\right)-\operatorname{Ei}\left(-\frac{\rho^{2}}{4\left(\ell^{2}+\epsilon^{2}\right)}\right)\right]\;,$
(126)
where we also see the effective replacement of $\epsilon^{2}$ by
${\epsilon^{2}+\ell^{2}}$ when compared to the GR result. If we also take the
limit ${\epsilon\to 0}$, the geometry reduces to the gyratons solution in the
linearized IDG [34]. Graphs of the IDG solution for the Gaussian beam in
dimensionless quantities is depicted in Figure 2.
Figure 2: Gaussian beam gyraton in IDG. Functions ${4\pi
J}/{\varkappa\chi_{\textrm{J}}}$ (left) and function ${4\pi
H}/{\varkappa\chi_{\textrm{H}}}$ (right) with respect to the variable
$\rho/\ell$ for the values: ${\epsilon/\ell=1}$, ${\rho_{0}/\ell=3}$, and
${(\varkappa/\chi_{\textrm{H}})^{1/2}\chi_{\textrm{J}}/\ell=10,20,30}$.
## VIII Higher-order curvature gravity
In this section, we would like to briefly comment on gyraton solutions of
theories that are of the cubic and higher orders in curvature. First, let us
point out that Lagrangians of the $n$th order in curvature (with no terms of a
lower order than $n$) lead to field equations which are at least of the
${(n{-}1)}$th order in curvature. This can be seen by noticing that any
Lagrangian
$L=L(\boldsymbol{g},\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{R})$
can be rearranged to the form that is totally symmetric in derivatives,
$L=L(\boldsymbol{g},\boldsymbol{\nabla}_{\boldsymbol{a}_{1}}\boldsymbol{R},\boldsymbol{\nabla}_{(\boldsymbol{a}_{1}}\boldsymbol{\nabla}_{\boldsymbol{a}_{2})}\boldsymbol{R},\ldots,\boldsymbol{\nabla}_{(\boldsymbol{a}_{1}}{\cdots}\boldsymbol{\nabla}_{\boldsymbol{a}_{p})}\boldsymbol{R})\;,$
(127)
because we can get rid of the anti-symmetric parts using the commutator at the
expense of introducing one more curvature tensor. The field equations derived
from the Lagrangian (127) then read [60]
$\frac{\partial
L}{\partial\boldsymbol{g_{ab}}}+\boldsymbol{E^{a}{}_{cde}R^{bcde}}+2\boldsymbol{\nabla_{c}\nabla_{d}E^{cabd}}+\frac{1}{2}\boldsymbol{g^{ab}}L=\boldsymbol{T^{ab}}\;,$
(128)
where we denoted
$\boldsymbol{E^{abcd}}\equiv\frac{\partial
L}{\partial\boldsymbol{R_{abcd}}}-\boldsymbol{\nabla}_{\boldsymbol{a}_{1}}\frac{\partial
L}{\partial\boldsymbol{\nabla}_{\boldsymbol{a}_{1}}\boldsymbol{R_{abcd}}}+\ldots+(-1)^{p}\boldsymbol{\nabla}_{\boldsymbol{(}\boldsymbol{a}_{1}}{\cdots}\boldsymbol{\nabla}_{\boldsymbol{a}_{p}\boldsymbol{)}}\frac{\partial
L}{\partial\boldsymbol{\nabla}_{\boldsymbol{(}\boldsymbol{a}_{1}}{\cdots}\boldsymbol{\nabla}_{\boldsymbol{a}_{p}\boldsymbol{)}}\boldsymbol{R_{abcd}}}\;.$
(129)
Therefore, starting with a Lagrangian involving scalar curvature invariants of
$n$th order and higher, only $\boldsymbol{\nabla_{c}\nabla_{d}E^{cabd}}$
contains terms of a lower order in curvature, namely ${n{-}1}$.
As mentioned in Section III.2, rank-2 tensors that are cubic or of a higher
order in curvature vanish and hence we can conclude that the only
contributions to the field equations for gyratons following from Lagrangians
that are cubic in curvature must be quadratic in curvature; moreover, they are
given by $\boldsymbol{\nabla_{c}\nabla_{d}E^{cabd}}$. Scalar curvature
invariants of the fourth and higher orders do not contribute at all.
However, a generic Lagrangian cubic in curvature cannot be recast in a form
involving only the wave operator $\square$ using the integration by parts,
Bianchi identities, and the symmetry of the Riemann tensor as one can do in
the quadratic case (2).888The contraction of two covariant derivatives gives
$\square$, no matter where they appear in the cubic term
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
R\nabla}{\cdots}\boldsymbol{\nabla R\nabla}\cdots\boldsymbol{\nabla R}$ of the
action that is totally symmetric in derivatives because either
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla\nabla_{a}\nabla}{\cdots}\boldsymbol{\nabla\nabla^{a}\nabla}{\cdots}\boldsymbol{\nabla
R}=\square\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla R}$ or we have the
term of the form
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla\nabla_{a}\nabla}{\cdots}\boldsymbol{\nabla
R\nabla}{\cdots}\boldsymbol{\nabla\nabla^{a}\nabla}{\cdots}\boldsymbol{\nabla
R\nabla}\cdots\boldsymbol{\nabla R}$, where we can employ
$\boldsymbol{\nabla_{c}t}_{1}\boldsymbol{\nabla^{c}t}_{2}\boldsymbol{t}_{3}=\frac{1}{2}\boldsymbol{t}_{1}\boldsymbol{t}_{2}\square\boldsymbol{t}_{3}-\frac{1}{2}\square\boldsymbol{t}_{1}\boldsymbol{t}_{2}\boldsymbol{t}_{3}-\frac{1}{2}\boldsymbol{t}_{1}\square\boldsymbol{t}_{2}\boldsymbol{t}_{3}$.
The last identity follows from the combination of
$\square(\boldsymbol{t}_{1}\boldsymbol{t}_{2})\boldsymbol{t}_{3}=-\nabla_{c}(\boldsymbol{t}_{1}\boldsymbol{t}_{2})\nabla^{c}\boldsymbol{t}_{3}=(\boldsymbol{t}_{1}\boldsymbol{t}_{2})\square\boldsymbol{t}_{3}$
(integrations by parts) and
$\square(\boldsymbol{t}_{1}\boldsymbol{t}_{2})\boldsymbol{t}_{3}=\square\boldsymbol{t}_{1}\boldsymbol{t}_{2}\boldsymbol{t}_{3}+2\nabla_{c}\boldsymbol{t}_{1}\nabla^{c}\boldsymbol{t}_{2}\boldsymbol{t}_{3}+\boldsymbol{t}_{1}\square\boldsymbol{t}_{2}\boldsymbol{t}_{3}$
(Leibniz rule). A covariant derivative contracted with the Riemann tensor on
which it is applied can be eliminated using the contracted Bianchi identities
$\boldsymbol{\nabla^{d}R_{abcd}}=2\boldsymbol{\nabla_{[a}R_{b]c}}$,
$\boldsymbol{\nabla^{b}R_{ab}}=\tfrac{1}{2}\boldsymbol{\nabla_{a}}R$.
Unfortunately, it seems that there is no appropriate way of simplifying the
contractions containing
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla\nabla^{a}\nabla}{\cdots}\boldsymbol{\nabla
R}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla R_{abcd}}$ in the cubic terms.
We are thus not able to explicitly express
$\boldsymbol{\nabla_{c}\nabla_{d}E^{cabd}}$ in a compact form. Nevertheless,
the results of Section III.3 imply that the only possible non-vanishing
contributions to the field equations following from Lagrangians cubic in
curvature are of the forms
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
S}_{\circ\bullet}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
S}_{\circ\bullet}\;,$ (130)
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
S}_{\circ\bullet}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
C}_{\circ\bullet\bullet\bullet}\;,$
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
S}_{\bullet\bullet}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
C}_{\circ\bullet\circ\bullet}\;,$
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
C}_{\circ\bullet\bullet\bullet}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
C}_{\circ\bullet\bullet\bullet}\;,$
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
C}_{\circ\bullet\circ\bullet}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
C}_{\bullet\bullet\bullet\bullet}\;,$
where $\circ$ and $\bullet$ represent free and dummy indices, respectively.
All such rank-2 tensors have only b.w. $-2$ components constructed from two
b.w. $-1$ components of the TF Ricci and Weyl tensors. In other words, the
first equation of the field equations for gyraton metrics (59) (equation for
$J$, independent of $H$) is unaffected by higher-order terms in the action, so
the solution for $J$ remains the same. On the other hand, the second equation
of (59) (equation for $H$) is modified by additional terms that are quadratic
in $\Phi_{21}$ (i.e., quadratic in $J$).
## IX Conclusions
In this work, we derived field equations for gyratons in generic theories of
gravity that are quadratic in curvature and contain an arbitrary number of
covariant derivatives. We also commented on theories with higher order terms
in curvature. Since the gyraton metric (38) belongs to the pp-waves of type
III, many terms in the field equations either vanish or get drastically
simplified, as shown in Section III. The resulting set of two partial
differential equations (59) is partly linear and decoupled, which makes the
system completely solvable using standard methods for linear differential
equations. In particular, for the axially symmetric case, we show that these
equations become ordinary differential equations (65), and can be solved by
means of the Hankel transforms, Green’s function, etc. The reduced field
equations (59) and (65), and methods presented in Section IV.3 are readily
applicable to various theories of gravity (provided that Hankel transforms
exists and convolution integrals converge).
To demonstrate the application of our equations, we considered Stelle’s fourth
derivative gravity and the non-local gravity with an infinite number of
derivatives. In the former (SG), we found a vacuum gyraton solution that is
described by functions (96) and (103), see Figure 1. In the latter (IDG), we
obtained the gyraton solution for the Gaussian beam, given by the functions
(117) and (124), see Figure 2. The functions $J$ and $H$ are regular in both
cases. Furthermore, the obtained solutions reduce to the corresponding
gyratons in GR when we take the GR limits of the theories. SG vacuum gyraton
also approaches GR vacuum gyraton far from the source, while IDG Gaussian beam
gyraton shows different logarithmic behavior then GR Gaussian beam gyraton,
see (125). This is most likely a consequence of the fact the non-locality
plays an important role only near the sources. The Gaussian-type source
extends to infinity while the source that generates the vacuum SG solution is
located at ${\rho=0}$.
Since all pp-waves of type III are of VSI, all gyratons within this class are
always free of scalar curvature singularities. To decide on the
presence/absence of the non-scalar curvature singularities [61, 62] one needs
to investigate the components of the curvature in PP frames along all timelike
and null geodesics. However, this is a rather non-trivial task that deserves a
proper investigation in a separate project.
One natural continuation of our research was hinted in Section VIII, i.e., the
generalization to completely generic actions that are analytic in
$\boldsymbol{R}$ and $\boldsymbol{\nabla}$. As mentioned there, the actions
that are of quartic and higher orders in the curvature cannot contribute to
the field equations of gyratons. Thus, since we already dealt with the
contributions from the quadratic terms, the only remaining terms that must be
worked out are of the cubic order in curvature. It was also pointed out above
that their contributions to the field equations should be of the form (130),
which can only affect the function $H$ while the function $J$ remains
unchanged.
Another interesting project is also generalization to spacetimes with constant
non-zero Ricci scalar $R$, which could be interpreted as gyratons (spinning
null sources) propagating in (anti-)de Sitter background. Although, this line
of research requires considering type II spacetimes with non-zero components
of b.w. 0, the reduction of equation might still be significant because the
b.w. 0 are necessarily constant.
## Acknowledgements
We would like to thank to Jens Boos (Williamsburg, US) for useful discussions.
I.K. was supported by Netherlands Organization for Scientific Research (NWO)
grant no. 680-91-119. T.M. acknowledges the support of the Czech Science
Foundation GAČR grant no. GA19-09659S. The works of E.K. and S.D. are
supported by the TUBITAK grant no. 119F241.
## Appendix A NP formalism
In this appendix, we gather the most important formulas of the Newman–Penrose
formalism; for more details we refer the reader to [63]. The formalism makes
use of the orthonormal null covector frame ${\boldsymbol{l}}$,
${\boldsymbol{n}}$, ${\boldsymbol{m}}$, and ${\bar{\boldsymbol{m}}}$
satisfying (11). In this frame, the covariant derivative $\boldsymbol{\nabla}$
can be expressed by means of the directional derivatives $\mathrm{D}$,
${\centernot{\Delta}}$, $\delta$, and $\bar{\delta}$,
$\boldsymbol{\nabla}=-\boldsymbol{n}\mathrm{D}-\boldsymbol{l}{\centernot{\Delta}}+\bar{\boldsymbol{m}}\delta+\boldsymbol{m}\bar{\delta}\;.$
(131)
Derivatives of the frame vectors are characterized using 12 complex functions
called the spin coefficients commonly denoted by lower-case Greek letters:
$\displaystyle\mathrm{D}\boldsymbol{l}$
$\displaystyle=(\varepsilon+\bar{\varepsilon})\boldsymbol{l}-\bar{\kappa}\boldsymbol{m}-\kappa\bar{\boldsymbol{m}}\;,$
$\displaystyle\mathrm{D}\boldsymbol{n}$
$\displaystyle=-(\varepsilon+\bar{\varepsilon})\boldsymbol{n}+\pi\boldsymbol{m}+\bar{\pi}\bar{\boldsymbol{m}}\;,$
$\displaystyle\mathrm{D}\boldsymbol{m}$
$\displaystyle=\bar{\pi}\boldsymbol{l}-\kappa\boldsymbol{n}+(\varepsilon-\bar{\varepsilon})\boldsymbol{m}\;,$
(132) $\displaystyle{\centernot{\Delta}}\boldsymbol{l}$
$\displaystyle=(\gamma+\bar{\gamma})\boldsymbol{l}-\bar{\tau}\boldsymbol{m}-\tau\bar{\boldsymbol{m}}\;,$
$\displaystyle{\centernot{\Delta}}\boldsymbol{n}$
$\displaystyle=-(\gamma+\bar{\gamma})\boldsymbol{n}+\nu\boldsymbol{m}+\bar{\nu}\bar{\boldsymbol{m}}\;,$
$\displaystyle{\centernot{\Delta}}\boldsymbol{m}$
$\displaystyle=\bar{\nu}\boldsymbol{l}-\tau\boldsymbol{n}+(\gamma-\bar{\gamma})\boldsymbol{m}\;,$
$\displaystyle\delta\boldsymbol{l}$
$\displaystyle=(\bar{\alpha}+\beta)\boldsymbol{l}-\bar{\rho}\boldsymbol{m}-\sigma\bar{\boldsymbol{m}}\;,$
$\displaystyle\delta\boldsymbol{n}$
$\displaystyle=-(\bar{\alpha}+\beta)\boldsymbol{n}+\mu\boldsymbol{m}+\bar{\lambda}\bar{\boldsymbol{m}}\;,$
$\displaystyle\delta\boldsymbol{m}$
$\displaystyle=\bar{\lambda}\boldsymbol{l}-\sigma\boldsymbol{n}-(\bar{\alpha}-\beta)\boldsymbol{m}\;,$
$\displaystyle\bar{\delta}\boldsymbol{m}$
$\displaystyle=\bar{\mu}\boldsymbol{l}-\rho\boldsymbol{n}+(\alpha-\bar{\beta})\boldsymbol{m}\;.$
When acting on scalars, the commutators of directional derivatives read:
$\displaystyle=(\gamma+\bar{\gamma})\mathrm{D}+(\varepsilon+\bar{\varepsilon}){\centernot{\Delta}}-(\tau+\bar{\pi})\bar{\delta}-(\bar{\tau}+\pi)\delta\;,$
(133) $\displaystyle[\delta,\mathrm{D}]$
$\displaystyle=(\bar{\alpha}+\beta-\bar{\pi})\mathrm{D}+\kappa{\centernot{\Delta}}-\sigma\bar{\delta}-(\bar{\rho}+\varepsilon-\bar{\varepsilon})\delta\;,$
$\displaystyle[\delta,{\centernot{\Delta}}]$
$\displaystyle=-\bar{\nu}\mathrm{D}+(\tau-\bar{\alpha}-\beta){\centernot{\Delta}}+\bar{\lambda}\bar{\delta}+(\mu-\gamma+\bar{\gamma})\delta\;,$
$\displaystyle[\bar{\delta},\delta]$
$\displaystyle=(\bar{\mu}-\mu)\mathrm{D}+(\bar{\rho}-\rho){\centernot{\Delta}}-(\bar{\alpha}-\beta)\bar{\delta}-(\bar{\beta}-\alpha)\delta\;.$
The curvature is described in terms of the Ricci scalar $R$, components of the
TF Ricci tensor $\Phi_{ij}$, and the Weyl scalars $\Psi_{k}$, which are
defined as:
$\displaystyle\Phi_{00}$
$\displaystyle=\bar{\Phi}_{00}\equiv\tfrac{1}{2}\boldsymbol{S_{ab}l^{a}l^{b}}\;,$
$\displaystyle\Psi_{0}$
$\displaystyle\equiv\boldsymbol{C_{abcd}l^{a}m^{b}l^{c}m^{d}}\;,$ (134)
$\displaystyle\Phi_{01}$
$\displaystyle=\bar{\Phi}_{10}\equiv\tfrac{1}{2}\boldsymbol{S_{ab}l^{a}m^{b}}\;,$
$\displaystyle\Psi_{1}$
$\displaystyle\equiv\boldsymbol{C_{abcd}l^{a}n^{b}l^{c}m^{d}}\;,$
$\displaystyle\Phi_{02}$
$\displaystyle=\bar{\Phi}_{20}\equiv\tfrac{1}{2}\boldsymbol{S_{ab}m^{a}m^{b}}\;,$
$\displaystyle\Psi_{2}$
$\displaystyle\equiv-\boldsymbol{C_{abcd}l^{a}m^{b}n^{c}}\bar{\boldsymbol{m}}\boldsymbol{{}^{d}}\;,$
$\displaystyle\Phi_{11}$
$\displaystyle=\bar{\Phi}_{11}\equiv\tfrac{1}{4}\boldsymbol{S_{ab}}\big{(}\boldsymbol{l^{a}n^{b}}+\boldsymbol{m^{a}}\bar{\boldsymbol{m}}\boldsymbol{{}^{b}}\big{)}\;,$
$\displaystyle\Psi_{3}$
$\displaystyle\equiv\boldsymbol{C_{abcd}n^{a}l^{b}n^{c}}\bar{\boldsymbol{m}}\boldsymbol{{}^{d}}\;,$
$\displaystyle\Phi_{12}$
$\displaystyle=\bar{\Phi}_{21}\equiv\tfrac{1}{2}\boldsymbol{S_{ab}n^{a}m^{b}}\;,$
$\displaystyle\Psi_{4}$
$\displaystyle\equiv\boldsymbol{C_{abcd}n^{a}}\bar{\boldsymbol{m}}\boldsymbol{{}^{b}}\boldsymbol{n^{c}}\bar{\boldsymbol{m}}\boldsymbol{{}^{d}}\;,$
$\displaystyle\Phi_{22}$
$\displaystyle=\bar{\Phi}_{22}\equiv\tfrac{1}{2}\boldsymbol{S_{ab}n^{a}n^{b}}\;.$
The components of the curvature and spin coefficients are connected through
the Ricci identities:
$\displaystyle\mathrm{D}\rho-\bar{\delta}\kappa$
$\displaystyle=\rho^{2}+\sigma\bar{\sigma}+(\varepsilon+\bar{\varepsilon})\rho-\bar{\kappa}\tau-\kappa(3\alpha+\bar{\beta}-\pi)+\Phi_{00}\;,$
(135) $\displaystyle\mathrm{D}\sigma-\delta\kappa$
$\displaystyle=(\rho+\bar{\rho})\sigma+(3\varepsilon-\bar{\varepsilon})\sigma-(\tau-\bar{\pi}+\bar{\alpha}+3\beta)\kappa+\Psi_{0}\;,$
$\displaystyle\mathrm{D}\tau-{\centernot{\Delta}}\kappa$
$\displaystyle=(\tau+\bar{\pi})\rho+(\bar{\tau}+\pi)\sigma+(\varepsilon-\bar{\varepsilon})\tau-(3\gamma+\bar{\gamma})\kappa+\Psi_{1}+\Phi_{01}\;,$
$\displaystyle\mathrm{D}\alpha-\bar{\delta}\varepsilon$
$\displaystyle=(\rho+\bar{\varepsilon}-2\varepsilon)\alpha+\beta\bar{\sigma}-\bar{\beta}\varepsilon-\kappa\lambda-\bar{\kappa}\gamma+(\varepsilon+\rho)\pi+\Phi_{10}\;,$
$\displaystyle\mathrm{D}\beta-\delta\varepsilon$
$\displaystyle=(\alpha+\pi)\sigma+(\bar{\rho}-\bar{\varepsilon})\beta-(\mu+\gamma)\kappa-(\bar{\alpha}-\bar{\pi})\varepsilon+\Psi_{1}\;,$
$\displaystyle\mathrm{D}\gamma-{\centernot{\Delta}}\varepsilon$
$\displaystyle=(\tau+\bar{\pi})\alpha+(\bar{\tau}+\pi)\beta-(\varepsilon+\bar{\varepsilon})\gamma-(\gamma+\bar{\gamma})\varepsilon+\tau\pi-\nu\kappa+\Psi_{2}+\Phi_{11}-R/24\;,$
$\displaystyle\mathrm{D}\lambda-\bar{\delta}\pi$
$\displaystyle=\rho\lambda+\bar{\sigma}\mu+\pi^{2}+(\alpha-\bar{\beta})\pi-\nu\bar{\kappa}-(3\varepsilon-\bar{\varepsilon})\lambda+\Phi_{20}\;,$
$\displaystyle\mathrm{D}\mu-\delta\pi$
$\displaystyle=\bar{\rho}\mu+\sigma\lambda+\pi\bar{\pi}-(\varepsilon+\bar{\varepsilon})\mu-\pi(\bar{\alpha}-\beta)-\nu\kappa+\Psi_{2}+R/12\;,$
$\displaystyle\mathrm{D}\nu-{\centernot{\Delta}}\pi$
$\displaystyle=(\pi+\bar{\tau})\mu+(\bar{\pi}+\tau)\lambda+(\gamma-\bar{\gamma})\pi-(3\varepsilon+\bar{\varepsilon})\nu+\Psi_{3}+\Phi_{21}\;,$
$\displaystyle{\centernot{\Delta}}\lambda-\bar{\delta}\nu$
$\displaystyle=-(\mu+\bar{\mu})\lambda-(3\gamma-\bar{\gamma})\lambda+(3\alpha+\bar{\beta}+\pi-\bar{\tau})\nu-\Psi_{4}\;,$
$\displaystyle\delta\rho-\bar{\delta}\sigma$
$\displaystyle=\rho(\bar{\alpha}+\beta)-\sigma(3\alpha-\bar{\beta})+(\rho-\bar{\rho})\tau+(\mu-\bar{\mu})\kappa-\Psi_{1}+\Phi_{01}\;,$
$\displaystyle\delta\alpha-\bar{\delta}\beta$
$\displaystyle=\mu\rho-\lambda\sigma+\alpha\bar{\alpha}+\beta\bar{\beta}-2\alpha\beta+\gamma(\rho-\bar{\rho})+\varepsilon(\mu-\bar{\mu})-\Psi_{2}+\Phi_{11}+R/24\;,$
$\displaystyle\delta\lambda-\bar{\delta}\mu$
$\displaystyle=(\rho-\bar{\rho})\nu+(\mu-\bar{\mu})\pi+\mu(\alpha+\bar{\beta})+\lambda(\bar{\alpha}-3\beta)-\Psi_{3}+\Phi_{21}\;,$
$\displaystyle\delta\nu-{\centernot{\Delta}}\mu$
$\displaystyle=\mu^{2}+\lambda\bar{\lambda}+(\gamma+\bar{\gamma})\mu-\bar{\nu}\pi+(\tau-3\beta-\bar{\alpha})\nu+\Phi_{22}\;,$
$\displaystyle\delta\gamma-{\centernot{\Delta}}\beta$
$\displaystyle=(\tau-\bar{\alpha}-\beta)\gamma+\mu\tau-\sigma\nu-\varepsilon\bar{\nu}-\beta(\gamma-\bar{\gamma}-\mu)+\alpha\bar{\lambda}+\Phi_{12}\;,$
$\displaystyle\delta\tau-{\centernot{\Delta}}\sigma$
$\displaystyle=\mu\sigma+\bar{\lambda}\rho+(\tau+\beta-\bar{\alpha})\tau-(3\gamma-\bar{\gamma})\sigma-\kappa\bar{\nu}+\Phi_{02}\;,$
$\displaystyle{\centernot{\Delta}}\rho-\bar{\delta}\tau$
$\displaystyle=-(\rho\bar{\mu}+\sigma\lambda)+(\bar{\beta}-\alpha-\bar{\tau})\tau+(\gamma+\bar{\gamma})\rho+\nu\kappa-\Psi_{2}-R/12\;,$
$\displaystyle{\centernot{\Delta}}\alpha-\bar{\delta}\gamma$
$\displaystyle=(\rho+\varepsilon)\nu-(\tau+\beta)\lambda+(\bar{\gamma}-\bar{\mu})\alpha+(\bar{\beta}-\bar{\tau})\gamma-\Psi_{3}\;.$
Finally, it is also useful to list the equations that are equivalent to
Bianchi identities in NP formalism:
$\displaystyle\bar{\delta}\Psi_{0}-\mathrm{D}\Psi_{1}+\mathrm{D}\Phi_{01}-\delta\Phi_{00}$
$\displaystyle=(4\alpha-\pi)\Psi_{0}-2(2\rho+\varepsilon)\Psi_{1}+3\kappa\Psi_{2}+(\bar{\pi}-2\bar{\alpha}-2\beta)\Phi_{00}+2(\varepsilon+\bar{\rho})\Phi_{01}$
(136)
$\displaystyle\mathrel{\phantom{=}}+2\sigma\Phi_{10}-2\kappa\Phi_{11}-\bar{\kappa}\Phi_{02}\;,$
$\displaystyle{\centernot{\Delta}}\Psi_{0}-\delta\Psi_{1}+\mathrm{D}\Phi_{02}-\delta\Phi_{01}$
$\displaystyle=(4\gamma-\mu)\Psi_{0}-2(2\tau+\beta)\Psi_{1}+3\sigma\Psi_{2}+(2\varepsilon-2\bar{\varepsilon}+\bar{\rho})\Phi_{02}+2(\bar{\pi}-\beta)\Phi_{01}$
$\displaystyle\mathrel{\phantom{=}}+2\sigma\Phi_{11}-2\kappa\Phi_{12}-\bar{\lambda}\Phi_{00}\;,$
$\displaystyle\bar{\delta}\Psi_{3}-\mathrm{D}\Psi_{4}+\bar{\delta}\Phi_{21}-{\centernot{\Delta}}\Phi_{20}$
$\displaystyle=(4\varepsilon-\rho)\Psi_{4}-2(2\pi+\alpha)\Psi_{3}+3\lambda\Psi_{2}+(2\gamma-2\bar{\gamma}+\bar{\mu})\Phi_{20}+2(\bar{\tau}-\alpha)\Phi_{21}$
$\displaystyle\mathrel{\phantom{=}}+2\lambda\Phi_{11}-2\nu\Phi_{10}-\bar{\sigma}\Phi_{22}\;,$
$\displaystyle{\centernot{\Delta}}\Psi_{3}-\delta\Psi_{4}+\bar{\delta}\Phi_{22}-{\centernot{\Delta}}\Phi_{21}$
$\displaystyle=(4\beta-\tau)\Psi_{4}-2(2\mu+\gamma)\Psi_{3}+3\nu\Psi_{2}+(\bar{\tau}-2\bar{\beta}-2\alpha)\Phi_{22}+2(\gamma+\bar{\mu})\Phi_{21}$
$\displaystyle\mathrel{\phantom{=}}+2\lambda\Phi_{12}-2\nu\Phi_{11}-\bar{\nu}\Phi_{20}\;,$
$\displaystyle\mathrm{D}\Psi_{2}-\bar{\delta}\Psi_{1}+{\centernot{\Delta}}\Phi_{00}-\bar{\delta}\Phi_{01}+\tfrac{1}{12}\mathrm{D}R$
$\displaystyle=-\lambda\Psi_{0}+2(\pi-\alpha)\Psi_{1}+3\rho\Psi_{2}-2\kappa\Psi_{3}+(2\gamma+2\bar{\gamma}-\bar{\mu})\Phi_{00}-2(\bar{\tau}+\alpha)\Phi_{01}$
$\displaystyle\mathrel{\phantom{=}}-2\tau\Phi_{10}+2\rho\Phi_{11}+\bar{\sigma}\Phi_{02}\;,$
$\displaystyle{\centernot{\Delta}}\Psi_{2}-\delta\Psi_{3}+\mathrm{D}\Phi_{22}-\delta\Phi_{21}+\tfrac{1}{12}{\centernot{\Delta}}R$
$\displaystyle=\sigma\Psi_{4}+2(\beta-\tau)\Psi_{3}-3\mu\Psi_{2}+2\nu\Psi_{1}+(\bar{\rho}-2\varepsilon-2\bar{\varepsilon})\Phi_{22}+2(\bar{\pi}+\beta)\Phi_{21}$
$\displaystyle\mathrel{\phantom{=}}+2\pi\Phi_{12}-2\mu\Phi_{11}-\bar{\lambda}\Phi_{20}\;,$
$\displaystyle\mathrm{D}\Psi_{3}-\bar{\delta}\Psi_{2}-\mathrm{D}\Phi_{21}+\delta\Phi_{20}-\tfrac{1}{12}\bar{\delta}R$
$\displaystyle=-\kappa\Psi_{4}+2(\rho-\varepsilon)\Psi_{3}+3\pi\Psi_{2}-2\lambda\Psi_{1}+(2\bar{\alpha}-2\beta-\bar{\pi})\Phi_{20}-2(\bar{\rho}-\varepsilon)\Phi_{21}$
$\displaystyle\mathrel{\phantom{=}}-2\pi\Phi_{11}+2\mu\Phi_{10}+\bar{\kappa}\Phi_{22}\;,$
$\displaystyle{\centernot{\Delta}}\Psi_{1}-\delta\Psi_{2}-{\centernot{\Delta}}\Phi_{01}+\bar{\delta}\Phi_{02}-\tfrac{1}{12}\delta
R$
$\displaystyle=\nu\Psi_{0}+2(\gamma-\mu)\Psi_{1}-3\tau\Psi_{2}+2\sigma\Psi_{3}+(\bar{\tau}-2\bar{\beta}+2\alpha)\Phi_{02}+2(\bar{\mu}-\gamma)\Phi_{01}$
$\displaystyle\mathrel{\phantom{=}}+2\tau\Phi_{11}-2\rho\Phi_{12}-\bar{\nu}\Phi_{00}\;,$
$\displaystyle\mathrm{D}\Phi_{11}-\delta\Phi_{10}-\bar{\delta}\Phi_{01}+{\centernot{\Delta}}\Phi_{00}+\tfrac{1}{8}\mathrm{D}R$
$\displaystyle=(2\gamma-\mu+2\bar{\gamma}-\bar{\mu})\Phi_{00}+(\pi-2\alpha-2\bar{\tau})\Phi_{01}+(\bar{\pi}-2\bar{\alpha}-2\tau)\Phi_{10}$
$\displaystyle\mathrel{\phantom{=}}+2(\rho+\bar{\rho})\Phi_{11}+\bar{\sigma}\Phi_{02}+\sigma\Phi_{20}-\bar{\kappa}\Phi_{12}-\kappa\Phi_{21}\;,$
$\displaystyle\mathrm{D}\Phi_{12}-\delta\Phi_{11}-\bar{\delta}\Phi_{02}+{\centernot{\Delta}}\Phi_{01}+\tfrac{1}{8}\delta
R$
$\displaystyle=(-2\alpha+2\bar{\beta}+\pi-\bar{\tau})\Phi_{02}+(\bar{\rho}+2\rho-2\bar{\varepsilon})\Phi_{12}+2(\bar{\pi}-\tau)\Phi_{11}$
$\displaystyle\mathrel{\phantom{=}}+(2\gamma-2\bar{\mu}-\mu)\Phi_{01}+\bar{\nu}\Phi_{00}-\bar{\lambda}\Phi_{10}+\sigma\Phi_{21}-\kappa\Phi_{22}\;,$
$\displaystyle\mathrm{D}\Phi_{22}-\delta\Phi_{21}-\bar{\delta}\Phi_{12}+{\centernot{\Delta}}\Phi_{11}+\tfrac{1}{8}{\centernot{\Delta}}R$
$\displaystyle=(\rho+\bar{\rho}-2\varepsilon-2\bar{\varepsilon})\Phi_{22}+(2\bar{\beta}+2\pi-\bar{\tau})\Phi_{12}+(2\beta+2\bar{\pi}-\tau)\Phi_{21}$
$\displaystyle\mathrel{\phantom{=}}-2(\mu+\bar{\mu})\Phi_{11}+\nu\Phi_{01}+\bar{\nu}\Phi_{10}-\bar{\lambda}\Phi_{20}-\lambda\Phi_{02}\;.$
## Appendix B Explicit calculations for contractions of
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
This appendix contains a simple example of explicit calculations that were
schematically indicated in Section III.3. The schematic notation in (24) is
equivalent to:
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S^{a}{}_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
$\displaystyle=0\;,$ (137)
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{b}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S^{ab}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{b}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{b}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{b}}+\Phi_{22}\boldsymbol{l^{b}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
$\displaystyle+\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{a}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{a}}+\Phi_{22}\boldsymbol{l^{a}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}=0\;,$
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S^{ab}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{b}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{a}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{a}}+\Phi_{22}\boldsymbol{l^{a}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
$\displaystyle+\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{b}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{b}}+\Phi_{22}\boldsymbol{l^{b}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{b}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}=0\;,$
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S^{ab}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{b}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{b}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{b}}+\Phi_{22}\boldsymbol{l^{b}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{b}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}$
$\displaystyle+\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{a}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{a}}+\Phi_{22}\boldsymbol{l^{a}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S}=0\;,$
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S^{ab}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S_{b\circ}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{a}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{a}}+\Phi_{22}\boldsymbol{l^{a}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{S}\cdot\boldsymbol{l}^{\sharp})$
$\displaystyle+\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{b}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{b}}+\Phi_{22}\boldsymbol{l^{b}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S_{b\circ}}=0\;,$
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S^{ab}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S_{b\circ}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{a}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{a}}+\Phi_{22}\boldsymbol{l^{a}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{\nabla_{a}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{S}\cdot\boldsymbol{l}^{\sharp})$
$\displaystyle+\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{b}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{b}}+\Phi_{22}\boldsymbol{l^{b}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S_{b\circ}}=0\;,$
$\displaystyle\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S^{ab}}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}\boldsymbol{S_{ab}}$
$\displaystyle=\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{b}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{b}}+\Phi_{22}\boldsymbol{l^{b}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{S_{ab}l^{a}})$
$\displaystyle+\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(-2\Phi_{21}\boldsymbol{m^{a}}-2\bar{\Phi}_{21}\boldsymbol{\bar{m}^{a}}+\Phi_{22}\boldsymbol{l^{a}})\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}(\boldsymbol{S_{ab}l^{b}})=0\;.$
## Appendix C Auxiliary statements for pp-waves of type III
In this appendix, we list some rank-2 tensors linear or quadratic in curvature
and point out their properties in type III pp-wave spacetimes that are used in
the main text:
* •
$\square^{n}\boldsymbol{S}$ is divergence-free.
$\boldsymbol{\nabla_{b}}\square^{n}\boldsymbol{S^{ab}}=0\;.$ (138)
This property of $\square^{n}\boldsymbol{S}$ can be proved by induction. The
case ${n=0}$ follows directly from the contracted Bianchi identities (33).
Now, let us assume that (138) holds for $n$ and show that it then also holds
for ${n+1}$ by commuting $\boldsymbol{\nabla}$ over one $\square$, i.e.
$\displaystyle\boldsymbol{\nabla_{b}}\square^{n+1}\boldsymbol{S^{ab}}$
$\displaystyle=\square\boldsymbol{\nabla_{b}}\square^{n}\boldsymbol{S^{ab}}-\boldsymbol{S^{bc}\nabla^{a}}\square^{n}\boldsymbol{S_{bc}}-\tfrac{1}{2}\square^{n}\boldsymbol{S^{bc}\nabla^{a}S_{bc}}+2\boldsymbol{S^{bc}\nabla_{b}}\square^{k}\boldsymbol{S^{a}{}_{c}}+\boldsymbol{S^{ab}\nabla^{c}}\square^{n}\boldsymbol{S_{bc}}$
(139)
$\displaystyle\mathrel{\phantom{=}}+\tfrac{1}{2}\square^{n}\boldsymbol{S^{bc}\nabla_{b}S^{a}{}_{c}}+\tfrac{3}{2}\square^{n}\boldsymbol{S^{ab}\nabla^{c}S_{bc}}+\square^{n}\boldsymbol{S^{bc}\nabla^{d}C^{a}{}_{bcd}}+2\boldsymbol{C^{a}{}_{bcd}\nabla^{d}}\square^{n}\boldsymbol{S^{bc}}=0\;,$
where we employed the assumption (138) for $n$ and the results of Section
III.3.
* •
$\boldsymbol{C_{acbd}}\square^{n}\boldsymbol{S^{cd}}$,
$\boldsymbol{S^{cd}}\square^{n}\boldsymbol{C_{acbd}}$, and
$\boldsymbol{S_{a}{}^{c}}\square^{n}\boldsymbol{S_{bc}}$ are equal.
Rank-2 tensors constructed from two tensors of b.o. $-1$ are of b.o. $-2$,
i.e., they have only b.w. $-2$ parts proportional to $\boldsymbol{l_{a}l_{b}}$
and therefore only the b.w. $-1$ parts of two original tensors contribute. As
mentioned in Section III.2, only $\boldsymbol{S}^{\langle{-1}\rangle}$ or
$\boldsymbol{C}^{\langle{-1}\rangle}$ and their covariant derivatives give the
b.w. $-1$ parts of $\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla S}$ or
$\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla C}$ of type III pp-waves,
respectively. Hence,
$\displaystyle\boldsymbol{C_{acbd}}\square^{k}\boldsymbol{S^{cd}}$
$\displaystyle=8\boldsymbol{l_{a}l_{b}}[\Psi_{3}\boldsymbol{m}_{\boldsymbol{(c}}\boldsymbol{n}_{\boldsymbol{d)}}+\bar{\Psi}_{3}\bar{\boldsymbol{m}}_{\boldsymbol{(c}}\boldsymbol{n}_{\boldsymbol{d)}}]\square^{k}[\Phi_{21}\boldsymbol{l}^{\boldsymbol{(c}}\boldsymbol{m}^{\boldsymbol{d)}}+\bar{\Phi}_{21}\boldsymbol{l}^{\boldsymbol{(c}}\bar{\boldsymbol{m}}^{\boldsymbol{d)}}]$
(140)
$\displaystyle=-4\boldsymbol{l_{a}l_{b}}[\Psi_{3}\boldsymbol{m}_{\boldsymbol{c}}+\bar{\Psi}_{3}\bar{\boldsymbol{m}}_{\boldsymbol{c}}]\square^{k}[\Phi_{21}\boldsymbol{m}^{\boldsymbol{c}}+\bar{\Phi}_{21}\bar{\boldsymbol{m}}^{\boldsymbol{c}}]\;,$
$\displaystyle\boldsymbol{S^{cd}}\square^{k}\boldsymbol{C_{acbd}}$
$\displaystyle=8\boldsymbol{l_{a}l_{b}}[\Phi_{21}\boldsymbol{l}^{\boldsymbol{(c}}\boldsymbol{m}^{\boldsymbol{d)}}+\bar{\Phi}_{21}\boldsymbol{l}^{\boldsymbol{(c}}\bar{\boldsymbol{m}}^{\boldsymbol{d)}}]\square^{k}[\Psi_{3}\boldsymbol{m}_{(\boldsymbol{c}}\boldsymbol{n}_{\boldsymbol{d})}+\bar{\Psi}_{3}\bar{\boldsymbol{m}}_{\boldsymbol{(c}}\boldsymbol{n}_{\boldsymbol{d)}}]$
$\displaystyle=-4\boldsymbol{l_{a}l_{b}}[\Phi_{21}\boldsymbol{m}^{\boldsymbol{c}}+\bar{\Phi}_{21}\bar{\boldsymbol{m}}^{\boldsymbol{c}}]\square^{k}[\Psi_{3}\boldsymbol{m}_{\boldsymbol{c}}+\bar{\Psi}_{3}\bar{\boldsymbol{m}}_{\boldsymbol{c}}]\;,$
$\displaystyle\boldsymbol{S_{a}{}^{c}}\square^{k}\boldsymbol{S_{bc}}$
$\displaystyle=4[\Phi_{21}\boldsymbol{l_{a}m^{c}}+\bar{\Phi}_{21}\boldsymbol{l_{a}\bar{m}^{c}}]\square^{k}[\Phi_{21}\boldsymbol{l_{b}m_{c}}+\bar{\Phi}_{21}\boldsymbol{l_{b}\bar{m}_{c}}]$
$\displaystyle=4\boldsymbol{l_{a}l_{b}}[\Phi_{21}\boldsymbol{m^{c}}+\bar{\Phi}_{21}\boldsymbol{\bar{m}^{c}}]\square^{k}[\Phi_{21}\boldsymbol{m_{c}}+\bar{\Phi}_{21}\boldsymbol{\bar{m}_{c}}]\;.$
The equality of the terms is then a consequence of ${\Psi_{3}=-\Phi_{21}}$,
$\boldsymbol{C_{acbd}}\square^{k}\boldsymbol{S^{cd}}=\boldsymbol{S^{cd}}\square^{k}\boldsymbol{C_{acbd}}=\boldsymbol{S_{a}{}^{c}}\square^{k}\boldsymbol{S_{bc}}\;.$
(141)
* •
$\boldsymbol{\nabla_{c}\nabla_{d}}\square^{k}\boldsymbol{C_{ab}{}^{cd}}$
vanishes.
Using the cyclic symmetry of the Weyl tensor
${\boldsymbol{\nabla_{c}\nabla_{d}}\square^{k}\boldsymbol{C_{a}}{}^{[\boldsymbol{bcd}]}=0}$
and the commutator of $\boldsymbol{\nabla_{c}\nabla_{d}}$, one can show that
$\boldsymbol{\nabla_{c}\nabla_{d}}\square^{k}\boldsymbol{C_{ab}{}^{cd}}=-\boldsymbol{C_{[a}{}^{cde}}\square^{k}\boldsymbol{C_{b]cde}}\;.$
(142)
The right-hand side vanishes because the rank-2 tensor
$\boldsymbol{C_{a}{}^{cde}}\square^{k}\boldsymbol{C_{bcde}}$ is of b.o. $-2$
for type III pp-waves and therefore proportional to $\boldsymbol{l_{a}l_{b}}$.
* •
$\boldsymbol{\nabla_{d}}\square^{k}\boldsymbol{C_{acbe}\nabla^{e}S^{cd}}$ and
$\boldsymbol{\nabla_{e}}\square^{k}\boldsymbol{C_{acbd}\nabla^{e}S^{cd}}$
equal
$\frac{1}{2}\boldsymbol{\nabla_{c}S_{da}\nabla^{d}}\square^{k}\boldsymbol{S_{b}{}^{c}}$
and $\boldsymbol{\nabla_{d}S_{ca}\nabla^{d}}\square^{k}\boldsymbol{\nabla
S_{b}{}^{c}}$, respectively.
Straightforwardly from the decomposition of the TF Ricci and Weyl tensors of
type III pp-waves (14) along with
$\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla}C=0$ due to (10), it
follows that
$\displaystyle\boldsymbol{\nabla_{c}S_{da}\nabla^{d}}\square^{k}\boldsymbol{S_{b}{}^{c}}$
$\displaystyle=4\boldsymbol{l_{a}l_{b}\nabla_{c}}[\Phi_{21}\boldsymbol{m_{d}}+\bar{\Phi}_{21}\boldsymbol{\bar{m}_{d}}]\boldsymbol{\nabla^{d}}\square^{k}[\Phi_{21}\boldsymbol{m^{c}}+\bar{\Phi}_{21}\boldsymbol{\bar{m}^{c}}]\;,$
(143)
$\displaystyle\boldsymbol{\nabla_{d}}\square^{k}\boldsymbol{C_{acbe}\nabla^{e}S^{cd}}$
$\displaystyle=4\boldsymbol{l_{a}l_{b}\nabla_{d}}\square^{k}[\Psi_{3}\boldsymbol{m_{(c}n_{e)}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}_{(c}n_{e)}}]\boldsymbol{\nabla^{e}}[\Phi_{21}\boldsymbol{l^{c}m^{d}}+\bar{\Phi}_{21}\boldsymbol{l^{c}\bar{m}^{d}}]$
$\displaystyle=-2\boldsymbol{l_{a}l_{b}\nabla_{d}}\square^{k}[\Psi_{3}\boldsymbol{m_{e}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}_{e}}]\boldsymbol{\nabla^{e}}[\Phi_{21}\boldsymbol{m^{d}}+\bar{\Phi}_{21}\boldsymbol{\bar{m}^{d}}]\;,$
$\displaystyle\boldsymbol{\nabla_{d}S_{ca}\nabla^{d}}\square^{k}\boldsymbol{S_{b}{}^{c}}$
$\displaystyle=4\boldsymbol{l_{a}l_{b}\nabla_{d}}[\Phi_{21}\boldsymbol{m_{c}}+\bar{\Phi}_{21}\boldsymbol{\bar{m}_{c}}]\boldsymbol{\nabla^{d}}\square^{k}[\Phi_{21}\boldsymbol{m^{c}}+\bar{\Phi}_{21}\boldsymbol{\bar{m}^{c}}]\;,$
$\displaystyle\boldsymbol{\nabla_{e}}\square^{k}\boldsymbol{C_{acbd}\nabla^{e}S^{cd}}$
$\displaystyle=8\boldsymbol{l_{a}l_{b}\nabla_{e}}\square^{k}[\Psi_{3}\boldsymbol{m_{(c}n_{d)}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}_{(c}n_{d)}}]\boldsymbol{\nabla^{e}}[\Phi_{21}\boldsymbol{l^{(c}m^{d)}}+\bar{\Phi}_{21}\boldsymbol{l^{(c}\bar{m}^{d)}}]$
$\displaystyle=-4\boldsymbol{l_{a}l_{b}\nabla_{e}}\square^{k}[\Psi_{3}\boldsymbol{m_{c}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}_{c}}]\boldsymbol{\nabla^{e}}[\Phi_{21}\boldsymbol{m^{c}}+\bar{\Phi}_{21}\boldsymbol{\bar{m}^{c}}]\;.$
Substituting $\Psi_{3}=-\Phi_{21}$, we obtain
$\boldsymbol{\nabla_{d}}\square^{k}\boldsymbol{C_{acbe}\nabla^{e}S^{cd}}=\tfrac{1}{2}\boldsymbol{\nabla_{c}S_{da}\nabla^{d}}\square^{k}\boldsymbol{S_{b}{}^{c}},\quad\boldsymbol{\nabla_{e}}\square^{k}\boldsymbol{C_{acbd}\nabla^{e}S^{cd}}=\boldsymbol{\nabla_{d}S_{ca}\nabla^{d}}\square^{k}\boldsymbol{S_{b}{}^{c}}\;.$
(144)
* •
$\boldsymbol{C^{cdef}\nabla_{d}\nabla_{f}}\square^{k}\boldsymbol{C_{c(ab)e}}$
equals
$\boldsymbol{\nabla^{e}\nabla^{d}}\square^{k}\boldsymbol{S_{(a}{}^{c}C_{b)dce}}$.
If any index of $\boldsymbol{d}$, $\boldsymbol{c}$, or $\boldsymbol{e}$ in
$\boldsymbol{C_{bdce}}$ is associated with $\boldsymbol{l}$, then
$\boldsymbol{\nabla^{e}\nabla^{d}}\square^{k}\boldsymbol{S_{a}{}^{c}C_{bdce}}$
vanishes because $\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
S}=\boldsymbol{S}\cdot\boldsymbol{l}^{\sharp}=0$. Therefore, only the terms
proportional to $\boldsymbol{l\bar{m}m\bar{m}}$ or $\boldsymbol{lm\bar{m}m}$
of the b.w. $-1$ part of $\boldsymbol{C_{bdce}}$ contribute,
$\boldsymbol{\nabla^{e}\nabla^{d}}\square^{k}\boldsymbol{S_{(a}{}^{c}C_{b)dce}}=-4\boldsymbol{l_{a}l_{b}\nabla^{e}\nabla^{d}}\square^{k}[\Phi_{21}\boldsymbol{m^{c}}+\bar{\Phi}_{21}\boldsymbol{\bar{m}^{c}}][\Psi_{3}\boldsymbol{m_{d}\bar{m}_{[c}m_{e]}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}_{d}m_{[c}\bar{m}_{e]}}]\;.$
(145)
If index $\boldsymbol{d}$ or $\boldsymbol{f}$ of $\boldsymbol{C^{cdef}}$ is
associated with $\boldsymbol{l}^{\sharp}$, then
$\boldsymbol{C^{cdef}\nabla_{d}\nabla_{f}}\square^{k}\boldsymbol{C_{c(ab)e}}$
vanishes due to $\mathrm{D}\boldsymbol{\nabla}{\cdots}\boldsymbol{\nabla
C}=0$. If both $\boldsymbol{c}$ and $\boldsymbol{e}$ are associated with
$\boldsymbol{l}^{\sharp}$, this term vanishes as well due to
${\boldsymbol{l}^{\sharp}\cdot\boldsymbol{C}\cdot\boldsymbol{l}^{\sharp}=0}$.
Hence, only the terms proportional to
$\boldsymbol{l}^{\sharp}\boldsymbol{\bar{m}}^{\sharp}\boldsymbol{m}^{\sharp}\boldsymbol{\bar{m}}^{\sharp}$
or
$\boldsymbol{l}^{\sharp}\boldsymbol{m}^{\sharp}\boldsymbol{\bar{m}}^{\sharp}\boldsymbol{m}^{\sharp}$
of the b.w. $-1$ part of $\boldsymbol{C^{cdef}}$ contribute when
$\boldsymbol{l}^{\sharp}$ is associated either with $\boldsymbol{c}$ or
$\boldsymbol{e}$, i.e.,
$\displaystyle\boldsymbol{C^{cdef}\nabla_{d}\nabla_{f}}\square^{k}\boldsymbol{C_{c(ab)e}}$
$\displaystyle=-2\boldsymbol{l_{a}l_{b}}[\Psi_{3}\boldsymbol{l^{c}m^{d}\bar{m}^{[e}m^{f]}}+\bar{\Psi}_{3}\boldsymbol{l^{c}\bar{m}^{d}m^{[e}\bar{m}^{f]}}]\boldsymbol{\nabla_{d}\nabla_{f}}\square^{k}[\Psi_{3}\boldsymbol{n_{c}m_{e}}+\bar{\Psi}_{3}\boldsymbol{n_{c}\bar{m}_{e}}]$
(146)
$\displaystyle\quad-2\boldsymbol{l_{a}l_{b}}[\Psi_{3}\boldsymbol{l^{e}m^{f}\bar{m}^{[c}m^{d]}}+\bar{\Psi}_{3}\boldsymbol{l^{e}\bar{m}^{f}m^{[c}\bar{m}^{d]}}]\boldsymbol{\nabla_{d}\nabla_{f}}\square^{k}[\Psi_{3}\boldsymbol{m_{c}n_{e}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}_{c}n_{e}}]$
$\displaystyle=2\boldsymbol{l_{a}l_{b}}[\Psi_{3}\boldsymbol{m^{d}\bar{m}^{[e}m^{f]}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}^{d}m^{[e}\bar{m}^{f]}}]\boldsymbol{\nabla_{d}\nabla_{f}}\square^{k}[\Psi_{3}\boldsymbol{m_{e}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}_{e}}]$
$\displaystyle\quad
2\boldsymbol{l_{a}l_{b}}[\Psi_{3}\boldsymbol{m^{f}\bar{m}^{[c}m^{d]}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}^{f}m^{[c}\bar{m}^{d]}}]\boldsymbol{\nabla_{d}\nabla_{f}}\square^{k}[\Psi_{3}\boldsymbol{m_{c}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}_{c}}]$
$\displaystyle=4\boldsymbol{l_{a}l_{b}}[\Psi_{3}\boldsymbol{m^{d}\bar{m}^{[e}m^{f]}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}^{d}m^{[e}\bar{m}^{f]}}]\boldsymbol{\nabla_{d}\nabla_{f}}\square^{k}[\Psi_{3}\boldsymbol{m_{e}}+\bar{\Psi}_{3}\boldsymbol{\bar{m}_{e}}]\;,$
where we employed the fact that, for type III pp-waves, the covariant
derivatives commute in rank-2 tensors quadratic in curvature. Finally,
comparing (145) with (146), we get
$\boldsymbol{C^{cdef}\nabla_{d}\nabla_{f}}\square^{k}\boldsymbol{C_{c(ab)e}}=\boldsymbol{\nabla^{e}\nabla^{d}}\square^{k}\boldsymbol{S_{(a}{}^{c}C_{b)dce}}\;.$
(147)
## References
* Bonnor [1970] W. B. Bonnor, Spinning null fluid in general relativity, Int. J. Theor. Phys. 3, 257 (1970).
* Frolov and Fursaev [2005] V. P. Frolov and D. V. Fursaev, Gravitational field of a spinning radiation beam-pulse in higher dimensions, Phys. Rev. D 71, 104034 (2005), arXiv:hep-th/0504027 .
* Frolov _et al._ [2005] V. P. Frolov, W. Israel, and A. Zelnikov, Gravitational field of relativistic gyratons, Phys. Rev. D 72, 084031 (2005), arXiv:hep-th/0506001 .
* Frolov and Zelnikov [2006] V. P. Frolov and A. Zelnikov, Gravitational field of charged gyratons, Class. Quant. Grav. 23, 2119 (2006), arXiv:gr-qc/0512124 .
* Podolský _et al._ [2014] J. Podolský, R. Steinbauer, and R. Švarc, Gyratonic pp-waves and their impulsive limit, Phys. Rev. D 90, 044050 (2014), arXiv:1406.3227 [gr-qc] .
* Griffiths and Podolský [2009] J. B. Griffiths and J. Podolský, _Exact Space-Times in Einstein’s General Relativity_, Cambridge Monographs on Mathematical Physics (Cambridge University Press, Cambridge, 2009).
* Frolov and Zelnikov [2005] V. P. Frolov and A. Zelnikov, Relativistic gyratons in asymptotically ads spacetime, Phys. Rev. D 72, 104005 (2005), arXiv:hep-th/0509044 .
* Kadlecová and Krtouš [2010] H. Kadlecová and P. Krtouš, Gyratons on Melvin spacetime, Phys. Rev. D 82, 044041 (2010), arXiv:1006.1794 [gr-qc] .
* Krtouš _et al._ [2012] P. Krtouš, J. Podolský, A. Zelnikov, and H. Kadleco’a, Higher-dimensional Kundt waves and gyratons, Phys. Rev. D 86, 044039 (2012), arXiv:1201.2813 [gr-qc] .
* Podolský and Švarc [2019] J. Podolský and R. Švarc, Gyratons in the Robinson-Trautman and Kundt classes, Phys. Rev. D 99, 044004 (2019), arXiv:1812.02635 [gr-qc] .
* Coley _et al._ [2008] A. A. Coley, G. W. Gibbons, S. Hervik, and C. N. Pope, Metrics With Vanishing Quantum Corrections, Class. Quant. Grav. 25, 145017 (2008), arXiv:0803.2438 [hep-th] .
* Hervik _et al._ [2014] S. Hervik, V. Pravda, and A. Pravdová, Type III and N universal spacetimes, Class. Quant. Grav. 31, 215005 (2014), arXiv:1311.0234 [gr-qc] .
* Hervik _et al._ [2015] S. Hervik, T. Málek, V. Pravda, and A. Pravdová, Type II universal spacetimes, Class. Quant. Grav. 32, 245012 (2015), arXiv:1503.08448 [gr-qc] .
* Hervik _et al._ [2017] S. Hervik, V. Pravda, and A. Pravdová, Universal spacetimes in four dimensions, Journal of High Energy Physics 2017, 10.1007/jhep10(2017)028 (2017).
* Kuchynka _et al._ [2019] M. Kuchynka, T. Málek, V. Pravda, and A. Pravdová, Almost universal spacetimes in higher-order gravity theories, Phys. Rev. D 99, 024043 (2019), arXiv:1810.02178 [gr-qc] .
* Stelle [1978] K. S. Stelle, Classical Gravity with Higher Derivatives, Gen. Rel. Grav. 9, 353 (1978).
* Málek and Pravda [2011] T. Málek and V. Pravda, Type III and N solutions to quadratic gravity, Phys. Rev. D 84, 024047 (2011), arXiv:1106.0331 [gr-qc] .
* Gurses _et al._ [2012] M. Gurses, T. C. Sisman, and B. Tekin, New Exact Solutions of Quadratic Curvature Gravity, Phys. Rev. D 86, 024009 (2012), arXiv:1204.2215 [hep-th] .
* Pravda _et al._ [2017] V. Pravda, A. Pravdová, J. Podolský, and R. Švarc, Exact solutions to quadratic gravity, Phys. Rev. D 95, 084025 (2017), arXiv:1606.02646 [gr-qc] .
* Lü _et al._ [2015a] H. Lü, A. Perkins, C. N. Pope, and K. S. Stelle, Black Holes in Higher-Derivative Gravity, Phys. Rev. Lett. 114, 171601 (2015a), arXiv:1502.01028 [hep-th] .
* Lü _et al._ [2015b] H. Lü, A. Perkins, C. N. Pope, and K. S. Stelle, Spherically Symmetric Solutions in Higher-Derivative Gravity, Phys. Rev. D 92, 124019 (2015b), arXiv:1508.00010 [hep-th] .
* Podolský _et al._ [2020] J. Podolský, R. Švarc, V. Pravda, and A. Pravdova, Black holes and other exact spherical solutions in Quadratic Gravity, Phys. Rev. D 101, 024027 (2020), arXiv:1907.00046 [gr-qc] .
* Tomboulis [1997] E. T. Tomboulis, Superrenormalizable gauge and gravitational theories (1997), arXiv:hep-th/9702146 .
* Modesto [2012] L. Modesto, Super-renormalizable Quantum Gravity, Phys. Rev. D86, 044005 (2012), arXiv:1107.2403 [hep-th] .
* Biswas _et al._ [2012a] T. Biswas, E. Gerwick, T. Koivisto, and A. Mazumdar, Towards singularity and ghost free theories of gravity, Phys. Rev. Lett. 108, 031101 (2012a), arXiv:1110.5249 [gr-qc] .
* Krasnikov [1987] N. V. Krasnikov, Nonlocal gauge theories, Theor. Math. Phys. 73, 1184 (1987).
* Frolov and Zelnikov [2016] V. P. Frolov and A. Zelnikov, Head-on collision of ultrarelativistic particles in ghost-free theories of gravity, Phys. Rev. D93, 064048 (2016), arXiv:1509.03336 [hep-th] .
* Frolov _et al._ [2015] V. P. Frolov, A. Zelnikov, and T. de Paula Netto, Spherical collapse of small masses in the ghost-free gravity, Journal of High Energy Physics 2015, 10.1007/jhep06(2015)107 (2015).
* Buoninfante _et al._ [2018a] L. Buoninfante, G. Harmsen, S. Maheshwari, and A. Mazumdar, Nonsingular metric for an electrically charged point-source in ghost-free infinite derivative gravity, Phys. Rev. D98, 084009 (2018a), arXiv:1804.09624 [gr-qc] .
* Boos _et al._ [2018] J. Boos, V. P. Frolov, and A. Zelnikov, Gravitational field of static p -branes in linearized ghost-free gravity, Phys. Rev. D 97, 084021 (2018), arXiv:1802.09573 [gr-qc] .
* Buoninfante _et al._ [2018b] L. Buoninfante, A. S. Cornell, G. Harmsen, A. S. Koshelev, G. Lambiase, J. Marto, and A. Mazumdar, Towards nonsingular rotating compact object in ghost-free infinite derivative gravity, Phys. Rev. D98, 084041 (2018b), arXiv:1807.08896 [gr-qc] .
* Kolář and Mazumdar [2020] I. Kolář and A. Mazumdar, NUT charge in linearized infinite derivative gravity, Phys. Rev. D 101, 124005 (2020), arXiv:2004.07613 [gr-qc] .
* Kolář and Boos [2021] I. Kolář and J. Boos, Retarded field of a uniformly accelerated source in nonlocal scalar field theory, Phys. Rev. D 103, 105004 (2021), arXiv:2102.07843 [hep-th] .
* Boos _et al._ [2020] J. Boos, J. Pinedo Soto, and V. P. Frolov, Ultrarelativistic spinning objects in nonlocal ghost-free gravity, Phys. Rev. D 101, 124065 (2020), arXiv:2004.07420 [gr-qc] .
* Penrose [1976] R. Penrose, Any space-time has a plane wave as a limit, in _Differential Geometry and Relativity: A Volume in Honour of André Lichnerowicz on His 60th Birthday_, edited by M. Cahen and M. Flato (Springer Netherlands, Dordrecht, 1976) pp. 271–275.
* Aichelburg and Sexl [1971] P. C. Aichelburg and R. U. Sexl, On the gravitational field of a massless particle, General Relativity and Gravitation 2, 303 (1971).
* Kolář _et al._ [2021] I. Kolář, T. Málek, and A. Mazumdar, Exact solutions of nonlocal gravity in a class of almost universal spacetimes, Phys. Rev. D 103, 124067 (2021).
* Lousto and Mazzitelli [1997] C. O. Lousto and F. D. Mazzitelli, Exact selfconsistent gravitational shock wave in semiclassical gravity, Phys. Rev. D 56, 3471 (1997), arXiv:gr-qc/9611009 .
* Kilicarslan [2019] E. Kilicarslan, $pp$-waves as Exact Solutions to Ghost-free Infinite Derivative Gravity, Phys. Rev. D 99, 124048 (2019), arXiv:1903.04283 [gr-qc] .
* Dengiz _et al._ [2020] S. Dengiz, E. Kilicarslan, I. Kolář, and A. Mazumdar, Impulsive waves in ghost free infinite derivative gravity in anti-de Sitter spacetime, Phys. Rev. D 102, 044016 (2020), arXiv:2006.07650 [gr-qc] .
* Biswas _et al._ [2006] T. Biswas, A. Mazumdar, and W. Siegel, Bouncing universes in string-inspired gravity, Journal of Cosmology and Astroparticle Physics 2006 (03), 009.
* Biswas _et al._ [2010] T. Biswas, T. Koivisto, and A. Mazumdar, Towards a resolution of the cosmological singularity in non-local higher derivative theories of gravity, JCAP 2010 (11), 008, arXiv:1005.0590 [hep-th] .
* Biswas _et al._ [2012b] T. Biswas, A. S. Koshelev, A. Mazumdar, and S. Y. Vernov, Stable bounce and inflation in non-local higher derivative cosmology, JCAP 2012 (08), 024, arXiv:1206.6374 [astro-ph.CO] .
* Koshelev _et al._ [2018] A. S. Koshelev, K. Sravan Kumar, and A. A. Starobinsky, $R^{2}$ inflation to probe non-perturbative quantum gravity, JHEP 2018 (3), 071, arXiv:1711.08864 [hep-th] .
* Kumar _et al._ [2020] K. S. Kumar, S. Maheshwari, A. Mazumdar, and J. Peng, Stable, nonsingular bouncing universe with only a scalar mode, Phys. Rev. D 102, 024080 (2020), arXiv:2005.01762 [gr-qc] .
* Penrose and Rindler [1984] R. Penrose and W. Rindler, _Spinors and Space-Time_, Cambridge Monographs on Mathematical Physics, Vol. 1 (Cambridge University Press, 1984).
* Lee [2012] J. M. Lee, _Introduction to Smooth Manifolds_ (Springer New York, 2012).
* Biswas _et al._ [2013] T. Biswas, T. Koivisto, and A. Mazumdar, Nonlocal theories of gravity: the flat space propagator, in _Barcelona Postgrad Encounters on Fundamental Physics_ (2013) arXiv:1302.0532 [gr-qc] .
* Biswas _et al._ [2014] T. Biswas, A. Conroy, A. S. Koshelev, and A. Mazumdar, Generalized ghost-free quadratic curvature gravity, Class. Quant. Grav. 31, 015022 (2014), [Erratum: Class.Quant.Grav. 31, 159501 (2014)], arXiv:1308.2319 [hep-th] .
* Lovelock [1970] D. Lovelock, Dimensionally dependent identities, Mathematical Proceedings of the Cambridge Philosophical Society 68, 345–350 (1970).
* Ortaggio _et al._ [2013] M. Ortaggio, V. Pravda, and A. Pravdova, Algebraic classification of higher dimensional spacetimes based on null alignment, Class. Quant. Grav. 30, 013001 (2013), arXiv:1211.7289 [gr-qc] .
* Coley _et al._ [2004] A. Coley, R. Milson, V. Pravda, and A. Pravdova, Vanishing scalar invariant spacetimes in higher dimensions, Class. Quant. Grav. 21, 5519 (2004), arXiv:gr-qc/0410070 .
* Pravda _et al._ [2002] V. Pravda, A. Pravdová, A. Coley, and R. Milson, All space-times with vanishing curvature invariants, Class. Quant. Grav. 19, 6213 (2002), arXiv:gr-qc/0209024 .
* Poularikas [2000] A. Poularikas, _The transforms and applications handbook_ (CRC Press, Boca Raton, Fla, 2000).
* Bateman [1954] H. Bateman, _Tables of integral transforms_, edited by A. Erdélyi, Vol. I & II (McGraw-Hill Book Company, 1954).
* Gradshteyn and Ryzhik [2007] I. S. Gradshteyn and I. M. Ryzhik, _Table of integrals, series, and products_ , seventh ed. (Elsevier/Academic Press, Amsterdam, 2007) p. 1171.
* Deser _et al._ [2011] S. Deser, H. Liu, H. Lu, C. N. Pope, T. C. Sisman, and B. Tekin, Critical Points of D-Dimensional Extended Gravities, Phys. Rev. D 83, 061502 (2011), arXiv:1101.4009 [hep-th] .
* Barnaby and Kamran [2008a] N. Barnaby and N. Kamran, Dynamics with infinitely many derivatives: The Initial value problem, JHEP 2008 (02), 008, arXiv:0709.3968 [hep-th] .
* Barnaby and Kamran [2008b] N. Barnaby and N. Kamran, Dynamics with infinitely many derivatives: Variable coefficient equations, JHEP 2008 (12), 022, arXiv:0809.4513 [hep-th] .
* Iyer and Wald [1994] V. Iyer and R. M. Wald, Some properties of Noether charge and a proposal for dynamical black hole entropy, Phys. Rev. D 50, 846 (1994), arXiv:gr-qc/9403028 .
* Geroch [1968] R. Geroch, What is a singularity in general relativity?, Annals of Physics 48, 526 (1968).
* Ellis and Schmidt [1977] G. F. R. Ellis and B. G. Schmidt, Singular space-times, General Relativity and Gravitation 8, 915 (1977).
* Stephani _et al._ [2003] H. Stephani, D. Kramer, M. A. H. MacCallum, C. Hoenselaers, and E. Herlt, _Exact solutions of Einstein’s field equations_, Cambridge Monographs on Mathematical Physics (Cambridge Univ. Press, Cambridge, 2003).
| arxiv-papers | 2021-07-25T20:27:33 | 2024-09-04T03:07:17.196139 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Ivan Kol\\'a\\v{r}, Tom\\'a\\v{s} M\\'alek, Suat Dengiz, Ercan Kilicarslan",
"submitter": "Ivan Kolar",
"url": "https://arxiv.org/abs/2107.11884"
} |
2107.11888 | # Towards an untyped proof of Con(NF)
Zuhair Al-Johar
(July 2021)
## 1 Introduction
The idea of this approach towards proving the consistency of Quine’s New
Foundations set theory is to go in a completely untyped manner. So no
contemplation about types is utilized here. All conceptualization pivots
around proving a handful many axioms that are completely untyped and framed in
the usual language of set theory, and proven to be equivalent to NF. Here,
it’ll be shown that if we assume the consistency of ZF plus an automorphism
and an external bijection with suitable internalization of subsets of its
domain and codomain, then NF would be interpreted in this system using a
modification of Boffa construction models.
## 2 The ambient theory
To the language of ZF we add two primitive unary functions $j,f$ the first is
an automorphism over the universe, the second is a partial function. The
axioms are:
Axioms of $\sf ZF$ but Separation and collection do not use symbols $f,j$
unless in parameters.
Injectivity: $\forall x\,\forall y:f(x)=f(y)\to x=y$
Automorphism: $\forall x\,\forall y:x\in y\iff j(x)\in j(y)$
Amenability: $\forall a:\exists b(b=\\{j(x):x\in a\\})\land\exists
d(d=\\{x:j(x)\in a\\})$
Movement $\exists\alpha:\lim(\alpha)\land V_{j(\alpha)+1}\subset
V_{\alpha}\land\\\ f``V_{\alpha}=V_{j(\alpha)+1}\\\ \forall x\subseteq
V_{\alpha}\,\exists y:y=f``x\\\ \forall
x\subseteq\mathcal{(}V_{j(\alpha)+1})^{2}\ \exists
y:y=\\{\\{z,u\\}:\\{f(z),f(u)\\}\in x\\}$
Where: $f``k=\\{f(x):x\in k\\}$, and $x^{2}=\\{\\{a,b\\}:a,b\in x\\}$
In English: we have the rank $V_{\alpha+1}$ (for limit $\alpha$) moved by
automorphism $j$ to a proper subset of $V_{\alpha}$, that is we have
$V_{j(\alpha)+1}\subset V_{\alpha}$. At the same time we have the external
function $f$ being bijective from $V_{\alpha}$ to $V_{j(\alpha)+1}$ and such
that for every subset $x$ of $V_{\alpha}$ there is a set-image of $x$ under
$f$ (that is $f``x$), and also for every subset $y$ of $V_{j(\alpha)+1}$ there
is pre-image of $y$ under $f$ (that is $f^{-1}``y$) (see Lemma below), and
moreover for every set of pairs of elements of $V_{j(\alpha)+1}$ there is a
set of all pairs of pre-images (under $f$) of those paired elements.
## 3 Interpreting NF
Using Boffa construction models we know that $(V_{\alpha},\in^{*})$ would be a
model of NFU + Infinity, where $\in^{*}$ defined as:
$y\in^{*}x\iff y\in j^{-1}(x)\land x\in V_{j(\alpha)+1}$
Now we seek to prove that all members of $V_{\alpha}$ can be re-coded in a
such a manner that turns them all to be sets! And of course at the same time
keeping all rules of stratification. We do this using the external function
$f$, so we need to define a new membership $\in^{\prime}$ as:
$y\in^{\prime}x\iff y\in j^{-1}(f(x))$
The stratification rules are those axiomtized by the following five axioms.
Complements $\forall x\exists y:y=\\{z:z\not\in x\\}$
Pairing: $\forall a\forall b\exists y:y=\\{a,b\\}$
Set Union: $\forall x\exists y:y=\bigcup x$
Unordered Composition: $\forall R\forall S\exists X:X=R\circ^{*}S$
Unordered intersection relation set: $\exists X:X=\Pi^{*}$
Where: $c\circ^{*}d=\\{\\{x,z\\}:\,\exists y\,(\\{x,y\\}\in c\land\\{y,z\\}\in
d)\\}$
$\Pi^{*}A=\\{\\{x,y\\}\in A:x\cap y\neq\emptyset\\}$
That this is a finite axiomatization of Stratified Comprehension is presented
in my article[1]. We denote this system by $\sf Fin.SF$
So the idea is to replace each symbol $\in$ in the above by $\in^{\prime}$ and
restrict all quantifiers to $V_{\alpha}$, and prove the replacing system
consistent relative to the ambient theory.
Lemma: $\forall x\subseteq V_{j(\alpha)+1}\,\exists y:y=\\{z:f(z)\in x\\}$
:
Proof: for every $x\subseteq V_{j(\alpha)+1}$ there is a set
$\mathcal{P}_{1}(x)$ of all singletons of its elements, so by “Movement” well
have the set $\\{\\{z\\}:\\{f(z)\\}\in\mathcal{P}_{1}(x)\\}$, take the union
of this set and this would be the set $y$ above.
Proposition: $(V_{\alpha},\in^{*})\models\sf Fin.SF$
Proof: Since $(V_{\alpha},\in^{*})\models\sf NFU$, then
$(V_{\alpha},\in^{*})\models\sf Fin.SF$, since $\sf Fin.SF\subset NFU$.
The proof of Extensionality: Since every element of $V_{\alpha}$ would be sent
by $f$ to an element of $V_{j(\alpha)+1}$, then all of them would code
(through $j^{-1}f$) elements of $V_{\alpha+1}$, and since the latter is
$\in$-extensional, then all elements of $V_{\alpha}$ would be
$\in^{\prime}$-extensional, this follows from the definition of $\in^{\prime}$
and from $j^{-1}f$ being a bijection!
The proof of Complements: for every element $x\in V_{\alpha}$, we have
$f(x)\in V_{j(\alpha)+1}$ that is the $j$ code of an element $k\in
V_{\alpha+1}$, which of course has its complement $k^{c}\in V_{\alpha+1}$,
which has $j(k^{c})\in V_{j(\alpha)+1}$, now take $f^{-1}(j(k^{c}))\in
V_{\alpha}$ and this would be the complementary set of $x$ under the re-
defined membership relation $\in^{\prime}$
The proof of Pairing: for all $a,b\in V_{\alpha}$ the set
$f^{-1}(j(\\{a,b\\}))\in V_{\alpha}$, and this is the $\in^{\prime}$-pair of
$a,b$
The poof of Set union: Let $l\in V_{\alpha}$, take $f(l)$ this would be an
element of $V_{j(\alpha)+1}$ and so it is the $j$ code of a subset $x$ of
$V_{\alpha}$ now $x$ is the set of all $\in^{\prime}$-elements of $l$
[definition of $\in^{\prime}$]. Now take $f``x$, this would be a subset of
$V_{\alpha}$, so it has a $j$ code $j(f``x)$, now we know that
$(V_{\alpha},\in^{*})$ satisfy set unions, so we have an $\in^{*}$ set union
of $j(f``x)$, denote that by $k=\bigcup^{\in^{*}}j(f``x)$, now take
$f^{-1}(k)$ and that would be the $\in^{\prime}$ set union of $l$
The proof of Unordered Composition: The proof is generally similar to set
unions. For any sets $x,y\in V_{\alpha}$ we take $f(x),f(y)$, now the sets
$k=j^{-1}(f(x)),l=j^{-1}(f(x))$ would be the sets of all
$\in^{\prime}$-elements of $x,y$ respectively. Now take $f``k,f``l$ those
would be subsets of $V_{\alpha}$ and so have $j$-codes $j(f``k),j(f``l)$ Now
those sets would have an $\in^{*}$ unordered composition of them, call that
$q$. Now we reverse the process, that is we take $j^{-1}(q)$ then take its
pre-image under $f$ that is we take $f^{-1}``j^{-1}(q)$ call this is $r$, then
take $f^{-1}(j(r))$ and this would be the $\in^{\prime}$ unordered composition
of $x,y$
The proof of Unordered Intersection Relation Set We start from the
$\in^{*}$-intersection relation set, denote that by $\Pi^{\in^{*}}$. That is
known to exist. Take $k=j^{-1}\Pi^{\in^{*}}$, now we take the set
$\\{\\{z,u\\}:\\{f(z),f(u)\\}\in k\\}$ this would be the set of all pairs of
intersecting $\in^{\prime}$ elements of $V_{\alpha}$, call it $I$, now let
$X=f^{-1}``j(I)$, then take $f^{-1}(j(X))$ and this would be our
$\in^{\prime}$-unordered intersection relation set.
## 4 Remark:
A proof of consistency of the ambient theory is needed to complete the proof
of Con(NF). The peculiar thing about this approach is that in addition to its
un-typed nature, it shows that the interpreting function $f$ which establishes
the full Extensionality of NF, need not be an internal function at all. What
is used to be known before is that if we prove the existence of an _internal_
bijection between the Ur-elements and sets of NFU, then we get an
interpretation of NF. However, this proof shows that this need not be the
case, and that external bijections with suitable additional internalization
features can do the job.
## 5 Boffa models without automorphisms
Boffa had used a rank shifting automorphism $j$ over a model of $\sf ZF$ and
showed that this would interpret $\sf NFU$, it’ll be shown here that $j$ need
not be an automorphism. All what is needed is for $j$ to be a partial unary
function with the following features. However, I’ll use the symbol $f$ instead
since it resmbles the one used above.
For some limit ordinal $\alpha$, we have:
Restriction: $f:V_{\alpha+1}\to V_{\alpha}$
Injectivity: $f(x)=f(y)\to x=y$
Upward: $\forall x\subseteq V_{\alpha}\,\exists
y:y=\\{\\{z,u\\}:\\{f(z),f(u)\\}\in x\\}$
Downward: $\forall x\subseteq V_{\alpha+2}\,\exists
y:y=\\{\\{f(z),f(u)\\}:\\{z,u\\}\in x\\}$
Now we KNOW that the above system is consistent, simply take $f$ to be an
external automorphism that moves $V_{\alpha+1}$ to $V_{f(\alpha)+1}\subset
V_{\alpha}$ , and all of the above rules would follow. However, the rules
above doesn’t prove the $f$ is an automorphism (see below). So, the conditions
depicted here are weaker than those of an automorphism!
NOTE: although the proof here uses ranks
$V_{\alpha},V_{\alpha+1},f``V_{\alpha+1},etc..$, yet there is no need for
that. All of what’s needed is for $f$ to be an external injection whose domain
is the power set of its codomain, that fulfills downward and upward axioms.
However, we’ll continue this tradition to conform more with the traditional
approach.
Now we set to prove the finite axiomatization of $\sf SF$ given above.
We take $\in^{*}$ to come from domain $V_{\alpha}$, and is defined in terms of
$f$ as:
$y\in^{*}x\iff y\in f^{-1}(x)\land x\in V_{f(\alpha)+1}$
We seek to interpret NFU over $\langle V_{\alpha},\in^{*}\rangle$:
The proofs of _Complements, Boolean union and Singletons_ are straightforward.
Lemma 1: $\forall x\subseteq V_{\alpha}\,\exists y:y=\\{z:f(z)\in
x\\}=f^{-1}``x$
Proof: for every $x\subseteq V_{\alpha}$ there is a set $\mathcal{P}_{1}(x)$
of all singletons of its elements, so by “Upward” we’ll have the set
$\\{\\{z\\}:\\{f(z)\\}\in\mathcal{P}_{1}(x)\\}$, take the union of this set
and this would be the set $y$ above.
Lemma 2: $\forall x\subseteq V_{\alpha+1}\,\exists y:y=\\{f(z):z\in x\\}=f``x$
Proof: for every $x\subseteq V_{\alpha+1}$ there is a set $\mathcal{P}_{1}(x)$
of all singletons of its elements, so by “Downward” we’ll have the set
$\\{\\{f(z)\\}:\\{z\\}\in\mathcal{P}_{1}(x)\\}$, take the union of this set
and this would be the set $y$ above.
The proof of set unions: let $x\in V_{\alpha}$, let $f^{-1}(x)\subseteq
V_{\alpha}$, now by Lemma 1 we’ll have the set $k=\\{z:f(z)\in f^{-1}(x)\\}$,
so $\bigcup k$ would be a subset of $V_{\alpha}$ and so $f(\bigcup k)$ is the
needed $\in^{*}$-set union of $x$
Proof of Unordered Composition: for $x,y\in V_{\alpha}$, let
$f^{-1}(x),f^{-1}(y)\in V_{\alpha+1}$, then we take the sets
$f^{-1}``f^{-1}(x),f^{-1}``f^{-1}(y)$, take the unordered composition of them,
let that be $K$, then we reverse the process so $f(f``K)$ is the
$\in^{*}$-unordered composition of $x$ and $y$
Proof of the Unordered Intersection Relation Set: We start from the set
$\Pi=\\{\\{a,b\\}:a\subseteq V_{\alpha},b\subseteq V_{\alpha},a\cap
b\neq\emptyset\\}$, that is: the set of all pairs of intersecting subsets of
$V_{\alpha}$. Now this is a subset of $V_{\alpha+2}$, so by downward we have
the set $K=\\{\\{f(a),f(b)\\}:\\{a,b\\}\in\Pi\\}$, then by Lemma 2 we have
$f``K$, then $f(f``K)$ is the $\in^{*}$-unordered intersection relation set.
Proof that $f$ is not necessarily an automorphism: take the transposition $g$
of $\emptyset$ and $1(i.e.;\\{\emptyset\\})$, now let $f=j\circ g=j(g(x))$
where $j$ is an automorphism over the universe. Take $f\uparrow V_{\alpha+1}$,
now this is an injective function from $V_{\alpha+1}$ to $V_{\alpha}$, and
it’s easy to prove that it fulfills upwards and downwards. For downward
direction if $x\subseteq\\{\\{a,b\\}:a,b\subseteq V_{\alpha}\\}$, then we
simply take the subset $k$ of $x$ whose elements are pairs that do not
intersect with $\\{0,1\\}$, now we have the set
$A=\\{\\{f(a),f(b)\\}:\\{a,b\\}\in k\\}=\\{\\{j(a),j(b)\\}:\\{a,b\\}\in k\\}$,
because $f(s)=j(s)$ if $s\not\in\\{0,1\\}$; now for the rest of $x$, i.e. the
set $k^{c}\cap x$, take the set $B=\\{\\{g(a),g(b)\\}:\\{a,b\\}\in j(k^{c}\cap
x)\\}$, this can be easily constructed even in Zermelo, take $A\cup B$ and
this would be the $f$-downward set. The same argument can be applied for the
opposite direction to prove $f$-upward set. So $f$ fulfills all of the above
axioms, yet clearly $f$ is not an automorphism.
## 6 Significance
It is $j$ being an automorphism in Boffa’s construction that enforced having
more Ur-elements than Sets in the interpretation of NFU. This would not
necessarily be the case for the above function, so in principle it might be
possible that $f``V_{\alpha+1}$ (the set of all sets in the interpretation) be
of the same size or even strictly larger in size than its complementary set
with respect to $V_{\alpha}$ (the set of all Ur-elements in the
interpretation), in which case Con(NF) would follow. So in theory, the door is
still open for a proof of Con(NF) using this method.
## 7 An aside: A proof of NF in NFU
Along this method, it can be shown that if to axioms of NFU we add the
following axiom:
$\exists x:|\mathcal{P}(x)|=|x|$
; where $||$ is for cardinality defined after Frege. Then NF follows.
Here $\mathcal{P}(x)=\\{y\in Set:\forall z\in y(z\in x)\\}$, and
$Set=\\{y:y=\emptyset\lor\exists x\,(x\in y)\\}$
Proof: any bijection $f$ that witness the equality of cardinality between $x$
and its power set, would fulfill all of the above four axioms, and since
$\mathcal{P}(x)$ is fully extensional (no distinct elements of it have exactly
the same members), then Extensionality would be fulfilled. So let $f:x\to
P(x)$, then define
$y\in^{f}x\iff y\in f(x)$
, take the domain of $\in^{f}$ to be $x$, and we get $(x,\in^{f})$ modeling
$\sf NF$
Ur is not necessarily of empty objects
Take a surjection $f:V\to Set$ that sends every empty object other than the
empty set to some fixed set $x$, and send otherwise all elements of $Set$ to
elements of $Set$. Apply the argument in the above section and we’ll have an
interpretation of NFU in which all Ur-elements are co-extensional to $x$.
## 8 References
[1] Al-Johar, Z.A., Short Axiomatization of Stratified Comprehension, pre-
print 2020, arXiv:2009.03185v2 [math.LO]
[2]Ehrenfeucht, A., and A. Mostowksi, “Models of axiomatic theories admitting
automorphisms,” Fundamenta Mathematicae, vol. 43 (1956), pp. 50–68. Zbl
0073.00704. MR 0084456. 574
[3] M. Randall Holmes. ”The Usual Model Construction for NFU Preserves
Information.” Notre Dame J. Formal Logic 53 (4) 571 - 580, 2012.
https://doi.org/10.1215/00294527-1722764
[4] Quine, W. v. O., “New foundations for mathematical logic”, American
Mathematical Monthly, vol. 44 (1937), pp. 70-80.
| arxiv-papers | 2021-07-16T17:03:24 | 2024-09-04T03:07:17.217023 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Zuhair Al-Johar",
"submitter": "Zuhair Al-Johar Dr.",
"url": "https://arxiv.org/abs/2107.11888"
} |
2107.11890 | # Row-finite systems of stochastic differential equations with dissipative
drift
Georgy Chargaziya
Mathematics Department, The University of York
Abstract
Motivated by studies of stochastic systems describing non-equilibrium dynamics
of (real-valued) spins of an infinite particle system in $\mathbb{R}^{n}$ we
consider a row-finite system of stochastic differential equations with
dissipative drift. The existence and uniqueness of infinite time solutions is
proved via finite volume approximation and a version of the Ovsjannikov
method.
###### Table of Contents
1. 1 Introduction
2. 2 Main Framework
1. 2.1 General Notation
2. 2.2 Scale and Ovsjannikov Map
3. 2.3 Probability and Measure Spaces
4. 2.4 $\mathcal{Z}$ spaces
5. 2.5 Stochastic System
3. 3 Auxiliary Results
4. 4 Truncated Systems
5. 5 One Dimesional Special Case
6. 6 Existence and Uniqueness
7. 7 Complementary Theory
1. 7.1 Expectation, Measurability and Related Inequalities
2. 7.2 Martingales and Wiener Process in $\mathbb{R}$
3. 7.3 Deterministic Ovsjannikov Equation
8. References
Acknowledgment
Much of this work is based on joint research with Alexei Daletskii. His advise
and guidance is gratefully acknowledged. I am grateful to Zdzislaw Brzezniak
for helpful and stimulating discussions.
## 1 Introduction
The study of properties of various physical phenomena has led to consideration
of systems of infinitely many coupled finite dimensional stochastic
differential equations. Such systems are known as lattice models with certain
conditions on the so-called “spin variables”, which are being modelled by the
SDEs. Term “stochastic dynamics” is also often used to describe such systems
in general and in particular SDEs that model the time dependence of spin
variables. Origins of this terminology can be found in [21] and additional
mathematical framework can be found, for example, in [23] and [22]. Questions
concerning existence and uniqueness of solutions of such systems have also
been studied in [25] and [24].
In recent decades studies of physical phenomena pertaining to non-crystalline
(amorphous) substances and ferrofluids and amorphous magnets has led to an
increased interest in studying countable systems of particles randomly
distributed in $\mathbb{R}^{d}$. Characterisation of each particle in such a
system by an internal real or vector valued “spin” parameter naturally leads
to the consideration of a lattice model based on a fixed configuration
$\gamma\subset\mathbb{R}^{d}$ of particle positions. Instances when
$\gamma\equiv\mathbb{Z}^{d}$ are well studied and have an extensive
literature, see for example [26, 28] and [27]. However, as described in [2]
there are instances when the configuration $\gamma$ of particle positions
doesn’t have a regular structure but instead lends itself as a locally finite
subset of $\mathbb{R}^{d}$ where the typical number of “neighbour variables”
of a particle located at $x\in\gamma$ is proportional to $\log|x|$ for large
$|x|$.
In [29] we saw an extension of work by [1]. This extension showed, under a
suitable choice of coefficients, how to construct a unique strong solution of
a stochastic differential equation, driven by a cylinder Wiener process, in a
separable Hilbert space
$d\xi(t)=F(\xi(t))dt+\Phi(\xi(t))dW(t),\ t\geq 0,$ (1.1)
using the method of Ovsjannikov. The end result was a strong solution that
takes values in an intersection of a suitably chosen scale of Hilbert spaces.
This general theory was subsequently used to extend the work of [2] [in a
sense of considering a stochastic version] by considering a lattice system on
a locally finite subset $\gamma\subset\mathbb{R}^{d}$ such that the spins
$q_{x}$ and $q_{y}$ are allowed to interact via a pair potential if the
distance between $x,y\in\gamma$ is no more than a fixed and positive
interaction radius $r$, that is, they are neighbours in the geometric graph
defined by $\gamma$ and $r$. Precisely speaking we considered a system
$\displaystyle d\xi_{x}(t)=\phi_{x}(\Xi(t))dt+\Psi_{x}(\Xi(t))dW_{x}(t),\
x\in\gamma,\ t\in[0,T],$ (1.2)
where $\phi_{x}$ and $\Psi_{x}$ were required to satisfy the so-called “finite
range ” and “uniform Lipschitz continuity” conditions and showed that system
(1.2) can be realised in a suitable scale of separable Hilbert spaces and
hence studied using the method of Ovsjannikov.
In this paper, we would like to further build upon results of [1, 2] and [17,
16] and consider a lattice system of the form
$\displaystyle
d\xi_{x}(t)=\Phi_{x}(\xi_{x}(t),\Xi(t))dt+\Psi_{x}(\xi_{x}(t),\Xi(t))dW_{x}(t),\
x\in\gamma,\ t\in[0,T],$ (1.3)
where $\Phi_{x}(a,b)\equiv V(a)+\phi_{x}(b)$, where $V$ is a real valued one
particle potential satisfying the dissipativity condition, and $\Psi_{x}$ is
Lipschitz. In our approach we will assume, as in [2], that configuration of
particles $\gamma\subset\mathbb{R}^{d}$ is a locally finite subset of
$\mathbb{R}^{d}$ distributed according to a Poisson or, more generally, Gibbs
measure with a superstable low regular interaction energy, so that for all
$x\in\gamma$ then number of particle in a certain compact vicinity of $x$ is
proportional to $\log|x|$ for large $|x|$.
Unfortunately, system (1.3) doesn’t lend itself for an immediate and
straightforward application of an Ovsjannikov method. Hence in this part we
opt for an approach that was used in [17] and consider a so-called sequence of
“finite volume approximations” of the system (1.3). Precisely speaking a
sequence of finite volume approximations is a sequence of solutions of
truncated systems of the following form
$\displaystyle\xi_{x,t}^{n}=\zeta_{x}+\int_{0}^{t}\Phi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n})ds+\int_{0}^{t}\Psi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n})dW_{x}(s),$
$\displaystyle\quad\forall x\in\Lambda_{n}\land t\in[0,T],$ (1.4)
$\displaystyle\xi_{x,t}^{n}=\zeta_{x},$ $\displaystyle\quad\forall
x\not\in\Lambda_{n}\land t\in[0,T].$
where $\gamma\supset\Lambda_{n}\uparrow\gamma$ are finite. Using a comparison
Theorem 3.2, which builds upon the method of Ovsjannikov, we ultimately show
that the sequence of finite volume approximations converges to a unique strong
solution of the system (1.3) in a certain scale of Banach spaces.
## 2 Main Framework
### 2.1 General Notation
In our framework all vector spaces will be over $\mathbb{R}$ and the cardinal
number of any given set $A$ will always be denoted by $\\#A$. We now start
this subsection by introducing the following sets
$\displaystyle\mathbb{R}^{0}\coloneqq(0,\infty),\quad\mathbb{R}^{+}\coloneqq[0,\infty),\quad\mathbb{R}^{++}\coloneqq[1,\infty),\quad\mathbb{N}^{0}\coloneqq\mathbb{N}\cup\\{0\\},$
(2.1)
We also introduce constants $T,\ \rho,\ \underline{\mathfrak{a}},\
\overline{\mathfrak{a}}\in\mathbb{R}^{0}$, $d\in\mathbb{R}^{+}$ and a special
notation for the following closed intervals;
$\displaystyle\mathcal{A}\coloneqq[\underline{\mathfrak{a}},\overline{\mathfrak{a}}],$
(2.2) $\displaystyle\mathcal{T}\coloneqq[0,T].$ (2.3)
We let $\gamma$ be a locally finite subset of $\mathbb{R}^{d}$ and $|\cdot|,\
|\cdot|_{S}$ be respectively the Euclidean and supremum norm in
$\mathbb{R}^{d}$. Moreover we agree to use the following abbreviations;
$\displaystyle B(x,\rho)$ $\displaystyle\coloneqq\\{y\in\mathbb{R}^{d}\ |\
|x-y$ $\displaystyle|\ <\rho\\},$ (2.4) $\displaystyle\overline{B(x,\rho)}$
$\displaystyle\coloneqq\\{y\in\mathbb{R}^{d}\ |\ |x-y$ $\displaystyle|\
\leq\rho\\},$ $\displaystyle B_{x}$
$\displaystyle\coloneq\gamma\cap\overline{B(x,\rho)},$
$\displaystyle\\!\forall x\in\gamma,$ $\displaystyle n_{x}$
$\displaystyle\coloneq\\#B_{x},$ $\displaystyle\\!\forall x\in\gamma.$
###### Remark.
The fact that $\gamma$ is a locally finite subset of $\mathbb{R}^{d}$ means
that $\gamma\cap X$ is finite if $X\subset\mathbb{R}^{d}$ is compact and also
implies that $\gamma$ is a countable subset of $\mathbb{R}^{d}$.
Next, we fix in place a real valued function
$a:\mathbb{R}^{d}\to\mathbb{R}^{+}$ and make the following assumptions;
1. (A)
$a(x)\leq\bar{a}\quad\quad\quad\quad$ for some constant
$\bar{a}\in\mathbb{R}^{+}$,
2. (B)
$n_{x}\leq\mathcal{N}\log(1+|x|)$ for some constant
$\mathcal{N}\in\mathbb{R}^{+}$ and all $x\in\gamma$.
Given two vector spaes $A$ and $B$ let us now also introduce the following
notation
$\displaystyle A\prec B\iff\text{A is a subspace of B,}$ (2.5)
and agree that $A^{B}$ will be understood as the infinite cartesian product,
that is
$\displaystyle A^{B}=\bigtimes_{b\in B}A=\bigg{\\{}\\{z_{b}\\}_{b\in B}\
\bigg{|}\ z_{b}\in A\ \text{for all}\ b\in B\bigg{\\}}.$ (2.6)
Suppose now that
$\mathbf{X}\coloneqq\\{X_{\mathfrak{a}}\\}_{\mathfrak{a}\in\mathcal{A}}$ is a
family of sets. We define for convenience and later use the following
notation;
$\displaystyle\mathbf{X}(\cup)\coloneqq\bigcup_{\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})}X_{\mathfrak{a}},$
(2.7)
$\displaystyle\mathbf{X}(\cap)\coloneqq\bigcap_{\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})}X_{\mathfrak{a}},$
(2.8)
### 2.2 Scale and Ovsjannikov Map
We now proceed to introduce several important definitions.
###### Definition 2.1.
A family
$\mathbf{X}\coloneqq\\{X_{\mathfrak{a}}\\}_{\mathfrak{a}\in\mathcal{A}}$ of
Banach spaces is called a scale if for all $\alpha<\beta\in\mathcal{A}$ and
all $x\in X_{\alpha}$
1. (1)
$X_{\alpha}\prec X_{\beta}$,
2. (2)
$||x||_{X_{\beta}}\ \leq\ ||x||_{X_{\alpha}}$.
###### Definition 2.2.
Let $\mathbf{X^{1}}$ be a scale and
$\mathbf{X^{2}}\coloneqq\\{X^{2}_{\mathfrak{a}}\\}_{\mathfrak{a}\in\mathcal{A}}$
be a family of Banach spaces. Then
$G:\mathbf{X^{1}}(\cup)\to X_{\overline{\mathfrak{a}}}^{2}$
is called an Ovsjannikov map of order $q$ and $L$ from $\mathbf{X^{1}}$ to
$\mathbf{X^{2}}$ if there exist $q,L\in\mathbb{R}^{0}$ such that for all
$\alpha<\beta\in\mathcal{A}$ and all $x,y\in X_{\alpha}$
1. (1)
$G|_{X_{\alpha}^{1}}:X_{\alpha}^{1}\to X_{\beta}^{2}$,
2. (2)
$||G(x)-G(y)||_{X_{\beta}^{2}}\leq\frac{L}{(\beta-\alpha)^{q}}||x-y||_{X_{\alpha}^{1}}$.
###### Definition 2.3.
Suppose $\mathbf{X^{1}}$ is a scale and
$\mathbf{X^{2}}\coloneqq\\{X^{2}_{\mathfrak{a}}\\}_{\mathfrak{a}\in\mathcal{A}}$
is a family of Banach spaces.
$\displaystyle\mathcal{O}(\mathbf{X^{1}},\mathbf{X^{2}},L,q)$
$\displaystyle\coloneqq\\{\text{space of Ovsjannikov maps of order $q$ and $L$
from $\mathbf{X^{1}}$ to $\mathbf{X^{2}}$}\\},$ (2.9)
$\displaystyle\mathcal{O}(\mathbf{X^{1}},L,q)$
$\displaystyle\coloneqq\\{\text{space of Ovsjannikov maps of order $q$ and $L$
from $\mathbf{X^{1}}$ to $\mathbf{X^{1}}$}\\}.$ (2.10)
###### Definition 2.4.
For all $p\in\mathbb{R}^{++}$ and all $\mathfrak{a}\in\mathcal{A}$ let
$\displaystyle l^{p}_{\mathfrak{a}}$
$\displaystyle\coloneqq\bigg{\\{}z\in\mathbb{R}^{\gamma}\ \bigg{|}\
\|z\|_{l^{p}_{\mathfrak{a}}}\coloneqq\bigg{(}\sum_{x\in\gamma}e^{-\mathfrak{a}|x|}|z_{x}|^{p}\bigg{)}^{\frac{1}{p}}<\infty\bigg{\\}},$
(2.11) $\displaystyle\mathscr{L}^{p}$
$\displaystyle\coloneqq\\{l^{p}_{\mathfrak{a}}\\}_{\mathfrak{a}\in\mathcal{A}},$
(2.12)
be, respectively, a normed linear space of weighted real sequences and a
family of such spaces.
###### Theorem 2.1.
Let $n\coloneqq\\{n_{x}\\}_{x\in\gamma}$. Then $n\in
l_{\underline{\mathfrak{a}}}^{1}$.
###### Proof.
Observe that assumption (B) implies that
$\displaystyle\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}|n_{x}|\ \leq\
\mathcal{N}\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}\log(1+|x|)\ \leq\
\mathcal{N}\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}|x|.$ (2.13)
Hence to conclude that proof we show that
$\displaystyle\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}|x|\ <\infty.$
(2.14)
We now make a couple of preliminary observations and additional definitions.
Fix a suitable $k\in\mathbb{N}$ such that $\sqrt{d}\frac{1}{2^{k}}<\rho$ and
consider the following $k^{\text{th}}$ grid-partition or $\mathbb{R}^{d}$
$\displaystyle\mathcal{R}^{k}$
$\displaystyle\coloneqq\\{\mathcal{R}^{k}_{z}\\}_{z\in\mathbb{Z}^{d}},$ (2.15)
$\displaystyle\mathcal{R}^{k}_{z}$
$\displaystyle\coloneqq\bigg{\\{}x\in\mathbb{R}^{d}\ \bigg{|}\
\frac{z_{i}-1}{2^{k}}\leq x_{i}\leq\frac{z_{i}}{2^{k}}\bigg{\\}}.$ (2.16)
We shall call members of the family $\mathcal{R}^{k}$,
$k^{\text{th}}-$rectangles. Observe that for all $z\in\mathbb{Z}^{d}$
$\displaystyle Diam(\mathcal{R}^{k}_{z})\coloneqq\sup\\{|x-y|_{S}\ |\
x,y\in\mathcal{R}^{k}_{z}\\}=\frac{1}{2^{k}}.$ (2.17)
Now introduce the following sets
$\displaystyle I_{n}$ $\displaystyle\coloneqq\bigg{\\{}x\in\mathbb{R}^{d}\
\bigg{|}\ |x|_{S}\ \leq\frac{1}{2}n\bigg{\\}},\ $ $\displaystyle\forall
n\in\mathbb{N}^{0},$ (2.18) $\displaystyle J_{n}$ $\displaystyle\coloneqq
I_{n}-I_{n-1},$ $\displaystyle\forall n\in\mathbb{N}.$
Consider now the real function
$e^{-\underline{\mathfrak{a}}x}x:[0,\infty)\to\mathbb{R}$. We see that
$\frac{d}{dx}e^{-\underline{\mathfrak{a}}x}x=e^{-\underline{\mathfrak{a}}x}(1-\underline{\mathfrak{a}}x)$
and so it follows that $\frac{d}{dx}e^{-\underline{\mathfrak{a}}x}x<0$ if
$x>\frac{1}{\underline{\mathfrak{a}}}$. Therfore letting $m\in\mathbb{N}$ be
the smallest natural number such that
$\max\\{\frac{1}{\underline{\mathfrak{a}}},2\\}\leq m$ we see that
$e^{-\underline{\mathfrak{a}}x}x:(m,\infty)\to\mathbb{R}$ is a decreasing
function. Finally observe that the following statements are true
1. (1)
$I_{1}$ contains exactly $2^{k+1}$ of $k^{\text{th}}-$rectangles.
2. (2)
$J_{n}$ contains fewer then $n2^{k+2}$ of $k^{\text{th}}-$rectangles.
3. (3)
For all $n\in\mathbb{N}$, if $x\in\gamma\cap J_{n}$ then $|x|\ \geq n-1$.
4. (4)
Suppose that $n\in\mathbb{N}$ and $z\in\mathbb{Z}^{d}$. Consider
$x,y\in\gamma\cap\mathcal{R}^{k}_{z}\subset J_{n}$. It follows that
$\displaystyle|x-y|$ $\displaystyle\leq\sqrt{d}|x-y|_{S},$ (2.19)
$\displaystyle\leq\sqrt{d}Diam(\mathcal{R}^{k}_{z}),$ (2.20)
$\displaystyle\leq\sqrt{d}\frac{1}{2^{k}},$ (2.21) $\displaystyle\leq\rho.$
(2.22)
Hence we see that $y\in B_{x}$ and so from asumption B we see that
$\displaystyle\\#\gamma\cap\mathcal{R}^{k}_{z}$ $\displaystyle\leq n_{x},$
(2.23) $\displaystyle\leq\mathcal{N}\log(1+|x|),$ (2.24)
$\displaystyle\leq\mathcal{N}|x|,$ (2.25) $\displaystyle\leq\mathcal{N}n.$
(2.26)
Therefore we conclude that for all $n\in\mathbb{N}$, $\\#\gamma\cap
J_{n}\leq\mathcal{N}n^{2}2^{k+2}$.
Returning now to the inequality (2.14) we see that because $J_{m}$ is compact
and $\gamma$ is locally finite we can let
$\displaystyle B\coloneqq\sum_{x\in\gamma\cap
J_{m}}e^{-\underline{\mathfrak{a}}|x|}|x|,$ (2.27)
and observe that
$\displaystyle\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}|x|\ $
$\displaystyle\leq B+\sum_{n\in\mathbb{N}\atop n>m}\sum_{x\in\gamma\cap
J_{n}}e^{-\underline{\mathfrak{a}}|x|}|x|,$ (2.28) $\displaystyle\leq
B+\mathcal{N}2^{k+2}\sum_{n\in\mathbb{N}\atop
n>m}e^{-\underline{\mathfrak{a}}(n-1)}(n-1)n^{2}.$ (2.29)
Hence letting $\mathcal{K}\coloneqq\frac{m-1}{m}$ we see that
$\displaystyle\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}|x|\ \leq
B+\mathcal{N}2^{k+2}\sum_{n\in\mathbb{N}\atop
n>m}e^{-\mathcal{K}\underline{\mathfrak{a}}n}n^{3}.$ (2.30)
Now, one can show via a simple calculation involving the integral test (for
details see [19]) that the right hand side of the inequality (2.30) above is
finite hence the proof is complete. ∎
###### Remark.
From Theorem 2.1 above it is clear that
$\displaystyle\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}<\infty.$
(2.31) Moreover if $\|\cdot\|$ is any norm in $\mathbb{R}^{d}$ equivalent to
the Euclidean norm then, given a suitable modification of the asumption B,
Theorem 2.1 also implies that
$\displaystyle\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}\|x\|}\|x\|\
<\infty.$ (2.32)
###### Theorem 2.2.
Suppose that $p\in\mathbb{R}^{++}$. Then $\mathscr{L}^{p}$ is the scale.
###### Proof.
It is clear from the Definition 2.4 that $\mathscr{L}^{p}$ is a family of
normed linear spaces. Moreover conditions (1) and (2) of the Definition 2.1
follow immediately from the simple fact that if $\alpha<\beta\in\mathcal{A}$
then $e^{-\alpha}>e^{-\beta}$. Hence to conclude the proof we fix
$\mathfrak{a}\in\mathcal{A}$ and show that $l^{p}_{\mathfrak{a}}$ is a Banach
space.
Let us begin by assuming that $\\{z^{n}\\}_{n\in\mathbb{N}}$ is a Cauchy
sequence in $l^{p}_{\mathfrak{a}}$. Now fix an arbitrary $\epsilon>0$ and a
suitable constant $N_{\epsilon}\in\mathbb{N}$ such that for all
$n,m>N_{\epsilon}$ we have
$\displaystyle\bigg{(}\sum_{x\in\gamma}e^{-\mathfrak{a}|x|}|z^{n}_{x}-z^{m}_{x}|^{p}\bigg{)}^{\frac{1}{p}}<\epsilon.$
(2.33)
Because $\epsilon$ is arbitrary we see from inequality (2.33) above that for
all $x\in\gamma$ sequence $\\{z^{n}_{x}\\}_{n\in\mathbb{N}}$ is Cauchy in
$\mathbb{R}$. Hence, it follows that we can define a new sequence
$\mathbf{z}\coloneqq\\{\mathbf{z}_{x}\\}_{x\in\gamma}$ in
$\mathbb{R}^{\gamma}$ as follows
$\displaystyle\mathbf{z}_{x}\coloneqq\lim_{n\to\infty}z^{n}_{x},\ \forall
x\in\gamma.$ (2.34)
Now we complete the proof by showing that $\mathbf{z}\in l^{p}_{\mathfrak{a}}$
and $\overbrace{\lim_{n\to\infty}z^{n}}^{\text{in}\
l^{p}_{\mathfrak{a}}}=\mathbf{z}$. To begin, we fix an arbitrary finite subset
$A$ of $\gamma$. Now for all $n,m>N_{\epsilon}$ we see from inequality (2.33)
that
$\displaystyle\sum_{x\in
A}e^{-\mathfrak{a}|x|}|z^{n}_{x}-z^{m}_{x}|^{p}<\epsilon^{p}.$ (2.35)
Hence we see that for all $n>N_{\epsilon}$
$\displaystyle\lim_{m\to\infty}\sum_{x\in
A}e^{-\mathfrak{a}|x|}|z^{n}_{x}-z^{m}_{x}|^{p}$
$\displaystyle=\mathbin{{\color[rgb]{1,1,1}\sum_{x\in
A}e^{-\mathfrak{a}|x|}\lim_{m\to\infty}|z^{n}_{x}-z^{m}_{x}|^{p}}}$ (2.36)
$\displaystyle=\sum_{x\in
A}e^{-\mathfrak{a}|x|}|z^{n}_{x}-\lim_{m\to\infty}z^{m}_{x}|^{p}=$
$\displaystyle\\!\\!\\!\\!\\!\\!=\sum_{x\in
A}e^{-\mathfrak{a}|x|}|z^{n}_{x}-\mathbf{z}_{x}|^{p}\ \leq\ \epsilon^{p}.$
Since $A\subset\gamma$ is arbitrary we see from inequality (2.36) above that
for all $n>N_{\epsilon}$
$\displaystyle\sum_{x\in\gamma}e^{-\mathfrak{a}|x|}|z^{n}_{x}-\mathbf{z}_{x}|^{p}\leq\epsilon^{p}.$
(2.37)
Because $\epsilon$ is also arbitrary we conclude that
$\overbrace{\lim_{n\to\infty}z^{n}}^{\text{in}\
l^{p}_{\mathfrak{a}}}=\mathbf{z}$. Moreover we see that if $n>N_{\epsilon}$
then $z^{n}-\mathbf{z}\in l^{p}_{\mathfrak{a}}$. Since $l^{p}_{\mathfrak{a}}$
is a vector space we conclude that $\mathbf{z}\in l^{p}_{\mathfrak{a}}$ hence
the proof is complete. ∎
### 2.3 Probability and Measure Spaces
We shall now proceed to describe the probability space and also a couple of
important spaces of measurable maps and stochastic processes, that will become
important in the main body of this text.
Hence let us assume the following.
1. (1)
Let us agree in the first palce that all probability and measure spaces in our
discussion are completed.
2. (2)
Now we fix a filtered probability space
$\displaystyle\mathbf{P}\coloneqq(\Omega,\mathcal{F},\mathbb{P},\mathbb{F}),$
(2.38)
on which of our subsequent work will be based. Moreover:
1. (a)
For all $t\in\mathcal{T}$ we let
$\mathbf{P}_{t}\coloneqq(\Omega,\mathcal{F}_{t},\mathbb{P})$.
2. (b)
Filtration $\mathbb{F}\coloneqq\\{\mathcal{F}_{t}\\}_{t\in\mathcal{T}}$ is
assumed to be right continuous. That is for all $t\in\mathcal{T}$,
$\displaystyle\mathcal{F}_{t}=\bigcap_{n\in\mathbb{N}}\mathcal{F}_{t+\frac{1}{n}}.$
(2.39)
3. (3)
We fix a measure space
$\mathbf{M}\coloneqq(\mathcal{T},\mathscr{B}(\mathcal{T}),\mu)$, where $\mu$
is a Lebesgue measure and $\mathscr{B}(\mathcal{T})$ is a Borel
$\sigma-$algebra.
4. (4)
We now agree to work on a fixed product measure space
$\displaystyle\mathbf{M}\mathbf{P}\coloneqq(\overline{\Omega}\coloneqq\mathcal{T}\times\Omega,\overline{\mathcal{F}}\coloneqq\mathscr{B}(\mathcal{T})\times\mathcal{F},\overline{\mathbb{P}}\coloneqq\mu\times\mathbb{P}).$
(2.40)
5. (5)
Given two measurable spaces $\mathbf{A}$ and $\mathbf{B}$ we denote by
$\mathcal{M}(\mathbf{A},\mathbf{B})$ the space of all measurable maps from
$\mathbf{A}$ to $\mathbf{B}$. In particular, the following measurable spaces
will be frequently mentioned
1. (a)
$\mathbf{M}^{p}_{\mathfrak{a}}\coloneqq(l_{\mathfrak{a}}^{p},\mathscr{B}(l_{\mathfrak{a}}^{p}))$,
2. (b)
$\mathbf{M}^{\mathbb{R}}\coloneqq(\mathbb{R},\mathscr{B}(\mathbb{R}))$,
Following definition fixes how we understand and denote stochastic processes
in this text.
###### Definition 2.5.
Let $Y$ be a normed linear space and $\mathbf{Y}\coloneqq(Y,\mathcal{B})$ be a
measurable space. Stochastic process is an element of
$\mathcal{M}(\mathbf{M}\mathbf{P},\mathbf{Y})$. In particular for all
$t\in\mathcal{T}$ and all $\omega\in\Omega$
$\mathcal{M}(\mathbf{P},\mathbf{Y})\ni\xi_{t}(\cdot):\Omega\to Y,$
$\mathcal{M}(\mathbf{M},\mathbf{Y})\ni\xi_{\cdot}(\omega):\mathcal{T}\to Y.$
For brevity we shall denote by $\mathcal{S}(\mathbf{Y})$ the set of all
stochastic processes from $\mathbf{MP}$ to $\mathbf{Y}$.
Following Bnach spaces will be frequently used.
###### Definition 2.6.
Let $\mathscr{X}\coloneqq(X,\mathcal{A},\eta)$ be a measure space, $Y$ be a
normed linear space, with norm denoted by $\|\cdot\|_{Y}$, and
$\mathscr{Y}\coloneqq(Y,\mathcal{B})$ be a measurable space. For all
$p\in\mathbb{R}^{++}$ we define the following Banach spaces.
$\displaystyle\mathcal{L}^{p}(\mathscr{X},\mathscr{Y})\coloneqq\left\\{f:X\to
Y\ \begin{tabular}[]{|l}\
$\|f\|_{\mathcal{L}^{p}(\mathscr{X},\mathscr{Y})}\coloneqq\left(\bigint_{\\!\\!\\!\\!X}\|f\|^{p}_{Y}d\eta\right)^{\frac{1}{p}}<\infty$.\\\
\ $f\in\mathcal{M}(\mathscr{X},\mathscr{Y})$.\end{tabular}\right\\}$ (2.43)
###### Remark.
As it is often done in the literature, we will not consider explicitly the
dependence of $\mathcal{L}^{p}(\cdot,\cdot)$ spaces on equivalence classes. We
will work directly with the Definition 2.6 and when necessary acknowledge any
issues arising from such dependence.
###### Theorem 2.3.
Suppose that $p\in\mathbb{R}^{++}$. Then
$\mathbf{L}\coloneqq\\{\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{p}_{\mathfrak{a}})\\}_{\mathfrak{a}\in\mathcal{A}}$
is a scale.
###### Proof.
The fact that $\mathbf{L}$ is a family of Banach spaces is a standart result
from functional analysis, see [7] for example. Therefore it remains to verify
that conditions (1) and (2) of the Definition 2.1 hold. To this end let us
start by fixing $\alpha<\beta\in\mathcal{A}$ and
$f\in\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{p}_{\alpha})$. By Definition 2.6
it follows that $f\in\mathcal{M}(\mathbf{P},\mathbf{M}^{p}_{\alpha})$. Because
$\mathscr{L}^{p}$ is the scale we conclude that
$f\in\mathcal{M}(\mathbf{P},\mathbf{M}^{p}_{\beta})$ and
$\|f\|_{l^{p}_{\beta}}^{p}\ \leq\ \|f\|_{l^{p}_{\alpha}}^{p}$. From Theorem
7.12 we see that
$\displaystyle\int_{\Omega}\|f\|_{l^{p}_{\beta}}^{p}d\mathbb{P}\ \leq\
\int_{\Omega}\|f\|_{l^{p}_{\alpha}}^{p}d\mathbb{P}.$ (2.44)
It follows that $f\in\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{p}_{\beta})$ and
$\|f\|_{\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{p}_{\beta})}\ \leq\
\|f\|_{\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{p}_{\alpha})}$ hence the proof
is complete. ∎
###### Definition 2.7.
For all $p\in\mathbb{R}^{++}$ we introduce the following spaces of stochastic
processes.
$\displaystyle
L^{p}_{ad}\coloneqq\\{\xi\in\mathcal{L}^{p}(\mathbf{MP},\mathbf{M}^{\mathbb{R}})\
|\ \xi\ \text{is adapted to}\ \mathbb{F}\\}.$ (2.45)
###### Remark.
Suppose that $p\geq 2$ and $\xi\in L^{p}_{ad}$. Then $\xi\in L^{2}_{ad}$ by
Theorem 7.9 and by Fubini Theorem 7.15 we also see that
$\displaystyle\int_{0}^{T}\mathbb{E}\bigg{[}|\xi(t)|^{2}\bigg{]}dt<\infty.$
(2.46) This fact allows us to conclude that if $p\geq 2$ then every process in
$L^{p}_{ad}$ can be stochastically integrated with respect to the standard
Wiener proces. See [12] and section 7.2 for more details.
1. (5)
Finally we fix a family of independent real valued Wiener processes
$W\coloneqq\\{W_{x}\\}_{x\in\gamma}$ on $\mathbf{M}\mathbf{P}$ and require our
filtration $\mathbb{F}\coloneqq\\{\mathcal{F}_{t}\\}_{t\in\mathcal{T}}$ to
satisfy the following standart properties
1. (a)
For all $t\in\mathcal{T}$ and all $x\in\gamma$, $W_{x}(t)$ is
$\mathcal{F}_{t}$ measurable,
2. (b)
For all $s\leq t\in\mathcal{T}$ and all $x\in\gamma$ $W_{x}(t)-W_{x}(s)$ is
independent of $\mathcal{F}_{s}$.
### 2.4 $\mathcal{Z}$ spaces
###### Definition 2.8.
For all $p\in\mathbb{R}^{++}$ and all $\mathfrak{a}\in\mathcal{A}$ let
$\displaystyle Z_{\mathfrak{a}}^{p}$
$\displaystyle\coloneqq\left\\{\xi\in\mathcal{S}(l_{\mathfrak{a}}^{p})\
\begin{tabular}[]{|l}\
$\|\xi\|_{Z^{p}_{\mathfrak{a}}}\coloneqq\bigg{(}\sup\bigg{\\{}\mathbb{E}\bigg{[}\|\xi\|_{l^{p}_{\mathfrak{a}}}^{p}\bigg{]}\
\bigg{|}\ t\in\mathcal{T}\bigg{\\}}\bigg{)}^{\frac{1}{p}}<\infty$.\\\ \
$\xi_{x}$ is adapted to $\mathbb{F}$ for all
$x\in\gamma$.\end{tabular}\right\\},$ (2.49) $\displaystyle\mathcal{Z}^{p}$
$\displaystyle\coloneqq\\{Z^{p}_{\mathfrak{a}}\\}_{\mathfrak{a}\in\mathcal{A}},$
(2.50)
be, respectively, a normed linear space of $l_{\mathfrak{a}}^{p}$ valued
processes and a family of such spaces.
###### Remark.
Rigorously speaking $Z_{\mathfrak{a}}^{p}$ are a normed linear spaces only
after we partitioned them into equivalence classes. However as with
$\mathcal{L}^{p}(\cdot,\cdot)$ spaces we will not consider explicitly such
dependence at least untill the moment when we start addressing the question of
uniqueness of certain processes belonging to these spaces.
###### Theorem 2.4.
Let $p\in\mathbb{R}^{++}$, $\mathfrak{a}\in\mathcal{A}$ and suppose that
$\xi\in Z_{\mathfrak{a}}^{p}$. Then $\xi_{x}\in L^{p}_{ad}$ for all
$x\in\gamma$.
###### Proof.
From Definition 2.8 we see that to complete the proof we need to show that for
all $x\in\gamma$ we have
$\xi_{x}\in\mathcal{L}^{p}(\mathbf{MP},\mathbf{M}^{\mathbb{R}})$. Let us begin
by establishing that
$\xi_{x}\in\mathcal{M}(\mathbf{MP},\mathbf{M}^{\mathbb{R}})$.
For each $x\in\gamma$ let us define maps
$\mathscr{I}^{x}:l_{\mathfrak{a}}^{p}\to
l_{\mathfrak{a}}^{p},\quad\mathscr{R}^{x}:\mathbb{R}\to
l_{\mathfrak{a}}^{p},\quad\xi|_{x}:\overline{\Omega}\to l_{\mathfrak{a}}^{p},$
in the following way.
$\displaystyle\mathscr{I}^{x}(\psi)_{y}$
$\displaystyle\coloneqq\begin{cases}\begin{tabular}[]{l|l}$\psi_{y}$&\
$y\in\gamma\land y=x$,\\\ $0$&\ $y\in\gamma\land
y\not=x$.\end{tabular}\end{cases}$ (2.51)
$\displaystyle\mathscr{R}^{x}(z)_{y}$
$\displaystyle\coloneqq\begin{cases}\begin{tabular}[]{l|l}$z$&\
$y\in\gamma\land y=x$,\\\ $0$&\ $y\in\gamma\land
y\not=x$.\end{tabular}\end{cases}$ (2.52) $\displaystyle\xi|_{x}$
$\displaystyle\coloneqq\mathscr{I}^{x}(\xi).$ (2.53)
Now observe that for each $x\in\gamma$ map $\mathscr{I}^{x}$ is continuous
which implies that
$\xi|_{x}\in\mathcal{M}(\mathbf{MP},\mathbf{M}^{p}_{\mathfrak{a}})$. Moreover
observe that each $x\in\gamma$ map $\mathscr{R}^{x}$ is continuous and
$\xi|_{x}=\mathscr{R}^{x}\circ\xi_{x}$. Consider now arbitary
$A\coloneqq[a,b]\subset\mathbb{R}$ and $x\in\gamma$. By continuity
$B\coloneqq\mathscr{R}^{x}([a,b])$ is compact and so
$B\in\mathscr{B}(l_{\mathfrak{a}}^{p})$. Since
$\xi|_{x}\in\mathcal{M}(\mathbf{MP},\mathbf{M}^{p}_{\mathfrak{a}})$ it follows
that $(\xi|_{x})^{-1}(B)\in\overline{\mathcal{F}}$. However
$(\xi|_{x})^{-1}(B)=(\xi_{x})^{-1}\circ(\mathscr{R}^{x})^{-1}(B)=(\xi_{x}^{-1})(A),$
which establishes that
$\xi_{x}\in\mathcal{M}(\mathbf{MP},\mathbf{M}^{\mathbb{R}})$ for all
$x\in\gamma$.
Finally since for all $x\in\gamma$ we have $|\xi_{x}|\leq
e^{\frac{\mathfrak{a}}{p}|x|}\|\xi\|_{l^{p}_{\mathfrak{a}}}$ we may now
conclude using Theorem 7.12 that
$\xi_{x}\in\mathcal{L}^{p}(\mathbf{MP},\mathbf{M}^{\mathbb{R}})$ for all
$x\in\gamma$ and the proof is complete. ∎
###### Remark.
In simple terms, Theorem 2.4 above shows that, for all $p\in\mathbb{R}^{++}$
and $\mathfrak{a}\in\mathcal{A}$, component processes of each $\xi\in
Z_{\mathfrak{a}}^{p}$ can be stochastically integrated with respect to the
standard Wiener proces.
###### Theorem 2.5.
Let $p\in\mathbb{R}^{++}$ and $\mathfrak{a}\in\mathcal{A}$. Then
$Z^{p}_{\mathfrak{a}}$ is a Banach space.
###### Proof.
According to Definition 2.8 we need to show that $Z^{p}_{\mathfrak{a}}$ is
complete. Therfore let us start by assuming that
$\mathscr{X}\coloneqq\\{\xi^{n}\\}_{n\in\mathbb{N}}$ is a Cauchy sequence in
$Z^{p}_{\mathfrak{a}}$. Moreover, let us also define
$\mathscr{X}_{t}\coloneqq\\{\xi^{n}_{t}\\}_{n\in\mathbb{N}}$ and observe now
the following
1. (1)
From Definition 2.8 we see that $\mathscr{X}$ is a Cauchy sequence in
$\mathcal{L}^{p}(\mathbf{MP},\mathbf{M}^{p}_{\mathfrak{a}})$. Hence let us
define $\overline{\xi}:\overline{\Omega}\to l^{p}_{\mathfrak{a}}$ in the
following way
$\displaystyle\overline{\xi}\coloneqq\overbrace{\bigg{[}\
\lim_{n\to\infty}\xi^{n}\ \bigg{]}}^{\text{in}\
\mathcal{L}^{p}(\mathbf{MP},\mathbf{M}^{p}_{\mathfrak{a}})}\\!\\!.$ (2.54)
2. (2)
From Definition 2.8 we see that for all $t\in\mathcal{T}$ sequence
$\mathscr{X}_{t}$ is Cauchy in
$\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{p}_{\mathfrak{a}})$. Moreover we see
that
$\displaystyle\lim_{n,m\to\infty}\|\xi^{n}_{t}-\xi^{m}_{t}\|_{\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{p}_{\mathfrak{a}})}\
=0\ \text{uniformly on }\mathcal{T}.$ (2.55)
We define $\overline{\overline{\xi}}:\overline{\Omega}\to
l^{p}_{\mathfrak{a}}$ in the following way
$\displaystyle\overline{\overline{\xi}}(t,\omega)\coloneqq\overbrace{\bigg{[}\lim_{n\to\infty}\xi^{n}_{t}\bigg{]}}^{\text{in}\
\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{p}_{\mathfrak{a}})}(\omega),$ (2.56)
and conclude from a convergence result (2.55) above that
$\displaystyle\lim_{n\to\infty}\|\xi^{n}_{t}-\overline{\overline{\xi}}_{t}\|_{\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{p}_{\mathfrak{a}})}\
=0\ \text{uniformly on }\mathcal{T}.$ (2.57)
Now we make an important observation that underpins the rest of this proof.
From the fact that
$\displaystyle\lim_{n\to\infty}\|\xi^{n}_{t}-\overline{\xi}_{t}\|_{\mathcal{L}^{p}(\mathbf{MP},\mathbf{M}^{p}_{\mathfrak{a}})}\
=0,$ (2.58)
We can coclude using Fubini Theorem 7.15 and Theorem 7.5 that there exist a
subsequence $\sigma$ such that
$\displaystyle\mu-a.s.,\
\mathbb{E}\bigg{[}\|\xi^{\sigma(n)}_{t}-\overline{\xi}_{t}\|_{l^{p}_{\mathfrak{a}}}^{p}\bigg{]}\to
0.$ (2.59)
Using Egoroff Theorem 7.6 and the fact that $\mu$ is a regular measure we find
a sequence $\\{A_{k}\\}_{k\in\mathbb{N}}$ of subsets of $\mathcal{T}$ such
that for all $k\in\mathbb{N}$
1. (1)
$A_{k}\subset\mathcal{T}$ is compact and $\mu(A_{k})\leq\frac{1}{2^{k}}$,
2. (2)
Moreover
$\displaystyle\mathbb{E}\bigg{[}\|\xi^{\sigma(n)}_{t}-\overline{\xi}_{t}\|_{l^{p}_{\mathfrak{a}}}^{p}\bigg{]}\to
0\ \text{uniformly on }\mathcal{T}-A_{k}.$ (2.60)
Let us now define a null set
$\displaystyle\widetilde{\mathcal{T}}\coloneqq\bigg{\\{}t\in\mathcal{T}\
\big{|}\ t\in\bigcap_{k\in\mathbb{N}}A_{k}\bigg{\\}},$ (2.61)
a sequence $\\{B_{k}\\}_{k\in\mathbb{N}}$ of subsets of $\mathcal{T}$ where
for all $k\in\mathbb{N}$
$\displaystyle B_{k}\coloneqq A_{k}-\widetilde{\mathcal{T}},$ (2.62)
and a map $\xi:\overline{\Omega}\to l^{p}_{\mathfrak{a}}$ in the following way
$\displaystyle\xi(t,\omega)$
$\displaystyle\coloneqq\begin{cases}\begin{tabular}[]{l|l}$\overline{\xi}(t,\omega)$&\
$\omega\in\Omega\land t\in(\mathcal{T}-\widetilde{\mathcal{T}})$,\\\
$\overline{\overline{\xi}}(t,\omega)$&\ $\omega\in\Omega\land
t\in\widetilde{\mathcal{T}}$.\end{tabular}\end{cases}$ (2.63)
We conclude immediately tha $\xi\in\mathcal{S}(l_{\mathfrak{a}}^{p})$ because
$\mathbf{MP}$ is complete, $\widetilde{\mathcal{T}}$ a null set and so
$\xi=\overline{\xi}$ almost everywhere on $\overline{\Omega}$. Now, to
conclude this proof it remains to show the following:
1. I.
$\lim_{n\to\infty}\|\xi^{n}-\xi\|_{Z^{p}_{\mathfrak{a}}}\to 0$ as
$n\to\infty$,
2. II.
$\xi_{x}$ is adapted to $\mathbb{F}$ for all $x\in\gamma$.
Proof of I.
Fix $k\in\mathbb{N}$ and using composite definition of $\xi$ together with
estimates (2.57) and (2.60) observe that
$\displaystyle\mathbb{E}\bigg{[}\|\xi^{\sigma(n)}_{t}-\xi_{t}\|_{l^{p}_{\mathfrak{a}}}^{p}\bigg{]}\to
0\ \text{uniformly on }(\mathcal{T}-B_{k})\cup\widetilde{\mathcal{T}}.$ (2.64)
Therefore we fix an arbitrary $\epsilon>0$ and a suitable
$N_{\epsilon}\in\mathbb{N}$ such that for all $n>N_{\epsilon}$ and all
$k\in\mathbb{N}$ we have
$\displaystyle\mathbb{E}\bigg{[}\|\xi^{\sigma(n)}_{t}-\xi_{t}\|_{l^{p}_{\mathfrak{a}}}^{p}\bigg{]}<\epsilon\
\text{uniformly on }(\mathcal{T}-B_{k})\cup\widetilde{\mathcal{T}}.$ (2.65)
Hence for all $n>N_{\epsilon}$ and all $k\in\mathbb{N}$ we see that
$\displaystyle\sup\bigg{\\{}\mathbb{E}\bigg{[}\|\xi^{\sigma(n)}_{t}-\xi_{t}\|_{l^{p}_{\mathfrak{a}}}^{p}\bigg{]}\
\bigg{|}\
t\in(\mathcal{T}-B_{k})\cup\widetilde{\mathcal{T}}\bigg{\\}}\leq\epsilon.$
(2.66)
Moreover, inequality (2.66) above shows that for all $n>N_{\epsilon}$
$\displaystyle\sup\bigg{\\{}\mathbb{E}\bigg{[}\|\xi^{\sigma(n)}_{t}-\xi_{t}\|_{l^{p}_{\mathfrak{a}}}^{p}\bigg{]}\
\bigg{|}\ t\in\mathcal{T}\bigg{\\}}\leq\epsilon,$ (2.67)
for otherwise there exists $\bar{n}>N_{\epsilon}$ and $\bar{t}\in\mathcal{T}$
such that
$\displaystyle\mathbb{E}\bigg{[}\|\xi^{\sigma(\bar{n})}_{\bar{t}}-\xi_{\bar{t}}\|_{l^{p}_{\mathfrak{a}}}^{p}\bigg{]}>\epsilon.$
(2.68)
Moreover $\bar{t}\not\in\widetilde{\mathcal{T}}$ for otherwise we will
contradict inequality (2.66). Therefore, by definition of
$\widetilde{\mathcal{T}}$ it follows that there exists $\bar{k}\in\mathbb{N}$
such that $t\not\in A_{\bar{k}}$ hence $t\not\in B_{\bar{k}}$ and so
$t\in(\mathcal{T}-B_{\bar{k}})$. Therefore from inequality (2.66) we get a
contradiction
$\displaystyle\mathbb{E}\bigg{[}\|\xi^{\sigma(\bar{n})}_{\bar{t}}-\xi_{\bar{t}}\|_{l^{p}_{\mathfrak{a}}}^{p}\bigg{]}\leq\epsilon,$
(2.69)
hence inequality (2.67) holds and we conclude that
$\lim_{n\to\infty}\|\xi^{\sigma(n)}-\xi\|_{Z^{p}_{\mathfrak{a}}}\to 0$ as
$n\to\infty$. Finally because $\mathscr{X}$ is a Cauchy sequence we conclude
that $\lim_{n\to\infty}\|\xi^{n}-\xi\|_{Z^{p}_{\mathfrak{a}}}\to 0$ as
$n\to\infty$.
Proof of II.
We start by fixing $x\in\gamma$ and $t\in\mathcal{T}$. Now from the previous
part (i.e. Proof of I) we can deduce that
$\displaystyle\lim_{n\to\infty}\|\xi^{n}_{x,t}-\xi_{x,t}\|_{\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{\mathbb{R}})}\
=0.$ (2.70)
Therefore using Theorem 7.5 we find a subsequence $\sigma$ such that
$\mathbb{P}-a.s.$ we have $\xi^{\sigma(n)}_{x,t}\to\xi_{x,t}$. Since for all
$n\in\mathbb{N}$ processes $\xi^{\sigma(n)}_{x,t}$ is $\mathcal{F}_{t}$
measurable we conclude by Theorem 7.7 that $\xi_{x,t}$ is $\mathcal{F}_{t}$
measurable and the proof is complete. ∎
###### Theorem 2.6.
Suppose that $p\in\mathbb{R}^{++}$. Then $\mathcal{Z}^{p}$ is the scale.
###### Proof.
From Theorem 2.5 we alredy know that $\mathcal{Z}^{p}$ is a family of Banach
spaces so to conclude the proof it only remains to show that conditions (1)
and (2) of the Definition 2.1 are satisfied.
Let us begin by fixing $\alpha<\beta\in\mathcal{A}$ and observing that
condition (1) of the Definition 2.1 can be verified by showing that
$Z^{p}_{\alpha}\subset Z^{p}_{\beta}$. To see that this is true we now fix
$\xi\in Z^{p}_{\alpha}$. Hence, $\xi\in\mathcal{S}(l^{p}_{\alpha})$ and
components of $\xi$ are adapted to $\mathbb{F}$ by Definition 2.8. Since
$\mathscr{L}^{p}$ is a scale we also see that
$\xi\in\mathcal{S}(l^{p}_{\beta})$. Moreover for all $t\in\mathcal{T}$ we
observe that
$\|\xi_{t}\|_{l_{\alpha}^{p}}\in\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{\mathbb{R}})$,
$\|\xi_{t}\|_{l_{\beta}^{p}}\in\mathcal{M}(\mathbf{P},\mathbf{M}^{\mathbb{R}})$
and $\|\xi_{t}\|_{l_{\beta}^{p}}\leq\|\xi_{t}\|_{l_{\alpha}^{p}}$. Now from
Theorem 7.12 we see that
$\displaystyle\mathbb{E}\bigg{[}\|\xi_{t}\|_{l_{\beta}^{p}}^{p}\bigg{]}\leq\mathbb{E}\bigg{[}\|\xi_{t}\|_{l_{\alpha}^{p}}^{p}\bigg{]},$
(2.71)
which establishes that $\|\xi\|_{Z_{\beta}^{p}}\ \leq\|\xi\|_{Z_{\alpha}^{p}}$
hence proving that both conditions (1) and (2) of the Definition 2.1 are
satisfied. ∎
### 2.5 Stochastic System
Throughout this section let us assume that $\mathbb{R}\ni p\geq 2$. We now
wish to introduce and study the following stochastic system, which we will
denote by $\mathscr{O}^{p}$.
$\displaystyle\xi_{x,t}$
$\displaystyle=\zeta_{x}+\int_{0}^{t}\Phi_{x}(\xi_{x,s},\Xi_{s})ds+\int_{0}^{t}\Psi_{x}(\xi_{x,s},\Xi_{s})dW_{x}(s),\quad
x\in\gamma,\quad t\in\mathcal{T},$ ($\mathscr{O}^{p}$)
where:
1. (1)
we assume that $\zeta\in l^{p}_{\underline{\mathfrak{a}}}$.
2. (2)
we let $V$ in $C(\mathbb{R})$ and assume that for all $x\in\gamma$ maps
$\Phi_{x}:\mathbb{R}\times\mathbb{R}^{\gamma}\to\mathbb{R}$ are measurable
defined in the following way
$\displaystyle\Phi_{x}(q,\\{z_{y}\\}_{y\in\gamma})\coloneqq V(q)+\sum_{y\in
B_{x}}a(x-y)z_{y},$ (2.72)
for all $q\in\mathbb{R}$ and all
$\\{z_{y}\\}_{y\in\gamma}\in\mathbb{R}^{\gamma}$, where function $a$ was
defined previously in (A).
For all $x\in\gamma$ the following conditions are placed on maps $\Phi_{x}$.
1. (C)
There exists $c\in\mathbb{R}^{0}$ and $\mathbb{R}^{++}\ni R\leq p$ such that
for all $q\in\mathbb{R}$ and all $x\in\gamma$
$\displaystyle|\Phi_{x}(q,0)|\leq c(1+|q|^{R})_{.}$ (2.73)
2. (D)
There exists $b\in\mathbb{R}$ such that for all $q_{1},q_{2}\in\mathbb{R}$ and
all $x\in\gamma$
$\displaystyle(q_{1}-q_{2})(\Phi_{x}(q_{1},0)-\Phi_{x}(q_{2},0))\leq
b(q_{1}-q_{2})^{2}_{.}$ (2.74)
1. (3)
For all $x\in\gamma$ we assume that maps
$\Psi_{x}:\mathbb{R}\times\mathbb{R}^{\gamma}\to\mathbb{R}$ are measurable.
Moreover for all $x\in\gamma$ the following conditions are also placed on maps
$\Psi_{x}$.
1. (E)
There exists $M_{1},M_{2}\in\mathbb{R}$ such that for all
$q_{1},q_{2}\in\mathbb{R}$, $Z_{1},Z_{2}\in\mathbb{R}^{\gamma}$ and all
$x\in\gamma$
$\displaystyle|\Psi_{x}(q_{1},Z_{1})-\Psi_{x}(q_{2},Z_{2})|$
$\displaystyle\leq M_{1}|q_{1}-q_{2}|+M_{2}n_{x}\sum_{y\in
B_{x}}|z_{1,y}-z_{2,y}|,$ (2.75) $\displaystyle|\Psi_{x}(0,0)|$
$\displaystyle\leq c.$ (2.76)
###### Definition 2.9.
Suppose that $\mathbb{R}\ni p\geq 2$. A stochastic process $\Xi$ is called a
strong solution of the system ($\mathscr{O}^{p}$) if
$\Xi\in\mathcal{Z}^{p}(\cap)$ and for all $x\in\gamma$ and all
$t\in\mathcal{T}$ we have
$\displaystyle\xi_{x,t}$
$\displaystyle=\zeta_{x}+\int_{0}^{t}\Phi_{x}(\xi_{x,s},\Xi_{s})ds+\int_{0}^{t}\Psi_{x}(\xi_{x,s},\Xi_{s})dW_{x}(s),\
\mathbb{P}-a.s.$ (2.77)
The main goal of this document is to show that for all $\mathbb{R}\ni p\geq 2$
the stochastic system ($\mathscr{O}^{p}$) admits a unique strong solution. We
now conclude this subsection with the following Lemma.
###### Lemma 2.10.
Suppose that $q_{1},q_{2}\in\mathbb{R}$ and let
$Z_{1},Z_{2}\in\mathbb{R}^{\gamma}$. Then for all $x\in\gamma$ we have
$\displaystyle|\Phi_{x}(q_{1},Z_{1})|$ $\displaystyle\leq
c(1+|q_{1}|^{R})+\tilde{a}_{x}\bigg{(}\sum_{y\in
B_{x}}z_{1,y}^{2}\bigg{)}^{\frac{1}{2}}_{,}$ (2.78)
$\displaystyle(q_{1}-q_{2})(\Phi_{x}(q_{1},Z_{1})-\Phi_{x}(q_{2},Z_{2}))$
$\displaystyle\leq(b+\frac{1}{2})(q_{1}-q_{2})^{2}+\frac{1}{2}\tilde{a}_{x}^{2}\sum_{y\in
B_{x}}(z_{1,y}-z_{2,y})^{2}_{,}$ (2.79)
where $\tilde{a}_{x}=\bigg{(}\sum_{y\in
B_{x}}a^{2}(x-y)\bigg{)}^{\frac{1}{2}}_{.}$
###### Proof.
First we prove inequality (2.78). We begin by considering the following chain
of calculations
$\displaystyle|\Phi_{x}(q_{1},Z_{1})|$
$\displaystyle=|\frac{1}{2}V(q_{1})-\sum_{y\in B_{x}}a(x-y)z_{1,y}|,$ (2.80)
$\displaystyle=|\Phi_{x}(q_{1},0)-\sum_{y\in B_{x}}a(x-y)z_{1,y}|,$ (2.81)
$\displaystyle\leq|\Phi_{x}(q_{1},0)|+|\sum_{y\in B_{x}}a(x-y)z_{1,y}|.$
(2.82)
Therefore using assumption (C) we see that
$\displaystyle|\Phi_{x}(q_{1},Z_{1})|$ $\displaystyle\leq
c(1+|q_{1}|^{R})+\sum_{y\in B_{x}}|a(x-y)z_{1,y}|,$ (2.83) $\displaystyle\leq
c(1+|q_{1}|^{R})+\bigg{(}\sum_{y\in
B_{x}}a^{2}(x-y)\bigg{)}^{\frac{1}{2}}\bigg{(}\sum_{y\in
B_{x}}z_{1,y}^{2}\bigg{)}^{\frac{1}{2}}_{.}$ (2.84)
Hence using the definition of $\tilde{a}_{x}$ above we see that
$\displaystyle|\Phi_{x}(q_{1},Z_{1})|\leq
c(1+|q_{1}|^{R})+\tilde{a}_{x}\bigg{(}\sum_{y\in
B_{x}}z_{1,y}^{2}\bigg{)}^{\frac{1}{2}}_{,}$ (2.85)
which establishes that inequality (2.78) is true. Now we show that inequality
(2.79) above is also true.
We start by observing from equations (2.81) and (2.72) that
$\displaystyle(q_{1}-q_{2})(\Phi_{x}(q_{1},Z_{1})-$
$\displaystyle\Phi_{x}(q_{2},Z_{2}))=$ (2.86)
$\displaystyle=(q_{1}-q_{2})(\Phi_{x}(q_{1},0)-\Phi_{x}(q_{2},0))+$
$\displaystyle\quad\quad\quad\quad\quad\quad\quad\quad\quad\quad\ \
+(q_{1}-q_{2})\sum_{y\in B_{x}}a(x-y)(z_{1,y}-z_{2,y}).$
Hence using assumption (D) we see that
$\displaystyle(q_{1}-q_{2})(\Phi_{x}(q_{1},Z_{1})-$
$\displaystyle\Phi_{x}(q_{2},Z_{2}))\leq$ (2.87) $\displaystyle\leq
b(q_{1}-q_{2})^{2}+\frac{1}{2}(q_{1}-q_{2})^{2}+\frac{1}{2}\bigg{(}\sum_{y\in
B_{x}}a(x-y)(z_{1,y}-z_{2,y})\bigg{)}^{2}_{,}$ (2.88)
$\displaystyle\leq(b+\frac{1}{2})(q_{1}-q_{2})^{2}+\frac{1}{2}\sum_{y\in
B_{x}}a^{2}(x-y)\sum_{y\in B_{x}}(z_{1,y}-z_{2,y})^{2}_{.}$ (2.89)
Finally using, once again, the definition of $\tilde{a}_{x}$ above we see that
$\displaystyle(q_{1}-q_{2})$
$\displaystyle(\Phi_{x}(q_{1},Z_{1})-\Phi_{x}(q_{2},Z_{2}))\leq(b+\frac{1}{2})(q_{1}-q_{2})^{2}+\frac{1}{2}\tilde{a}_{x}^{2}\sum_{y\in
B_{x}}(z_{1,y}-z_{2,y})^{2}_{,}$ (2.90)
and the proof is complete. ∎
## 3 Auxiliary Results
In this section we prove two results that will be used later on to show that
the stochastic system ($\mathscr{O}^{p}$) admits a unique strong solution.
Throughout this section let us assume that $\mathbb{R}\ni p\geq 2$.
###### Theorem 3.1.
Suppose that $\mathfrak{a}\in\mathcal{A}$ and let
$\Xi\coloneqq\\{\xi_{x}\\}_{x\in\gamma}$ be an element in
$Z^{p}_{\mathfrak{a}}$. Then for all $x\in\gamma$ we have
$\Phi_{x}(\xi_{x},\Xi)\in L^{1}_{ad}$ and $\Psi_{x}(\xi_{x},\Xi)\in
L^{2}_{ad}$.
###### Proof.
We combine Theorems 2.4 and 7.9 to conclude that for all $x\in\gamma$ we have
$\displaystyle\xi_{x}\in L^{p}_{ad}\subset L^{2}_{ad}\subset L^{1}_{ad}.$
(3.1)
Since composition of mesurable maps is measurable we conclude that
$x\in\gamma$ we have
$\displaystyle\Phi_{x}(\xi_{x},\Xi),\Psi_{x}(\xi_{x},\Xi)\in\mathcal{M}(\mathbf{MP},\mathbf{M}^{\mathbb{R}}),$
(3.2)
and adapted to $\mathbb{F}$. Now according to the definition (2.72) and the
assumption (C) we have for all $x\in\gamma$ the following inequality
$\displaystyle|\Phi_{x}(\xi_{x},\Xi)|\ \leq|c|(1+|\xi_{x}|^{R})+\sum_{y\in
B_{x}}a(x-y)|\xi_{y}|.$ (3.3)
Moreover, because $R\leq p$ we can use Theorem 7.12 to conclude that
$\Phi_{x}(\xi_{x},\Xi)\in L^{1}_{ad}$. Finally we combine Theorem 7.17 with
the assumption (E) to conclude that for all $x\in\gamma$ we have
$\displaystyle|\Psi_{x}(\xi_{x},\Xi)|^{2}\leq
4|\Psi_{x}(0,0)|^{2}+4M_{1}^{2}|\xi_{x}|^{2}+4M_{2}^{2}n_{x}^{3}\sum_{y\in
B_{x}}|\xi_{x}|^{2}.$ (3.4)
Once again applying Theorem 7.12 to the inequality (3.4) above we conclude
that $\Psi_{x}(\xi_{x},\Xi)\in L^{2}_{ad}$ hence the proof is complete. ∎
###### Theorem 3.2.
Let $L\coloneqq
4e^{\underline{\mathfrak{a}}\rho}C\mathcal{N}^{q+1}(1+\rho)^{\frac{1}{2}}$ and
let $Q\coloneqq\\{Q_{x,y}\\}_{x,y\in\gamma}$ be an infinite real matrix such
that for all $x,y\in\gamma$ we have
$\displaystyle x\not\in B_{y}\iff Q_{x,y}=0\iff y\not\in B_{x}.$ (3.5)
Moreover assume that for all $x,y\in\gamma$ there exist $C\in\mathbb{R}^{0}$
and $q\in\mathbb{R}^{++}$ such that
$\displaystyle|Q_{x,y}|\leq Cn_{x}^{q}.$ (3.6)
Then $Q\in\mathcal{O}(\mathscr{L}^{1},L,\frac{1}{2})$. That is, $Q$ is the
Ovsjannikov map of order $L$ and $\frac{1}{2}$ on $\mathscr{L}^{1}$.
###### Proof.
Consider arbitrary $\alpha<\beta\in\mathcal{A}$ and fix $z\in l_{\alpha}^{1}$.
We will complete this proof by showing that
$\displaystyle\|Qz\|_{\beta}\leq\frac{L}{(\beta-\alpha)^{\frac{1}{2}}}\|z\|_{\alpha},$
(3.7)
which establishes that $Q$ is a linear operator from $l_{\alpha}^{1}$ to
$l_{\beta}^{1}$ hence verifing conditions (1) and (2) of the Definition 2.2.
Consider now the following equation
$\displaystyle\|Qz\|_{\beta}$
$\displaystyle=\sum_{x\in\gamma}e^{-\beta|x|}\bigg{|}\sum_{y\in\gamma}Q_{x,y}z_{y}\bigg{|}.$
(3.8)
Moreover, for all $x\in\gamma$ we will make use of the following facts
$\displaystyle\mathbf{I}.\ $ $\displaystyle x\not\in B_{y}\lor y\not\in B_{x}$
$\displaystyle\implies Q_{x,y}=0.$ (3.9) $\displaystyle\mathbf{II}.\ $
$\displaystyle y\in B_{x}$ $\displaystyle\implies-|x|\leq-|y|+\rho.$
$\displaystyle\mathbf{III}.\ $ $\displaystyle x\in B_{y}$
$\displaystyle\implies\sqrt{|x|}\leq\sqrt{|y|}+\sqrt{\rho}.$
Now, using equation (3.8) together with the facts $\mathbf{I}$ and
$\mathbf{II}$ we see that
$\displaystyle\|Qz\|_{\beta}$
$\displaystyle\leq\sum_{x\in\gamma}\sum_{y\in\gamma}|Q_{x,y}|e^{-\beta|x|}|z_{y}|,$
(3.10) $\displaystyle\leq e^{\beta\rho}\sum_{x\in\gamma}\sum_{y\in
B_{x}}|Q_{x,y}|e^{-\beta|y|}|z_{y}|,$ (3.11) $\displaystyle\leq
e^{\beta\rho}\sum_{x\in\gamma}\sum_{y\in
B_{x}}|Q_{x,y}|e^{-(\beta-\alpha)|y|}e^{-\alpha|y|}|z_{y}|.$ (3.12)
Hence from inequality (3.12) we see that
$\displaystyle\|Qz\|_{\beta}$ $\displaystyle\leq
e^{\beta\rho}\sum_{x\in\gamma}\sum_{y\in\gamma}|Q_{x,y}|e^{-(\beta-\alpha)|y|}e^{-\alpha|y|}|z_{y}|,$
(3.13)
$\displaystyle=e^{\beta\rho}\sum_{y\in\gamma}\sum_{x\in\gamma}|Q_{x,y}|e^{-(\beta-\alpha)|y|}e^{-\alpha|y|}|z_{y}|,$
(3.14) $\displaystyle\leq e^{\overline{\mathfrak{a}}\rho}K\|z\|_{\alpha},$
(3.15)
where
$\displaystyle
K\coloneqq\sup\bigg{\\{}\sum_{x\in\gamma}|Q_{x,y}|e^{-(\beta-\alpha)|y|}\
\bigg{|}\ y\in\gamma\bigg{\\}}.$ (3.16)
We now estimate the value of supremum in the definition (3.16) above. Hence
using condition (3.6) together with the fact $\mathbf{I}$ we see that for all
$y\in\gamma$
$\displaystyle\sum_{x\in\gamma}|Q_{x,y}|e^{-(\beta-\alpha)|y|}$
$\displaystyle=\sum_{x\in B_{y}}|Q_{x,y}|e^{-(\beta-\alpha)|y|},$ (3.17)
$\displaystyle\leq C\sum_{x\in B_{y}}n_{x}^{q}e^{-(\beta-\alpha)|y|}.$ (3.18)
Using now assumption (B) together with the fact $\mathbf{III}$ we see that for
all $y\in\gamma$
$\displaystyle\sum_{x\in\gamma}|Q_{x,y}|e^{-(\beta-\alpha)|y|}$
$\displaystyle\leq C\sum_{x\in
B_{y}}\mathcal{N}^{q}|x|^{\frac{1}{2}}e^{-(\beta-\alpha)|y|},$ (3.19)
$\displaystyle\leq C\mathcal{N}^{q}\sum_{x\in
B_{y}}(|y|^{\frac{1}{2}}+\rho^{\frac{1}{2}})e^{-(\beta-\alpha)|y|},$ (3.20)
$\displaystyle\leq
C\mathcal{N}^{q}n_{y}(|y|^{\frac{1}{2}}+\rho^{\frac{1}{2}})e^{-(\beta-\alpha)|y|},$
(3.21) $\displaystyle\leq
C\mathcal{N}^{q+1}(|y|^{\frac{1}{4}}+|y|^{\frac{1}{2}}\rho^{\frac{1}{2}})e^{-(\beta-\alpha)|y|},$
(3.22) $\displaystyle\leq
B(|y|^{\frac{1}{4}}+|y|^{\frac{1}{2}})e^{-(\beta-\alpha)|y|},$ (3.23)
where $B\coloneqq C\mathcal{N}^{q+1}(1+\rho)^{\frac{1}{2}}$.
Now returning to equation (3.16) we see that
$\displaystyle K$ $\displaystyle\leq
B\sup\bigg{\\{}(|y|^{\frac{1}{4}}+|y|^{\frac{1}{2}})e^{-(\beta-\alpha)|y|}\
\bigg{|}\ y\in\gamma\bigg{\\}},$ (3.24) $\displaystyle\leq
B\sup\bigg{\\{}(h^{\frac{1}{4}}+h^{\frac{1}{2}})e^{-(\beta-\alpha)h}\
\bigg{|}\ h>0\bigg{\\}},$ (3.25) $\displaystyle\leq
4B\sup\bigg{\\{}h^{\frac{1}{2}}e^{-(\beta-\alpha)h}\ \bigg{|}\ h>0\bigg{\\}},$
(3.26) $\displaystyle\leq
4B\sup\bigg{\\{}\bigg{(}he^{-2(\beta-\alpha)h}\bigg{)}^{\frac{1}{2}}\
\bigg{|}\ h>0\bigg{\\}},$ (3.27) $\displaystyle\leq
4B\bigg{(}\sup\bigg{\\{}he^{-2(\beta-\alpha)h}\ \bigg{|}\
h>0\bigg{\\}}\bigg{)}^{\frac{1}{2}}.$ (3.28)
Now, we can deduce that function
$he^{-2(\beta-\alpha)h}:(0,\infty)\to\mathbb{R}$ attains its supremum when
$\frac{d}{dh}he^{-2(\beta-\alpha)h}=0$ that is when
$h=\frac{1}{2(\beta-\alpha)}$. Hence it follows from inequality (3.28) that
$\displaystyle K$
$\displaystyle\leq\frac{4B}{(\beta-\alpha)^{\frac{1}{2}}}\frac{1}{e\sqrt{2}}.$
(3.29)
Now, continuing from equation (3.15) we finally see that
$\displaystyle\|Qz\|_{\beta}$ $\displaystyle\leq
e^{\underline{\mathfrak{a}}\rho}K\|z\|_{\alpha},$ (3.30)
$\displaystyle\leq\frac{4e^{\underline{\mathfrak{a}}\rho}C\mathcal{N}^{q+1}(1+\rho)^{\frac{1}{2}}}{(\beta-\alpha)^{\frac{1}{2}}}\|z\|_{\alpha},$
(3.31)
hence the proof is complete. ∎
###### Remark.
In the following Theorem we will describe an equation of the form
$\displaystyle f(t)=z_{\underline{\mathfrak{a}}}+\int_{0}^{t}Q(f(s))ds,\
t\in\mathcal{T},$ (3.32) and rely on our work in subsection 7.3 to conclude,
with the choice $\mathbf{X}\equiv\mathscr{L}^{1}\quad\text{and}\quad F\equiv
Q,$ that equation (3.32) has a unique continuous solution, in the context of
Theorem (7.27).
###### Theorem 3.3 (Comparison Theorem).
Suppose $z_{\underline{\mathfrak{a}}}\in l^{1}_{\underline{\mathfrak{a}}}$,
$q<1$ and $Q\coloneqq\\{Q_{x,y}\\}_{x,y\in\gamma}$ is an element of
$\mathcal{O}(\mathscr{L}^{1},L,q)$. Moreover suppose that $Q_{x,y}\geq 0$ for
all $x,y\in\gamma$ and, in the context of Theorem (7.27), let $f$ be the
unique continuous solution of the integral equation
$\displaystyle f(t)=z_{\underline{\mathfrak{a}}}+\int_{0}^{t}Q(f(s))ds,\
t\in\mathcal{T}.$ (3.33)
Finally, suppose that $g:[0,T]\to l^{1}_{\underline{\mathfrak{a}}}$ is a
continuous map such that for all $x\in\gamma$
$\displaystyle g_{x}(t)\leq
z_{\underline{\mathfrak{a}},x}+\bigg{[}\int_{0}^{t}Q(g(s))ds\bigg{]}_{x},\
t\in\mathcal{T}.$ (3.34)
Then for all $t\in\mathcal{T}$ and all $x\in\gamma$
$\displaystyle g_{x}(t)\leq f_{x}(t).$ (3.35)
###### Proof.
For all $\mathfrak{a}\in\mathcal{A}$ let
$H_{\mathfrak{a}}=\mathcal{C}([0,T],l^{1}_{\mathfrak{a}})$ and define a family
$\mathbf{H}\coloneqq\\{H_{\mathfrak{a}}\\}_{\mathfrak{a}\in\mathcal{A}}$. It
follows from subsection 7.3 that $\mathbf{H}$ is a scale. Moreover from
Theorem 7.23 we know that map $\mathcal{I}:\mathbf{H}(\cup)\to
H_{\overline{\mathfrak{a}}}$ defined for all $t\in[0,T]$ and all $\kappa\in
H_{\alpha}$ via formula
$\displaystyle\mathcal{I}(\kappa)(t)\coloneqq
z_{\underline{\mathfrak{a}}}+\int_{0}^{t}Q(\kappa(s))ds,$ (3.36)
is an Ovsjannikov map of order $TL$ and $q$ on $\mathbf{H}$. That is
$\mathcal{I}\in\mathcal{O}(\mathbf{H},TL,q)$.
Therefore, using Theorem 7.26, we see that if
$\underline{\mathfrak{a}}<\beta\in\mathcal{A}$ then the sequence
$\\{\mathcal{I}^{n}(g)\\}_{n\in\mathbb{N}}$ where
$\displaystyle\begin{rcases}\mathcal{I}^{1}(g)(t)&\coloneqq
z_{\underline{\mathfrak{a}}}+\int_{0}^{t}Q(g(s))ds,\\\ &\vdots\\\
\mathcal{I}^{n+1}(g)(t)&\coloneqq\mathcal{I}(\mathcal{I}^{n}(g))(t),\end{rcases}\
\forall\ t\in\mathcal{T}.$ (3.37)
is such that
$\displaystyle\overbrace{\bigg{[}\lim_{n\to\infty}\mathcal{I}^{n}(g)\bigg{]}}^{\text{in}\
\mathcal{C}([0,T],l^{1}_{\beta})}=f.$ (3.38)
Therefore it is also true that
$\lim_{n\to\infty}\mathcal{I}^{n}_{x}(g)(t)=f_{x}(t)$ for all $x\in\gamma$ and
all $t\in\mathcal{T}$. Hence to conclude the proof it is sufficient to fix
$x\in\gamma$ and $t\in\mathcal{T}$ and prove by induction that
$\displaystyle g_{x}(t)\leq\mathcal{I}^{n}_{x}(g)(t),\ \forall\
n\in\mathbb{N}.$ (3.39)
Case $n=1$ is satisfied by the initial assumption on $g$, so let us now assume
that the induction hypothesis (3.39) is true for some $n\geq 1$ and proceed by
considering the following chain of inequalities
$\displaystyle\mathcal{I}^{n+1}_{x}(g)(t)$
$\displaystyle=\mathcal{I}_{x}(\mathcal{I}^{n}(g))(t)_{,}$ (3.40)
$\displaystyle=z_{\underline{\mathfrak{a}},x}+\bigg{[}\int_{0}^{t}Q(\mathcal{I}^{n}(g)(s))ds\bigg{]}_{x,}$
(3.41)
$\displaystyle=z_{\underline{\mathfrak{a}},x}+\sum_{y\in\gamma}Q_{x,y}\int_{0}^{t}\mathcal{I}^{n}_{y}(g)(s)ds,$
(3.42) $\displaystyle\geq z_{\underline{\mathfrak{a}},x}+\sum_{y\in
p}Q_{x,y}\int_{0}^{t}g_{y}(s)ds,$ (3.43)
$\displaystyle=z_{\underline{\mathfrak{a}},x}+\bigg{[}\int_{0}^{t}Q(g(s))ds\bigg{]}_{x,}$
(3.44) $\displaystyle\geq g_{x}(t).$ (3.45)
Finally from inequalities (3.40) - (3.45) we conclude that ineauality (3.39)
holds hence the proof is complete. ∎
###### Corollary 3.4.
Suppose that $z_{\underline{\mathfrak{a}},x}\geq 0$ $x\in\gamma$. Moreover
assume that components of $g$ are non-negative functions, that is
$g_{x}(t)\geq 0$ for all $x\in\gamma$ and all $t\in\mathcal{T}$. Then for all
$\beta>\alpha\in\mathcal{A}$ there exists a constant
$K(\alpha,\beta)\in\mathbb{R}$ such that
$\displaystyle\sum_{x\in\gamma}e^{-\beta|x|}\sup_{t\in\mathcal{T}}g_{x}(t)\leq
K(\alpha,\beta)\sum_{x\in\gamma}e^{-\alpha|x|}z_{\underline{\mathfrak{a}},x}.$
(3.46)
###### Proof.
Using Theorem 3.3, we start by making an observation that for all $x\in\gamma$
and all $t\in\mathcal{T}$
$\displaystyle g_{x}(t)$ $\displaystyle\leq
z_{\underline{\mathfrak{a}},x}+\bigg{[}\int_{0}^{t}Q(g(s))ds\bigg{]}_{x,}$
(3.47) $\displaystyle\leq
z_{\underline{\mathfrak{a}},x}+\bigg{[}\int_{0}^{t}Q(f(s))ds\bigg{]}_{x.}$
(3.48)
Therefore we see that for all $x\in\gamma$
$\displaystyle\sup_{t\in\mathcal{T}}g_{x}(t)$ $\displaystyle\leq
z_{\underline{\mathfrak{a}},x}+\bigg{[}\int_{0}^{T}Q(f(s))ds\bigg{]}_{x,}$
(3.49) $\displaystyle=f_{x}(T).$ (3.50)
Hence it follows that
$\displaystyle\sum_{x\in\gamma}e^{-\beta|x|}\sup_{t\in\mathcal{T}}g_{x}(t)$
$\displaystyle\leq\sum_{x\in\gamma}e^{-\beta|x|}f_{x}(T),$ (3.51)
$\displaystyle\leq\|f(T)\|_{l_{\beta}^{1}}.$ (3.52)
Norm in the inequality (3.52) above can be estimated using Theorem 7.28 and
remark that proceeds it. In particular we get
$\displaystyle\|f(T)\|_{l_{\beta}^{1}}\leq\sum_{n=0}^{\infty}\frac{L^{n}T^{n}}{(\beta-\alpha)^{q}}\frac{n^{q}}{n!}\|z_{\underline{\mathfrak{a}}}\|_{l_{\alpha}^{1}}.$
(3.53)
Finally letting
$K(\alpha,\beta)=\sum_{n=0}^{\infty}\frac{L^{n}T^{n}}{(\beta-\alpha)^{q}}\frac{n^{q}}{n!}$
we see that
$\displaystyle\sum_{x\in\gamma}e^{-\beta|x|}\sup_{t\in\mathcal{T}}g_{x}(t)\leq
K(\alpha,\beta)\|z_{\underline{\mathfrak{a}}}\|_{l_{\alpha}^{1}},$ (3.54)
hence the proof is complete. ∎
## 4 Truncated Systems
Throughout this section let us assume that $\mathbb{R}\ni p\geq 2$.
We now start working with a sequence $\\{\Lambda_{n}\\}_{n\in\mathbb{N}}$ of
finite subsets of $\gamma$ such that $\Lambda_{n}\uparrow\gamma$ as
$n\to\infty$. Moreover for each $n\in\mathbb{N}$ we now wish to introduce and
study the following stochastic system, which we will denote by
$\mathscr{O}^{p}_{n}$.
$\displaystyle\xi_{x,t}^{n}=\zeta_{x}+\int_{0}^{t}\Phi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n})ds+\int_{0}^{t}\Psi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n})dW_{x}(s),$
$\displaystyle\quad\forall x\in\Lambda_{n}\land t\in\mathcal{T},$
($\mathscr{O}^{p}_{n}$) $\displaystyle\xi_{x,t}^{n}=\zeta_{x},$
$\displaystyle\quad\forall x\not\in\Lambda_{n}\land t\in\mathcal{T}.$
In simple words, for each $n\in\mathbb{N}$ system ($\mathscr{O}^{p}_{n}$) is a
stoped/truncated version of the system ($\mathscr{O}^{p}$), which was
described in subsection 2.5.
In this section our goal is to prove two important results concerning systems
($\mathscr{O}^{p}_{n}$). In the subsequent sections these results will help us
to establish that system ($\mathscr{O}^{p}$) admits a unique strong solution.
We shall now rely on [14, 13] and state the next result without a proof.
###### Theorem 4.1.
For all $n\in\mathbb{N}$ and $\zeta\in l^{p}_{\underline{\mathfrak{a}}}$
system ($\mathscr{O}^{p}_{n}$) has a continuous solution $\Xi^{n}\in
Z_{\underline{\mathfrak{a}}}^{p}$.
###### Remark.
For all $n\in\mathbb{N}$, a term solution in the Theorem 4.1 above is to be
understood in the same sence as explained in the Definition 2.9 except we do
not require $\Xi^{n}$ to be a map from $\overline{\Omega}$ to
$\mathcal{Z}^{p}(\cap)$.
###### Remark.
Combining Theorems (4.1) and (3.1) with the Definition (7.6) we see that
$\xi_{x}^{n}$ in an Itô process for all $n\in\mathbb{N}$ and $x\in\gamma$.
In the next two sections of this document it will be shown that the sequence
$\\{\Xi^{n}\\}_{n\in\mathbb{N}}$ converges to the unique strong solution of
the system ($\mathscr{O}^{p}$). However before this can be achieved we need to
establish the following two theorems.
###### Theorem 4.2.
Suppose that $n\in\mathbb{N}$ and $\mathbb{R}\ni p\geq 2$. Moreover let
$\Xi^{n}$ be the process defined in the Theorem 4.1 and for all $x\in\gamma$
let $\xi^{n}_{x}$ be components of $\Xi^{n}$. Then for all
$\underline{\mathfrak{a}}<\alpha\in\mathcal{A}$ we have
$\displaystyle\sum_{x\in\gamma}e^{-\alpha|x|}\sup_{n\in\mathbb{N}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}|^{p}\bigg{]}<\infty.$
(4.1)
###### Proof.
Let us start by recalling that
$\displaystyle\xi_{x,t}^{n}=\zeta_{x}+\int_{0}^{t}\Phi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n})ds+\int_{0}^{t}\Psi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n})dW_{x}(s),$
$\displaystyle\quad\forall x\in\Lambda_{n}\land t\in\mathcal{T},$ (4.2)
$\displaystyle\xi_{x,t}^{n}=\zeta_{x},$ $\displaystyle\quad\forall
x\not\in\Lambda_{n}\land t\in\mathcal{T}.$
Hence using Itô Lemma 7.22 we see that if $x\in\Lambda_{n}$ then for all
$t\in\mathcal{T}$
$\displaystyle|\xi_{x,t}^{n}|^{p}=|\zeta_{x}|^{p}+\int_{0}^{t}p(\xi_{x,s}^{n})^{p-1}$
$\displaystyle\Phi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n})ds+\mathbin{{\color[rgb]{1,1,1}\int_{0}^{t}\frac{(p-1)p}{2}}}$
(4.3)
$\displaystyle+\int_{0}^{t}\frac{(p-1)p}{2}(\xi_{x,s}^{n})^{p-2}(\Psi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n}))^{2}ds+$
$\displaystyle\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!+\int_{0}^{t}p(\xi_{x,s}^{n})^{p-1}\Psi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n})dW_{x}(s).$
Now from assumptions (C), (D) and Lemma 2.10 we can deduce that for all
$t\in\mathcal{T}$
$\displaystyle(\xi_{x,t}^{n})^{p-1}\Phi_{x}(\xi_{x,t}^{n},\Xi_{t}^{n})$
$\displaystyle=(\xi_{x,t}^{n})^{p-2}(\xi_{x,t}^{n})\Phi_{x}(\xi_{x,t}^{n},\Xi_{t}^{n}),$
(4.4)
$\displaystyle\leq(\xi_{x,t}^{n})^{p-2}\bigg{[}(b+\frac{1}{2})|\xi_{x,t}^{n}|^{2}+\frac{1}{2}\tilde{a}_{x}^{2}\sum_{y\in
B_{x}}|\xi_{y,t}^{n}|^{2}+\xi_{x,t}^{n}\Phi_{x}(0,0)\bigg{]},$ (4.5)
$\displaystyle\leq(\xi_{x,t}^{n})^{p-2}\bigg{[}(b+1)|\xi_{x,t}^{n}|^{2}+\tilde{a}_{x}^{2}\sum_{y\in
B_{x}}|\xi_{y,t}^{n}|^{2}+c^{2}\bigg{]},$ (4.6)
$\displaystyle\leq(b+1)|\xi_{x,t}^{n}|^{p}+\tilde{a}_{x}^{2}|\xi_{x,t}^{n}|^{p-2}\sum_{y\in
B_{x}}|\xi_{y,t}^{n}|^{2}+|\xi_{x,t}^{n}|^{p-2}c^{2},$ (4.7)
$\displaystyle\leq(b+1)|\xi_{x,t}^{n}|^{p}+\tilde{a}_{x}^{2}n_{x}\max_{y\in
B_{x}}|\xi_{y,t}^{n}|^{p-2}\max_{y\in
B_{x}}|\xi_{y,t}^{n}|^{2}+|\xi_{x,t}^{n}|^{p-2}c^{2},$ (4.8)
$\displaystyle\leq(b+1)|\xi_{x,t}^{n}|^{p}+\tilde{a}_{x}^{2}n_{x}\max_{y\in
B_{x}}|\xi_{y,t}^{n}|^{p}+(1+|\xi_{x,t}^{n}|)^{p}c^{2}.$ (4.9)
Now using in addition Theorem 7.17 we see that for all $t\in\mathcal{T}$ we
have
$\displaystyle(\xi_{x,t}^{n})^{p-1}\Phi_{x}(\xi_{x,t}^{n},\Xi_{t}^{n})$
$\displaystyle\leq(b+1)|\xi_{x,t}^{n}|^{p}+\tilde{a}_{x}^{2}n_{x}\sum_{y\in
B_{x}}|\xi_{y,t}^{n}|^{p}+2^{p-1}c^{2}+2^{p-1}c^{2}|\xi_{x,t}^{n}|^{p},$
(4.10)
$\displaystyle\leq(b+1+2^{p-1}c^{2})|\xi_{x,t}^{n}|^{p}+\tilde{a}_{x}^{2}n_{x}\sum_{y\in
B_{x}}|\xi_{y,t}^{n}|^{p}+2^{p-1}c^{2},$ (4.11)
$\displaystyle\leq(b+1+2^{p-1}c^{2})|\xi_{x,t}^{n}|^{p}+\bar{a}^{2}n_{x}^{3}\sum_{y\in
B_{x}}|\xi_{y,t}^{n}|^{p}+2^{p-1}c^{2},$ (4.12)
Moreover from assumption (E) we know that for all $t\in\mathcal{T}$
$\displaystyle(\xi_{x,s}^{n})^{p-2}(\Psi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n}))^{2}$
$\displaystyle\leq(\xi_{x,s}^{n})^{p-2}\bigg{[}4M_{1}^{2}|\xi_{x,t}^{n}|^{2}+4M_{2}^{2}n_{x}^{2}\bigg{(}\sum_{y\in
B_{x}}|\xi_{y,t}^{n}|\bigg{)}^{2}+4|\Psi_{x}(0,0)|^{2}\bigg{]},$ (4.13)
$\displaystyle\leq(\xi_{x,s}^{n})^{p-2}\bigg{[}4M_{1}^{2}|\xi_{x,t}^{n}|^{2}+4M_{2}^{2}n_{x}^{3}\sum_{y\in
B_{x}}|\xi_{y,t}^{n}|^{2}+4c^{2}\bigg{]},$ (4.14) $\displaystyle\leq
4M_{1}^{2}|\xi_{x,t}^{n}|^{p}+4M_{2}^{2}n_{x}^{4}\max_{y\in
B_{x}}|\xi_{y,t}^{n}|^{p-2}\max_{y\in
B_{x}}|\xi_{y,t}^{n}|^{2}+4c^{2}|\xi_{x,s}^{n}|^{p-2},$ (4.15)
$\displaystyle\leq 4M_{1}^{2}|\xi_{x,t}^{n}|^{p}+4M_{2}^{2}n_{x}^{4}\sum_{y\in
B_{x}}|\xi_{y,t}^{n}|^{p}+4c^{2}2^{p-1}(1+|\xi_{x,s}^{n}|^{p}),$ (4.16)
$\displaystyle\leq(4M_{1}^{2}+4c^{2}2^{p-1})|\xi_{x,t}^{n}|^{p}+4M_{2}^{2}n_{x}^{4}\sum_{y\in
B_{x}}|\xi_{y,t}^{n}|^{p}+4c^{2}2^{p-1}.$ (4.17)
Now letting
$\displaystyle A_{1}\coloneqq(b+1+2^{p-1}c^{2}),$ (4.18) $\displaystyle
A_{2}\coloneqq(4M_{1}^{2}+4c^{2}2^{p-1}),$ (4.19) $\displaystyle
A_{3}\coloneqq(p\bar{a}^{2}+p^{2}4M_{2}^{2}),$ (4.20) $\displaystyle
A_{4}\coloneqq 5p^{2}2^{p}c^{2}T.$ (4.21)
we observe from inequalities (4.12) and (4.17) together with the system
($\mathscr{O}^{p}_{n}$) that for all $x\in\Lambda_{n}$ we have
$\displaystyle\mathbb{E}\bigg{[}|\xi_{x,t}^{n}|^{p}\bigg{]}\leq
p^{2}(A_{1}+A_{2})\int_{0}^{t}\mathbb{E}\bigg{[}|\xi_{x,s}^{n}|^{p}\bigg{]}ds+$
$\displaystyle+A_{3}n_{x}^{4}\sum_{y\in
B_{x}}\int_{0}^{t}\mathbb{E}\bigg{[}|\xi_{y,s}^{n}|^{p}\bigg{]}ds+A_{4},\
t\in\mathcal{T}.$ (4.22)
Now we fix an arbitrary $n\in\mathbb{N}$ and also define a measurable map
$\eta^{n}:\mathcal{T}\to l^{1}_{\underline{\mathfrak{a}}}$, that is a map
$\eta^{n}\in\mathcal{M}(\mathbf{M},\mathbf{M}^{p}_{\underline{\mathfrak{a}}})$,
via the following formula
$\displaystyle\eta_{x}^{n}(t)\coloneqq\max_{m\leq n}\
\mathbb{E}\bigg{[}|\xi_{x,t}^{m}|^{p}\bigg{]},\ \forall t\in\mathcal{T}.$
(4.23)
Hence we deduce from the inequality (4.22) and from the system
($\mathscr{O}^{p}_{n}$) that for all $x\in\gamma$
$\displaystyle\eta_{x}^{n}(t)\leq\sum_{y\in\gamma}Q_{x,y}\int_{0}^{t}\eta_{y}^{n}(s)ds+A_{x},\
t\in\mathcal{T}.$ (4.24)
where
$\displaystyle Q_{x,y}=\begin{cases}p^{2}(A_{1}+A_{2})+A_{3}n_{x}^{4},&x=y,\\\
A_{3}n_{x}^{4},&0<|x-y|<\rho,\\\ 0,&|x-y|>\rho.\end{cases}$ (4.25)
and
$\displaystyle A_{x}=|\zeta_{x}|^{p}+A_{4}.$ (4.26)
Moreover the following facts can now also be deduced.
1. (1)
$A\in l^{1}_{\underline{\mathfrak{a}}}$ as a result of Theorem 2.1 and the
choice $\zeta\in l^{p}_{\underline{\mathfrak{a}}}$.
2. (2)
Using Theorem 7.8, we see that $\eta^{n}\in
C([0,T],l^{1}_{\underline{\mathfrak{a}}})$,
3. (3)
From equation (4.25) we see that there exists a constant $C$ such that
$|Q_{x,y}|\leq Cn_{x}^{4}$. Therefore using Theorem 3.2 we conclude that there
exists some $L\in\mathbb{R}^{0}$ such that $Q$ is the Ovsjannikov operator of
order $L$ and $\frac{1}{2}$ on $\mathcal{L}^{1}$.
Now since $n\in\mathbb{N}$ was arbitrary, application of Theorem 3.3 and
Corrolary 3.4 to the inequality (4.24) tells us that for all $n\in\mathbb{N}$
we have
$\displaystyle\sum_{x\in\gamma}e^{-\alpha|x|}\sup_{t\in\mathcal{T}}\eta_{x}^{n}(t)\leq
K(\underline{\mathfrak{a}},\alpha)\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}|A_{x}|.$
(4.27)
Hence we see that
$\displaystyle\sum_{x\in\gamma}e^{-\alpha|x|}\sup_{t\in\mathcal{T}}\max_{m\leq
n}\mathbb{E}\bigg{[}|\xi_{x,t}^{m}|^{p}\bigg{]}\leq
K(\underline{\mathfrak{a}},\alpha)\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}|A_{x}|.$
(4.28)
Therefore
$\displaystyle\sup_{n\in\mathbb{N}}\bigg{\\{}\sum_{x\in\gamma}e^{-\alpha|x|}\sup_{t\in\mathcal{T}}\max_{m\leq
n}\mathbb{E}\bigg{[}|\xi_{x,t}^{m}|^{p}\bigg{]}\bigg{\\}}\leq
K(\underline{\mathfrak{a}},\alpha)\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}|A_{x}|.$
(4.29)
###### Remark.
Consider now arbitrary $x\in\gamma$. It is clear that
$\sup_{n\in\mathbb{N}}\bigg{(}\max_{m\leq
n}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi_{x,t}^{m}|^{p}\bigg{]}\bigg{)}\leq\sup_{n\in\mathbb{N}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi_{x,t}^{n}|^{p}\bigg{]}.$
Moreover for all $\epsilon>0$ there exists $k\in\mathbb{N}$ such that
$\displaystyle\sup_{n\in\mathbb{N}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi_{x,t}^{n}|^{p}\bigg{]}-\epsilon$
$\displaystyle\leq\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi_{x,t}^{k}|^{p}\bigg{]},$
(4.30) $\displaystyle\leq\max_{m\leq
k}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi_{x,t}^{m}|^{p}\bigg{]},$ (4.31)
$\displaystyle\leq\sup_{n\in\mathbb{N}}\bigg{(}\max_{m\leq
n}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi_{x,t}^{m}|^{p}\bigg{]}\bigg{)}.$
(4.32) Since $\epsilon$ is arbitrary It follows that
$\displaystyle\sup_{t\in\mathcal{T}}\sup_{n\in\mathbb{N}}\mathbb{E}\bigg{[}|\xi_{x,t}^{n}|^{p}\bigg{]}$
$\displaystyle=\sup_{n\in\mathbb{N}}\bigg{(}\max_{m\leq
n}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi_{x,t}^{m}|^{p}\bigg{]}\bigg{)},$
(4.33)
$\displaystyle=\sup_{n\in\mathbb{N}}\bigg{(}\sup_{t\in\mathcal{T}}\max_{m\leq
n}\mathbb{E}\bigg{[}|\xi_{x,t}^{m}|^{p}\bigg{]}\bigg{)}.$ (4.34)
Remark above shows that if an arbitrary set $A\subset\gamma$ is finite then
$\displaystyle\sup_{n\in\mathbb{N}}\bigg{\\{}\sum_{x\in
A}e^{-\alpha|x|}\sup_{t\in\mathcal{T}}\max_{m\leq
n}\mathbb{E}\bigg{[}|\xi_{x,t}^{m}|^{p}\bigg{]}\bigg{\\}}=\sum_{x\in
A}e^{-\alpha|x|}\sup_{t\in\mathcal{T}}\sup_{n\in\mathbb{N}}\mathbb{E}\bigg{[}|\xi_{x,t}^{m}|^{p}\bigg{]}.$
(4.35)
Hence from inequality (4.29) we finally learn that
$\displaystyle\sum_{x\in\gamma}e^{-\alpha|x|}\sup_{t\in\mathcal{T}}\sup_{n\in\mathbb{N}}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}|^{p}\bigg{]}\leq
K(\underline{\mathfrak{a}},\alpha)\sum_{x\in\gamma}e^{-\underline{\mathfrak{a}}|x|}|A_{x}|,$
(4.36)
and the proof is complete. ∎
###### Theorem 4.3.
Suppose that $\mathbb{R}\ni p\geq 2$ and for all $n\in\mathbb{N}$ process
$\Xi^{n}$ is the solution of the truncated system ($\mathscr{O}^{p}_{n}$) as
defined in the Theorem 4.1. Then for all
$\underline{\mathfrak{a}}<\alpha\in\mathcal{A}$ sequence
$\\{\Xi^{n}\\}_{n\in\mathbb{N}}$ is Cauchy in $Z^{p}_{\alpha}.$
###### Proof.
Fix $n,m\in\mathbb{N}$ and define
$\displaystyle\bar{\Xi}^{n,m}\coloneqq\Xi^{n}-\Xi^{m}.$ (4.37)
In addition let us assume, without loss of generality, that
$\Lambda_{n}\subset\Lambda_{m}$. For all $x\in\gamma$ we shall now estimate
components $\bar{\xi}^{n,m}_{x}$ of $\bar{\Xi}^{n,m}$ by considering three
separate cases namely; $x\not\in\Lambda_{m}$, $x\in\Lambda_{n}$ and
$x\in\Lambda_{m}-\Lambda_{n}$.
First of all, from the definition of the system ($\mathscr{O}^{p}_{n}$) we see
that if $x\not\in\Lambda_{m}$ then we have
$\displaystyle\bar{\xi}^{n,m}_{x,t}=0,\ \forall t\in\mathcal{T}.$ (4.38)
Let us now define for all $x\in\gamma$ and all $t\in\mathcal{T}$ the following
processes
$\displaystyle\Phi_{x}^{n,m}(t)$
$\displaystyle\coloneqq\Phi_{x}(\xi^{n}_{x,t},\Xi^{n}_{t})-\Phi_{x}(\xi^{m}_{x,t},\Xi^{m}_{t}),$
(4.39) $\displaystyle\Psi_{x}^{n,m}(t)$
$\displaystyle\coloneqq\Psi_{x}(\xi^{n}_{x,t},\Xi^{n}_{t})-\Psi_{x}(\xi^{m}_{x,t},\Xi^{m}_{t}),$
(4.40)
and consider the situation when $x\in\Lambda_{n}$. In this case we have
$\displaystyle\bar{\xi}^{n,m}_{x,t}=\int_{0}^{t}\Phi_{x}^{n,m}(s)ds+\int_{0}^{t}\Psi_{x}^{n,m}(s)dW_{x}(s),\
t\in\mathcal{T}.$ (4.41)
Hence using Itô Lemma 7.22 we see that if $x\in\Lambda_{n}$ then for all
$t\in\mathcal{T}$
$\displaystyle|\bar{\xi}^{n,m}_{x,t}|^{p}=\int_{0}^{t}p(\bar{\xi}^{n,m}_{x,s})^{p-1}$
$\displaystyle\Phi_{x}^{n,m}(s)ds+\mathbin{{\color[rgb]{1,1,1}\int_{0}^{t}\frac{p(p-1)}{2}(\bar{\xi}^{n,m}_{x,s})^{p-2}(\Psi_{x}^{n,m}(s))^{2}ds}}$
(4.42)
$\displaystyle+\int_{0}^{t}\frac{p(p-1)}{2}(\bar{\xi}^{n,m}_{x,s})^{p-2}(\Psi_{x}^{n,m}(s))^{2}ds+$
$\displaystyle\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!+\int_{0}^{t}p(\bar{\xi}^{n,m}_{x,t})^{p-1}\Psi_{x}^{n,m}(s)dW_{x}(s).$
Now, from Lemma 2.10 we can see that for all $t\in\mathcal{T}$ we have
$\displaystyle(\bar{\xi}^{n,m}_{x,t})^{p-1}\Phi_{x}^{n,m}(t)$
$\displaystyle=(\bar{\xi}^{n,m}_{x,t})^{p-2}\bar{\xi}^{n,m}_{x,t}\bigg{(}\Phi_{x}(\xi^{n}_{x,t},\Xi^{n}_{t})-\Phi_{x}(\xi^{m}_{x,t},\Xi^{m}_{t})\bigg{)},$
(4.43)
$\displaystyle\leq(\bar{\xi}^{n,m}_{x,t})^{p-2}\bigg{(}(b+\frac{1}{2})(\xi^{n}_{x,t}-\xi^{m}_{x,t})^{2}+\frac{1}{2}\tilde{a}_{x}^{2}\sum_{y\in
B_{x}}(\xi^{n}_{y,t}-\xi^{m}_{y,t})^{2}\bigg{)},$ (4.44)
$\displaystyle\leq(b+1)|\bar{\xi}^{n,m}_{x,t}|^{p}+\max_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{p-2}\bigg{(}\tilde{a}_{x}^{2}n_{x}\max_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{2}\bigg{)},$ (4.45)
$\displaystyle\leq(b+1)|\bar{\xi}^{n,m}_{x,t}|^{p}+\tilde{a}_{x}^{2}n_{x}\max_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{p},$ (4.46)
$\displaystyle\leq(b+1)|\bar{\xi}^{n,m}_{x,t}|^{p}+\tilde{a}_{x}^{2}n_{x}\sum_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{p},$ (4.47)
$\displaystyle\leq(b+1)|\bar{\xi}^{n,m}_{x,t}|^{p}+\bar{a}^{2}n_{x}^{3}\sum_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{p}.$ (4.48)
Moreover, using assumption (E) we can see that for all $t\in\mathcal{T}$ we
also have
$\displaystyle(\bar{\xi}^{n,m}_{x,t})^{p-2}(\Psi_{x}^{n,m}(t))^{2}$
$\displaystyle=(\bar{\xi}^{n,m}_{x,t})^{p-2}\bigg{(}\Phi_{x}(\xi^{n}_{x,t},\Xi^{n}_{t})-\Phi_{x}(\xi^{m}_{x,t},\Xi^{m}_{t})\bigg{)}^{2},$
(4.49)
$\displaystyle\leq(\bar{\xi}^{n,m}_{x,t})^{p-2}\bigg{(}2M_{1}^{2}(\xi^{n}_{x,t}-\xi^{m}_{x,t})^{2}+2M_{2}^{2}n_{x}^{3}\sum_{y\in
B_{x}}(\xi^{n}_{y,t}-\xi^{m}_{y,t})^{2}\bigg{)},$ (4.50) $\displaystyle\leq
2M_{1}^{2}|\bar{\xi}^{n,m}_{x,t}|^{p}+\max_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{p-2}\bigg{(}2M_{2}^{2}n_{x}^{4}\max_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{2}\bigg{)},$ (4.51) $\displaystyle\leq
2M_{1}^{2}|\bar{\xi}^{n,m}_{x,t}|^{p}+2M_{2}^{2}n_{x}^{4}\max_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{p},$ (4.52) $\displaystyle\leq
2M_{1}^{2}|\bar{\xi}^{n,m}_{x,t}|^{p}+2M_{2}^{2}n_{x}^{4}\sum_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{p}.$ (4.53)
Therefore letting
$\displaystyle B_{1}$ $\displaystyle\coloneqq(b+1+2M_{1}^{2}),$ (4.54)
$\displaystyle B_{2}$ $\displaystyle\coloneqq(p\bar{a}^{2}+2p^{2}M_{2}^{2}),$
(4.55)
we can deduce from equation 4.42 that if $x\in\Lambda_{n}$ then
$\displaystyle\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,t}|^{p}\bigg{]}\leq
p^{2}B_{1}\int_{0}^{t}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,s}|^{p}\bigg{]}ds+B_{2}n_{x}^{4}\sum_{y\in
B_{x}}\int_{0}^{t}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{y,s}|^{p}\bigg{]}ds,\
t\in\mathcal{T}.$ (4.56)
Finally, when $x\in\Lambda_{m}-\Lambda_{n}$ we see using Theorem 7.17 that for
all $t\in\mathcal{T}$
$\displaystyle|\bar{\xi}^{n,m}_{x,t}|^{p}$
$\displaystyle\leq(|\xi^{n}_{x,t}|+|\xi^{m}_{x,t}|)^{p},$ (4.57)
$\displaystyle\leq 2^{p-1}|\xi^{n}_{x,t}|^{p}+2^{p-1}|\xi^{m}_{x,t}|^{p}.$
(4.58)
Therefore, using Theorem 4.2 and equation (4.38), we see now that if
$x\in\Lambda_{m}-\Lambda_{n}$ then for all $t\in\mathcal{T}$ we have
$\displaystyle\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,t}|^{2}\bigg{]}$
$\displaystyle\leq
2^{p}\sup_{n\in\mathbb{N}}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}|^{p}\bigg{]},$
(4.59) $\displaystyle\leq
2^{p}\mathbbm{1}_{\Lambda_{m}-\Lambda_{n}}(x)\sup_{n\in\mathbb{N}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}|^{p}\bigg{]}.$
(4.60)
Therefore we can finally deduce, combining equations (4.38), (4.56) and
(4.60), that all $x\in\gamma$ and for all $t\in\mathcal{T}$ we have
$\begin{split}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,t}|^{p}\bigg{]}\leq
p^{2}B_{1}\int_{0}^{t}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,s}|^{p}\bigg{]}&ds+\\\\[10.00002pt]
&\\!\\!\\!\\!\\!\\!\\!\\!+B_{2}n_{x}^{4}\sum_{y\in
B_{x}}\int_{0}^{t}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{y,s}|^{p}\bigg{]}ds+\\\\[10.00002pt]
&\quad\quad\quad\quad\quad\quad\quad+2^{p}\mathbbm{1}_{\Lambda_{m}-\Lambda_{n}}(x)\sup_{n\in\mathbb{N}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}|^{p}\bigg{]}.\end{split}$
(4.61)
Now, as in the proof of Theorem 4.2, infinite system of inequalities (4.61)
can be rewritten in the following way.
Define, relying on the inequality (4.61) a measurable map
$\varrho^{n,m}:\mathcal{T}\to\mathbb{R}^{\gamma}$, that is a map
$\varrho^{n,m}\in\mathcal{M}(\mathbf{M},\mathbf{M}^{p}_{\underline{\mathfrak{a}}})$,
via the following formula
$\displaystyle\varrho_{x}^{n,m}(t)\coloneqq\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,t}|^{p}\bigg{]},\
\forall t\in\mathcal{T},$ (4.62)
and deduce from inequalities (4.56) - (4.60) that
$\displaystyle\varrho_{x}^{n,m}(t)\leq\sum_{y\in\gamma}Q_{x,y}\int_{0}^{t}\varrho_{y}^{n,m}(s)ds+A_{x},\
t\in\mathcal{T},$ (4.63)
where
$\displaystyle Q_{x,y}=\begin{cases}p^{2}B_{1}+B_{2}n_{x}^{4},&x=y,\\\
B_{2}n_{x}^{4},&0<|x-y|<p,\\\ 0,&|x-y|>p.\end{cases}$ (4.64)
and
$\displaystyle
A_{x}=2^{p}\mathbbm{1}_{\Lambda_{m}-\Lambda_{n}}(x)\sup_{n\in\mathbb{N}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}|^{p}\bigg{]}.$
(4.65)
Now, fixing $\underline{\mathfrak{a}}<\tilde{\alpha}<\alpha\in\mathcal{A}$ we
can also deduce the following facts.
1. (1)
$A\in l^{1}_{\tilde{\alpha}}$ as a result of Theorem 4.2.
2. (2)
Using Theorem 7.8, we see that $\varrho^{n,m}\in
C([0,T],l^{1}_{\tilde{\alpha}})$,
3. (3)
From equation (4.25) we see that there exists a constant $D$ such that
$|Q_{x,y}|\leq Dn_{x}^{4}$. Therefore using Theorem 3.2 we conclude that there
exists some $L\in\mathbb{R}^{0}$ such that $Q$ is the Ovsjannikov operator of
order $L$ and $\frac{1}{2}$ on $\mathcal{L}^{1}$.
Therefore we can now use Theorem 3.3 and Corollary 3.4 to conclude that
$\displaystyle\sum_{x\in\gamma}e^{-\alpha|x|}\sup_{t\in\mathcal{T}}\varrho_{x}^{n,m}(t)\leq
K(\tilde{\alpha},\alpha)\sum_{x\in\gamma}e^{-\tilde{\alpha}|x|}|A_{x}|.$
(4.66)
From equation (4.66) and definition (2.8) we therefore see that we have the
following estimate
$\displaystyle\|\Xi^{n}-\Xi^{m}\|_{Z^{p}_{\alpha}}^{p}$
$\displaystyle=\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}||\Xi^{n}_{t}-\Xi^{m}_{t}||^{p}_{l^{p}_{\alpha}}\bigg{]},$
(4.67)
$\displaystyle=\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}\sum_{x\in\gamma}e^{-\alpha|x|}|\xi^{n}_{x,t}-\xi^{m}_{x,t}|^{p}\bigg{]},$
(4.68)
$\displaystyle\leq\sum_{x\in\gamma}e^{-\alpha|x|}\sup_{t\in\mathcal{T}}\varrho_{x}^{n,m}(t),$
(4.69) $\displaystyle\leq
K(\tilde{\alpha},\alpha)\sum_{x\in\gamma}e^{-\tilde{\alpha}|x|}|A_{x}|,$
(4.70) $\displaystyle\leq
K(\tilde{\alpha},\alpha)\sum_{x\in\gamma}e^{-\tilde{\alpha}|x|}2^{p}\mathbbm{1}_{\Lambda_{m}-\Lambda_{n}}(x)\sup_{n\in\mathbb{N}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}|^{p}\bigg{]},$
(4.71) $\displaystyle\leq
2^{p}K(\tilde{\alpha},\alpha)\sum_{x\in\Lambda_{m}-\Lambda_{n}}e^{-\tilde{\alpha}|x|}\sup_{n\in\mathbb{N}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}|^{p}\bigg{]}.$
(4.72)
Estimate above implies that the right hand side of equation (4.72) is the
remainder of a convergent series hence the proof is complete. ∎
## 5 One Dimesional Special Case
Suppose that $\mathbb{R}\ni p\geq 2$ and
$\underline{\mathfrak{a}}<\alpha\in\mathcal{A}$. For all $n\in\mathbb{N}$ let
$\Xi^{n}$ be a solution of the truncated system ($\mathscr{O}^{p}_{n}$) and
using Theorem 4.3 let $\\{\Xi^{n}\\}_{n\in\mathbb{N}}$ be a Cauchy sequence in
$Z^{p}_{\alpha}$. Since $Z^{p}_{\alpha}$ is a Banach space, by Theorem 2.5, we
now define the following process
$\displaystyle\overbrace{\ \Xi\coloneqq\lim_{n\to\infty}\Xi^{n}\ }^{\text{in}\
Z_{\alpha}^{p}}.$ (5.1)
Consider now an arbitrary $x\in\gamma$. The main goal of this section is to
prove that the following stochastic integral equation
$\displaystyle\eta_{x,t}$
$\displaystyle=\zeta_{x}+\int_{0}^{t}\Phi_{x}(\eta_{x,s},\Xi_{s})ds+\int_{0}^{t}\Psi_{x}(\eta_{x,s},\Xi_{s})dW_{x}(s),\
t\in\mathcal{T},$ (5.2)
has a solution in $\mathcal{S}(\mathbf{M}^{\mathbb{R}})$. We begin our work by
proving an auxiliary result.
###### Theorem 5.1.
Suppose that $x\in\gamma$ and $\Xi$ is a process defined by (5.1). Then
$\displaystyle\mathbb{E}\bigg{[}\sup_{t\in\mathcal{T}}|\xi_{x,t}|^{p}\bigg{]}<\infty.$
(5.3)
###### Proof.
We shall prove this theorem by showing that for all $\epsilon>0$ there exist
$N\in\mathbb{N}$ such that for all $n,m\geq N$ we have
$\displaystyle\mathbb{E}\bigg{[}\sup_{t\in\mathcal{T}}|\xi^{n}_{x,t}-\xi^{m}_{x,t}|^{p}\bigg{]}<\epsilon,$
(5.4)
where for all $n\in\mathbb{N}$ processes $\xi^{n}_{x}$ are components of
$\Xi^{n}$.
Since $\Lambda_{n}\uparrow\gamma$ we begin by finding some
$\bar{N}\in\mathbb{N}$ such that $x\in\Lambda_{\bar{N}}$ and temporary fixing
some $n,m\geq\bar{N}$. Moreover let us assume, without loss of generality,
that $n<m$ so that $x\in\Lambda_{n}\subset\Lambda_{m}$ and we define
$\displaystyle\bar{\xi}_{x,t}^{n,m}\coloneqq\xi^{n}_{x,t}-\xi^{m}_{x,t},\
\forall t\in\mathcal{T}.$ (5.5)
Now we recal Theorem 4.3. In particular we are interested in using definitions
(4.39) - (4.40) and an equation (4.41).
Hence an application of Itô Lemma shows that for all $t\in\mathcal{T}$
$\displaystyle|\bar{\xi}^{n,m}_{x,t}|^{p}=\int_{0}^{t}p(\bar{\xi}^{n,m}_{x,s})^{p-1}$
$\displaystyle\Phi_{x}^{n,m}(s)ds+\mathbin{{\color[rgb]{1,1,1}\int_{0}^{t}\frac{p(p-1)}{2}(\bar{\xi}^{n,m}_{x,s})^{p-2}(\Psi_{x}^{n,m}(s))^{2}ds}}$
(5.6)
$\displaystyle+\int_{0}^{t}\frac{p(p-1)}{2}(\bar{\xi}^{n,m}_{x,s})^{p-2}(\Psi_{x}^{n,m}(s))^{2}ds+$
$\displaystyle\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!+\int_{0}^{t}p(\bar{\xi}^{n,m}_{x,t})^{p-1}\Psi_{x}^{n,m}(s)dW_{x}(s).$
Therefore we see from equation (5.6) above that
$\displaystyle\sup_{t\in\mathcal{T}}|\bar{\xi}^{n,m}_{x,t}|^{p}=\int_{0}^{T}p(\bar{\xi}^{n,m}_{x,s})^{p-1}$
$\displaystyle\Phi_{x}^{n,m}(s)ds+\mathbin{{\color[rgb]{1,1,1}\int_{0}^{T}\frac{p(p-1)}{2}(\bar{\xi}^{n,m}_{x,s})^{p-2}(\Psi_{x}^{n,m}(s))^{2}ds}}$
(5.7)
$\displaystyle+\int_{0}^{T}\frac{p(p-1)}{2}(\bar{\xi}^{n,m}_{x,s})^{p-2}(\Psi_{x}^{n,m}(s))^{2}ds+$
$\displaystyle\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!+\sup_{t\in\mathcal{T}}\int_{0}^{t}p(\bar{\xi}^{n,m}_{x,t})^{p-1}\Psi_{x}^{n,m}(s)dW_{x}(s).$
Moreover from inequalities (4.48) and (4.53) we see that
$\displaystyle(\bar{\xi}^{n,m}_{x,t})^{p-1}\Phi_{x}^{n,m}(t)\leq(b+1)|\bar{\xi}^{n,m}_{x,t}|^{p}+\bar{a}^{2}n_{x}^{3}\sum_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{p}..$ (5.8)
and
$\displaystyle(\bar{\xi}^{n,m}_{x,t})^{p-2}(\Psi_{x}^{n,m}(t))^{2}\leq
2M_{1}^{2}|\bar{\xi}^{n,m}_{x,t}|^{p}+2M_{2}^{2}n_{x}^{4}\sum_{y\in
B_{x}}|\bar{\xi}^{n,m}_{x,t}|^{p}..$ (5.9)
Hence from inequality (5.7) and inequalities (5.8) - (5.9) above we see by
letting
$\displaystyle C_{1}$ $\displaystyle\coloneqq p^{2}(b+1+2M_{1}^{2}),$ (5.10)
$\displaystyle C_{2}$ $\displaystyle\coloneqq
n_{x}^{4}(p\bar{a}^{2}+2p^{2}M_{2}^{2}),$ (5.11) $\displaystyle K$
$\displaystyle\coloneqq
C_{1}\int_{0}^{T}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,s}|^{p}\bigg{]}ds+C_{2}\sum_{y\in
B_{x}}\int_{0}^{T}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{y,s}|^{p}\bigg{]}ds.$
(5.12)
that we have the following inequality
$\begin{split}\mathbb{E}\bigg{[}\sup_{t\in\mathcal{T}}|\bar{\xi}^{n,m}_{x,t}|^{p}\bigg{]}\leq
K+\mathbb{E}\bigg{[}\sup_{t\in\mathcal{T}}\int_{0}^{t}p(\bar{\xi}^{n,m}_{x,s})^{p-1}\Psi_{x}^{n,m}(s)dW_{x}(s)\bigg{]},\end{split}$
(5.13)
Now using results from subsection 7.2, in particular Burkholder-Davis-Gundy
inequality 7.21 and also using Jensen inequality 7.14 we see that the
following estimate on the stochastic term from the inequality (5.13) above
holds.
$\displaystyle\mathbb{E}\bigg{[}\sup_{t\in\mathcal{T}}\int_{0}^{t}p(\bar{\xi}^{n,m}_{x,s})^{p-1}\Psi_{x}^{n,m}(s)dW_{x}(s)\bigg{]}$
$\displaystyle\leq\mathbb{E}\bigg{[}\bigg{(}\int_{0}^{t}\bigg{(}p(\bar{\xi}^{n,m}_{x,s})^{p-1}\Psi_{x}^{n,m}(s)\bigg{)}^{2}ds\bigg{)}^{\frac{1}{2}}\bigg{]},$
(5.14)
$\displaystyle\leq\bigg{(}\mathbb{E}\bigg{[}\int_{0}^{t}\bigg{(}p(\bar{\xi}^{n,m}_{x,s})^{p-1}\Psi_{x}^{n,m}(s)\bigg{)}^{2}ds\bigg{]}\bigg{)}^{\frac{1}{2}}.$
(5.15)
To simplify inequality (5.15) we note that according to the (E) for all
$t\in\mathcal{T}$ the following estimate is true
$\displaystyle\bigg{(}(\bar{\xi}^{n,m}_{x,t})^{p-1}\Psi_{x}^{n,m}(t)\bigg{)}^{2}$
$\displaystyle=(\bar{\xi}^{n,m}_{x,t})^{2p-2}\bigg{(}M_{1}|\bar{\xi}^{n,m}_{x,t}|+M_{2}n_{x}\sum_{y\in
B_{x}}|\bar{\xi}^{n,m}_{y,t}|\bigg{)}^{2},$ (5.16)
$\displaystyle\leq(\bar{\xi}^{n,m}_{x,t})^{2p-2}\bigg{(}2M_{1}^{2}|\bar{\xi}^{n,m}_{x,t}|^{2}+2M_{2}^{2}n_{x}^{3}\sum_{y\in
B_{x}}|\bar{\xi}^{n,m}_{y,t}|^{2}\bigg{)},$ (5.17) $\displaystyle\leq
2M_{1}^{2}|\bar{\xi}^{n,m}_{x,t}|^{2p}+\max_{y\in
B_{x}}|\bar{\xi}^{n,m}_{y,t}|^{2p-2}\bigg{(}2M_{2}^{2}n_{x}^{4}\max_{y\in
B_{x}}|\bar{\xi}^{n,m}_{y,t}|^{2}\bigg{)},$ (5.18) $\displaystyle\leq
2M_{1}^{2}|\bar{\xi}^{n,m}_{x,t}|^{2p}+2M_{2}^{2}n_{x}^{4}\sum_{y\in
B_{x}}|\bar{\xi}^{n,m}_{y,t}|^{2p}.$ (5.19)
Now letting
$\displaystyle C_{3}$ $\displaystyle\coloneqq 2p^{2}M_{1}^{2}T,$ (5.20)
$\displaystyle C_{4}$ $\displaystyle\coloneqq 2p^{2}M_{2}^{2}n_{x}^{4}T,$
(5.21)
It follows now that inequality (5.15) can be written as follows
$\begin{split}\mathbb{E}\bigg{[}\sup_{t\in\mathcal{T}}\int_{0}^{t}p(\bar{\xi}^{n,m}_{x,s})^{p-1}\Psi_{x}^{n,m}(s)dW_{x}(s)\bigg{]}\leq
C_{3}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,t}|^{2p}\bigg{]}+C_{4}\sum_{y\in
B_{x}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,t}|^{2p}\bigg{]},\end{split}$
(5.22)
Therefore returning to the inequality (5.13) we see that
$\displaystyle\mathbb{E}\bigg{[}\sup_{t\in\mathcal{T}}|\bar{\xi}^{n,m}_{x,t}|^{p}\bigg{]}\leq
TC_{1}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,t}|^{p}\bigg{]}$
$\displaystyle+TC_{2}\sum_{y\in
B_{x}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{y,t}|^{p}\bigg{]}+$
(5.23)
$\displaystyle+C_{3}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,t}|^{2p}\bigg{]}+C_{4}\sum_{y\in
B_{x}}\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}|\bar{\xi}^{n,m}_{x,t}|^{2p}\bigg{]}.$
Since $B_{x}$ is finite we can now use Theorem 4.3 to conclude that, with a
suitable choice of $n,m\in\mathbb{N}$, the right hand side of the inequality
(5.23) above can be made arbitrary small hence the proof is complete. ∎
Relying on [14] we now state without proof the following result.
###### Theorem 5.2.
There exists $\tau\in\mathbb{R}$ such that the stochastic integral equation
(5.2) admits a unique local maximal solution
$\eta_{x}:[0,\tau]\times\Omega\to\mathbb{R}$.
Now using Theorem 5.2 we can establish the existence of a global solution.
Precisely speaking we have the following result.
###### Theorem 5.3.
There exists a solution $\eta_{x}:\overline{\Omega}\to\mathbb{R}$ of the
stochastic integral equation (5.2).
###### Proof.
Clearly if $\tau\geq\mathcal{T}$ then there is nothing to prove so let us
assume that $\tau<\mathcal{T}$. Now by Theorem 5.2 there exists a unique,
maximal local solution $\eta_{x}:[0,\tau]\times\Omega\to\mathbb{R}$ to the
stochastic equation (5.2). Hence to complete the proof we will show that this
solution is also a global one. That is we will establishing that almost surely
$\lim_{n\to\infty}\tau_{n}=\infty$, where $\tau_{n}$ is the first exit time of
the maximal local solution from the interval (-n, n) for all $n\in\mathbb{N}$.
Now, since $\eta$ is a local solution to (5.2) we conclude that for all
$n\in\mathbb{N}$ and all $t\in[0,\infty)$ we have
$\displaystyle\eta_{x,t\land\tau_{n}}$
$\displaystyle=\zeta_{x}+\int_{0}^{t\land\tau_{n}}\Phi_{x}(\eta_{x,s\land\tau_{n}},\Xi_{s\land\tau_{n}})ds+\int_{0}^{t\land\tau_{n}}\Psi_{x}(\eta_{x,s\land\tau_{n}},\Xi_{s\land\tau_{n}})dW_{x}(s).$
(5.24)
Hence using Itô Lemma 7.22 we see that for all $t\in[0,\infty)$ we have the
following
$\displaystyle|\eta_{x,t\land\tau_{n}}|^{p}=$
$\displaystyle\int_{0}^{t\land\tau_{n}}p(\eta_{x,s\land\tau_{n}})^{p-1}\Phi_{x}(\eta_{x,s\land\tau_{n}},\Xi_{s\land\tau_{n}})ds+$
(5.25)
$\displaystyle\quad\quad\quad\quad\quad\quad+\int_{0}^{t\land\tau_{n}}\frac{p(p-1)}{2}(\eta_{x,s\land\tau_{n}})^{p-2}(\Psi_{x}(\eta_{x,s\land\tau_{n}},\Xi_{s\land\tau_{n}}))^{2}ds+$
$\displaystyle\quad\quad\quad\quad\quad\quad\quad\quad\quad\quad\quad+\int_{0}^{t\land\tau_{n}}p(\eta_{x,s\land\tau_{n}})^{p-1}\Psi_{x}(\eta_{x,s\land\tau_{n}},\Xi_{s\land\tau_{n}})dW_{x}(s).$
Now by letting
$\displaystyle\bar{\Phi}_{x}^{p}(\eta,t)\coloneqq(\eta_{x,t\land\tau_{n}})^{p-1}\Phi_{x}(\eta_{x,t\land\tau_{n}},\Xi_{t\land\tau_{n}}),\
\forall t\in[0,\infty),$ (5.26)
we conclude from inequalities (4.12) - (4.17) and definitions (4.18) - (4.21)
that for all $t\in[0,\infty)$
$\displaystyle\Phi_{x}^{p}(\eta,t)$ $\displaystyle\leq
A_{1}|\eta_{x,t\land\tau_{n}}|^{p}+\bar{a}^{2}n_{x}^{3}\sum_{y\in
B_{x}}|\xi_{y,t\land\tau_{n}}|^{p}+2^{p-1}c^{2},$ (5.27) $\displaystyle\leq
A_{1}|\eta_{x,t\land\tau_{n}}|^{p}+\bar{a}^{2}n_{x}^{3}\sum_{y\in
B_{x}}\sup_{t\in\mathcal{T}}|\xi_{y,t\land\tau_{n}}|^{p}+2^{p-1}c^{2},$ (5.28)
$\displaystyle\leq
A_{1}|\eta_{x,t\land\tau_{n}}|^{p}+\bar{a}^{2}n_{x}^{3}\sum_{y\in
B_{x}}\sup_{t\in\mathcal{T}}|\xi_{y,t}|^{p}+2^{p-1}c^{2},$ (5.29)
and
$\displaystyle(\eta_{x,s\land\tau_{n}})^{p-2}(\Psi_{x}(\eta_{x,s\land\tau_{n}},\Xi_{s\land\tau_{n}}))^{2}$
$\displaystyle\leq
A_{2}|\eta_{x,t\land\tau_{n}}|^{p}+4M_{2}^{2}n_{x}^{4}\sum_{y\in
B_{x}}|\xi_{y,t\land\tau_{n}}|^{p}+4c^{2}2^{p-1},$ (5.30) $\displaystyle\leq
A_{2}|\eta_{x,t\land\tau_{n}}|^{p}+4M_{2}^{2}n_{x}^{4}\sum_{y\in
B_{x}}\sup_{t\in\mathcal{T}}|\xi_{y,t}|^{p}+4c^{2}2^{p-1}.$ (5.31)
Threfore, combining inequalities (5.29) - (5.31) with an inequality (5.25) we
see that for all $t\in[0,\infty)$ we have
$\displaystyle\mathbb{E}\bigg{[}|\eta_{x,t\land\tau_{n}}|^{p}\bigg{]}$
$\displaystyle\leq
p^{2}(A_{1}+A_{2})\int_{0}^{t}\mathbb{E}\bigg{[}|\eta_{x,s\land\tau_{n}}|^{p}\bigg{]}ds+Tn^{4}_{x}A_{3}\sum_{y\in
B_{x}}\mathbb{E}\bigg{[}\sup_{t\in\mathcal{T}}|\xi_{y,t}^{n}|^{p}\bigg{]}+A_{4},$
(5.32) $\displaystyle\leq
D\int_{0}^{t}\mathbb{E}\bigg{[}|\eta_{x,s\land\tau_{n}}|^{p}\bigg{]}ds+K(x).$
(5.33)
Where
$\displaystyle D$ $\displaystyle\coloneqq p^{2}(A_{1}+A_{2}),$ (5.34)
$\displaystyle K(x)$ $\displaystyle\coloneqq Tn^{4}_{x}A_{3}\sum_{y\in
B_{x}}\mathbb{E}\bigg{[}\sup_{t\in\mathcal{T}}|\xi_{y,t}^{n}|^{p}\bigg{]}+A_{4}.$
(5.35)
Now using Gronwall’s inequality 7.13 together with the inequality (5.33) above
we see that for all $t\in[0,\infty)$ we have
$\displaystyle\mathbb{E}\bigg{[}|\eta_{x,t\land\tau_{n}}|^{p}\bigg{]}\leq
K(x)e^{Dt}.$ (5.36)
However using the definition of $\tau_{n}$ we see that for all
$n\in\mathbb{N}$ we have $|\eta_{x,\tau_{n}}|\geq n$. Moreover, because
$\mathbb{P}(\tau_{n}<t)=\mathbb{E}\bigg{[}\mathbbm{1}_{\\{\tau_{n}<t\\}}\bigg{]}$
we also see that for all $t\in[0,\infty)$
$\displaystyle n^{p}\mathbb{P}(\tau_{n}<t)$
$\displaystyle\leq\mathbb{E}\bigg{[}|\eta_{x,\tau_{n}}|^{p}\mathbbm{1}_{\\{\tau_{n}<t\\}}\bigg{]},$
(5.37)
$\displaystyle\leq\mathbb{E}\bigg{[}|\eta_{x,\tau_{n}}|^{p}\mathbbm{1}_{\\{\tau_{n}<t\\}}\bigg{]}+\mathbb{E}\bigg{[}|\eta_{x,\tau_{n}}|^{p}\mathbbm{1}_{\\{\tau_{n}\geq
t\\}}\bigg{]},$ (5.38)
$\displaystyle=\mathbb{E}\bigg{[}|\eta_{x,t\land\tau_{n}}|^{p}\mathbbm{1}_{\\{\tau_{n}<t\\}}\bigg{]}+\mathbb{E}\bigg{[}|\eta_{x,t\land\tau_{n}}|^{p}\mathbbm{1}_{\\{\tau_{n}\geq
t\\}}\bigg{]},$ (5.39)
$\displaystyle=\mathbb{E}\bigg{[}|\eta_{x,t\land\tau_{n}}|^{p}\bigg{]}.$
(5.40)
Therefore using inequalities (5.36) - (5.40) above we see that for all
$n\in\mathbb{N}$ and for all $t\in[0,\infty)$ we have
$\displaystyle\mathbb{P}(\tau_{n}<t)\leq\frac{1}{n^{p}}K(x)e^{Dt}.$ (5.41)
Hence for all $t\in[0,\infty)$ we have
$\displaystyle\lim_{n\to\infty}\mathbb{P}(\tau_{n}<t)=0.$ (5.42)
Now convergence in probability and the fact that
$\\{\tau_{n}\\}_{n\in\mathbb{N}}$ is an increasing sequence impliy that almost
surely $\lim_{n\to\infty}\tau_{n}=\infty$ hence the proof is complete. ∎
## 6 Existence and Uniqueness
Throughout this section let us assume that $\mathbb{R}\ni p\geq 2$.
In this section we will learn that system ($\mathscr{O}^{p}$) admits a unique
strong solution. We shall start by showing existence.
###### Theorem 6.1.
Stochastic system ($\mathscr{O}^{p}$) admits a strong solution.
###### Proof.
Let us start by fixing some $\underline{\mathfrak{a}}<\alpha\in\mathcal{A}$.
Now, according to the Theorem 4.3 sequence $\\{\Xi^{n}\\}_{n\in\mathbb{N}}$
converges in $Z^{p}_{\alpha}$. Therefore, this proof can be completed by
letting
$\displaystyle\overbrace{\ \Xi\coloneqq\lim_{n\to\infty}\Xi^{n}\ }^{\text{in}\
Z_{\alpha}^{p}},$ (6.1)
and showing that $\Xi\equiv\\{\xi_{x}\\}_{x\in\gamma}$ is also a strong
solution of the system ($\mathscr{O}^{p}$). However because $\Xi$ in
$Z^{p}_{\alpha}$ we see from the Definition 2.9 that to complete the proof it
only remains to show that for all $x\in\gamma$ and all $t\in\mathcal{T}$ we
have
$\displaystyle\xi_{x,t}$
$\displaystyle=\zeta_{x}+\int_{0}^{t}\Phi_{x}(\xi_{x,s},\Xi_{s})ds+\int_{0}^{t}\Psi_{x}(\xi_{x,s},\Xi_{s})dW_{x}(s),\quad\mathbb{P}-a.s.$
(6.2)
Using our work in the previous section 5, in particular using Theorem 5.3 we
begin by defining a family of processes
$H\coloneqq\\{\eta_{x}\\}_{x\in\gamma}$ such that for all $x\in\gamma$ and all
$t\in\mathcal{T}$ we have
$\displaystyle\eta_{x,t}$
$\displaystyle=\zeta_{x}+\int_{0}^{t}\Phi_{x}(\eta_{x,s},\Xi_{s})ds+\int_{0}^{t}\Psi_{x}(\eta_{x,s},\Xi_{s})dW_{x}(s),\quad\mathbb{P}-a.s.$
(6.3)
Now, if $n\in\mathbb{N}$ then we also recall from the Theorem 4.1 and the
Definition, of the truncated system, ($\mathscr{O}^{p}_{n}$) that for all
$x\in\gamma$ and all $t\in\mathcal{T}$ we have
$\begin{rcases}\begin{aligned}
&\xi_{x,t}^{n}=\zeta_{x}+\int_{0}^{t}\Phi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n})ds+\int_{0}^{t}\Psi_{x}(\xi_{x,s}^{n},\Xi_{s}^{n})dW_{x}(s)&&\quad\forall
x\in\Lambda_{n}\\\ &\xi_{x,t}^{n}=\zeta_{x}&&\quad\forall
x\not\in\Lambda_{n}\end{aligned}\end{rcases},\ \mathbb{P}-a.s.$ (6.4)
Moreover convergence $\overbrace{\ \Xi=\lim_{n\to\infty}\Xi^{n}\ }^{\text{in}\
Z_{\alpha}^{p}}$ in particular implies that
$\displaystyle\adjustlimits{lim}_{n\to\infty}{sup}_{t\in\mathcal{T}}\mathbb{E}\bigg{[}\
\sum_{x\in\gamma}e^{-\alpha|x|}|\xi^{n}_{x,t}-\xi_{x,t}|^{p}\bigg{]}=0.$ (6.5)
Now, from equation (6.5) above and Theorem 7.9 it follows that for all
$x\in\Lambda_{n}$ and uniformly on $\mathcal{T}$ we have
$\displaystyle\lim_{n\to\infty}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}-\xi_{x,t}|\bigg{]}=0.$
(6.6)
Therefore, observing that $\Lambda_{n}\uparrow\gamma$ as $n\to\infty$ we see
that in order to establish the equation (6.2), and hence conclude the proof,
it remains to show that for all $x\in\gamma$ and uniformly on $\mathcal{T}$ we
have
$\displaystyle\lim_{n\to\infty}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}-\eta_{x,t}|\bigg{]}=0.$
(6.7)
###### Remark.
Indeed, this will show that for all $x\in\gamma$ and uniformly on
$\mathcal{T}$ we have
$\displaystyle\mathbb{E}\bigg{[}|\xi_{x,t}-\eta_{x,t}|\bigg{]}=0,$ (6.8)
which, because $\gamma$ is countable, will establish that for all $x\in\gamma$
and uniformly on $\mathcal{T}$ we have $\displaystyle\xi_{x,t}=\eta_{x,t},\
\mathbb{P}-a.s.,$ (6.9) hence establishing equation (6.2) and compleating the
proof.
Now, let us fix an arbitrary $n\in\mathbb{N}$ and define for all $x\in\gamma$
and all $t\in\mathcal{T}$ the following processes
$\displaystyle\Phi_{x}^{n}(t)$
$\displaystyle\coloneqq\Phi_{x}(\xi^{n}_{x,t},\Xi^{n}_{t})-\Phi_{x}(\eta_{x,t},\Xi_{t}),$
(6.10) $\displaystyle\Psi_{x}^{n}(t)$
$\displaystyle\coloneqq\Psi_{x}(\xi^{n}_{x,t},\Xi^{n}_{t})-\Psi_{x}(\eta_{x,t},\Xi_{t}),$
(6.11) $\displaystyle\mathscr{X}^{n}_{x,t}$
$\displaystyle\coloneqq\xi^{n}_{x,t}-\eta_{x,t}.$ (6.12)
Hence using Itô Lemma we begin observing that for all $x\in\gamma$ and all
$t\in\mathcal{T}$ we have
$\displaystyle|\mathscr{X}^{n}_{x,t}|^{p}=\int_{0}^{t}p(\mathscr{X}^{n}_{x,t})^{p-1}$
$\displaystyle\Phi_{x}^{n,m}(s)ds+\mathbin{{\color[rgb]{1,1,1}\int_{0}^{t}\frac{p(p-1)}{2}(\bar{\xi}^{n,m}_{x,s})^{p-2}(\Psi_{x}^{n,m}(s))^{2}ds}}$
(6.13)
$\displaystyle+\int_{0}^{t}\frac{p(p-1)}{2}(\mathscr{X}^{n}_{x,t})^{p-2}(\Psi_{x}^{n,m}(s))^{2}ds+$
$\displaystyle\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!\\!+\int_{0}^{t}p(\mathscr{X}^{n}_{x,t})^{p-1}\Psi_{x}^{n,m}(s)dW_{x}(s).$
Therefore, from inequalities (4.48) - (4.53) we can see that for all
$x\in\gamma$ and all $t\in\mathcal{T}$ we have
$\displaystyle(\xi^{n}_{x,t}-\eta_{x,t})^{p-1}\Phi_{x}^{n}(t)\leq(b+1)(\xi^{n}_{x,t}-\eta_{x,t})^{p}+\bar{a}^{2}n_{x}^{3}\sum_{y\in
B_{x}}(\xi^{n}_{y,t}-\xi_{y,t})^{p},$ (6.14)
and
$\displaystyle(\xi^{n}_{x,t}-\eta_{x,t})^{p-2}\bigg{(}\Psi_{x}^{n}(t)\bigg{)}^{2}\leq
2M_{1}^{2}(\xi^{n}_{x,t}-\eta_{x,t})^{p}+2M_{2}^{2}n_{x}^{4}\sum_{y\in
B_{x}}(\xi^{n}_{y,t}-\xi_{y,t})^{p}.$ (6.15)
Now, because $B_{x}$ is finite for all $x\in\gamma$ it is clear from equation
(6.5) that
$\displaystyle\mathbb{E}\bigg{[}\sum_{y\in
B_{x}}(\xi^{n}_{y,t}-\xi_{y,t})^{p}\bigg{]},$ (6.16)
can be made arbitrary small uniformly on $\mathcal{T}$ by taking
$n\in\mathbb{N}$ sufficiently large. Therefore from inequalities (6.14) and
(6.15) above we see that we can establish the following inequlities for all
$t\in\mathcal{T}$
$\displaystyle\mathbb{E}\bigg{[}(\xi^{n}_{x,t}-\eta_{x,t})^{p-1}\Phi_{x}^{n}(t)\bigg{]}\leq(b+1)\mathbb{E}\bigg{[}(\xi^{n}_{x,t}-\eta_{x,t})^{p}\bigg{]}+A_{x}^{n},$
(6.17)
and
$\displaystyle\mathbb{E}\bigg{[}(\xi^{n}_{x,t}-\eta_{x,t})^{p-2}\bigg{(}\Psi_{x}^{n}(t)\bigg{)}^{2}\bigg{]}\leq
2M_{1}^{2}\mathbb{E}\bigg{[}(\xi^{n}_{x,t}-\eta_{x,t})^{p}\bigg{]}+A_{x}^{n}.$
(6.18)
Where for all $x\in\gamma$ we have
$\displaystyle A_{x}^{n}\coloneqq\max\\{\bar{a}^{2}n_{x}^{3},\
2M_{2}^{2}n_{x}^{4}\\}\mathbb{E}\bigg{[}\sum_{y\in
B_{x}}(\xi^{n}_{y,t}-\xi_{y,t})^{p}\bigg{]}.$ (6.19)
Moreover $A_{x}^{n}\to 0$ uniformly on $\mathcal{T}$ as $n\to\infty$.
Therefore using inequalities (6.17) and (6.18) above we can conclude from
equation (6.13) that for all $x\in\gamma$ and all $t\in\mathcal{T}$ we have
$\displaystyle\mathbb{E}\bigg{[}|\xi^{n}_{x,t}-\eta_{x,t}|^{p}\bigg{]}\leq
C\int_{0}^{t}\mathbb{E}\bigg{[}|\xi^{n}_{x,s}-\eta_{x,s}|^{p}\bigg{]}ds+\bar{A}_{x}^{n},$
(6.20)
where
$\displaystyle C\coloneqq p^{2}(b+1+2M_{1}^{2}),$ (6.21)
$\displaystyle\bar{A}_{x}^{n}\coloneqq 2p^{2}TA_{x}^{n}.$ (6.22)
Finally using Gronwall inequality 7.13 we see that for all $x\in\gamma$ and
all $t\in\mathcal{T}$ we have
$\displaystyle\mathbb{E}\bigg{[}|\xi^{n}_{x,t}-\eta_{x,t}|^{p}\bigg{]}\leq
A_{x}^{n}e^{CT},$ (6.23)
which shows that for all $x\in\gamma$ and uniformly on $\mathcal{T}$
$\displaystyle\lim_{n\to\infty}\mathbb{E}\bigg{[}|\xi^{n}_{x,t}-\eta_{x,t}|^{p}\bigg{]}=0.$
(6.24)
Equation (6.7) now follows via application of Therorem 7.9 hence the proof is
complete. ∎
In the following theorem we now address uniqueness.
###### Theorem 6.2.
Suppose $\zeta\in l^{p}_{\underline{\mathfrak{a}}}$ and
$\underline{\mathfrak{a}}<\alpha\in\mathcal{A}$. Then stochastic system
($\mathscr{O}^{p}$) admits a unique strong solution $\Xi$ in $Z^{p}_{\alpha}$.
###### Proof.
For contradiction, using Theorem 6.1, suppose that $\Xi^{1}$ and $\Xi^{2}$ are
distinct strong solutions of the system ($\mathscr{O}^{p}$) in
$Z^{p}_{\alpha}$. Now let us define a map $\bar{\Xi}\in Z^{p}_{\alpha}$ via
the following formula
$\displaystyle\bar{\Xi}_{t}\coloneqq\Xi^{1}_{t}-\Xi^{2}_{t}.$ (6.25)
We see that almost surely we have
$\displaystyle\bar{\xi}_{x,t}=\int_{0}^{t}\Phi_{x}(\xi^{1}_{x,s},\Xi^{1}_{s})-\Phi_{x}(\xi^{2}_{x,s},\Xi^{2}_{s})ds+\int_{0}^{t}\Psi_{x}(\xi^{1}_{x,s},\Xi^{1}_{s})-\Psi_{x}(\xi^{2}_{x,s},\Xi^{2}_{s})dW_{x}(s).$
(6.26)
Now as in the proof of Theorem 4.3 we deduce, using Ito Lemma, that
$\displaystyle|\bar{\xi}_{x,t}|^{p}=\int_{0}^{t}p(\bar{\xi}_{x,s})^{p-1}\Phi_{x}^{1,2}(s)ds$
$\displaystyle+\int_{0}^{t}\frac{p(p-1)}{2}(\bar{\xi}_{x,s})^{p-2}(\Psi_{x}^{1,2}(s))^{2}ds\
+$ (6.27)
$\displaystyle\quad\quad\quad\quad\quad\quad\quad\quad\quad+\int_{0}^{t}p(\bar{\xi}_{x,s})^{p-1}\Psi_{x}^{1,2}(s)dW_{x}(s),$
where we have chosen for all $t\in\mathcal{T}$ to let
$\displaystyle\Phi_{x}^{1,2}(t)$
$\displaystyle\coloneqq\Phi_{x}(\xi^{1}_{x,t},\Xi^{1}_{t})-\Phi_{x}(\xi^{2}_{x,t},\Xi^{2}_{t}),$
(6.28) $\displaystyle\Psi_{x}^{1,2}(t)$
$\displaystyle\coloneqq\Psi_{x}(\xi^{1}_{x,t},\Xi^{1}_{t})-\Psi_{x}(\xi^{2}_{x,t},\Xi^{2}_{t}).$
(6.29)
Therefore we see that
$\displaystyle\mathbb{E}\bigg{[}|\bar{\xi}_{x,t}|^{p}\bigg{]}\leq
B_{1}(p,b,c,M_{1})\int_{0}^{t}\mathbb{E}\bigg{[}|\bar{\xi}_{x,s}|^{p}\bigg{]}ds+B_{2}(x,p,M_{2})\sum_{y\in
B_{x}}\int_{0}^{t}\mathbb{E}\bigg{[}|\bar{\xi}_{y,s}|^{p}\bigg{]}ds,$ (6.30)
where
$\displaystyle B_{1}(p,b,c,M_{1})\coloneqq pb+\frac{p}{2}+M_{1}^{2}p(p-1),$
(6.31) $\displaystyle B_{2}(x,p,M_{2})\coloneqq
p\tilde{a}^{2}_{x}+n_{x}^{4}(p+M_{2}^{2}p(p-1)).$ (6.32)
Let us now use inequality (6.30) to define a map
$\kappa:\mathcal{T}\to\mathbb{R}^{\gamma}$ via the following formula
$\displaystyle\kappa_{x}(t)\coloneqq\mathbb{E}\bigg{[}|\bar{\xi}_{x,t}|^{p}\bigg{]},$
(6.33)
and deduce from inequality (6.30) that
$\displaystyle\kappa_{x}(t)\leq\sum_{y\in\gamma}Q_{x,y}\int_{0}^{t}\kappa_{y}(s)ds,$
(6.34)
where for all $x,y\in\gamma$ we have
$\displaystyle
Q_{x,y}=\begin{cases}B_{1}(p,b,c,M_{1})+B_{2}(x,p,M_{2}),&x=y,\\\
B_{2}(x,p,M_{2}),&0<|x-y|\leq p,\\\ 0,&|x-y|>p.\end{cases}$ (6.35)
Fixing $\underline{\mathfrak{a}}<\tilde{\alpha}\leq\alpha\in\mathcal{A}$ we
can now deduce the following facts.
1. (1)
Using Theorem 7.8, we see that $\kappa\in C([0,T],l^{1}_{\tilde{\alpha}})$,
2. (2)
From equation (4.25) we see that there exists a constant $C$ such that
$|Q_{x,y}|\leq Cn_{x}$. Therefore $Q$ is the Ovsiannikov operator on
$\mathcal{L}^{1}$.
Therefore we can now use Theorem 3.3 and Corollary 3.4 to conclude that
$\displaystyle\sum_{x\in\gamma}e^{-\alpha|x|}\sup_{t\in\mathcal{T}}\kappa_{x}(t)\leq
K(\tilde{\alpha},\alpha)\sum_{x\in\gamma}e^{-\tilde{\alpha}|x|}|A_{x}|,$
(6.36)
where $A_{x}$ is the zero sequence in $l^{1}_{\tilde{\alpha}}$. Therefore we
can establish that
$\displaystyle\sup_{t\in\mathcal{T}}\mathbb{E}\bigg{[}\sum_{x\in\gamma}e^{-\alpha|x|}|\bar{\xi}_{x,t}|^{p}\bigg{]}=0.$
(6.37)
Hence
$\displaystyle||F^{1}-F^{2}||_{Z_{\alpha}^{p}}=0,$ (6.38)
and the proof is complete. ∎
## 7 Complementary Theory
Let us begin this section by recalling a couple of earlier statements and
definitions.
Throughout this section assume the following.
1. (1)
We fix $T\in\mathbb{R}^{+}$, define $\mathcal{T}\coloneqq[0,T]$ and work on a
complete filtered probability space
$\displaystyle\mathbf{P}\coloneqq(\Omega,\mathcal{F},\mathbb{P},\mathbb{F}).$
(7.1)
1. (a)
Completeness of $\mathbf{P}$ implies that for all $t\in\mathcal{T}$,
$\mathbf{P}_{t}\coloneqq(\Omega,\mathcal{F}_{t},\mathbb{P})$ is complete.
2. (b)
Filtration $\mathbb{F}\coloneqq\\{\mathcal{F}_{t}\\}_{t\in\mathcal{T}}$ is
assumed to be right continuous. That is for all $t\in\mathcal{T}$,
$\displaystyle\mathcal{F}_{t}=\bigcap_{n\in\mathbb{N}}\mathcal{F}_{t+\frac{1}{n}}.$
(7.2)
2. (2)
We fix a measure space
$\mathbf{M}\coloneqq(\mathcal{T},\mathscr{B}(\mathcal{T}),\mu)$, where $\mu$
is a Lebesgue measure and $\mathscr{B}(\mathcal{T})$ is a Borel
$\sigma-$algebra.
3. (3)
We now agree to work on a fixed product measure space
$\displaystyle\mathbf{M}\mathbf{P}\coloneqq(\overline{\Omega}\coloneqq\mathcal{T}\times\Omega,\overline{\mathcal{F}}\coloneqq\mathscr{B}(\mathcal{T})\times\mathcal{F},\overline{\mathbb{P}}\coloneqq\mu\times\mathbb{P}).$
(7.3)
4. (4)
Given two measurable spaces $\mathbf{A}$ and $\mathbf{B}$ we denote by
$\mathcal{M}(\mathbf{A},\mathbf{B})$ the space of all measurable maps from
$\mathbf{A}$ to $\mathbf{B}$. In particular, the following spaces will be
frequently mentioned
1. (a)
$\mathbf{M}^{p}_{\mathfrak{a}}\coloneqq(l_{\mathfrak{a}}^{p},\mathscr{B}(l_{\mathfrak{a}}^{p}))$,
where $l_{\mathfrak{a}}^{p}$ was introduced by Definition 2.4.
2. (b)
$\mathbf{M}^{\mathbb{R}}\coloneqq(\mathbb{R},\mathscr{B}(\mathbb{R}))$,
Here is how we will understand and denote stochastic processes in this
section.
###### Definition 7.1.
Let $Y$ be a normed linear space and $\mathbf{Y}\coloneqq(Y,\mathcal{B})$ be a
measurable space. Stochastic process is an element of
$\mathcal{M}(\mathbf{M}\mathbf{P},\mathbf{Y})$. In particular for all
$t\in\mathcal{T}$ and all $\omega\in\Omega$
$\mathcal{M}(\mathbf{P},\mathbf{Y})\ni\xi_{t}(\cdot):\Omega\to Y,$
$\mathcal{M}(\mathbf{M},\mathbf{Y})\ni\xi_{\cdot}(\omega):\mathcal{T}\to Y.$
For brevity we shall denote by $\mathcal{S}(\mathbf{Y})$ the set of all
stochastic processes.
Following Bnach spaces will be frequently used.
###### Definition 7.2.
Let $\mathscr{X}\coloneqq(X,\mathcal{A},\eta)$ be a measure space, $Y$ be a
normed linear space, with norm denoted by $\|\cdot\|_{Y}$, and
$\mathscr{Y}\coloneqq(Y,\mathcal{B})$ be a measurable space. For all
$p\in\mathbb{R}^{++}$ we define the following Banach spaces.
$\displaystyle\mathcal{L}^{p}(\mathscr{X},\mathscr{Y})\coloneqq\left\\{f:X\to
Y\ \begin{tabular}[]{|l}\
$\|f\|_{\mathcal{L}^{p}(\mathscr{X},\mathscr{Y})}\coloneqq\left(\bigint_{\\!\\!\\!\\!X}\|f\|^{p}_{Y}d\eta\right)^{\frac{1}{p}}<\infty$.\\\
\ $f\in\mathcal{M}(\mathscr{X},\mathscr{Y})$.\end{tabular}\right\\}$ (7.6)
Let us also make the following definitions
$\displaystyle S_{1}\coloneqq\bigg{\\{}K\subset\mathcal{T}\times\Omega\
\bigg{|}\ K=(s,t]\times A\text{ where }s<t\in\mathcal{T}\land
A\in\mathcal{F}_{s}\bigg{\\}},$ (7.7) $\displaystyle
S_{2}\coloneqq\bigg{\\{}K\subset\mathcal{T}\times\Omega\ \bigg{|}\
K=\\{0\\}\times A\text{ where }A\in\mathcal{F}_{0}\bigg{\\}},$ (7.8)
$\displaystyle\mathcal{P}\coloneqq\sigma(S_{1}\cup S_{2}),$ (7.9)
$\displaystyle\mathbb{L}\coloneqq\left\\{\xi\in\mathcal{S}(\mathbf{M}^{\mathbb{R}})\
\begin{tabular}[]{|l}\ $\text{trajectories of }\xi\text{ are left continuous,
almost surely}$.\\\ \ $\xi\ \text{is adapted to}\
\mathbb{F}$.\end{tabular}\right\\}.$ (7.12)
We note that $\mathcal{P}$ above is the smallest $\sigma-$algebra with respect
to which all elements of $\mathbb{L}$ are measurable.
1. (5)
We now fix the following product measure space
$\displaystyle\overline{\mathbf{M}\mathbf{P}}\coloneqq(\overline{\Omega},\mathcal{P},\overline{\mathbb{P}}).$
(7.13)
### 7.1 Expectation, Measurability and Related Inequalities
Unless stated otherwise, information in this subsection is based on [8, 11].
For brevity and convenience in this subsection we will be working with the
following definition.
###### Definition 7.3.
Suppose $\mathbf{X}\coloneqq(X,\mathcal{A},\mu)$ is a measure spaces. For all
$p\in\mathbb{R}^{++}$ we make the following definition.
$\displaystyle\mathcal{L}^{p}\coloneqq\mathcal{L}^{p}(\mathbf{P},\mathbf{M}^{\mathbb{R}}),$
(7.14)
$\displaystyle\mathcal{L}^{p}(\mathbf{X})\coloneqq\mathcal{L}^{p}(\mathbf{X},\mathbf{M}^{\mathbb{R}}),$
(7.15) $\displaystyle\mathcal{L}^{p}_{+}\coloneqq\\{f\in\mathcal{L}^{p}|f\geq
0\ \text{almost surely}\\},$ (7.16)
$\displaystyle\mathcal{L}^{p}_{+}(\mathbf{X})\coloneqq\\{f\in\mathcal{L}^{p}(\mathbf{X})|f\geq
0\ \text{almost surely}\\}.$ (7.17)
###### Theorem 7.1 (Borel–Cantelli Theorem).
Let $\\{A_{i}\\}_{i\in\mathbb{N}}$ be a sequence of measurable subsets of
$\Omega$. Then
$\displaystyle\sum_{i=0}^{\infty}\mathbb{P}(A_{i})<\infty\implies\mathbb{P}\bigg{(}\bigcap_{j=0}^{\infty}\bigcup_{i=j}^{\infty}A_{i}\bigg{)}=0.$
(7.18)
###### Corollary 7.1.
Let $\\{X_{i}\\}_{i\in\mathbb{N}}$ be a sequence of real valued random
variables and let $X$ be another real valued random variable. For all
$\epsilon>0$ and all $i\in\mathbb{N}$ define also the followig measurable sets
$A_{i}(\epsilon)=\\{\omega\in\Omega\ |\
|X_{i}(\omega)-X(\omega)|\geq\epsilon\\}.$
If for all $\epsilon>0$
$\displaystyle\sum_{i=0}^{\infty}\mathbb{P}(A_{i}(\epsilon))<\infty,$ (7.19)
then $X_{i}\overset{a.s.}{\to}X$.
###### Corollary 7.2.
Let $\\{X_{i}\\}_{i\in\mathbb{N}}$ be a sequaence of real valued random
variables. For all $\epsilon>0$ and all $i\in\mathbb{N}$ define also the
followig measurable sets
$A_{i}(\epsilon)=\\{\omega\in\Omega\ |\
|X_{i+1}(\omega)-X_{i}(\omega)|\geq\epsilon\\}.$
If for all $\epsilon>0$
$\displaystyle\sum_{i=0}^{\infty}\mathbb{P}(A_{i}(\epsilon))<\infty,$ (7.20)
then almost surely $\\{X_{i}\\}_{i\in\mathbb{N}}$ is a Cauchy sequence.
###### Theorem 7.2 (Minkowski’s inequality).
Let $p\in[1,\infty)$ and also let $f,g\in\mathcal{L}^{p}$. Then
$f+g\in\mathcal{L}^{p}$ and
$\displaystyle\|f+g\|_{\mathcal{L}^{p}}\leq\|f\|_{\mathcal{L}^{p}}+\|g\|_{\mathcal{L}^{p}}.$
(7.21)
###### Theorem 7.3.
Let $p\in[1,\infty)$ and also let
$\\{f_{n}\\}_{n\in\mathbb{N}}\in\mathcal{L}^{p}$. In addition, suppose that
there exists $g\in\mathcal{L}^{p}$ such that $|f_{n}|<g$ for all
$n\in\mathbb{N}$ and almost surely $\lim_{n\to\infty}f_{n}(\omega)=f(\omega)$.
Then
$\displaystyle
f\in\mathcal{L}^{p}\quad\text{and}\quad\lim_{n\to\infty}\|f_{n}-f\|_{\mathcal{L}^{p}}\
\to\ 0.$ (7.22)
###### Theorem 7.4.
Let $p\in[1,\infty)$ and also let
$\\{f_{n}\\}_{n\in\mathbb{N}}\in\mathcal{L}^{p}$. In addition, let
$f\in\mathcal{L}^{p}$ and suppose that almost surely
$\lim_{n\to\infty}f_{n}(\omega)=f(\omega)$. Then
$\displaystyle\lim_{n\to\infty}\|f_{n}-f\|_{\mathcal{L}^{p}}\ \to\
0\quad\iff\quad\lim_{n\to\infty}\|f_{n}\|_{\mathcal{L}^{p}}\ \to\
\|f\|_{\mathcal{L}^{p}}.$ (7.23)
###### Theorem 7.5.
Let $\\{f_{n}\\}_{n\in\mathbb{N}}\in\mathcal{L}^{p}$ and
$f\in\mathcal{L}^{p}$. Suppose that
$\displaystyle\lim_{n\to\infty}\|f_{n}-f\|_{\mathcal{L}^{p}}\ \to\ 0.$ (7.24)
Then there exists a subsequence $\\{f_{\sigma(n)}\\}_{n\in\mathbb{N}}$ such
that almost surely $\lim_{n\to\infty}f_{\sigma(n)}(\omega)=f(\omega)$.
###### Remark.
Suppose that $\mathbf{X}\coloneqq(X,\mathcal{A},\mu)$ is any finite measure
space. Then Theorem 7.5 remains true if $\mathcal{L}^{p}$ is replaced by
$\mathcal{L}^{p}(\mathbf{X})$.
###### Theorem 7.6 (Egoroff Theorem).
Let $\\{f_{n}\\}_{n\in\mathbb{N}}$ and $f$ be measurable functions on a finite
measure space $\mathbf{X}\coloneqq(X,\mathcal{A},\mu)$. Suppose that
$\lim_{n\to\infty}f_{n}(x)=f(x)$ almost surely. Then given any $\delta>0$
there exists a measurable set $F$ such that $\mu(F)\leq\delta$ and
$\lim_{n\to\infty}f_{n}(x)=f(x)$ uniformly on $X-F$.
###### Theorem 7.7.
Let $\\{f_{n}\\}_{n\in\mathbb{N}}$ be a sequence of measurable functions on a
measure space $\mathbf{X}\coloneqq(X,\mathcal{A},\mu)$. Suppose that we have a
function $f:X\to\mathbb{R}$ such that $\lim_{n\to\infty}f_{n}(x)=f(x)$ almost
surely. Then $f$ is measurable.
###### Theorem 7.8.
Let $p\in\mathbb{R}^{++}$, $\widetilde{\Omega}\subset\Omega$ such that
$\mathbb{P}(\widetilde{\Omega})=1$ and also let
$f:\overline{\Omega}\to\mathbb{R}$. Assume that $f$ has the following
properties
1. (1)
$f(t,\cdot)\in\mathcal{L}^{p}$ for all $t\in\mathcal{T},$
2. (2)
$f(\cdot,\omega)$ is continuous almost surely,
3. (3)
$|f(t,\omega)|<g(\omega)$ for all
$(t,\omega)\in\mathcal{T}\times\widetilde{\Omega}$ and some positive
$g\in\mathcal{L}^{p}.$
If we now define for all $t\in\mathcal{T}$ the following function
$\displaystyle h(t)\coloneqq\int_{\Omega}|f(t)|^{p}d\mathbb{P}.$ (7.25)
Then $h$ is continuous.
###### Theorem 7.9.
Let $1\leq q\leq p$ be some real numbers and also let $f\in\mathcal{L}^{p}$.
Then
$\displaystyle\mathcal{L}^{p}$ $\displaystyle\subset\mathcal{L}^{q},$ (7.26)
$\displaystyle\|f\|_{\mathcal{L}^{q}}$
$\displaystyle\leq\|f\|_{\mathcal{L}^{p}}.$ (7.27)
###### Remark.
Note that Theorem 7.9 remains true if $\mathcal{L}^{p}$ is replaced by
$\mathcal{L}^{p}(\mathbf{MP},\mathbf{M}^{\mathbb{R}})$.
###### Theorem 7.10.
Let $p\in[1,\infty)$ and also let
$\\{f_{n}\\}_{n\in\mathbb{N}}\in\mathcal{L}^{p}$. In addition, let
$f\in\mathcal{L}^{p}$. Then
1. (1)
$\|f_{n}-f\|_{\mathcal{L}^{p}}\ \to\ 0\ \text{as}\
n\to\infty\quad\implies\quad f_{n}\xrightarrow{\mathbb{P}}f\ \text{as}\
n\to\infty$,
2. (2)
$\\{f_{n}\\}_{n\in\mathbb{N}}\ \text{is Cauchy in}\
\mathcal{L}^{p}\quad\quad\implies\quad\\{f_{n}\\}_{n\in\mathbb{N}}\ \text{is
Cauchy in}\ \mathbb{P}$.
###### Theorem 7.11.
Let $\\{f_{n}\\}_{n\in\mathbb{N}}$ be a sequence of measurable functions from
$\Omega$ to $\mathbb{R}$ such that $\\{f_{n}\\}_{n\in\mathbb{N}}$ is Cauchy in
$\mathbb{P}$. Then there exists a measurable function $f:\Omega\to\mathbb{R}$
such that
$\displaystyle f_{n}\xrightarrow{\mathbb{P}}f\ \text{as}\ n\to\infty,$ (7.28)
and almost surely $f$ is unique.
###### Theorem 7.12.
Let $f:\Omega\to\mathbb{R}$ be a measurable function. If there exist
$g\in\mathcal{L}^{p}$ such that $|f|\leq g$ then $f\in\mathcal{L}^{p}$.
###### Remark.
Note that Theorem 7.12 remains true if $\mathcal{L}^{p}$ is replaced by
$\mathcal{L}^{p}(\mathbf{MP},\mathbf{M}^{\mathbb{R}})$.
###### Theorem 7.13 (Grönwall Inequality).
Suppose that $\alpha,\beta\in\mathbb{R}$ are constants and
$f\in\mathcal{L}^{1}(\mathbf{M},\mathbf{M}^{\mathbb{R}})$ satisfies the
following inequality
$\displaystyle f(t)\leq\alpha+\beta\int_{0}^{t}f(s)ds,\quad\forall
t\in\mathcal{T}.$ (7.29)
Then
$\displaystyle f(t)\leq\alpha e^{\beta t},\quad\forall t\in\mathcal{T}.$
(7.30)
###### Theorem 7.14 (Jensen Inequality).
Let $\Lambda:\mathbb{R}^{+}\to\mathbb{R}^{+}$ and
$V:\mathbb{R}^{+}\to\mathbb{R}^{+}$ be a concave and a convex function
respectively. Suppose that $w,u\in\mathcal{L}^{1}_{+}$ and
$uw\in\mathcal{L}^{1}$. Then $\Lambda(u)w\in\mathcal{L}^{1}$ and
$\displaystyle\frac{\int_{\Omega}\Lambda(u)wd\mathbb{P}}{\int_{\Omega}wd\mathbb{P}}$
$\displaystyle\leq\Lambda\bigg{(}\frac{\int_{\Omega}uwd\mathbb{P}}{\int_{\Omega}wd\mathbb{P}}\bigg{)},$
(7.31) $\displaystyle
V\bigg{(}\frac{\int_{\Omega}uwd\mathbb{P}}{\int_{\Omega}wd\mathbb{P}}\bigg{)}$
$\displaystyle\leq\frac{\int_{\Omega}V(u)wd\mathbb{P}}{\int_{\Omega}wd\mathbb{P}}.$
(7.32)
###### Theorem 7.15 (Fubini Theorem).
Let $\mathbf{X}\coloneqq(X,\mathcal{A},\mu)$ and
$\mathbf{Y}\coloneqq(Y,\mathcal{B},\eta)$ be two $\sigma-\text{finite}$
measure spaces. Let
$\displaystyle\mathbf{XY}\coloneqq(X\times
Y,\mathcal{A}\times\mathcal{B},\mu\times\eta)$ (7.33)
be a product measure space and let $u:X\times Y\to\mathbb{R}$ be
$\mathcal{A}\times\mathcal{B}$ measurable. If at least one of the following
integrals is finite
$\displaystyle\int_{X\times Y}|u|d(\mu\times\eta),\quad\int_{X}\int_{Y}|u|d\mu
d\eta,\quad\int_{Y}\int_{X}|u|d\eta d\mu,$ (7.34)
then all three integrals are finite, $u\in\mathcal{L}^{1}(\mathbf{XY})$ and
1. (1)
$x\to u(x,y)\in\mathcal{L}^{1}(\mathbf{X})$, $\eta-\text{almost everywhere}$,
2. (2)
$y\to u(x,y)\in\mathcal{L}^{1}(\mathbf{Y})$, $\mu-\text{almost everywhere}$,
3. (3)
$y\to\int_{X}u(x,y)d\mu(x)\in\mathcal{L}^{1}(\mathbf{Y})$,
4. (4)
$x\to\int_{Y}u(x,y)d\eta(y)\in\mathcal{L}^{1}(\mathbf{X})$,
5. (5)
$\int_{X\times Y}|u|d(\mu\times\eta)=\int_{X}\int_{Y}|u|d\mu
d\eta=\int_{Y}\int_{X}|u|d\eta d\mu$.
###### Remark.
Note that Theorem 7.15 above remains true for Banach space valued maps. For
details see [20].
###### Remark.
It follows that if $f\in\mathcal{L}^{1}(\mathbf{MP},\mathbf{M}^{\mathbb{R}})$
then by Theorem 7.15 function $\displaystyle
t\to\int_{\Omega}f(t)d\mathbb{P},$ (7.35) is $\mathscr{B}(\mathcal{T})$
measurable.
###### Theorem 7.16.
Let $X$ be a Banach space and let $Y$ be a dense subset of $X$. Moreover
suppose that $X$ is compact and $f:X\to\mathbb{R}$ is continuous. Then
$\displaystyle\sup\\{f(y)\ |\ y\in Y\\}=\sup\\{f(x)\ |\ x\in X\\}.$ (7.36)
###### Theorem 7.17.
For some $n\in\mathbb{N}$, suppose that $x_{k}\geq 0$ for all $1\leq k\leq n$
and $p\geq 1$. Then
$\displaystyle\bigg{(}\sum_{k=1}^{n}x_{k}\bigg{)}^{p}\leq
n^{p-1}\sum_{k=1}^{n}x_{k}^{p}.$ (7.37)
###### Theorem 7.18 (Young Inequality).
Suppose that $p,q\in(1,\infty)$ are such that $\frac{1}{p}+\frac{1}{q}=1$ and
$x,y\in\mathbb{R}^{+}$. Then
$\displaystyle xy\leq\frac{x^{p}}{p}+\frac{y^{q}}{q}.$ (7.38)
Moreover equality in (7.38) above occures if and only if $y=x^{p-1}$.
### 7.2 Martingales and Wiener Process in $\mathbb{R}$
In this section we work with a real valued Wiener process $W$ defined on
$\mathbf{M}\mathbf{P}$ and assume that a filtration
$\mathbb{F}\coloneqq\\{\mathcal{F}_{t}\\}_{t\in\mathcal{T}}$ is suitably
chosen so that the following properties are satisfied;
1. (1)
For all $t\in\mathcal{T}$, $W(t)$ is $\mathcal{F}_{t}$ measurable,
2. (2)
For all $s\leq t\in\mathcal{T}$, $W(t)-W(s)$ is independent of
$\mathcal{F}_{s}$.
Unless stated otherwise, information in this subsection is based on [12].
###### Definition 7.4.
For all $p\in\mathbb{R}^{++}$ we introduce the folowing spaces of stochastic
processes.
$\displaystyle
L^{p}_{ad}\coloneqq\\{\xi\in\mathcal{L}^{p}(\mathbf{MP},\mathbf{M}^{\mathbb{R}})\
|\ \xi\ \text{is adapted to}\ \mathbb{F}.\\},$ (7.39)
and a space
$\displaystyle\mathcal{M}_{\mathbb{F}}\coloneqq\left\\{\xi\in\mathcal{S}(\mathbf{M}^{\mathbb{R}})\
\begin{tabular}[]{|l}\
$\xi_{t}\in\mathcal{L}(\mathbf{P},\mathbf{M}^{\mathbb{R}}),\ \forall
t\in\mathcal{T}$.\\\ \ $\xi\ \text{is adapted to}\
\mathbb{F}$.\end{tabular}\right\\}$ (7.42)
###### Definition 7.5.
1. (1)
$\xi\in\mathcal{M}_{\mathbb{F}}$ is called a martingale with respect to
$\mathbb{F}$ if almost surely for all $s\leq t\in\mathcal{T}$
$\displaystyle\mathbb{E}[\xi_{t}|\mathcal{F}_{s}]=\xi_{s}.$ (7.43)
2. (2)
$\xi\in\mathcal{S}(\mathbf{M}^{\mathbb{R}})$ is called square integrable if
$\xi_{t}\in\mathcal{L}^{2}(\mathbf{P},\mathbf{M}^{\mathbb{R}}),\ \forall
t\in\mathcal{T}$.
3. (3)
$\xi\in\mathcal{S}(\mathbf{M}^{\mathbb{R}})$ is called predictable if
$\xi\in\mathcal{M}(\overline{\mathbf{MP}},\mathbf{M}^{\mathbb{R}})$.
###### Theorem 7.19.
Let $\xi$ be a right continuous, square integrable martingale with left-hand
limits. Then there is a unique decomposition
$\displaystyle\xi^{2}_{t}=L_{t}+A_{t},\ \forall t\in\mathcal{T},$ (7.44)
where $L$ is a right continuous martingale with left-hand limits and $A$ is a
predictable, right continuous, and increasing process such that $A(0)=0$ and
$A_{t}\in\mathcal{L}(\mathbf{P},\mathbf{M}^{\mathbb{R}}),\ \forall
t\in\mathcal{T}$.
###### Remark.
Process $A$ found by Theorem 7.19 will be called a Meyer process in this text
and the following abbreviation will be used
$\displaystyle\langle\xi\rangle_{t}=A_{t},\ \forall t\in\mathcal{T}.$ (7.45)
Moreover, one can show that $\displaystyle\langle W\rangle_{t}=t,\ \forall
t\in\mathcal{T}.$ (7.46)
###### Theorem 7.20.
Suppose that $\xi\in L^{2}_{ad}$ and define a stochastic process $X$ in the
following way
$\displaystyle X_{t}\coloneqq\int_{0}^{t}\xi(s)dW(s).$ (7.47)
Then
1. (A)
$X$ is a martingale with respect to $\mathbb{F}$ and trajectories of $X$ are
almost surely continuous.
1. (B)
For all $t\in\mathcal{T}$
1. (1)
$\mathbb{E}\bigg{[}\mathop{{\int}}_{\\!\\!\\!0}^{t}\xi(s)dW(s)\bigg{]}=0$,
2. (2)
$\mathbb{E}\bigg{[}\bigg{|}\mathop{{\int}}_{\\!\\!\\!0}^{t}\xi(s)dW(s)\bigg{|}^{2}\bigg{]}=\mathop{{\int}}_{\\!\\!\\!0}^{t}\mathbb{E}\bigg{[}|\xi(s)|^{2}\bigg{]}ds$,
3. (3)
$\langle X\rangle_{t}=\mathop{{\int}}_{\\!\\!\\!0}^{t}|\xi(s)|^{2}d\langle
W\rangle_{s}$.
Following theorem is a usefull result from [15].
###### Theorem 7.21 (Burkholder, Davis and Gundy Inequality).
Let $X$ be a continuous martingale. Then for all $t\in\mathcal{T}$ and all
$p\in(0,\infty)$
$\displaystyle\mathbb{E}\bigg{[}\sup\bigg{\\{}|X_{s}|^{p}\ \bigg{|}\ 0\leq
s\leq t\bigg{\\}}\bigg{]}=\mathbb{E}\bigg{[}\bigg{(}\langle
X\rangle_{t}\bigg{)}^{\frac{p}{2}}\bigg{]}.$ (7.48)
###### Definition 7.6.
Suppose that $f\in L^{2}_{ad}$, $g\in L^{1}_{ad}$ and let $\xi_{0}$ be a
$\mathcal{F}_{0}$ measurable random variable. An Itô process is a real valued
stochastic process $\xi$ satisfying
$\displaystyle\xi_{t}$
$\displaystyle=\xi_{0}+\int_{0}^{t}g(s)ds+\int_{0}^{t}f(s)dW(s),\forall
t\in\mathcal{T}.$ (7.49)
###### Theorem 7.22 (Itô Lemma).
Let $\xi$ be an Itô process satisfying equation (7.49) above and suppose that
$\theta:\mathbb{R}^{2}\to\mathbb{R}$ is a continuous function such that all
$\frac{\partial\theta}{\partial t}$, $\frac{\partial\theta}{\partial x}$ and
$\frac{\partial^{2}\theta}{\partial x^{2}}$ are continuous functions from
$\mathbb{R}^{2}$ to $\mathbb{R}$. Then $\theta\circ\xi$ is an Itô process
satisfying
$\displaystyle\theta(t,\xi_{t})=\theta(0,\xi_{0})+\int_{0}^{t}\mathcal{K}(s,\xi_{s})ds+\int_{0}^{t}\frac{\partial\theta}{\partial
x}(s,\xi_{s})f(s)dW(s),\ \forall t\in\mathcal{T},$ (7.50)
where
$\displaystyle\mathcal{K}(t,\xi_{t})\coloneqq\frac{\partial\theta}{\partial
t}(t,\xi_{t})+\frac{\partial\theta}{\partial
x}(t,\xi_{t})g(t)+\frac{1}{2}\frac{\partial^{2}\theta}{\partial
x^{2}}(t,\xi_{t})f^{2}(t),\ \forall t\in\mathcal{T}.$ (7.51)
### 7.3 Deterministic Ovsjannikov Equation
Unless stated otherwise, information in this subsection is based on [1, 2, 5,
6].
In this subsection we would like to address the problem of finding a unique
continuous infinite time solution f satisfying the following integral equation
$\displaystyle f(t)=x_{\underline{\mathfrak{a}}}+\int_{0}^{t}F(f(s))ds,$
(7.52)
where we let
$\mathbf{X}\coloneqq\\{X_{\mathfrak{a}}\\}_{\mathfrak{a}\in\mathcal{A}}$ be a
suitable scale of Banach spaces, $x_{\underline{\mathfrak{a}}}\in
X_{\underline{\mathfrak{a}}}$ and $F\in\mathcal{O}(\mathbf{X},L,q)$ be an
Ovsjannikov map on $\mathbf{X}$. The main result of this appendix, that is
existence and uniqueness of $f$, is summarised in the Theorem 7.27 bellow.
We will now show how the proof of Theorem 7.27 can be obtained. We start with
a result that will be needed later on.
###### Lemma 7.1.
Supose that $A,B\in\mathbb{R}^{+}$, $p\in\mathbb{N}$ and
$q\in[0,\frac{1}{p})$. Then
$\displaystyle\sum_{n=0}^{\infty}\frac{\sqrt[p]{A^{n}\
}}{B^{qn}}\frac{n^{qn}}{\sqrt[p]{n!\ }}<\infty.$ (7.53)
###### Proof.
We will consider the following two cases separately.
1. (1)
$q\in(0,\frac{1}{2})$.
Then by analyzing ratio of terms of series (7.53) we get
$\displaystyle\frac{\sqrt[p]{A^{n+1}}}{B^{q(n+1)}}\frac{(n+1)^{q(n+1)}}{\sqrt[p]{(n+1)!}}\bigg{/}\frac{\sqrt[p]{A^{n}}}{B^{qn}}\frac{n^{qn}}{\sqrt[p]{n!}}$
$\displaystyle=\frac{\sqrt[p]{A}}{B^{q}}(n+1)^{qn+q-\frac{1}{p}}\frac{1}{n^{qn}},$
(7.54)
$\displaystyle=\frac{\sqrt[p]{A}}{B^{q}}\frac{1}{(n+1)^{\frac{1}{p}-q}}\bigg{(}1+\frac{1}{n}\bigg{)}^{qn}_{.}$
(7.55)
Now since
$\displaystyle\lim_{n\to\infty}\frac{\sqrt[p]{A}}{B^{q}}\frac{1}{(n+1)^{\frac{1}{p}-q}}\bigg{(}1+\frac{1}{n}\bigg{)}^{qn}$
$\displaystyle=\frac{\sqrt[p]{A}}{B^{q}}\bigg{(}\lim_{n\to\infty}\frac{1}{(n+1)^{\frac{1}{p}-q}}\bigg{)}\bigg{(}\lim_{n\to\infty}\bigg{(}1+\frac{1}{n}\bigg{)}^{qn}\bigg{)},$
$\displaystyle=\frac{\sqrt[p]{A}}{B^{q}}(0)(e^{q}),$ $\displaystyle=0.$ (7.56)
Hence we conclude by ratio test that when $q\in(0,\frac{1}{2})$ series (7.53)
converges.
2. (2)
$q=0$.
Then series (7.53) reduces to $\sum_{n=0}^{\infty}\sqrt[p]{\frac{A^{n}}{n!}\
}$. By ratio test, as shown above, it is clear that when $q=0$ series (7.53)
also converges hence the proof is complete.
∎
Continuing, we fix some $T\in\mathbb{R}^{+}$ and introduce a family
$\mathbf{Z}\coloneqq\\{Z_{\mathfrak{a}}\\}_{\mathfrak{a}\in\mathcal{A}}$ where
$Z_{\mathfrak{a}}$ is the classical space of continuous $X_{\mathfrak{a}}$
valued maps. That is for all $\mathfrak{a}\in\mathcal{A}$ we define
$\displaystyle Z_{\mathfrak{a}}\coloneqq\mathcal{C}([0,T],X_{\mathfrak{a}}).$
(7.57)
Now, for all $\alpha<\beta\in\mathcal{A}$ and $f\in Z_{\alpha}$ the following
consequences are immediate.
1. (1)
$\mathbf{Z}$ is a family of Banach spaces,
2. (2)
$Z_{\alpha}\prec Z_{\beta}$, (7.58)
3. (3)
$||f||_{Z_{\beta}}\leq||f||_{Z_{\alpha}}$.
Therefore, from the list (2) above we can conclude, using the Definition 2.1,
that $\mathbf{Z}$ is the scale. Continuing, we let
$\displaystyle\overline{\mathbf{Z}}\coloneqq\bigcup_{\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})}Z_{\mathfrak{a}},$
(7.59)
and define a map $\mathcal{I}:\overline{\mathbf{Z}}\to
Z_{\overline{\mathfrak{a}}}$ by letting for all $t\in[0,T]$ and all
$f\in\overline{\mathbf{Z}}$
$\displaystyle\mathcal{I}(f)(t)\coloneqq
x_{\underline{\mathfrak{a}}}+\int_{0}^{t}F(f(s))ds.$ (7.60)
The following result can now be proved.
###### Theorem 7.23.
$\mathcal{I}\in\mathcal{O}(\mathbf{X},LT,q)$.
###### Proof.
Fix $\alpha<\beta\in\mathcal{A}$, $f,g\in Z_{\alpha}$ and $t\in[0,T]$. We now
check that the integral map $\mathcal{I}$ satisfies the Definition 2.2. We
begin by using the definition of Bochner integral and the fact that
$F\in\mathcal{O}(\mathbf{X},L,q)$ to conclude that
$\mathcal{I}|_{Z_{\alpha}}:Z_{\alpha}\to Z_{\beta}$. Moreover we see that
$\displaystyle||\mathcal{I}(f)(t)-\mathcal{I}(g)(t)||_{X_{\beta}}$
$\displaystyle\leq\int_{0}^{t}||F(f(s))-F(g(s))||_{X_{\beta}}ds,$ (7.61)
$\displaystyle\leq\frac{L}{(\beta-\alpha)^{q}}\int_{0}^{t}||f(s)-g(s)||_{X_{\alpha}}ds,$
(7.62)
$\displaystyle\leq\frac{L}{(\beta-\alpha)^{q}}\int_{0}^{t}||f-g||_{Z_{\alpha}}ds.$
(7.63)
Therefore we see that
$\displaystyle||\mathcal{I}(f)-\mathcal{I}(g)||_{Z_{\beta}}$
$\displaystyle\leq\frac{L}{(\beta-\alpha)^{q}}\int_{0}^{T}||f-g||_{Z_{\alpha}}ds,$
(7.64) $\displaystyle\leq\frac{LT}{(\beta-\alpha)^{q}}||f-g||_{Z_{\alpha}},$
(7.65)
hence the proof is complete. ∎
We now would like to define something called an itterated or a composite map.
That is for all $n\in\mathbb{N}$ we define
$\displaystyle\mathcal{I}^{n}\coloneqq\overbrace{\mathcal{I}\circ\mathcal{I}\circ\cdots\circ\mathcal{I}}^{n\text{\
times}},$ (7.66)
and let $\mathcal{T}^{0}$ be the identity map from
$Z_{\underline{\mathfrak{a}}}$ to $Z_{\underline{\mathfrak{a}}}$. Letting
$\displaystyle\underline{\mathbf{Z}}\coloneqq\bigcap_{\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})}Z_{\mathfrak{a}},$
(7.67)
our next result shows that for all $n\in\mathbb{N}$ the composite map
$\mathcal{I}^{n}$ is well defined.
###### Theorem 7.24.
For all $n\in\mathbb{N}^{0}$
$\displaystyle\mathcal{I}^{n}:Z_{\underline{\mathfrak{a}}}\to\underline{\mathbf{Z}}.$
(7.68)
###### Proof.
We prove this statement by induction. For $n=0$ the statement (7.68) is
trivially true because
$Z_{\underline{\mathfrak{a}}}\subset\underline{\mathbf{Z}}$. Now suppose that
induction hypothesis holds for some $n\geq 0$. Fix arbitrary
$\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$ and
$p\in(\underline{\mathfrak{a}},\mathfrak{a})$. Observe that induction
hypothesis implies that $\mathcal{I}^{n}:Z_{\underline{\mathfrak{a}}}\to
Z_{p}$. However because $\mathcal{I}\in\mathcal{O}(\mathbf{X},LT,q)$ we know
that $\mathcal{I}|_{Z_{p}}:Z_{p}\to Z_{\mathfrak{a}}$ hence by composition
$\mathcal{I}\circ\mathcal{I}^{n}$ it follows that
$\mathcal{I}^{n+1}:Z_{\underline{\mathfrak{a}}}\to Z_{\mathfrak{a}}$ and since
$\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$ is
arbitrary the proof is complete. ∎
###### Remark.
Observe that Theorem 7.24 shows that if $f\in Z_{\underline{\mathfrak{a}}}$
then the sequence $\\{\mathcal{I}^{n}(f)\\}_{n=0}^{\infty}$ belogs to
$Z_{\mathfrak{a}}$ for all
$\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$.
Let us now, for a moment, consider some fixed $t_{0}\in[0,T]$,
$\alpha<\beta\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$ and $f\in
Z_{\underline{\mathfrak{a}}}$. Moreover let us consider arbitrary
$n\in\mathbb{N}$ and a partition $\\{\psi_{i}\\}_{i=0}^{n}$ of
$[\alpha,\beta]$ into $n$ intervals of equal length. That is
$\psi_{0}=\alpha$, $\psi_{n}=\beta$ and $\psi_{i+1}-\psi_{i}=\frac{b-a}{n}$
for all $0\leq i\leq n$. Letting
$\displaystyle
K_{n}^{n+1}(t)=\mathcal{I}^{n}(f)(t_{0})-\mathcal{I}^{n+1}(f)(t_{0}),\ \forall
t\in[0,t_{0}],$ (7.69)
we see from Theorem 7.23 and 7.24 that
$\displaystyle||K_{n}^{n+1}(t_{0})||_{X_{\psi_{n}}}$
$\displaystyle\leq\frac{L}{(\psi_{n}-\psi_{n-1})^{q}}\int_{0}^{t_{0}}||K_{n-1}^{n}(t_{1})||_{X_{\psi_{n-1}}}dt_{1},$
$\displaystyle\leq\frac{L}{(\psi_{n}-\psi_{n-1})^{q}}\frac{L}{(\psi_{n-1}-\psi_{n-2})^{q}}\int_{0}^{t_{0}}\int_{0}^{t_{1}}||K_{n-2}^{n-1}(t_{2})||_{X_{\psi_{n-2}}}dt_{2}dt_{1},$
$\displaystyle\leq
L^{n}\bigg{(}\frac{\beta-\alpha}{n}\bigg{)}^{-qn}\int_{0}^{t_{0}}\int_{0}^{t_{1}}\cdots\int_{0}^{t_{n-1}}||K_{0}^{1}(t_{n})||_{X_{\psi_{0}}}dt_{n}dt_{n-1}\cdots
dt_{1},$ (7.70)
$\displaystyle\leq\frac{L^{n}}{(\beta-\alpha)^{qn}}n^{qn}||K_{0}^{1}||_{Z_{\psi_{0}}}\int_{0}^{t_{0}}\int_{0}^{t_{1}}\cdots\int_{0}^{t_{n-1}}\
dt_{n}dt_{n-1}\cdots dt_{1},$
$\displaystyle\leq\frac{L^{n}t_{0}^{n}}{(\beta-\alpha)^{qn}}\frac{n^{qn}}{n!}||K_{0}^{1}||_{Z_{\psi_{0}}}.$
Hence, defining recursively
$\mathcal{H}^{n}:\mathcal{C}([0,T],\mathbb{R})\to\mathcal{C}([0,T],\mathbb{R})$
for all $n\in\mathbb{N}^{0}$ via formula
$\displaystyle\mathcal{H}^{n}(t,f)\coloneqq\begin{cases}\begin{tabular}[]{l|l}$f(t)$&\
$t\in[0,T]\land n=0$,\\\ $\int_{0}^{t}f(s)ds$&\ $t\in[0,T]\land n=1$,\\\
$\int_{0}^{t}\mathcal{H}^{n-1}(s,f)ds$&\ $t\in[0,T]\land
n>1$.\end{tabular}\end{cases}$ (7.71)
we see from inequalities (7.70) that the following result can be formulateed
and proved.
###### Theorem 7.25.
Suppose $\alpha<\beta\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$
and $f,g\in Z_{\underline{\mathfrak{a}}}$. Then for all $n\in\mathbb{N}$
$\displaystyle||\mathcal{I}^{n}(f)-\mathcal{I}^{n+1}(g)||_{Z_{\beta}}\leq\frac{L^{n}T^{n}}{(\beta-\alpha)^{qn}}\frac{n^{qn}}{n!}||f-\mathcal{I}(g)||_{Z_{\alpha}}.$
(7.72)
###### Proof.
Fixing $t\in[0,T]$ we prove by induction that
$\displaystyle||\mathcal{I}^{n}(f)(t)-\mathcal{I}^{n+1}(g)(t)||_{X_{\beta}}\leq\frac{L^{n}}{(\beta-\alpha)^{qn}}n^{qn}\mathcal{H}^{n}(t,||f-\mathcal{I}(g)||_{X_{\alpha}}),$
(7.73)
from where inequality (7.72) follows directly. Clearly case $n=1$ follows
immediately from the Theorem 7.23. Precisely spaking inequality (7.62) shows
that the induction hypothesis holds for $n=1$. Now, suppose that the induction
hypothesis holds for some $n\geq 1$. Chosing $\psi\in(\alpha,\beta)$ such that
$\beta-\psi=\frac{\beta-\alpha}{n+1}$ we see, using Theorem 7.23, that
$\displaystyle||\mathcal{I}^{n+1}(f)(t)-\mathcal{I}^{n+2}(g)(t)||_{X_{\beta}}\leq\frac{L}{(\beta-\psi)}\int_{0}^{t}||\mathcal{I}^{n}(f)(s)-\mathcal{I}^{n+1}(g)(s)||_{X_{\psi}}ds.$
(7.74)
Hence letting
$\displaystyle\mathbf{A}\coloneqq||f-\mathcal{I}(g)||_{X_{\alpha}},$ (7.75)
and applying the induction hypothesis we get
$\displaystyle||\mathcal{I}^{n+1}(f)(t)-\mathcal{I}^{n+2}(g)(t)||_{X_{\beta}}$
$\displaystyle\leq\frac{L}{(\beta-\psi)^{q}}\frac{L^{n}}{(\psi-\alpha)^{qn}}n^{qn}\int_{0}^{t}\mathcal{H}^{n}(s,\mathbf{A})ds,$
$\displaystyle\leq\frac{L^{n+1}}{(\beta-\psi)^{q}(\psi-\alpha)^{qn}}n^{qn}\mathcal{H}^{n+1}(t,\mathbf{A}),$
$\displaystyle\leq
L^{n+1}\bigg{(\frac{\beta-\alpha}{n+1}}\bigg{)}^{-q}\bigg{(\frac{n(\beta-\alpha)}{n+1}}\bigg{)}^{-qn}n^{qn}\mathcal{H}^{n+1}(t,\mathbf{A}),$
(7.76)
$\displaystyle\leq\frac{L^{n+1}}{(\beta-\alpha)^{q(n+1)}}\frac{(n+1)^{q(n+1)}}{n^{qn}}n^{qn}\mathcal{H}^{n+1}(t,\mathbf{A}),$
$\displaystyle\leq\frac{L^{n+1}}{(\beta-\alpha)^{q(n+1)}}(n+1)^{q(n+1)}\mathcal{H}^{n+1}(t,\mathbf{A}).$
Hence
$\displaystyle||\mathcal{I}^{n+1}(f)(t)-\mathcal{I}^{n+2}(g)(t)||_{X_{\beta}}\leq\frac{L^{n+1}}{(\beta-\alpha)^{q(n+1)}}(n+1)^{q(n+1)}\mathcal{H}^{n+1}(t,||f-\mathcal{I}(g)||_{X_{\alpha}}),$
(7.77)
and the proof is complete. ∎
###### Remark.
It is clear from the definition of the composite map $\mathcal{I}^{n}$ that
the Theorem 7.25 is trivially true for $n=0$. Moreover it is essential that
$\alpha\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$ because it is
possible that $\mathcal{I}(f)$ does not belogn to
$Z_{\underline{\mathfrak{a}}}$.
Theorem 7.25 puts us in a position to prove the following.
###### Theorem 7.26.
Suppose that $q<1$ and $F\in\mathcal{O}(\mathbf{X},L,q)$. Then there exists a
unique element $\phi\in\underline{Z}$ such that $\mathcal{I}(\phi)=\phi$.
Moreover if
$\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$ and $f\in
Z_{\underline{\mathfrak{a}}}$ then
$\displaystyle\overbrace{\ \lim_{n\to\infty}\mathcal{I}^{n}(f)\ }^{\text{in}\
Z_{\mathfrak{a}}}=\phi.$ (7.78)
###### Proof.
Fix $f\in Z_{\underline{\mathfrak{a}}}$ and
$\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$. Fix also
an arbitrary $\gamma\in(\underline{\mathfrak{a}},\mathfrak{a})$ and using
theorem 7.25 observe that for all $m\geq n\in\mathbb{N}$ we have
$\displaystyle||\mathcal{I}^{n}(f)-\mathcal{I}^{m}(f)||_{Z_{\mathfrak{a}}}$
$\displaystyle\leq\sum_{k=n}^{m-1}||\mathcal{I}^{k}(f)-\mathcal{I}^{k+1}(f)||_{Z_{\gamma}},$
(7.79)
$\displaystyle\leq\sum_{k=n}^{m-1}\frac{L^{k}T^{k}}{(\mathfrak{a}-\gamma)^{qk}}\frac{n^{qk}}{k!}\
||f-\mathcal{I}(f)||_{Z_{\gamma}},$ (7.80)
$\displaystyle\leq\sum_{k=n}^{\infty}\frac{L^{k}T^{k}}{(\mathfrak{a}-\gamma)^{qk}}\frac{n^{qk}}{k!}\
||f-\mathcal{I}(f)||_{Z_{\gamma}}.$ (7.81)
According to Theorem 7.1 the right hadn side of inequality (7.81) above is a
remainder of a convergent series. Therefore we conclude that sequence
$\\{\mathcal{I}^{n}(f)\\}n\in\mathbb{N}$ is Cauchy in $Z_{\mathfrak{a}}$.
Since $\mathfrak{a}$ is arbitrary, let us now consider
$\alpha<\beta\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$ and
$\displaystyle\overbrace{\ \lim_{n\to\infty}\mathcal{I}^{n}(f)\ }^{\text{in}\
Z_{\alpha}}=\phi_{\alpha}.$ (7.82) $\displaystyle\overbrace{\
\lim_{n\to\infty}\mathcal{I}^{n}(f)\ }^{\text{in}\ Z_{\beta}}=\phi_{\beta}.$
(7.83)
Because $Z_{\alpha}\prec Z_{\beta}$ we see that
$\displaystyle\|\phi_{\beta}-\phi_{\alpha}\|_{Z_{\beta}}$
$\displaystyle\leq\|\phi_{\beta}-\mathcal{I}^{n}(f)\|_{Z_{\beta}}+\|\mathcal{I}^{n}(f)-\phi_{\alpha}\|_{Z_{\beta}},$
(7.84)
$\displaystyle\leq\|\phi_{\beta}-\mathcal{I}^{n}(f)\|_{Z_{\beta}}+\|\mathcal{I}^{n}(f)-\phi_{\alpha}\|_{Z_{\alpha}},$
(7.85)
which shows that $\phi_{\beta}=\phi_{\alpha}$. Therefore defining
$\displaystyle\phi_{\alpha}\eqqcolon\phi\coloneqq\phi_{\beta},$ (7.86)
we see that $\phi\in\underline{Z}$ and
$\displaystyle\overbrace{\ \lim_{n\to\infty}\mathcal{I}^{n}(f)\ }^{\text{in}\
Z_{\mathfrak{a}}}=\phi.$ (7.87)
Now, from Theorem 7.23 it follows that $\mathcal{I}$ is a continuous map from
$Z_{\mathfrak{a}}$ to $Z_{\overline{\mathfrak{a}}}$. Hence we see that
$\displaystyle\mathcal{I}^{n+1}(f)$ $\displaystyle\to\phi\ \text{as}\
n\to\infty,$ (7.88)
$\displaystyle\mathcal{I}^{n+1}(f)=\mathcal{I}(\mathcal{I}^{n}(f))$
$\displaystyle\to\mathcal{I}(\phi)\ \text{as}\ n\to\infty,$ (7.89)
which shows that $\mathcal{I}(\phi)=\phi$. Finally suppose that there exists
$\psi\in\underline{Z}$ such that $\psi\not=\phi$ and $\mathcal{I}(\psi)=\psi$.
In this case it is clear that
$\displaystyle||\mathcal{I}^{n}(\phi)-\mathcal{I}^{n+1}(\psi)||_{Z_{\mathfrak{a}}}=||\phi-\psi||_{Z_{\mathfrak{a}}}.$
(7.90)
However from Theorem 7.25 we can infer that
$\displaystyle||\mathcal{I}^{n}(\phi)-\mathcal{I}^{n+1}(\psi)||_{Z_{\mathfrak{a}}}$
$\displaystyle\leq\frac{L^{n}T^{n}}{(\beta-\alpha)^{qn}}\frac{n^{qn}}{n!}||\phi-\mathcal{I}(\psi)||_{Z_{\alpha}},$
(7.91)
$\displaystyle=\frac{L^{n}T^{n}}{(\beta-\alpha)^{qn}}\frac{n^{qn}}{n!}||\phi-\psi||_{Z_{\alpha}}.$
(7.92)
Since, by Theorem 7.1, the right hand side of inequality (7.92) tends to zero
we conclude that $||\phi-\psi||_{Z_{\mathfrak{a}}}=0$. Therefore $\phi$ is
unique and the proof is complete. ∎
We now formulate and prove the main result of this appendix.
###### Theorem 7.27.
Suppose $x_{\underline{\mathfrak{a}}}\in X_{\underline{\mathfrak{a}}}$, $q<1$
and $F\in\mathcal{O}(\mathbf{X},L,q)$ are fixed. Then there exist a unique map
$f:[0,T]\to\underline{X}$ such that if
$\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$ then
$f:[0,T]\to X_{\mathfrak{a}}$ is continuous and
$f(t)=x_{\underline{\mathfrak{a}}}+\int_{0}^{t}F(f(s))ds,\ t\in[0,T].$
###### Proof.
This result folows directly from Theorem 7.26 above by letting
$f\coloneqq\phi$. ∎
###### Remark.
For $q=1$ current method can be used to prove Theorem 7.27 by introducing a
suitable upper bound on $T$.
The final result of this appendix is a usefull norm estimate. To prove this
final result we now make two preliminary observations.
First, suppose that $\alpha<\beta\in\mathcal{A}$ and $x\in X_{\alpha}$. Then
we can see that
$\displaystyle\|F(x)\|_{X_{\beta}}$
$\displaystyle=\|F(x)+F(0)-F(0)\|_{X_{\beta}},$ (7.93)
$\displaystyle\leq\|F(x)-F(0)\|_{X_{\beta}}+\|F(0)\|_{X_{\beta}},$ (7.94)
$\displaystyle\leq\frac{L}{(\beta-\alpha)^{q}}\|x\|_{X_{\alpha}}+\|F(0)\|_{X_{\beta}},$
(7.95)
$\displaystyle\leq\frac{L}{(\beta-\alpha)^{q}}\bigg{(}P+\|x\|_{X_{\alpha}}\bigg{)},$
(7.96)
where
$\displaystyle P\coloneqq\frac{\|F(0)\|_{X_{\beta}}(\beta-\alpha)^{q}}{L}.$
(7.97)
Second, suppose
$\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$ and
$x_{\underline{\mathfrak{a}}}\in X_{\underline{\mathfrak{a}}}$. Moreover
consider a partition $\\{\psi_{i}\\}_{i=0}^{n+1}$ of
$[\underline{\mathfrak{a}},\alpha]$ into $n+1$ intervals of equal length. That
is $\psi_{0}=\underline{\mathfrak{a}}$, $\psi_{n+1}=\alpha$ and
$\psi_{i+1}-\psi_{i}=\frac{\alpha-\underline{\mathfrak{a}}}{n-1}$ for all
$0\leq i\leq n$. Now, from Theorem 7.25 we see that for all
$n\in\mathbb{N}^{0}$ we have
$\displaystyle||\mathcal{I}^{n}(x_{\underline{\mathfrak{a}}})(t)-\mathcal{I}^{n+1}(x_{\underline{\mathfrak{a}}})(t)||_{X_{\mathfrak{a}}}$
$\displaystyle\leq\frac{L^{n}}{(\mathfrak{a}-\psi_{1})^{qn}}n^{qn}\mathcal{H}^{n}(t,||x_{\underline{\mathfrak{a}}}-\mathcal{I}(x_{\underline{\mathfrak{a}}})||_{X_{\psi_{1}}}),$
$\displaystyle\leq\frac{L^{n}}{(\mathfrak{a}-\psi_{1})^{qn}}n^{qn}\mathcal{H}^{n+1}(t,\|F(x_{\underline{\mathfrak{a}}})\|_{X_{\psi_{1}}}),$
$\displaystyle\leq\frac{L^{n}}{(\mathfrak{a}-\psi_{1})^{qn}}\frac{L}{(\psi_{1}-\underline{\mathfrak{a}})}n^{qn}\mathcal{H}^{n+1}(t,P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}),$
$\displaystyle\leq\frac{L^{n}T^{n+1}}{(\mathfrak{a}-\psi_{1})^{qn}}\frac{L}{(\psi_{1}-\underline{\mathfrak{a}})}\frac{n^{qn}}{(n+1)!}\bigg{(}P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}\bigg{)},$
$\displaystyle\leq\frac{L^{n+1}T^{n+1}}{(\mathfrak{a}-\underline{\mathfrak{a}})^{q(n+1)}}\frac{(n+1)^{q(n+1)}}{(n+1)!}\bigg{(}P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}\bigg{)}.$
We now obtain the norm estimate.
###### Theorem 7.28.
Let $f$ be defined by Theorem 7.27 and suppose that
$\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$. Then for
all $t\in[0,T]$
$\displaystyle||f(t)||_{X_{\mathfrak{a}}}\leq\sum_{n=0}^{\infty}\frac{L^{n}T^{n}}{(\mathfrak{a}-\underline{\mathfrak{a}})^{qn}}\frac{n^{qn}}{n!}\bigg{(}P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}\bigg{)}.$
(7.98)
###### Proof.
From Theorem 7.26 it is clear by continuity of $f$ that for all $t\in[0,T]$ we
have
$\displaystyle\overbrace{\
\lim_{n\to\infty}\|\mathcal{I}^{n}(x_{\underline{\mathfrak{a}}})(t)\|_{X_{\mathfrak{a}}}\
}^{\text{in}\ X_{\mathfrak{a}}}=\|f(t)\|_{X_{\mathfrak{a}}}.$ (7.99)
Hence we now use estimate (7.3) to see that for all $n\in\mathbb{N}$ and all
$t\in[0,T]$ we have
$\displaystyle\|\mathcal{I}^{n}(x_{\underline{\mathfrak{a}}})(t)\|_{X_{\mathfrak{a}}}-\|\mathcal{I}^{0}(x_{\underline{\mathfrak{a}}})(t)\|_{X_{\mathfrak{a}}}$
$\displaystyle=\sum_{k=1}^{n}\|\mathcal{I}^{k}(x_{\underline{\mathfrak{a}}})(t)\|_{X_{\mathfrak{a}}}-\|\mathcal{I}^{k-1}(x_{\underline{\mathfrak{a}}})(t)\|_{X_{\mathfrak{a}}},$
$\displaystyle\leq\sum_{k=1}^{n}\|\mathcal{I}^{k-1}(x_{\underline{\mathfrak{a}}})(t)-\mathcal{I}^{k}(x_{\underline{\mathfrak{a}}})(t)\|_{X_{\mathfrak{a}}},$
$\displaystyle\leq\sum_{k=1}^{n}\frac{L^{k}T^{k}}{(\mathfrak{a}-\underline{\mathfrak{a}})^{qn}}\frac{k^{qk}}{k!}\bigg{(}P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}\bigg{)}.$
(7.100)
Therefore for all $n\in\mathbb{N}$ and all $t\in[0,T]$ we have
$\displaystyle\|\mathcal{I}^{n}(x_{\underline{\mathfrak{a}}})(t)\|_{X_{\mathfrak{a}}}$
$\displaystyle\leq\|\mathcal{I}^{0}(x_{\underline{\mathfrak{a}}})(t)\|_{X_{\mathfrak{a}}}+\sum_{k=1}^{n}\frac{L^{k}T^{k}}{(\mathfrak{a}-\underline{\mathfrak{a}})^{qn}}\frac{k^{qk}}{k!}\bigg{(}P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}\bigg{)},$
$\displaystyle\leq
P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}+\sum_{k=1}^{n}\frac{L^{k}T^{k}}{(\mathfrak{a}-\underline{\mathfrak{a}})^{qn}}\frac{k^{qk}}{k!}\bigg{(}P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}\bigg{)},$
$\displaystyle\leq\bigg{(}1+\sum_{k=1}^{n}\frac{L^{k}T^{k}}{(\mathfrak{a}-\underline{\mathfrak{a}})^{qn}}\frac{k^{qk}}{k!}\bigg{)}\bigg{(}P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}\bigg{)},$
$\displaystyle\leq\sum_{k=0}^{n}\frac{L^{k}T^{k}}{(\mathfrak{a}-\underline{\mathfrak{a}})^{qn}}\frac{k^{qk}}{k!}\bigg{(}P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}\bigg{)}.$
Finally taking the limit on both sides of inequality (7.3) we see that for all
$t\in[0,T]$ we have
$\displaystyle||f(t)||_{X_{\mathfrak{a}}}\leq\sum_{n=0}^{\infty}\frac{L^{n}T^{n}}{(\mathfrak{a}-\underline{\mathfrak{a}})^{qn}}\frac{n^{qn}}{n!}\bigg{(}P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}\bigg{)},$
hence the proof is complete. ∎
###### Remark.
It is clear from the definition (7.97) that if $F$ is a linear map then
$P\equiv 0$ hence in this case from Theorem 7.28 we see that for all
$\mathfrak{a}\in(\underline{\mathfrak{a}},\overline{\mathfrak{a}})$.
$\displaystyle||f(t)||_{X_{\mathfrak{a}}}\leq\sum_{n=0}^{\infty}\frac{L^{n}T^{n}}{(\mathfrak{a}-\underline{\mathfrak{a}})^{qn}}\frac{n^{qn}}{n!}\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}.$
(7.101)
###### Remark.
It is aso clear from Theorem 7.28 that
$\displaystyle||f||_{Z_{\mathfrak{a}}}\leq\sum_{n=0}^{\infty}\frac{L^{n}T^{n}}{(\mathfrak{a}-\underline{\mathfrak{a}})^{qn}}\frac{n^{qn}}{n!}\bigg{(}P+\|x_{\underline{\mathfrak{a}}}\|_{X_{\underline{\mathfrak{a}}}}\bigg{)}.$
(7.102)
## References
* [1] A. Daletskii, Stochastic differential equations in a scale of Hilbert spaces, Electronic Journal of Probability, (2018).
* [2] A. Daletskii, D. Finkelshtein, Row-finite systems of ordinary differential equations in a scale of Banach spaces, Journal of Statistical Physics, (2018).
* [3] C. Prevot and M. Rockner, Concise Course on Stochastic Partial Differential Equations, Springer, (2007).
* [4] G. Da Prato and J. Zabczyk, Stochastic Equations in Infinite Dimensions, Cambridge University Press, (2014).
* [5] L. V. Ovsjannikov, A nonlinear Cauchy problem in a scale of Banach spaces, Dokl. Acad. Nauk SSSR, 200 (1971); Soviet Math. Dokl. 12 (1971) p1497-1502.
* [6] L . V . Ovsjannikov, Singular operators in Banach scales, Dokl. Akad. Nauk. SSSR 163 (1965) 819-822; Soviet Math. Dokl. 6 (1965) p1025-1028.
* [7] M. Reed and B. Simon, Functional Analysis, Academic Press, (1980).
* [8] S. K. Berberian, Measure and Integration, The Macmillan Company, (1965).
* [9] T. Nishida, A note on a theorem of Nirenberg, Journal of Differential Geometry, (1977).
* [10] D. Revuz and M. Yor, Continuous Martingales and Brownian Motion, Springer, (2005).
* [11] R. L. Schilling, Measures Integrals and Martingales, Cambridge University Press, (2005).
* [12] Hui-Hsiung Kuo, Introduction to Stochastic Integration, Springer, (2006).
* [13] Y. L. Dalecky and S. V. Fomin, Measures and Differential Equations in Infinite-Dimensional Space, Springer, (1991).
* [14] S. Albeverio, Z. Brzezniak, J. L. Wu, Existence of global solutions and invariant measures for stochastic differential equations driven by Poisson type noise with non-Lipschitz coefficients, J. Math. Anal. Appl., (2010).
* [15] C. Marinelli and M. Röckner, On the maximal inequalities of Burkholder, Davis and Gundy, J. Expo. Math., (2016).
* [16] S.Albeverio, YU. G. Kondratiev, T. V. Tsikalenko, Stochastic dynamics for quantum lattice systems and stochastic quantization I: Ergodicity, J. Random Oper, and Stoch Eqn., (1994).
* [17] S.Albeverio, YU. G. Kondratiev, T. V. Tsikalenko, M. Röckner Glauber Dynamics For Quantum Lattice Systems, Reviews in Mathematical Physics, (2001).
* [18] M. H. Protter and C. B. Morrey, A First Course in Real Analysis, , Springer, (1991).
* [19] P. J. Nahin, Inside Interesting Integrals, Springer, (2015).
* [20] S. Lang, Real and Functional Analysis, Springer, (1993).
* [21] G. Parisi and Y. Wu, Perturbation theory without gauge fixing, Scientia Sin. 24, (1981).
* [22] G. J. Lasinio and P. K. Mitter, On the stochastic quantization of field theory, Comm. Math. Physics, (1985).
* [23] S. Albeverio and M. Röckner, Stochastic differential equations in infinite dimensions: solution via Dirichlet forms, Prob. Th. Rel. Fields, (1991).
* [24] G. Royer, Processus de diffusion associe à certains modèles d‘Ising a spin continus, Z. Wahrsch. Verw. Gebiete, (1979)
* [25] R. L. Dobrushin, Markov processes with a large number of locally interacted components — existence of the limiting process and its ergodicity, Problems of Information Transmission, (1971)
* [26] G. Da Prato, J. Zabczyk, Ergodicity for Infinite Dimensional Systems, _London Mathematical Society Lecture Note Series_ 229, University Press, Cambridge, (1996).
* [27] J. Inglis, M. Neklyudov, B. Zegarliński, Ergodicity for infinite particle systems with locally conserved quantities, _Infin. Dimens. Anal. Quantum Probab. Relat. Top_. 15 (2012), No. 1, 1250005.
* [28] O. Lanford, J. Lebowitz, E. Lieb, Time Evolution of Infinite Anharmonic Systems, J. Stat. Phys. 16 (1977), No. 6, 453–461.
* [29] G. Chargaziya and A. Daletskii, Stochastic differential equations in a scale of Hilbert spaces 2. Global solutions, In preparation.
| arxiv-papers | 2021-07-25T21:03:17 | 2024-09-04T03:07:17.228383 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Georgy Chargaziya",
"submitter": "Georgy Chargaziya",
"url": "https://arxiv.org/abs/2107.11890"
} |
2107.11891 | # Phase Spectrometry For High Precision mm-Wave DoA Estimation In 5G Systems
Farzam Hejazi, Department of Electrical and Computer Engineering
University of Central Florida
Orlando, USA, [email protected]
Nazanin Rahnavard, Department of Electrical and Computer Engineering,
University of Central Florida
Orlando, USA, [email protected]
###### Abstract
In this paper, we introduce a direction of arrival (DoA) estimation method
based on a technique named phase spectrometry (PS) that is mainly suitable for
mm-Wave and Tera-hertz applications as an alternative for DoA estimation using
antenna arrays. PS is a conventional technique in optics to measure phase
difference between two waves at different frequencies of the spectrum. Here we
adapt PS for the same purpose in the radio frequency band. We show that we can
emulate a large array exploiting only two antennas. To this end, we measure
phase difference between the two antennas for different frequencies using PS.
Consequently, we demonstrate that we can radically reduce the complexity of
the receiver required for DoA estimation employing PS. We consider two
different schemes for implementation of PS: via a long wave-guide and
frequency code-book. We show that using a frequency code-book, higher
processing gain can be achieved. Moreover, we introduce three PS
architectures: for device to device DoA estimation, for base-station in uplink
scenario and an ultra-fast DoA estimation technique mainly for radar and
aerial and satellite communications. Simulation and analytical results show
that, PS is capable of detecting and discriminating between multiple incoming
signals with different DoAs. Moreover, our results also show that, the angular
resolution of PS depends on the distance between the two antennas and the
band-width of the frequency code-book. Finally, the performance of PS is
compared with a uniform linear array (ULA) and it is shown that PS can perform
the same, with a much less complex receiver, and without the prerequisite of
spatial search for DoA estimation.
## I Introduction
5G mobile networks promise to bring a new era of ultra high-speed
communications that surpasses previous generations by several order of
magnitudes in communication capacity [1]. One of the core technologies behind
such a spectacular revolution is spatial devision multiple access (SDMA). SDMA
enables massive Multi-Input-Multi-Output (MIMO) communication by providing an
ability to focus energy on users’ devices, empowering pushing the capacity of
the network to such a immense boundaries required for 5G communications [2,
3]. Simultaneously, mobile mm-wave communication is enabled through 5G
networks, that transform directional communication from a promising aspect of
next generation networks, into a must-have feature [2, 4]. Mm-wave
communication experiences huge attenuation in the open air, therefore the
transmitted energy needs to be directed into narrow rays, to meet sufficient
signal-to-noise-ratio (SNR) thresholds required at receivers [5]. In addition
to 5G applications, DoA estimation is a required aspect of UAV-to-device and
satellite-to-device high frequency and ultra high-speed communication [6].
Moreover, mm-wave and Terahertz radars used for autonomous driving exploit DoA
estimation techniques to estimate angles of the objects around [7]. As
directional communication has gained importance in new generation
communications, DoA estimation has obtained gravity as an enabler of
directional communication. To clarify this necessity, consider that any two
devices that exploit directional antennas cannot communicate unless they
ascertain in which direction they should send/receive signals to/from the
other device. Moreover, this knowledge of angle (or position) of the other
device should be maintained during the communication period otherwise the link
will be disrupted [8].
The most common DoA estimation techniques use directional antennas mounted on
both the transmitter and the receiver to obtain the initial guess of the
relative angle between two devices, this process is also referred as initial
access (IA) [9]. To fulfil this strategy, the first device starts searching
for the second device through a beam training protocol, until it finds the
other device. Next, the second device repeats the same procedure until the
link is established, at this point, they employ tracking techniques to
maintain the directional connection between them [10]. Although such a
strategy looks favorable for DoA estimation, it is highly probable that it
does not work well when a large number of devices are packed into a specific
area or in the presence of a strong multi-path between two device. Moreover,
beams are most of the time busy with beam training/tracking searches instead
of transmission/reception which reduces the communication capacity [11]. In
other words, using the same antenna for communication and direction-finding,
requires using a common resource for two inherently antithetical task in terms
of directional antenna requirements. Higher communication capacity requires
highly directional antennas to reduce interference and to maximize signal
power at the receiver, conversely, as antenna’s beams become narrower the beam
training/tracking periods increase and consequently the overhead escalates
which eventually reduces the effective communication capacity. To overcome
deficiencies of such a strategy we propose to avoid using directional antennas
for DoA estimation at both sides of the link, and estimate DoA based on
measuring phase difference of arrival (PDoA) of signal between two antennas
mounted on the device for multiple frequencies. Meanwhile, we can allocate a
directional antenna exclusively for communication purposes. In our proposed
strategy, we avoid spatial search to establish the link in the first place, on
the other hand, we rely on the received signal in two omni-directional
antennas. Subsequently, we amplify the attenuated received signal by a huge
processing gain, then estimate DoAs of all of propagation paths between two
devices. We will show that exploiting our proposed technique, we can convert
spatial search duration to a means to increase DoA estimation precision, and
more importantly, we can allocate a specific highly directional antenna for
communication, and consequently take advantage of the whole communication
capacity such a directionality provides.
In our proposed technique, two antennas are mounted on the device with several
mm gap between them, and the PDoA of signal measured through a novel technique
named standing wave spectrometry for multiple frequencies. Standnig wave
spectrometry is widely used in optical applications to measure phase
difference between two rays at multiple frequecies of the optical spectrum
[12][13][14]. To the best of authors knowledge, it is the first time that this
technique is introduced for RF mm-wave applications. By applying spectrometry
not only we can estimate the DoA of a signal precisely, but also we can
estimate multi-path DoAs and the power of each path for a mm-wave propagation
environment. Although the proposed approach is inherently a wide-band (WB)
technique, it does not require ultra high speed sampling rates essential for
must of WB techniques. Consequently, the proposed technique provides us with
two main advantages: more data about the DoA of incoming signal, and reduced
cost and complexity of the receiver. The first is obtained by discriminating
between all incoming propagation paths between the source and the device. The
second is secured by greatly reducing the complexity of the DoA estimation
through simplification of the receiver by bypassing signal down-conversion and
reducing the number of required antennas. Furthermore, we show that the
proposed phase difference measurements equals to highly accurate measurement
of time difference of arrival (TDoA) of signal between two antennas in the
Fisher sense. Moreover, we will prove that the cramer-rao lower bound of error
(CRLB) of DoA estimation using the proposed technique equals to a uniform
linear array (ULA) that employs multiple antennas, in the Fisher sense.
## II Related Works
DoA estimation techniques have plethora of applications in Radar, Sonar and
Electronic Ware-fare (EW) literature. In these applications, DoA estimation is
mainly used to find the relative direction between two objects. Primitive DoA
estimation techniques use pencil beam antennas (e.g. dish antennas) along with
mechanical actuators for steering the beam and spatial search
[15],[16],[17],[18],[19],[20]. More recent techniques, use beamforming
techniques over array antennas to obtain narrow beams. In beamforming,
input/output of each antenna of an array, is multiplied by a weight (e.g. a
phase shift) to form a desired beam shape. In beamforming, there is no need
for mechanical steering, and beams can be steered electronically by changing
weights of the antennas. Spatial scanning provided by beamforming proves to be
much more faster than the mechanical scanning, moreover, can generate multiple
beams simultaneously. Therefore, modern phased array radars can search the
environment very fast, and can track and engage with multiple targets
concurrently [21].
Recently, DoA estimation also has gained attention as an enabler of ultra-
high-speed (Multiple Gbps) directional communications between two devices or a
base-station and multiple devices. 5G communication mainly utilizes advanced
beamforming capabilities and array antennas for directional communications. 3
different architectures has been introduced for beamforing for 5G
applications: 1-Analogue 2-Digital 3-Hybrid [22]. In Analogue beamforming, the
beam is shaped via a single RF chain, and so only one beam can be shaped in
each time slot. This structure is more power efficient compared to the two
other architectures, however, is not as flexible as them in generating
multiple beams. Digital beamforing, allocates a specific Rf chain and data-
convertor for each antenna and potentially can generate several beams
simultaneously. This structure is the most flexible one, however is very power
hungry and complicated in comparison to other techniques [23]. Hybrid
beaforming scheme assigns multiple RF chains for antennas, while, the number
of RF chains is less than the number of antennas. This type of beamforming is
the most common scheme for 5G applications, since it can balance a trade-off
between complexity, flexibility and power consumption [24, 25]. All
directional antennas powered by various beamforming architectures require
spatial search to initiate a communication link . Giordani et. al showed that
overhead caused by beam-training protocols heavily limits number of array
elements at both base stations and user equipments, moreover, several
milliseconds is required to establish a link between a base station and user
equipment [26].
Interferometric wide-band DoA estimation, has been widely investigated in EW
and lightning localization applications [27],[28],
[29],[30],[31],[32],[33],[34]. In this technique PDoA of signal between two
antennas placed more than half-wavelength apart is measured. Since the phase
difference is ambiguous and can represent several DoAs, a number of techniques
has been introduced to disambiguate the phase. These techniques include:
correlative interferometry (CORR), second order difference array (SODA), SODA-
Base Inference (SBI) and Common Angle Search (CAS). CORR employs PDoAs between
at least two pairs of antennas and compare measurements with a pre-prepaired
database of measurements to determine DoA [35]. SODA and SBI operate an
additional antenna pair with less than half a wavelength gap between antennas
to translate PDoA to an unambigeous DoA. SODA and SBI only works well when
input SNR is high enough [36, 37]. CAS utilizes two or more antenna pairs and
introduces the common angle recommanded by all PDoAs as the unambiguous DoA
[38]. These techniques can estimate DoA very precisely in a wide-band
frequency range, however, none of them can distinguish between DoAs, if two or
more signals with differnet DoAs are received simultaneously at the antenna
pairs.
Here in section III, we prove that phase interferometry meaurements (PIM)
between two antennas equals to highly precise time difference of arrival
(TDoA) measurements in the Fisher sense. Moreover, we demonstrate that DoA
estimation using PIM between two antennas several wavelength apart equals to
DoA estimation using a large ULA in the Fisher sense. Since PIMs represent
ambigeous DoAs, we introduce phase spectrometry (PS) to disambiguate PDoAs in
section IV. In contrast with Interferometric DoA estimation, we prove that PS
can distinguish between multiple concurrent DoAs. Furthurmore, we introduce
standing wave receiver (SWR) to extract PDoAs, which is much less complicated
than beamforming receivers. We explain how SWR does not need any down-
conversion or high sampling rates to extract PDoA. In section IV-A we
investigate DoA estimation resolution provided by PS. Then we introduce two
approaches to implement PS, one through a long wave-guide, another via
employing a frequency code-book in section IV-B and IV-C respectively. In
section IV-D, we analyse SNR improvement caused by PS. Furthermore, we will
show how the whole time required by directional techniques for spatial search
can be effectively consumed in PS to improve DoA estimation precision. We
discuss the ability of the proposed technique to identify DoA of signals from
several devices in both uplink and downlink scenarios in section IV-E.
Moreover, we introduce an alternative architecture of the technique that
provides us with ultra-fast DoA estimation capability in section IV-F. In
Section V, we examine PS performance via various simulations. Finally we
conclude the paper in section VI.
## III Phase Interferometry Measurements
Consider 2 antennas with gap $D$ mounted on a device (Figure 1), referred as
phase interferometry array (PIA), both of them are receiving a signal emitted
by a source $s(t)$. The signal is a monotone with carrier frequency $f_{c}$
$s(t)=a\>e^{j2\pi f_{c}t}\,,$ (1)
where $a$ is the amplitude of the signal. Both the first and the second
antennas receive the signal, denoted by $s^{(1)}_{R}(t)$ and $s^{(2)}_{R}(t)$
respectively, with a relative delay $\Delta(t)$ which results in a phase
difference between two signals. We define phase interferometry measurements
(PIM) as
$\Delta\phi=s^{(1)}_{R}(t)s^{*(2)}_{R}(t)=a^{2}_{R}\>e^{j2\pi
f_{c}\Delta(t)}+v_{n}=be^{j2\pi f_{c}\Delta(t)}+v_{n}\,,$ (2)
where $a_{R}$ is the amplitude of the signal received at the PIA and $v_{n}$
is white noise, we also refer to $e^{j2\pi f_{c}\Delta(t)}$ as PDoA throughout
this paper. In the next section we prove that PIM is equivalent to DoA
estimation using a ULA in the Fisher sense.
Figure 1: PIM illustration, two antennas implemented on a device receive a
signal ($s(t)$) emitted by a source ($s^{(1)}_{R},s^{(2)}_{R}$). PIM is defind
as the interaction of two signals $\Delta\phi=s^{(1)}_{R}s^{*(2)}_{R}$
### III-A Fisher Information Matrix of PIM, TDoA & DoA
Given noise is Gaussian and independent for each PIM, Fisher information
matrix (FIM) of $\Delta\phi$ with respect to an arbitrary vector
$\boldsymbol{x}$ , e.g. unknowns to be estimated, can be derived as [39]
$\displaystyle\sum_{\mathbb{P}}\frac{1}{\sigma^{2}}\nabla_{\boldsymbol{x}}\Delta\phi^{H}\nabla_{\boldsymbol{x}}\Delta\phi=$
$\displaystyle\sum_{\mathbb{P}}\frac{1}{\sigma^{2}}(-j2\pi
f_{c}\nabla_{\boldsymbol{x}}(\Delta(t))^{H}be^{-j2\pi f_{c}\Delta(t)})(j2\pi
f_{c}\nabla_{\boldsymbol{x}}\Delta(t)be^{j2\pi f_{c}\Delta(t)}))=$
$\displaystyle\sum_{\mathbb{P}}\frac{4b^{2}\pi^{2}f^{2}_{c}}{\sigma^{2}}\nabla_{\boldsymbol{x}}\Delta(t)^{H}\nabla_{\boldsymbol{x}}\Delta(t)\,,$
(3)
where $\mathbb{P}$ is the set of all PIMs, and $\nabla_{\boldsymbol{x}}$ is
the gradient operator with respect to (w.r.t) $x$. Therefore, FIM of PIM is
exactly equals to the following observations,
$\delta(t)=b\Delta(t)+\frac{v_{s}}{2\pi f_{c}}\,.$ (4)
where $\delta(t)$ is an observation of TDoA of signal between two antennas.
Therefore, PIM with additive white noise power $\sigma^{2}$ equals to TDoA
observations with additive white noise power
$\frac{\sigma^{2}}{4\pi^{2}f^{2}_{c}}$ of the same PIA in the fisher sense.
Assuming far field criteria is fulfilled [40], we have
$\delta(t)=b\frac{D}{c}cos(\theta_{A})+\frac{v_{s}}{2\pi f_{c}}\,,$ (5)
where $c$ is the speed of light and $\theta_{A}$ is DoA of signal and $D$ is
the gap between two antennas. CRLB of $\theta_{A}$ estimation based on
measurements as of (5) can be derived as follows
$\mathrm{CRLB}_{\theta_{A}}=\frac{\frac{\sigma^{2}_{s}}{b^{2}}}{(\frac{D}{c}2\pi
f_{c})^{2}sin^{2}(\theta_{A})}=\frac{\frac{\sigma^{2}_{s}}{b^{2}}}{(\frac{2\pi
D}{\lambda})^{2}sin^{2}(\theta_{A})}\,.$ (6)
Now lets take a look at CRLB of DoA estimation using a ULA in which antennas
are placed half wavelength apart [41],
$\mathrm{CRLB}_{\theta_{A}}=\frac{6\frac{\sigma^{2}_{s}}{b^{2}}}{\pi^{2}m(m^{2}-1)sin^{2}(\theta_{A})}\approx\frac{6\frac{\sigma^{2}_{s}}{b^{2}}}{\pi^{2}m^{3}sin^{2}(\theta_{A})}\,,$
(7)
where $m$ is the number of array elements. Given the same SNR, DoA estimation
using PIM and a ULA array are equivalent in the Fisher sense when,
$m=(24)^{\frac{1}{3}}(\frac{D}{\lambda})^{\frac{2}{3}}\approx
2.8845(\frac{D}{\lambda})^{\frac{2}{3}}\,.$ (8)
Figure 2 illustrates (8), as an example, DoA estimation using a PIA with
$\frac{D}{\lambda}=200$ is equivalent to a ULA with 100 elements in the Fisher
sense. Consequently, DoA estimation using PIM with gap $D$ between two
antennas equals to DoA estimation exploiting a ULA with $m$ antennas placed
half wavelength apart, in which $m$ obeys (8). This could lead to a huge
reduction in complexity of the antenna array required for high precision DoA
estimation -that reduces the required number of antennas from $m$ to 2-; if
so, why is it not a common DoA estimation technique now? it is because DoA
estimation using PIM is ambiguous and there are a number of different DoAs
that can be inferred from a specific PIM [42]; As $D$ increases CRLB
decreases, however, ambiguity escalates. Moreover, DoA estimation using PIM is
not capable of detecting and discriminating between multiple concurrent DoAs.
In section IV, we propose a solution to estimate DoA using PIMs observed for
multiple frequencies, instead of only measuring PIM for only a single
frequency. We will see that this approach not only leads to PIM
disambiguation, but also provides us with DoA estimation of all signal
propagation paths between the source and the device.
Figure 2: $m$ versus $\frac{D}{\lambda}$, where $m$ is the number of array
elements of a ULA that is equivalent to (in the Fisher sense) a phase
interferomery array (PIA) with gap $D$ between two antennas
### III-B Relationship Between DoA Estimation Precision, Beam-width and
Resolution
In this section, we explain why DoA estimation precision and antenna beam-
width are not necessarily coupled, which further proves that spatial division
(SD) and IA can be considered and performed as two completely independent
tasks. Referring to (6) and (7), CRLB of angle estimation precision is
directly related to SNR, as SNR increases precision improves; in other words,
we can obtain any arbitrary precision if SNR is high enough regardless of $m$
or $D$. Although SNR can be improved by increasing the number of antennas, in
a ULA, it can also be improved by integration, which is the time interval we
can coherently receive and integrate a signal. Equivalently, angle precision
can be improved only by integration, which come at a time cost, regardless of
$m$ or $D$.
Figure 3: Visualisation of spatial division concept. The antenna is able to
discriminate between user 1 and user 2,3 because the angular distance between
them are more than beam-width. While, it is not able to discriminate between
user 2 and user 3, since their angular distance is less than the antenna beam-
width.
Now let’s take a look at angle resolution concept. Angle resolution help us to
measure the capability of a technique to discriminate between multiple
incoming signals from different DoAs. We define angle resolution as the
minimum angular distance between two incoming signals that can be
discriminated by a technique. Angle resolution is directly coupled with SD
capability of a technique. A ULA can discriminate between two DoA if their
angular distance is more than it’s beam-width. Similarly, in the transmit
mode, if the angular distance between two users is more than the beam-width
and antenna sends signal to one of them, it causes much less interference for
the second device compared to the situation where their angular distance are
less than the beam-width (Figure 3). In a ULA, beam-width is merely determined
by the number of array elements and equals to $\frac{2}{m}$. Therefore, the SD
capability of a ULA is solely governed by its number of array elements.
For ultra-fast mm-wave communication, devices has to be equipped with a highly
directional antenna that enables SDMA. On the other hand, for initial access
(IA), a good angle estimation is required. As we discussed earlier, an angle
estimation with a desired precision can be obtained when SNR is high enough at
the receiver. SNR and resolution are not two mutually-coupled aspects of a DoA
estimation technique. Especially in the case of array antennas, resolution is
governed by number of array elements, while DoA estimation precision is
governed by SNR at the receiver. Consequently, we can seperate SD from IA, and
dedicate a high processing gain technique for IA and a highly directional
antenna for SD.
## IV Wide-band DoA Estimation Using Standing-wave Spectrometry
In this section we inaugurate a new idea to estimate DoA of a signal using
PDoAs. Here, we propose the source emits a signal with several gigahertz
bandwidth in mm-wave, in such a way that the receiver can detect and
discriminate between all (line-of-sight (LoS) and none-line-of-sight (NLoS))
paths between the source and the receiver using our proposed PS technique. Now
suppose there exists $N_{NL}+1$ paths, 1 LoS path and $N_{NL}$ NLoS paths,
between the source and the device. Given the source emits a monotone signal as
of (1) with carrier frequency $f$ for the duration $T_{p}$, received signals
at both antennas can be formulated as
$s^{(1)}_{R}(t)=\\!\underbrace{a_{0}e^{j2\pi ft}}_{\text{LoS
path}}\\!+\\!\underbrace{\sum_{k=1}^{N_{NL}}a_{k}e^{j2\pi
f(t-t_{k})}}_{\text{NLoS
paths}}+v_{1}(t)\;\;\;and\;\;\;s^{(2)}_{R}(t)=\\!\underbrace{a_{0}e^{j2\pi
f(t-\Delta{t_{0}})}}_{\text{LoS
path}}\\!+\\!\underbrace{\sum_{k=1}^{N_{NL}}a_{k}e^{j2\pi
f(t-t_{k}-\Delta{t_{k}}))}}_{\text{NLoS paths}}+v_{2}(t)\,.$ (9)
where $t_{k}$ is the delay of signal arrival through NLoS path $k$ to the PIA
w.r.t LoS path, and $\Delta t_{k}$ and $a_{k}$ is TDoA of signal between two
antennas and amplitude of received signal through path $k$, $k=0,\dots,N_{NL}$
(path 0 is the LOS path), respectively. Then, we guide the two received
signals into a standing-wave wave-guide (SWWG) via two opposite directions
(Figure 4). Referring to [14], the first and the second paths of signal
interact in the SWWG as
$\displaystyle s^{(1)}_{R}(t)e^{j\beta(f)x}+s^{(2)}_{R}(t)e^{-j\beta(f)x}=$
$\displaystyle e^{j2\pi
ft}\left(\left(a_{0}e^{j\beta(f)x}+a_{0}e^{-j\beta(f)x}e^{-j2\pi
f(\Delta{t_{0}})}\right)+\left(\sum_{k=1}^{N_{NL}}a_{k}e^{-j2\pi
ft_{k}}\left(e^{j\beta(f)x}+e^{-j\beta(f)x}e^{-j2\pi
f(\Delta{t_{k}}))}\right)\right)\right)=$ $\displaystyle e^{j2\pi
ft}\left(2a_{0}e^{-j\pi f\Delta t_{0}}\cos{(\beta(f)x+\pi f\Delta
t_{0})}+\sum_{k=1}^{N_{NL}}2a_{k}e^{-j2\pi f(t_{k}+\frac{\Delta
t_{k}}{2})}\cos{(\beta(f)x+\pi f\Delta t_{k})}\right)\,,$
where $x$ is an arbitrary point along the SWWG, $L$ is the length of the wave-
guide and $\beta(f)=\frac{2\pi}{\lambda_{T}}=2\pi\frac{f}{c_{T}}$, where
$\beta(f)$, $\lambda_{T}$ and $c_{T}$ are phase constant, wavelength and phase
velocity of electro-magnetive wave in the wave-guide, respectively [43]. As
Figure 4 illustrates, using energy detectors along $x-axis$, we have
Figure 4: Standing-wave wave-guide. Two waves move in opposite directions
interact to form a standing wave, the amplitude of the standing wave is
sampled using a group of energy detectors (ED).
$\displaystyle E_{sw}(x,f)=\left|2a_{0}e^{-j\pi f\Delta
t_{0}}\cos{(\beta(f)x+\pi f\Delta t_{0})}+\sum_{k=1}^{N_{NL}}2a_{k}e^{-j2\pi
f(t_{k}+\frac{\Delta t_{k}}{2})}\cos{(\beta(f)x+\pi f\Delta
t_{k})}\right|^{2}$ $\displaystyle=\>4a^{2}_{0}cos^{2}(\beta(f)x+\pi f\Delta
t_{0})+\sum_{k=1}^{N_{NL}}4a^{2}_{k}cos^{2}(\beta(f)x+\pi f\Delta t_{k})$
$\displaystyle+\sum_{k=1}^{N_{NL}}8a_{0}a_{k}\cos{(\beta(f)x+\pi f\Delta
t_{0})}\cos{(\beta(f)x+\pi f\Delta t_{k})}\cos{(2\pi f(t_{k}+\frac{\Delta
t_{k}-\Delta t_{0}}{2}))}$
$\displaystyle+\sum_{k=1}^{N_{NL}}\sum_{l=K+1}^{N_{NL}}8a_{l}a_{k}\cos{(\beta(f)x+\pi
f\Delta t_{l})}\cos{(\beta(f)x+\pi f\Delta t_{k})}\cos{(2\pi
f(t_{k}-t_{l}+\frac{\Delta t_{k}-\Delta t_{l}}{2}))}\,.$ (11)
where $E_{sw}(x,f)$ is the output of the ED located at $x$. Interestingly, as
(11) indicates, we could bypass down-conversion via mixing by using much
simpler EDs. Now, suppose that input signal and its DoAs does not change
during $T_{p}$, evidently, sampling rate after EDs can be as low as some
$\frac{1}{T_{p}}$, if energy detectors provide energy integration of the wave
for the whole duration. To simplify (11), it is clear that $\Delta t_{k}\ll
t_{l}$ and it is very probable that $\Delta t_{k}\ll t_{k}-t_{l}$;
$k=0,\dots,N,l=1,\dots,N$ .111The experimental results presented in [4] shows
that delays of paths in two urban environment of New York and Austin is an
order of several tens of nano seconds, on the other hand, the TDoA of signal
between two antennas is a fraction of a nano second if the gap between
antennas does not exceed $30cm$. Regarding (11), the first and the second
terms have _cos(.)_ components with parameters $\pi f\Delta t_{0}$ and $\pi
f\Delta t_{k}$ , while the third and the forth terms have _cos_ components
with parameters $\pi ft_{k}$ and $\pi f(t_{k}-t_{l}),k\neq l$, respectively.
Given we measure (11) for multiple frequencies and $\Delta t_{k}\ll
t_{k}-t_{l}$ for all $l,k$, applying Fourier transform over $E_{sw}(x,f)$
across $f$, the third and the forth terms of (11) can be filtered out using a
simple low-pass filter 222In section IV-A we will show that, this filter can
be the same as the matched filter applied for DoA detection.. The remaining
terms after low-pass filtering are denoted by $\hat{E}_{sw}(x,f)$
$\displaystyle\hat{E}$ ${}_{sw}(x,f)=\>4a_{0}^{2}\cos^{2}(\beta(f)x+\pi
f\Delta t_{0})+\sum_{k=1}^{N_{NL}}4a^{2}_{k}\cos^{2}(\beta(f)x+\pi f\Delta
t_{k})$ $\displaystyle=2a_{0}^{2}$ $\displaystyle+2a^{2}\cos(2\beta(f)x+2\pi
f\Delta t_{0})+\sum_{k=1}^{N_{NL}}2a^{2}_{k}+2a^{2}_{k}\cos(2\beta(f)x+2\pi
f\Delta t_{k})\,.$ (12)
The number NLoS path from the source to the device are very few in mm-wave
usually less than 3 path [44], so $N_{NL}\leq 3$. Here, if we estimate
$\theta_{k},a_{k}$ for $k=0,\dots,N$, we can distinguish between all paths
from the source to the device and determine signal received power from each
path. In section IV-A, IV-B and IV-C, we will discuss two different techniques
that that can be used to detect DoAs based on sampling (12) in $f$-domain, and
how the angular resolution that can be achived using PS.
Consider that (12) is derived by assuming a monotone signal is transmitted by
the source. Now lets assume, signal is not monotone and has bandwidth $B$,
thus signal can be expressed as
$s(t)=\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}a(f)e^{j2\pi ft}df\,.$ (13)
where $a_{(}f)$ is Fourier transform of $s(t)$. The received signals at the
first and the second antennas can be expressed as
$\displaystyle
s^{(1)}_{R}(t)=\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}a_{0}(f)e^{j2\pi
ft}df+\sum_{k=1}^{N_{NL}}\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}a_{k}(f)e^{j2\pi
f(t-t_{k})}df+v_{1}(t)$ $\displaystyle
s^{(2)}_{R}(t)=\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}a_{0}(f)e^{j2\pi
f(t-\Delta{t_{0}})}df+\sum_{k=1}^{N_{NL}}\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}a_{k}(f)e^{j2\pi
f(t-t_{k}-\Delta{t_{k}}))}df+v_{2}(t)\,.$ (14)
Assuming a constant fading over $[f_{c}-\frac{B}{2},f_{c}+\frac{B}{2}]$, we
can express $a_{k}(f)=\alpha_{k}a(f)$, where $\alpha_{k}$ denotes the
attenuation of path $k$. Consequently, the two signals inside the SWWG can be
formulated as [14]
$\displaystyle
s^{(1)}_{R}(t,x)=\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}a_{0}(f)e^{j2\pi
ft}e^{j\beta(f)x}df+\sum_{k=1}^{N_{NL}}\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}a_{k}(f)e^{j2\pi
f(t-t_{k})}e^{j\beta(f)x}df+v_{1}(t)$ $\displaystyle
s^{(2)}_{R}(t,x)=\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}a_{0}(f)e^{j2\pi
f(t-\Delta{t_{0}})}e^{-j\beta(f)x}df+\sum_{k=1}^{N_{NL}}\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}a_{k}(f)e^{j2\pi
f(t-t_{k}-\Delta{t_{k}}))}e^{-j\beta(f)x}df+v_{2}(t)\,.$ (15)
Finally, the interaction between the two signals ($S_{int}$) in the SWWG can
be formulated as
$\displaystyle S_{int}(t,x)=s^{(1)}_{R}(t,x)+s^{(2)}_{R}(t,x)=$
$\displaystyle\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}\left(\left(a_{0}(f)e^{j\beta(f)x}+a_{0}(f)e^{-j\beta(f)x}e^{-j2\pi
f(\Delta{t_{0}})}\right)+\left(\sum_{k=1}^{N_{NL}}a_{k}(f)e^{-j2\pi
ft_{k}}\left(e^{j\beta(f)x}+e^{-j\beta(f)x}e^{-j2\pi
f(\Delta{t_{k}}))}\right)\right)\right)e^{j2\pi ft}df=$
$\displaystyle\int_{f_{c}-\frac{B}{2}}^{f_{c}+\frac{B}{2}}\left(2a_{0}(f)e^{-j\pi
f\Delta t_{0}}\cos{(\beta(f)x+\pi f\Delta
t_{0})}+\sum_{k=1}^{N_{NL}}2a_{k}(f)e^{-j2\pi f(t_{k}+\frac{\Delta
t_{k}}{2})}\cos{(\beta(f)x+\pi f\Delta t_{k})}\right)e^{j2\pi ft}df\,.$ (16)
Therefore, the power spectral density of $S_{int}$ turns out to be [45]
$\displaystyle\mathscr{{E}}_{sw}(x,f)=\lim_{T\to+\infty}\mathscr{F}\left\\{\frac{1}{T}\int_{0}^{T}|S_{int}(t,x)|^{2}dt\right\\}$
$\displaystyle=\left|2a_{0}(f)e^{-j\pi f\Delta t_{0}}\cos{(\beta(f)x+\pi
f\Delta t_{0})}+\sum_{k=1}^{N_{NL}}2a_{k}(f)e^{-j2\pi f(t_{k}+\frac{\Delta
t_{k}}{2})}\cos{(\beta(f)x+\pi f\Delta
t_{k})}\right|^{2};\>f\in[f_{c}-\frac{B}{2},f_{c}+\frac{B}{2}]\,.$ (17)
As (17) shows $\mathscr{{E}}_{sw}$ exactly equals to (11) for
$f\in[f_{c}-\frac{B}{2},f_{c}+\frac{B}{2}]$. Therefore, similar to the
procedure of DoA estimation of a monotone signal, we can estimate all incoming
signal DoAs and their power for a non-monotone signal using (12). Now, lets
again consider (12), we can express $\hat{E}_{sw}(x,f)$ as summation of two
terms
$\displaystyle\hat{E}_{sw}(x,f)=\sum_{k=0}^{N_{NL}}2a_{k}^{2}+\sum_{k=0}^{N_{NL}}2a^{2}_{k}\cos(2\beta(f)x+2\pi
f\Delta t_{k})$
$\displaystyle=\sum_{k=0}^{N_{NL}}2a_{k}^{2}+\sum_{k=0}^{N_{NL}}2a^{2}_{k}\cos(\frac{4\pi}{c_{T}}fx+2\pi
f\Delta t_{k})\,.$ (18)
Interestingly, factors $a_{0},\dots,a_{N_{NL}}$ and phases $2\pi f\Delta
t_{0},\dots,2\pi f\Delta t_{{NL}}$, can simply be estimated by applying
Fourier transform over $\hat{E}_{sw}(x,f)$ across $x$. One useful example of
signal as of (13) is multiple single tones (e.g. 30 monotones) around the
center frequency; in section IV-C, we show that this signal not only provides
enough information to estimate all DoAs, but also enables integration to
achieve very high SNRs, which results in very high precision DoA estimation.
### IV-A DoA Detection and Resolution
As we proved in the previous section, the interaction between two waves
received at each antennas forms a standing-wave and its amplitude can be
measured as of (18) for each frequency $f$, measuring amplitude of the
standing-wave, employing a group of EDs. Consider that (18) consists of
$2a^{2}_{k}\cos(2\beta(f)x+2\pi f\Delta t_{k})$ terms. Thus, estimating
$\Delta t_{k}$ and $\alpha_{k}$ for $k=0,\dots,N_{NL}$ is equivalent to
harmonic decomposition of (18) in $f$-domain. There are several techniques has
been introduced for harmonic decomposition, such as Fourier transform,
multiple signal classification (MUSIC) [46], Pisarenco harmonic decomposition
[47], to name a few. Here for simplicity we only use a matched filter for DoA
estimation. Given far-field assumption we have
$\Delta t_{k}=\frac{D\cos{\theta_{k}}}{c}\,.$ (19)
where $\theta_{k}$ is DoA of path $k$. Therefore, DoAs can be estimated
applying the following matched filter on (12)
$h(\theta,f,x)=e^{j2\pi f\Delta t_{k}}e^{j2\beta(f)x}=e^{j2\pi
f\frac{Dcos(\theta)}{c}}e^{j2\beta(f)x}=e^{j2\pi
f(\frac{Dcos(\theta)}{c}+\frac{4\pi x}{c_{T}})}\,.$ (20)
(20) shows that the matched filter is a single monotone in the $f$-domain.
Moreover, as $\Delta t_{k}$ increases, the matched filter represents a higher
frequency signal in $f$-domain. Therefore, convolving (20) with (11), the
third and the forth terms of (11) will be eliminated. To calculate the angular
resolution of PS suppose two different paths with two different DoAs
$\theta_{1},\theta^{\prime}_{1}$ arrive at PIA and we can completely
discriminate between $\theta_{1}$ and $\theta_{1}^{\prime}$ using matched
filter in (20), then we have
$\displaystyle\int_{f-\frac{B}{2}}^{f+\frac{B}{2}}e^{j2\pi
fD\frac{cos(\theta_{1})-cos(\theta^{\prime}_{1})}{c}}df=0\rightarrow
BD\frac{|cos(\theta_{1})-cos(\theta^{\prime}_{1})|}{c}=k,k\in\mathbb{N}\rightarrow\frac{BD}{c}|\theta_{1}-\theta^{\prime}_{1}||sin(\theta_{1})|\approx
k$
$\displaystyle\rightarrow|\theta_{1}-\theta^{\prime}_{1}|\approx\frac{ck}{BD|sin(\theta)|}\,.$
(21)
Therefore the minimum possible angular distance between $\theta_{1}$ and
$\theta_{1}^{\prime}$ that can be resolved using our proposed technique
(referred as DoA estimation resolution) can be approximated as
$\displaystyle Res(\theta)\approx\frac{c}{BD|sin(\theta)|}\,.$ (22)
Consequently, DoA estimation resolution is determined merely by $BD$, which
means as the gap between two antennas or the signal bandwidth increases the
DoA resolution will increase. As we mentioned earlier, in this we mainly use
marched filter for DoA detection for simplicity, however, since PDoAs are
available in digital domain, future works may consider more complicated signal
processing techniques for DoA estimation. Those techniques may result in much
better angular resolution than match filtering.
### IV-B Frequency Resolution
Considering $\beta=2\pi\frac{f}{c_{T}}$, (18) clarifies that angle and phase
difference of PIMs for any arbitrary frequency inside
$[f-\frac{B}{2},f+\frac{B}{2}]$ would be easily extracted by applying Fourier
transform over $\mathscr{{E}}_{sw}(x,f)$ across $x$, if we could measure
$\mathscr{{E}}_{sw}(x,f)$ for an infinite length. Unfortunately, in practice
we can only measure $\mathscr{{E}}_{sw}(x,f)$ for a limited length and it
enforces a strong limitation on the frequency resolution of the Fourier
transform. To calculate of resolution of FFT over $\mathscr{{E}}_{sw}(x,f)$
across $x$, consider that if we have a signal for length $T$ (in time), the
highest FFT resolution possible is $\frac{1}{T}$ [48]. Given SWWG length is
$L$, referring to (18), the frequency resolution ($\delta(f)$) turns out to be
$\displaystyle Res(f)=\delta(f)\rightarrow 2\frac{\delta
f}{c_{T}}L=1\rightarrow\delta(f)=\frac{c_{T}}{2L}\,.$ (23)
Given $c_{t}\approx c$, to reach a $1GHz$ frequency resolution we need a
$15cm$ wave-guide and to reach a $100MHz$ resolution we need a $1.5m$ wave-
guide. Such a long wave-guide may not be practical specially exploiting PCB or
MMIC implementation since it results in a huge attenuation of the signal along
the long wave-guide. Thus we may either employing alternative fabrication
technologies or the following technique to resolve this issue.
### IV-C Frequency Swiping Interferometry (Frequecny Code-book)
Instead of spectrometry via a long wave-guide, we can sample PDoAs for a group
of frequencies in $[f_{c}-\frac{B}{2},f_{c}+\frac{B}{2}]$ using a short wave-
guide. To this end, we divide the frequency band into $S_{f}$ frequency steps
(also referred as frequency code-book), each step is represented by a monotone
(pilot), and measure (12) for each pilot. We also divide the whole PS duration
into $S_{f}$ time slots and measure PDoA for each pilot at each time slot.
Since we measure PDoA for a monotone in each time slot, our approach bypasses
the need for a long SWWGs. Consider that, the number of pilots and the
distance between them (in $f$-domain) should provide us enough information to
detect all DoAs. Referring to (12), we measure $e^{j2\pi f\Delta t_{in}}$ for
each pilot, where $t_{in}$ can potentially changes between
$[-\frac{D}{c},\frac{D}{c}]$, therefore we should sample the phase difference
with at least $\frac{c}{2D}$ rate (Nyquist rate) in the $f$-domain to capture
all information regarding $\Delta t_{in}$, thence, the code-book should
contain at least
$\mathrm{min}\>S_{f}=\frac{B}{\frac{c}{2D}}=\frac{2BD}{c}\,,$ (24)
pilots (samples in $f$-domain). Consequently, we propose to establish a
directional link between two devices, both devices should send pilots, so the
other side can estimate DoAs of signal based on measuring PDoAs for all
pilots. Using our proposed technique, there is no need for spatial search and
all DoAs can be estimated via measuring PDoAs of pilots. Lets $f_{0}$ denotes
the frequency of the first monotone and $\Delta f_{0}=\frac{c}{2D}$ denotes
the distance between pilots in $f-$domain. Thus, the vector of all measured
phases for the frequency codebook ($\boldsymbol{\Delta\phi}$) can be expressed
as
$\displaystyle\boldsymbol{\Delta\phi}=\begin{bmatrix}e^{j2\pi f_{0}\Delta
t_{in}}&e^{j2\pi(f_{0}+\Delta f_{0})\Delta
t_{in}}&\dots&e^{j2\pi(f_{0}+(S_{F}-1)\Delta f_{0})\Delta
t_{in}}\end{bmatrix}$ $\displaystyle=e^{j2\pi f_{0}\Delta
t_{in}}\begin{bmatrix}1&e^{j2\pi(\Delta f_{0})\Delta
t_{in}}&\dots&e^{j2\pi((S_{F}-1)\Delta f_{0})\Delta t_{in}}\end{bmatrix}\,,$
(25)
where $\Delta t_{in}$ is the TDoA of signal between two antennas. (25) equals
to the vector of phase differences measured by a ULA
($\boldsymbol{\Delta\phi_{u}}$) with $S_{F}$ elements multiplied by $e^{j2\pi
f_{0}\Delta t_{in}}$
$\displaystyle\boldsymbol{\Delta\phi_{u}}=\begin{bmatrix}1&e^{j2\pi(\Delta
f_{0})\Delta t_{d}}&\dots&e^{j2\pi((S_{F}-1)\Delta f_{0})\Delta
t_{d}}\end{bmatrix}\,,$ (26)
where $\Delta t_{d}$ is the TDoA between of signal between two consecutive
elements and $\Delta f_{0}$ is the working frequency of ULA. In fact, we
reconstruct a ULA that works at frequency $\Delta f_{0}$ via PS that works at
much higher frequency $f_{0}$ 333More interestingly, $f_{0}$ and $\Delta
f_{0}$ are independent. $f_{0}$ should be high enough to provide us with
enough unused bandwidth required to emulate the ULA. Thus, PS is much more
applicable in mm-Wave and Terahertz bands becuase large swaths of spectrum is
available.. As $B$ increases the number of pilots (equivalent to ULA elements)
can increase and as $D$ increases $\Delta f_{0}$ decreases and again we can
increase the number of pilots which results in better angular resolution. In a
ULA, usually PDoAs of (26) are compensated by phase shifters at each elements
for different values of possible $\Delta t_{d}$s to find the best match with
$\boldsymbol{\Delta\phi_{u}}$ and detect the DoA (i.e. the spatial search). In
our technique, since we measure PDoAs using PS techniques we can find the
incoming DoA by digital signal processing. In section V we will show that
output of matched filter of (20) applied on (25) is very similar to output of
phase shifters applied on (26) (conventional beamforming). 444Throughout this
work, we only consider a simple matched filter on (25) to detect DoAs.
However, PS provides (25) in the digital domain, thus, much more complex
signal processing techniques can be applied. Future works may consider various
frequency sampling and corresponding array signal processing techniques to
improve PS performance.
### IV-D SNR Analysis
Long SWWGs is subject to suffering from a huge loss, specially in mmwave.
Since SNR is an absolutely critical factor when we deal with millimeter waves,
it is more practical not to attenuate the input signal in the receiver by
employing long SWWGs. In this section we analyse SNR of the technique that
employs a frequency code-book instead of a long SWWG. The block diagram of the
receiver using the frequency code-book technique is depicted in Figure 5. As
the figure illustrates, input signals pass through 3 stages until DoAs of
signal are detected. Each stage may improves SNR. To measure how much the
proposed receiver improves SNR we use the processing gain ($G_{p}$) metric
[49]. Procesing gain is defined as ratio of the SNR of a processed signal to
the SNR of the input signal. $G_{p}$ of the whole receiver can be expressed as
$G_{p}(total)=G_{p}(stage-1)G_{p}(stage-2)G_{p}(stage-3)\,.$ (27)
Now lets calculate the $G_{p}$ for each stage. We ignore losses caused by
hard-wares in our calculation. Consider a very basic formula that governs
$G_{p}$ of any arbitrary process [50]
$G_{p}=\frac{B_{rf}}{B_{info}}=B_{rf}T_{int}\,,$ (28)
where $B_{rf}$ in input bandwidth, and $B_{info}$ is the information bandwidth
and $T_{int}$ is the integration time. This formula states that you can
improve SNR of the input signal by integration as long as noise of samples are
independent, otherwise integration will amplify the noise the same as signal
and SNR won’t improve. To make it more clear, suppose that input signal
bandwidth is $1MHz$, and assume that it is sampled by $1MHz$ sampling rate.
Then we integrate the signal coherently for $1ms$, in other words, we
integrate $1000$ samples of the signal coherently. Consequently,
$G_{p}=1000=\frac{1Mhz}{1Khz}=1Mhz*1ms$. If we sample the signal with a higher
sampling rate, we will have more samples for integration, however, noise of
samples are correlated and the integration won’t result in higher SNRs. In
view of (28), lets calculate $G_{p}$ for the first stage. Given each monotone
of the code-book is received for $T_{p}$, assuming bandwidth of $B_{rf}$ for
the BPF, $G_{p}$ of the first stage can be formulated as,
$G_{p}(stage-1)=B_{rf}T_{p}\,.$ (29)
Consider that the only information that each ED measures is the amplitude of
the standing wave, which is constant during $T_{p}$, Therefore, the amplitude
can be estimated by integrating the input signal for $T_{p}$. To calculate
$G_{p}$ for the next stage, consider that the wave-guide length is $L$ which
is in order a wavelength, as we sample the standing wave through the wave-
guide, it is equivalent to sample the standing wave in time with a rate more
than $f_{c}$, since $B_{rf}$ is much less than $f_{c}$, noise of these samples
are not independent and integration at the second stage won’t result in any
SNR improvement. At the last stage we measure PDoAs for the frequency code-
book in different time slots, therefore noise of phase difference measurements
at each time slot is independent of all other time slots -even if frequencies
of pilots at two different time slots are the same-; therefore, PDoAs can be
integrated over all the code-book’s pilots and the processing gain of stage-3
can be expressed as
$G_{p}(stage-3)=S_{f}\,.$ (30)
Finally, the total $G_{p}$ (processing gain) of all stages is
$G_{p}(total)=B_{rf}T_{p}S_{f}\,.$ (31)
$T_{p}S_{f}$ equals total time spent on receiving pilots by the receiver, in
other words, using the proposed technique, we can make use of the whole
duration of DoA estimation procedure to improve input SNR and consequently,
improve DoA estimation precision. As we discussed earlier, directional
techniques spend substantial amount of time for spatial search to find the
other side of the link, moreover, both sides can not search for each other at
the same time which further increases the spatial search duration. Contrarily,
employing our proposed technique, both sides are able to search for the other
side at the same time and can take advantage of the whole search duration to
improve DoA estimation precision.
Figure 5: Block diagram of our proposed DoA estimation technique. Input SNR is
improved through stages 1 and 3. In stage-1, a monotone signal is received at
two antennas and passes through a band-pass-filter (BPF) via each path. After
amplification via a low-noise-amplifier (LNA) in each path, both signals enter
a wave-guide to form a standing-wave. Amplitude of the standing-wave is
measured by a group of energy detector (ED) sensors, which inherently are low-
pass filters and therefore, improves the SNR. Then the amplitude is sampled
and can be integrated during each monotone time-step ($T_{p}$). After
sampling, signal passes through a phase detector. Finally, PDoAs measured for
all frequencies of the code-book are used to estimate DoAs using a matched
filter which improves SNR for the second time.
### IV-E Uplink and Downlink DoA Estimation
In this section we are going to answer the following question: ”How does PS
perform in the presence of multiple users? How many devices can find their
relative angles simultaneously using PS?” to answer these questions assume the
following scenario: There is a base-station (BS) and $N_{d}$ devices around it
in an environment, all devices require to estimate signal DoAs from the base-
station (downlink), and the base-station requires to know DoAs of signals from
devices (uplink). In downlink scenario, it is only required that BS sends one
common code-book and all devices can find DoA of BS by measureing PDoAs of
pilots of the common code-book. However, the uplink scenario is more
complicated. If all devices send the same code-book it is impossible for the
BS to distinguish between DoAs. Therefore, devices’ code-books have to be
orthogonal either in time or frequency. If the BS can split the code-book band
($B$) to $N_{rf}$ sub-bands and uses an exclusive SWR for each sub-band, it
can estimate DoA from $N_{rf}$ devices simultaneously (Figure 6), since,
$N_{rf}$ different frequency code-books can be processed simultaneously at the
BS. Considering, the BS can be equipped by antennas with much larger $D$ and
more complicated receivers than devices, the BS can estimate DoA from multiple
devices simultaneously.
Figure 6: In uplink scenario, to be capable of discriminating between DoAs of
multiple devices, the BS requires to be equipped with two filter-banks at both
lines of it’s PIA, and a separate SWR for each frequency sub-band.
### IV-F Ultra-fast DoA Estimation
As we discussed in section IV-C, we suggest measuring PDoAs for multiple
frequencies over multiple time slots to avoid using a large SWWG. In that
architecture, we assumed we can only use a single SWR. Therefore, we have to
measure PDoA of different pilots at different time slots. Nevertheless,
instead of using a single SWR, it is possible to use a cascade of multiple
SWRs, discriminating between multiple pilots using a filter bank, and find the
PDoA for each monotone exploiting a specific SWR (the architecture is
presented in Figure 6). Using such an architecture, we can estimate all
incoming DoAs in a single time slot, without any negative impact on the
processing gain and the DoA estimation precision. Such an ultra-fast DoA
estimation has not been previously possible using directional antennas, since
those techniques are bound to spatial search. Ultra-fast DoA estimation using
PS requires more complex hardwares in comparison to the technique introduced
in section IV-C, which may make it overpriced or oversized to be implemented
on commercial mobile phones. However, it may be very promising for
applications such as radar, mm-wave network backhaul, UAV and satellite
communications, where more complex and bulky hard-wares can be implemented on
devices.
## V Simulation Results
In this section, the perfromance of the proposed DoA estimation technique for
different parameters is studied.
### V-A Simulation Setup and Results
In the first simulation, we examine a basic scenario where a signal arrive at
PIA through only one path, therefore, there is only one DoA to be estimated.
We set $f_{c}=60GHz$, $B=10GHz$, the steps of the codebook is 40 and pilots
are selected equally spaced from $55GHz$ to $65GHz$ and $T_{p}=1\mu s$,
$c=3*10^{8}\frac{m}{s}$, $D=20cm$, $L=2.5mm$ and the number of EDs along the
SWWG is set to 30. The received $SNR$ in each antenna is set to $20dB$ and the
DoA of the signal is set to $60^{o}$. Figure 7 shows the result of applying
the matched filter of (20) for differnt $\theta$. As Figure 7 illustrates the
output shows a distinctive peak at $60^{o}$. Moreover, Figure 7 illustrates
that PS output pattern is similar to beam-pattern of a ULA with 13 elements.
This result may seem contradictory to (8), which indicates that FIM of angle
estimation using PIMs equals to a FIM of a ULA with $m$ elements, in which $m$
obeys (8), that results in $m=33$ applying the mentioned parameters. Keep in
mind that, (6) shows CRLB of angle estimation using PIMs if and only if signal
from one source is received at PIA, on the other hand, Figure 7 shows how PS
can discriminate between two or more signals if they are originated from
different DoAs. As (6) indicates, this bound is only a function of $D$ and
SNR, while (22) shows that DoA estimation resolution is a function of $BD$,
which means our technique can discriminate between two incoming DoAs if and
only if $B$ is wide enough.
Figure 7: The matched filter of (20) is applied to phase differences measured
for 40 pilots of a frequency code-book that changes between $[55,65]GHz$ and
the output is plotted for $\theta$ between $[0,180]^{o}$ and is compared with
a beam pattern of a ULA with 13 elements [51]. $DoA_{in}=60^{o}$,
$f_{c}=60Ghz$, $SNR_{in}=20dB,\frac{BD}{c}=6.67$. $D=20cm$
In the following simulation we are going to study DoA estimation resolution of
the technique. In this simulation, parameters are the same as the first
simulation, unless, we assume that the signal received at PIA from two
different paths and two different DoAs, we investigate whether the proposed
technique can distinguish between these two DoAs or not. Figure 8 shows the
matched filter output for 4 different pairs of DoAs, the gap between 2 DoAs
are $20^{o},15^{o},10^{o},5^{o}$ respectively. As Figure 8 illustrates, when
the gap between two DoAs is $20^{o}$, two lobs regarding each DoA are
completely separated and distinguishable. When the gap resuces to $15^{o}$,
two lobs start merging together, however, two peaks regarding two DoAs are
again distinguishable. As the gap further reduces to $10^{o}$, two lobes
merges more and two peaks are hardly distinguishable. And finally when the gap
reduces to $5^{o}$, two lobes completely merge together and two peaks are not
distinguishable. With respect to (22), the DoA resolution with $B=10Ghz$ and
$D=20cm$ is approximated to be $17^{o}$. Since we calculate (22) assuming
matched filters of two DoAs are perpendicular to each other, which means that
two lobes are completely separated, thus simulations results are in compliance
with (22). However, it seems that it is a strict metric for DoA resolution, to
assume that two DoA are resolvable only if two lobes are completely separated.
In practice, we may use $75\%$ or $50\%$ of (22) as a more realistic metric of
the resolution. In Figure 9, we illustrate matched filter main-lobe width and
(22) versus the parameter $\frac{BD}{c}$, given $DoA=60^{o}$. Main-lobe width
is defined as the gap between the minimum and the maximum $\theta$ in which
the matched filter output is closer than 3db to its peak. As Figure 9
expresses, main-lobe width for $\frac{BD}{c}=6.67$ is $8.5^{o}$ which is half
of the figure calculated by (22), moreover, this proportion between main-lobe
width and (22) almost holds for every $\frac{BD}{c}$. Therefore we can use
half of (22) as the DoA estimation resolution if we consider the more
practical main-lobe width metric.
Figure 8: To analyse DoA estimation resoltion, the matched filter outputs are
depicted for 4 different pairs of incoming DoAs : (a) $40^{o},60^{o}$, (b)
$45^{o},60^{o}$, (c) $50^{o},60^{o}$, (d) $55^{o},60^{o}$.
$SNR_{in}=20dB,\frac{BD}{c}=6.67$. Figure 9: DoA estimation resolution based
on the main-lobe width metric and the meric introduced by (22).
$SNR_{in}=20dB$, $DoA=60^{o}$
In the next simulation we analyse the effect of input SNR on DoA estimation
error for differnt values of $B$ and $D$. In this simulation, input SNR
changes in the interval $[-15,20]dB$. To analyse the error we calculate the
root-mean-square error (RMSE) for each input SNR, by repeating the simulation
1000 times and find the average of SE for each SNR. Figure 10 illustrates RSME
of DoA estimation error. As Figure 10 illustrates angle estimation error
depends on $BD$, as $BD$ and SNR increases, error declines. Similarly, Figure
11 shoes that error CDF of PIAs with equal $BD$ factors are roughly the same.
This is consistent with our results on angle resolution. However, it may seems
inconsistent with (6), which indicates that CRLB of DoA estimation decrease in
proportion to $D$ not $BD$, this is because we employ matched filter of (20)
to find the DoA. To improve the precision, future works may considering using
the output of the matched filter only to disambiguate the phase to a valid
TDoA and estimate DoA directly based on the TDoA.
In the next simulation we consider a scenario in which, frequency steps, band-
width, antenna gap and integration time is strongly limited. In this scenario,
the source can only send 4 pilots at $[59.5,59.83,60.16,60.5]GHz$, $D=1cm$,
$T_{p}=100ns$ and the whole number of available time slots is $M$. The source
send those four frequencies in $M$ time slots respectively and repeats sending
them until covers the whole $M$ slots. Consequently, the integration time is
$MT_{p}$ -the maximum integration time in this simulation is $16\mu s$-. We
also assume there is only one incoming DoA at the PIA, since the PIA is not
able to discriminate between two DoAs because of limited bandwidth and short
antennas’ gap. As Figure 12 shows, the proposed technique is able to estimate
DoA with RMSE less than $10^{o}$ if input SNR is high enough, for $M=160$
input SNR should be above $7dB$ and for $M=20$ input SNR should be above 16dB.
Therefore, as input SNR levels decreases we should increase integration time
of our technique to provide us with acceptable DoA estimation precision.
In the next simulation, parameters are the same, unless there is a NLoS path
($30^{o}$) besides the LoS ($90^{o}$) path with a power 15 dB less than LoS
path. This simulation is consistant with the experimental results of [4] on
distribution of DoA paths between TX and RX in an urban environment in
Brooklyn, New York. In This simulation integration time is set to $40\mu s$.
As Figure 13 shows existence of the second path does not have a considerable
effect on RMSE of the proposed technique. Therefore, it seems that even a very
simplified version of the proposed technique (narrow beam-width, short antenna
gap) can be used in real world practical mm-wave DoA estimation applications.
In the next simulation, we investigate DoA estimation precision based on power
of NLoS path. Given LoS path arrives at $90^{o}$ and NLoS path arrives at
$30^{o}$ at the PIA, Figure 13 depicts RMSE of DoA estimation versus power
ratio of LoS path to NLoS path. we set the integration time to be $4\mu s$,
since NLoS path can be considered as a coherent interference, thus SIR won’t
be improved by integration. Figure 13 expresses that RMSE drops below $10^{o}$
when SIR is higher than $8dB$ and $5^{o}$ when SIR in higher than $12dB$.
Referring to [4], the power of the strongest NLoS path expects to be more than
15dB weaker than the LoS path in a dense urban environment, therefore we
expect that the proposed technique can estimate DoA of LoS path in an urban
environment with error less than $3^{o}$ even when the available bandwidth is
very limited (e.g. 1GHz) and the antenna gap is very short (1cm). Such a
performance make PS a promising technique for beam initialization requirements
of 5G networks, since the required band-width is easily accessible in mm-wave
and the PIA size is very small that make it easily implementable on any
device.
In the last simulation we compare the performance of PS technique with a ULA
(beamforming) in terms of DoA estimation precision of a single incoming path.
ULA exploits beamforming to steer its beam and compare received power from
different angles to find DoA. Figure 15 depics RSME of DoA estimation for 3
PIAs with different values of $D$ and $B$ and 3 ULAs with different number of
array elements. In this simulation, we suppose that ULA is able to integrate
the received signal coherently for $T_{p}$, we also set $T_{p}=100ns$ and
$M=200$, therefore the total integration time of the PIA is $20\mu s$ . The
$B_{rf}$ for ULA and PIA is the same and is set to $100MHz$. As the figure
illustrates, the performance of the PIA with $D=10cm$ and $B=10GHz$ is
approximately equal to ULA with 20 antennas equally spaced with half
wavelength gap (array aperture is 5cm) especially for SNR above -9 dB.
Moreover, performance of ULA with 4 elements is close to PIA with $BD=10^{8}$.
Consider that for SNRs above -3 dB, the RMSE is less than $5^{o}$ for an array
with 4 elements, while, beam-width of the array is about $30^{o}$. If such
wide beam antenna uses for communication, the angle estimation precision is
much more than what is required. As we discussed in section III-B, angle
estimation precision and beamwidth are not coupled and there is no necessity
for antennas of SDMA and IA tasks to be the same. Moreover, even when array
aperture is small and the number of array elements is few, to obtain a DoA
with desirable accuracy a long spatial search is required. For example, to
reach an accuracy of $1^{o}$, any directional antenna with an arbitrary beam-
width requires to search at least 180 points to cover a $180^{o}$ area, in a
2D scenario. On the other hand, to improve PS precision we can simply increase
the gap between two antennas and therefore no more complex hardware is
required. Furthermore, better precision with ULA requires narrower beams and
consequently more time is needed for spatial search to perform the IA task. On
the other hand, since no spatial search is required by PS technique, we can
obtain an initial guess of DoA very fast, and gradually improve the precision
of the estimation by improving SNR through integration.
## VI Conclusion
In this paper, we have introduced DoA estimation via SWR. We have shown that
how SWR measures phase difference between two antennas for different
frequencies named as PDoAs. We have considered two different implementation
schemes for PS: 1- using a long wave-guide to measure amplitude of a standing
wave, produced by interaction between two waves received at the two antennas
2- measuring the amplitude of the standing wave inside a short wave-guide for
different frequencies of a frequency code-book at different time slots.
Moreover, for the second scheme, we have explained that we can use a cascade
of multiple PS receivers to measure PDoAs at different frequencies
concomitantly. We have developed a signal processing method to extract
multiple simultaneous DoAs from PDoAs. We have analyzed processing gain of the
technique and discussed that we can take advantage of the required time for
spatial search essential for directional techniques to improve DoA estimation
precision in PS. Finally, we have analyzed that IA and SD tasks of mobile
directional communication can be separated and performed via two dedicated
antennas; IA can be performed by PS, SD can be by performed by an array. The
separation between these two tasks, reduces delay and overhead and increases
communication capacity. Our results have shown that, PS can perform similar to
an array, while the required receiver is much less complex than the array
receiver, and the spatial search required for DoA estimation can be bypassed.
Figure 10: RSME of DoA estimation versus input SNR for differnt valus of $B$
and $D$. $DoA=60^{o}$, $S_{f}=40$,$B_{rf}T_{p}=100$.
(a) SNR=-10dB
(b) SNR=-5dB
(c) SNR=0dB
(d) SNR=5dB
Figure 11: CDF of angle estimation error for differnt values of $D$, $B$ and
input SNR. $DoA=60^{o}$, $S_{f}=40$,$B_{rf}T_{p}=100$. Figure 12: RSME of DoA
estimation. Source only transmits four pilots at $[59.5,59.83,60.16,60.5]GHz$,
each in a time slot with duration $T_{p}$, source repeats emitting these
monotones for $M$ time slots. $DoA=60^{o}$, $T_{p}=100ns$, $B_{rf}=100MHz$,
$D=1cm$. Figure 13: RSME of DoA estimation, signal receives at PIA via two
paths, one LoS path ($90^{o}$) and one NLoS path ($30^{o}$) in the presence of
coherent interference. Source only transmits four pilots at
$[59.5,59.83,60.16,60.5]GHz$. $SIR=15dB$, $M=400$, $DoA=60^{o}$,
$T_{p}=100ns$, $B_{rf}=100MHz$, $D=1cm$. Figure 14: Signal receives at PIA via
two paths, one LoS path ($90^{o}$) and one NLoS path ($30^{o}$), . $M=40$,
$T_{p}=100ns$, $B_{rf}=100MHz$, $D=1cm$ and source frequency codebook is
$[59.5,59.83,60.16,60.5]GHz$. Figure 15: Comparing PS with ULA with half-
wavelength gap between array elements in terms of DoA estimation precision.
$M=200$, $T_{p}=100ns$, $B_{rf}=100MHz$
## References
* [1] Federico Boccardi, Robert W Heath, Angel Lozano, Thomas L Marzetta, and Petar Popovski, “Five disruptive technology directions for 5g,” IEEE Communications Magazine, vol. 52, no. 2, pp. 74–80, 2014.
* [2] Mamta Agiwal, Abhishek Roy, and Navrati Saxena, “Next generation 5g wireless networks: A comprehensive survey,” IEEE Communications Surveys & Tutorials, vol. 18, no. 3, pp. 1617–1655, 2016.
* [3] Farzam Hejazi, Katarina Vuckovic, and Nazanin Rahnavard, “Dyloc: Dynamic localization for massive mimo using predictive recurrent neural networks,” arXiv preprint arXiv:2101.07848, 2021.
* [4] Theodore S Rappaport, Shu Sun, Rimma Mayzus, Hang Zhao, Yaniv Azar, Kevin Wang, George N Wong, Jocelyn K Schulz, Mathew Samimi, and Felix Gutierrez, “Millimeter wave mobile communications for 5g cellular: It will work!,” IEEE access, vol. 1, pp. 335–349, 2013.
* [5] Theodore S Rappaport, Yunchou Xing, Ojas Kanhere, Shihao Ju, Arjuna Madanayake, Soumyajit Mandal, Ahmed Alkhateeb, and Georgios C Trichopoulos, “Wireless communications and applications above 100 ghz: Opportunities and challenges for 6g and beyond,” IEEE Access, vol. 7, pp. 78729–78757, 2019.
* [6] SK Agrawal and Kapil Sharma, “5g millimeter wave (mmwave) communications,” in 2016 3rd International Conference on Computing for Sustainable Global Development (INDIACom). IEEE, 2016, pp. 3630–3634.
* [7] Juergen Dickmann, Jens Klappstein, Markus Hahn, Nils Appenrodt, Hans-Ludwig Bloecher, Klaudius Werber, and Alfons Sailer, “Automotive radar the key technology for autonomous driving: From detection and ranging to environmental understanding,” in 2016 IEEE Radar Conference (RadarConf). IEEE, 2016, pp. 1–6.
* [8] Thomas Nitsche, Carlos Cordeiro, Adriana B Flores, Edward W Knightly, Eldad Perahia, and Joerg C Widmer, “Ieee 802.11 ad: directional 60 ghz communication for multi-gigabit-per-second wi-fi,” IEEE Communications Magazine, vol. 52, no. 12, pp. 132–141, 2014\.
* [9] Marco Giordani, Marco Mezzavilla, and Michele Zorzi, “Initial access in 5g mmwave cellular networks,” IEEE Communications Magazine, vol. 54, no. 11, pp. 40–47, 2016\.
* [10] Marco Giordani, Michele Polese, Arnab Roy, Douglas Castor, and Michele Zorzi, “A tutorial on beam management for 3gpp nr at mmwave frequencies,” IEEE Communications Surveys & Tutorials, vol. 21, no. 1, pp. 173–196, 2018.
* [11] Marco Giordani, Michele Polese, Arnab Roy, Douglas Castor, and Michele Zorzi, “Standalone and non-standalone beam management for 3gpp nr at mmwaves,” IEEE Communications Magazine, vol. 57, no. 4, pp. 123–129, 2019\.
* [12] Yasser M Sabry, Diaa Khalil, and Tarik Bourouina, “Monolithic silicon-micromachined free-space optical interferometers onchip,” Laser & Photonics Reviews, vol. 9, no. 1, pp. 1–24, 2015.
* [13] Reinoud F Wolffenbuttel, “Mems-based optical mini-and microspectrometers for the visible and infrared spectral range,” Journal of Micromechanics and Microengineering, vol. 15, no. 7, pp. S145, 2005.
* [14] Vladislav Jovanov, Jordan Ivanchev, and Dietmar Knipp, “Standing wave spectrometer,” optics express, vol. 18, no. 2, pp. 426–438, 2010.
* [15] Merrill I Skolnik, RADAR systems, McGraw-Hill, NY, 2001.
* [16] Billur Barshan and Roman Kuc, “A bat-like sonar system for obstacle localization,” IEEE Transactions on systems, man, and cybernetics, vol. 22, no. 4, pp. 636–646, 1992.
* [17] Richard Poisel, Electronic warfare target location methods, Artech House, 2012.
* [18] F Hejazi, Yaser Norouzi, and Mohammad Mehdi Nayebi, “Lower bound of error in aoa based passive source localization using single moving platform,” in East-West Design & Test Symposium (EWDTS 2013). IEEE, 2013, pp. 1–4.
* [19] F Hejazi, MM Khalili, Y Norouzi, and MM Nayebi, “A new pseudolinear solution to bearing-only tracking,” in 2013 IEEE Radar Conference (RadarCon13). IEEE, 2013, pp. 1–4.
* [20] MM Khalili, F Hejazi, Y Norouzi, and MM Nayebi, “Secant method for bearing-only tracking problem,” in 2013 14th International Radar Symposium (IRS). IEEE, 2013, vol. 1, pp. 393–398.
* [21] Robert J Mailloux, Phased array antenna handbook, Artech house, 2017.
* [22] Shajahan Kutty and Debarati Sen, “Beamforming for millimeter wave communications: An inclusive survey,” IEEE Communications Surveys & Tutorials, vol. 18, no. 2, pp. 949–973, 2015.
* [23] Binqi Yang, Zhiqiang Yu, Ji Lan, Ruoqiao Zhang, Jianyi Zhou, and Wei Hong, “Digital beamforming-based massive mimo transceiver for 5g millimeter-wave communications,” IEEE Transactions on Microwave Theory and Techniques, vol. 66, no. 7, pp. 3403–3418, 2018.
* [24] Foad Sohrabi and Wei Yu, “Hybrid digital and analog beamforming design for large-scale antenna arrays,” IEEE Journal of Selected Topics in Signal Processing, vol. 10, no. 3, pp. 501–513, 2016.
* [25] Andreas F Molisch, Vishnu V Ratnam, Shengqian Han, Zheda Li, Sinh Le Hong Nguyen, Linsheng Li, and Katsuyuki Haneda, “Hybrid beamforming for massive mimo: A survey,” IEEE Communications Magazine, vol. 55, no. 9, pp. 134–141, 2017\.
* [26] Shao-Yu Lien, Shin-Lin Shieh, Yenming Huang, Borching Su, Yung-Lin Hsu, and Hung-Yu Wei, “5g new radio: Waveform, frame structure, multiple access, and initial access,” IEEE communications magazine, vol. 55, no. 6, pp. 64–71, 2017.
* [27] Redy Mardiana and Zen Kawasaki, “Broadband radio interferometer utilizing a sequential triggering technique for locating fast-moving electromagnetic sources emitted from lightning,” IEEE transactions on instrumentation and measurement, vol. 49, no. 2, pp. 376–381, 2000.
* [28] Ying-Wah Wu, Stephen Rhodes, and Edgar H Satorius, “Direction of arrival estimation via extended phase interferometry,” IEEE transactions on aerospace and electronic systems, vol. 31, no. 1, pp. 375–381, 1995.
* [29] Farzam Hejazikookamari, Yaser Norouzi, Elham Sadat Kashani, and Mohammad Mahdi Nayebi, “A novel method to detect and localize lpi radars,” IEEE Transactions on Aerospace and Electronic Systems, vol. 55, no. 5, pp. 2327–2336, 2018.
* [30] Farzam Hejazi Kookamari, Yaser Norouzi, and Mohammad Mahdi Nayebi, “Using a moving aerial platform to detect and localise a low probability of intercept radar,” IET Radar, Sonar & Navigation, vol. 11, no. 7, pp. 1062–1069, 2017\.
* [31] F Hejazi, Y Norouzi, and MM Nayebi, “Sar processing to localize lpi radars,” in 2014 International Radar Conference. IEEE, 2014, pp. 1–4.
* [32] Farzam Hejazi, Mohsen Joneidi, and Nazanin Rahnavard, “A tensor-based localization framework exploiting phase interferometry measurements,” in 2020 IEEE International Radar Conference (RADAR). IEEE, 2020, pp. 554–559.
* [33] Farzam Hejazi, Mohsen Joneidi, and Nazanin Rahnavard, “Wireless source localization utilizing an airborne interferometry,” .
* [34] Mohsen Joneidi, Ismail Alkhouri, and Nazanin Rahnavard, “Large-scale spectrum occupancy learning via tensor decomposition and lstm networks,” arXiv preprint arXiv:1905.04392, 2019.
* [35] Murat Kebeli, “Extended symmetrical aperture direction finding using correlative interferometer method,” in 2011 7th International Conference on Electrical and Electronics Engineering (ELECO). IEEE, 2011, pp. II–209.
* [36] Sajjad Mollai and Forouhar Farzaneh, “Compact cross form antenna arrays intended for wideband two dimensional interferometric direction finding including the channel phase tracking error,” AEU-International Journal of Electronics and Communications, vol. 83, pp. 558–565, 2018.
* [37] Sajjad Mollai and Forouhar Farzaneh, “Wideband two dimensional interferometric direction finding algorithm using base-triangles and a proposed minimum planar array,” AEU-International Journal of Electronics and Communications, vol. 105, pp. 163–170, 2019.
* [38] Stephen Searle, “Disambiguation of interferometric doa estimates in vehicular passive radar,” IET Radar, Sonar & Navigation, vol. 12, no. 1, pp. 64–73, 2017\.
* [39] Alfonso Farina, “Target tracking with bearings–only measurements,” Signal processing, vol. 78, no. 1, pp. 61–78, 1999.
* [40] Joe C Chen, Kung Yao, and Ralph E Hudson, “Source localization and beamforming,” IEEE Signal Processing Magazine, vol. 19, no. 2, pp. 30–39, 2002\.
* [41] Federico Penna and Danijela Cabric, “Bounds and tradeoffs for cooperative doa-only localization of primary users,” in 2011 IEEE Global Telecommunications Conference-GLOBECOM 2011. IEEE, 2011, pp. 1–5.
* [42] Gabor Vinci, Francesco Barbon, Robert Weigel, and Alexander Koelpin, “A novel, wide angle, high resolution direction-of-arrival detector,” in 2011 8th European Radar Conference. IEEE, 2011, pp. 265–268.
* [43] Michael Steer, Microwave and RF design, Chapter 4, pp. 178-200, NC State University, 2019.
* [44] Robert W Heath, Nuria Gonzalez-Prelcic, Sundeep Rangan, Wonil Roh, and Akbar M Sayeed, “An overview of signal processing techniques for millimeter wave mimo systems,” IEEE journal of selected topics in signal processing, vol. 10, no. 3, pp. 436–453, 2016.
* [45] Alan V Oppenheim and George C Verghese, Signals, systems and inference, pp. 32-34, Pearson, 2015.
* [46] Ralph Schmidt, “Multiple emitter location and signal parameter estimation,” IEEE transactions on antennas and propagation, vol. 34, no. 3, pp. 276–280, 1986.
* [47] Vladilen F Pisarenko, “The retrieval of harmonics from a covariance function,” Geophysical Journal International, vol. 33, no. 3, pp. 347–366, 1973.
* [48] Alan V Oppenheim, Discrete-time signal processing, Pearson Education India, 1999.
* [49] Tony J Rouphael, RF and digital signal processing for software-defined radio: a multi-standard multi-mode approach, Newnes, 2009.
* [50] Robert C Dixon, Spread spectrum systems: with commercial applications, vol. 994, Wiley New York, 1994.
* [51] Meng Hwa Er, “Linear antenna array pattern synthesis with prescribed broad nulls,” IEEE Transactions on Antennas and Propagation, vol. 38, no. 9, pp. 1496–1498, 1990.
| arxiv-papers | 2021-07-25T21:05:28 | 2024-09-04T03:07:17.250086 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Farzam Hejazi, Nazanin Rahnavard",
"submitter": "Farzam Hejazi Kookamari",
"url": "https://arxiv.org/abs/2107.11891"
} |
2107.11893 | # Hausdorff operators associated with the Opdam–Cherednik transform in
Lebesgue spaces
Shyam Swarup Mondal and Anirudha Poria Department of Mathematics, Indian
Institute of Technology Guwahati, Guwahati 781039, India
[email protected] Department of Mathematics, Bar-Ilan University,
Ramat-Gan 5290002, Israel [email protected]
###### Abstract.
In this paper, we introduce the Hausdorff operator associated with the
Opdam–Cherednik transform and study the boundedness of this operator in
various Lebesgue spaces. In particular, we prove the boundedness of the
Hausdorff operator in Lebesgue spaces, in grand Lebesgue spaces, and in quasi-
Banach spaces that are associated with the Opdam–Cherednik transform. Also, we
give necessary and sufficient conditions for the boundedness of the Hausdorff
operator in these spaces.
###### Key words and phrases:
Hausdorff operator; Opdam–Cherednik transform; Lebesgue spaces; grand Lebesgue
spaces; quasi-Banach spaces
###### 2010 Mathematics Subject Classification:
Primary 47G10; Secondary 44A15, 46E30, 43A32.
Research supported by ERC Starting Grant No. 713927.
## 1\. Introduction
One of the most important operators in harmonic analysis is the Hausdorff
operator, and it is extremely useful in solving certain classical problems in
analysis. This operator originated from some classical summation methods and
the Markov moment problem. The Hausdorff operator is deeply rooted in the
study of one-dimensional Fourier analysis and has become an essential part of
modern harmonic analysis. To study the summability of number series, Hausdorff
in [19] introduced this operator. Then the theory on this operator developed
in various directions, for instance, the Hausdorff summability of Fourier
series and Hausdorff mean of Fourier–Stieltjes transforms (see [18, 17]). To
discuss the importance of the Hausdorff operator in more detail, we begin with
recalling the definition of this operator. Let $\phi$ be a locally integrable
function on the half-line $(0,\infty)$, then the Hausdorff operator $H_{\phi}$
on $\mathbb{R}$ is defined by
$H_{\phi}(f)(x)=\int_{0}^{\infty}\frac{\phi(t)}{t}f\left(\frac{x}{t}\right)\;dt.$
By choosing the kernel function $\phi$ appropriately, one can get many
classical operators in analysis as a special case of the Hausdorff operator
such as the Cesàro operator, Hardy operator, adjoint Hardy operator,
Hardy–Littlewood–Pólya operator, Riemann–Liouville fractional integral
operator, and many other well-known operators (see [15, 2, 9, 30, 31, 34]).
For a detailed study on the historical development, background, and
applications of the Hausdorff operator, we refer to the excellent survey
articles of Liflyand [28] and Chen et al. [7].
Considerable attention has been devoted to study the basic properties of the
Hausdorff operator in various settings. In particular, the boundedness of this
operator in different spaces was extensively investigated by many authors. For
example, the boundedness of the Hausdorff operator was obtained in Lebesgue
spaces (see [4, 5, 6, 7, 25, 29]), in the one-dimensional Hardy space
$H^{1}(\mathbb{R})$ (see [27, 32]), in the Hardy space
$H^{1}(\mathbb{R}^{n}),n\geq 2$ (see [8, 36, 44]), and in other function
spaces (see [16, 33]). Further, the Hausdorff operator was studied on the
Heisenberg group in [40], and weighted Herz space estimates for this operator
on the Heisenberg group were obtained in [41]. Recently, Daher and Saadi in
[11, 10] studied the boundedness of the Dunkl–Hausdorff operator in Lebesgue
spaces and in the real Hardy space. Motivated by the recent developments of
Hausdorff operators and to discovering generalizations for this operator to
new contexts, in this paper, we introduce the Hausdorff operator associated
with the Opdam–Cherednik transform and study the boundedness of this operator
in different Lebesgue spaces.
The motivation to study the Hausdorff operator associated with the
Opdam–Cherednik transform in various Lebesgue spaces arises from the Hausdorff
operator for the Dunkl transform on function spaces. In the setting of this
transform, we aim to study some basic properties of the Hausdorff operator in
Lebesgue spaces. The Opdam–Cherednik transform has a significant contribution
to harmonic analysis (see [1, 37, 38, 42]). An important motivation to study
the Jacobi–Cherednik operator arises from their relevance in the algebraic
description of exactly solvable quantum many-body systems of
Calogero–Moser–Sutherland type (see [12, 22]) and they play a crucial role in
the study of special functions with root systems (see [13, 20]). These
describe algebraically integrable systems in one dimension and have gained
considerable interest in mathematical physics. A detailed study on the
development and applications of the Jacobi–Cherednik operator and
Opdam–Cherednik transform can be found in [42, 3, 20, 37, 43]. For some recent
works on the Opdam–Cherednik transform, we refer to [1, 26, 39, 35].
The purpose of this paper is to study the boundedness of the Hausdorff
operator in various Lebesgue spaces associated with the Opdam–Cherednik
transform. Mainly, we prove that this operator is bounded in Lebesgue spaces
$L^{p}(\mathbb{R},A_{\alpha,\beta})$, in grand Lebesgue spaces, and in quasi-
Banach spaces. Also, we obtain necessary and sufficient conditions for the
boundedness of the Hausdorff operator in these spaces. The motivation and main
idea to study the Hausdorff operator in various Lebesgue spaces come from [4],
where the authors studied the boundedness of the Hausdorff operator in various
Lebesgue spaces. Here, we prove that the Hausdorff operator is bounded in
various Lebesgue spaces associated with the Opdam–Cherednik transform. The
proofs of these results are based on techniques used in [4].
The remainder of this paper is structured as follows. In Section 2, we present
some preliminaries related to the Opdam–Cherednik transform. In Section 3, we
introduce and study the Hausdorff operator associated with the Opdam–Cherednik
transform in different Lebesgue spaces. First, we show that, like in the case
of the Fourier transform, the Hausdorff operator satisfies a similar relation
for the Opdam–Cherednik transform. Then, we study the boundedness of the
Hausdorff operator in Lebesgue spaces $L^{p}(\mathbb{R},A_{\alpha,\beta})$,
and provide necessary and sufficient conditions for the
$L^{p}(\mathbb{R},A_{\alpha,\beta})$-boundedness of this operator. Also, we
prove the boundedness of the Hausdorff operator in grand Lebesgue spaces and
in quasi-Banach spaces associated with the Opdam–Cherednik transform. Further,
we give necessary and sufficient conditions for the boundedness of the
Hausdorff operator in these spaces.
## 2\. Harmonic analysis and the Opdam–Cherednik transform
In this section, we recall some necessary definitions and results related to
the Opdam–Cherednik transform. For a detailed study on harmonic analysis
related to this transform, one can look at [37, 42, 3]. Here, we mainly adopt
the notation and terminology given in [39].
Let $T_{\alpha,\beta}$ denote the Jacobi–Cherednik differential–difference
operator (also called the Dunkl–Cherednik operator)
$T_{\alpha,\beta}f(x)=\frac{d}{dx}f(x)+\Big{[}(2\alpha+1)\coth
x+(2\beta+1)\tanh x\Big{]}\frac{f(x)-f(-x)}{2}-\rho f(-x),$
where $\alpha,\beta$ are two parameters satisfying
$\alpha\geq\beta\geq-\frac{1}{2}$ and $\alpha>-\frac{1}{2}$, and
$\rho=\alpha+\beta+1$. Let $\lambda\in{\mathbb{C}}$. The Opdam–Cherednik
hypergeometric functions $G^{\alpha,\beta}_{\lambda}$ on $\mathbb{R}$ are
eigenfunctions $T_{\alpha,\beta}G^{\alpha,\beta}_{\lambda}(x)=i\lambda
G^{\alpha,\beta}_{\lambda}(x)$ of $T_{\alpha,\beta}$ that are normalized such
that $G^{\alpha,\beta}_{\lambda}(0)=1$. The eigenfunction
$G^{\alpha,\beta}_{\lambda}$ is given by
$G^{\alpha,\beta}_{\lambda}(x)=\varphi^{\alpha,\beta}_{\lambda}(x)-\frac{1}{\rho-i\lambda}\frac{d}{dx}\varphi^{\alpha,\beta}_{\lambda}(x)=\varphi^{\alpha,\beta}_{\lambda}(x)+\frac{\rho+i\lambda}{4(\alpha+1)}\sinh
2x\;\varphi^{\alpha+1,\beta+1}_{\lambda}(x),$
where
$\varphi^{\alpha,\beta}_{\lambda}(x)={}_{2}F_{1}\left(\frac{\rho+i\lambda}{2},\frac{\rho-i\lambda}{2};\alpha+1;-\sinh^{2}x\right)$
is the classical Jacobi function.
For every $\lambda\in{\mathbb{C}}$ and $x\in\mathbb{R}$, the eigenfunction
$G^{\alpha,\beta}_{\lambda}$ satisfies
$|G^{\alpha,\beta}_{\lambda}(x)|\leq
C\;e^{-\rho|x|}e^{|\text{Im}(\lambda)||x|},$
where $C$ is a positive constant. Since $\rho>0$, we have
$|G^{\alpha,\beta}_{\lambda}(x)|\leq C\;e^{|\text{Im}(\lambda)||x|}.$
The Heckman–Opdam hypergeometric functions $F_{\lambda}^{\alpha,\beta}$
satisfy $F_{\lambda}^{\alpha,\beta}(tx)=F_{\lambda t}^{\alpha,\beta}(x),$ for
every $x,t\in\mathbb{R}$ (see [21]). Since the Heckman–Opdam and
Opdam–Cherednik hypergeometric functions are related to each other (see[26,
42, 37]), the hypergeometric functions $G^{\alpha,\beta}_{\lambda}$ satisfy
the following relation
$\displaystyle G^{\alpha,\beta}_{\lambda}(tx)=G^{\alpha,\beta}_{\lambda
t}(x),$ (2.1)
for every $\lambda\in{\mathbb{C}}$ and $x,t\in\mathbb{R}$. For a more detailed
study on these hypergeometric functions, we refer to [21, 37].
Let us denote by $C_{c}(\mathbb{R})$ the space of continuous functions on
$\mathbb{R}$ with compact support. The Opdam–Cherednik transform is the
Fourier transform in the trigonometric Dunkl setting, and it is defined as
follows.
###### Definition 2.1.
Let $\alpha\geq\beta\geq-\frac{1}{2}$ with $\alpha>-\frac{1}{2}$. The
Opdam–Cherednik transform $\mathcal{H}_{\alpha,\beta}(f)$ of a function $f\in
C_{c}(\mathbb{R})$ is defined by
${\mathcal{H}}_{\alpha,\beta}(f)(\lambda)=\int_{\mathbb{R}}f(x)\;G^{\alpha,\beta}_{\lambda}(-x)\;A_{\alpha,\beta}(x)dx\quad\text{for
all }\lambda\in{\mathbb{C}},$
where $A_{\alpha,\beta}(x)=(\sinh|x|)^{2\alpha+1}(\cosh|x|)^{2\beta+1}$. The
inverse Opdam–Cherednik transform for a suitable function $g$ on $\mathbb{R}$
is given by
${\mathcal{H}}_{\alpha,\beta}^{-1}(g)(x)=\int_{\mathbb{R}}g(\lambda)\;G^{\alpha,\beta}_{\lambda}(x)\;d\sigma_{\alpha,\beta}(\lambda)\quad\text{for
all }x\in\mathbb{R},$
where
$d\sigma_{\alpha,\beta}(\lambda)=\left(1-\dfrac{\rho}{i\lambda}\right)\dfrac{d\lambda}{8\pi|C_{\alpha,\beta}(\lambda)|^{2}}$
and
$C_{\alpha,\beta}(\lambda)=\dfrac{2^{\rho-i\lambda}\Gamma(\alpha+1)\Gamma(i\lambda)}{\Gamma\left(\frac{\rho+i\lambda}{2}\right)\;\Gamma\left(\frac{\alpha-\beta+1+i\lambda}{2}\right)},\quad\lambda\in{\mathbb{C}}\setminus
i\mathbb{N}.$
The Plancherel formula is given by
$\int_{\mathbb{R}}|f(x)|^{2}A_{\alpha,\beta}(x)dx=\int_{\mathbb{R}}{\mathcal{H}}_{\alpha,\beta}(f)(\lambda)\overline{{\mathcal{H}}_{\alpha,\beta}(\check{f})(-\lambda)}\;d\sigma_{\alpha,\beta}(\lambda),$
(2.2)
where $\check{f}(x):=f(-x)$.
Let $L^{p}(\mathbb{R},A_{\alpha,\beta})$ (resp.
$L^{p}(\mathbb{R},\sigma_{\alpha,\beta})$), $p\in[1,\infty]$, denote the
$L^{p}$-spaces corresponding to the measure $A_{\alpha,\beta}(x)dx$ (resp.
$d|\sigma_{\alpha,\beta}|(x)$). We refer to [3, 20, 37, 43, 38] for further
properties and results related to the Opdam–Cherednik transform.
## 3\. Main results
In this section, we define the Hausdorff operator associated with the
Opdam–Cherednik transform, and study the boundedness of this operator in
different Lebesgue spaces. Here, we consider various Lebesgue spaces
associated with the Opdam–Cherednik transform. We begin with the definition of
the Hausdorff operator.
###### Definition 3.1.
Let $\phi$ be a non-negative function defined on $(0,\infty)$ and $\phi\in
L^{1}(0,\infty)$, then the Hausdorff operator $H_{\alpha,\beta,\phi}$ acting
on $L^{1}(\mathbb{R},A_{\alpha,\beta})$ generated by the function $\phi$, is
defined by
$\displaystyle
H_{\alpha,\beta,\phi}(f)(x)=\int_{0}^{\infty}\frac{\phi(t)}{t}f\left(\frac{x}{t}\right)\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\;dt,\quad
x\in\mathbb{R}.$ (3.1)
Next, we provide some examples of the Hausdorff operator associated with the
Opdam–Cherednik transform. By choosing the function $\phi$ appropriately, we
can get many classical operators associated with the Opdam–Cherednik transform
as special cases of the Hausdorff operator. For example:
1. (1)
if $\phi(t)=\frac{\chi_{(1,\infty)}(t)}{t}$, we obtain the Hardy operator
associated with the Opdam–Cherednik transform
$Hf(x)=H_{\alpha,\beta,\phi}f(x)=\frac{1}{x}\int_{0}^{x}f(t)\;\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(x)}\;dt;$
2. (2)
if $\phi(t)=\chi_{(0,1)}(t)$, we get the adjoint Hardy operator associated
with the Opdam–Cherednik transform
$H^{*}f(x)=H_{\alpha,\beta,\phi}f(x)=\int_{x}^{\infty}\frac{f(t)}{t}\;\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(x)}\;dt;$
3. (3)
if $\phi(t)=\frac{1}{\max\\{1,t\\}}$, we have the Hardy–Littlewood–Pólya
operator associated with the Opdam–Cherednik transform
$Pf(x)=H_{\alpha,\beta,\phi}f(x)=\frac{1}{x}\int_{0}^{x}f(t)\;\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(x)}\;dt+\int_{x}^{\infty}\frac{f(t)}{t}\;\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(x)}\;dt;$
4. (4)
if $\phi(t)=\gamma(1-t)^{\gamma-1}\chi_{(0,1)}(t)$ with $\gamma>0$, we get the
Cesàro operator associated with the Opdam–Cherednik transform
$\mathcal{C}_{\gamma}f(x)=H_{\alpha,\beta,\phi}f(x)=\gamma\int_{x}^{\infty}\frac{(t-x)^{\gamma-1}}{t^{\gamma}}\;f(t)\;\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(x)}\;dt;$
5. (5)
if
$\phi(t)=\frac{1}{\Gamma(\beta)}\frac{\left(1-\frac{1}{t}\right)^{\beta-1}}{t}\chi_{(1,\infty)}(t)$
with $\beta>0$, we obtain the Riemann–Liouville fractional derivative
associated with the Opdam–Cherednik transform in the following form
$D_{\beta}f(x)=x^{\beta}H_{\alpha,\beta,\phi}f(x)=\frac{1}{\Gamma(\beta)}\int_{0}^{x}(x-t)^{\beta-1}f(t)\;\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(x)}\;dt.$
### 3.1. Boundedness of the Hausdorff operator in Lebesgue spaces
In this subsection, we study the boundedness of the Hausdorff operator in
Lebesgue spaces associated with the Opdam–Cherednik transform. First, we show
that the operator $H_{\alpha,\beta,\phi}$ is bounded on
$L^{1}(\mathbb{R},A_{\alpha,\beta}).$
###### Theorem 3.2.
Let $\phi\in L^{1}(0,\infty)$. Then
$H_{\alpha,\beta,\phi}:L^{1}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{1}(\mathbb{R},A_{\alpha,\beta})$ is a bounded operator and
$\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{1}(\mathbb{R},A_{\alpha,\beta})}\leq\|\phi\|_{L^{1}(0,\infty)}\;\|f\|_{L^{1}(\mathbb{R},A_{\alpha,\beta})},$
for $f\in L^{1}(\mathbb{R},A_{\alpha,\beta}).$
###### Proof.
For any $f\in L^{1}(\mathbb{R},A_{\alpha,\beta})$, using Fubini’s theorem, we
get
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{1}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle=\int_{\mathbb{R}}|H_{\alpha,\beta,\phi}f(x)|\;A_{\alpha,\beta}(x)dx$
$\displaystyle=\int_{\mathbb{R}}\left|\int_{0}^{\infty}\frac{\phi(t)}{t}f\left(\frac{x}{t}\right)\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\;dt\right|A_{\alpha,\beta}(x)dx$
$\displaystyle\leq\int_{0}^{\infty}\frac{\phi(t)}{t}\left(\int_{\mathbb{R}}\left|f\left(\frac{x}{t}\right)\right|A_{\alpha,\beta}\left(\frac{x}{t}\right)\;dx\right)dt.$
Using the change of variable $x\mapsto u=\frac{x}{t}$ in the second integral,
we obtain
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{1}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle\leq\int_{0}^{\infty}{\phi(t)}\left(\int_{\mathbb{R}}\left|f(u)\right|A_{\alpha,\beta}(u)\;du\right)dt=\|\phi\|_{{L^{1}(0,\infty)}}\;\|f\|_{L^{1}(\mathbb{R},A_{\alpha,\beta})}.$
This completes the proof. ∎
In the following theorem, we show that, like in the case of the Fourier
transform, the Hausdorff operator defined in (3.1) satisfies the similar
relation for the Opdam–Cherednik transform.
###### Theorem 3.3.
Let $\phi\in L^{1}(0,\infty)$. Then for any $f\in
L^{1}(\mathbb{R},A_{\alpha,\beta}),$ the Opdam–Cherednik transform
${\mathcal{H}}_{\alpha,\beta}$ of $H_{\alpha,\beta,\phi}f$ satisfies
${\mathcal{H}}_{\alpha,\beta}\left(H_{\alpha,\beta,\phi}f\right)(\lambda)=\int_{0}^{\infty}{\mathcal{H}}_{\alpha,\beta}(f)(\lambda
t)\phi(t)\;dt,\quad\lambda\in\mathbb{R}.$
###### Proof.
For any $f\in L^{1}(\mathbb{R},A_{\alpha,\beta})$, using Definition 2.1 and
Fubini’s theorem, we get
$\displaystyle{\mathcal{H}}_{\alpha,\beta}(H_{\alpha,\beta,\phi}f)(\lambda)$
$\displaystyle=\int_{\mathbb{R}}H_{\alpha,\beta,\phi}f(x)\;G^{\alpha,\beta}_{\lambda}(-x)\;A_{\alpha,\beta}(x)dx$
$\displaystyle=\int_{\mathbb{R}}\left(\int_{0}^{\infty}\frac{\phi(t)}{t}f\left(\frac{x}{t}\right)\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\;dt\right)G^{\alpha,\beta}_{\lambda}(-x)\;A_{\alpha,\beta}(x)dx$
$\displaystyle=\int_{0}^{\infty}\frac{\phi(t)}{t}\left(\int_{\mathbb{R}}f\left(\frac{x}{t}\right)G^{\alpha,\beta}_{\lambda}(-x)A_{\alpha,\beta}\left(\frac{x}{t}\right)\;dx\right)dt.$
Using the change of variable $x\mapsto u=\frac{x}{t}$ in the second integral
and the relation (2.1), we obtain
$\displaystyle{\mathcal{H}}_{\alpha,\beta}(H_{\alpha,\beta,\phi}f)(\lambda)$
$\displaystyle=\int_{0}^{\infty}{\phi(t)}\left(\int_{\mathbb{R}}f(u)G^{\alpha,\beta}_{\lambda}(-ut)A_{\alpha,\beta}(u)\;du\right)dt$
$\displaystyle=\int_{0}^{\infty}{\phi(t)}\left(\int_{\mathbb{R}}f(u)G^{\alpha,\beta}_{\lambda
t}(-u)A_{\alpha,\beta}(u)\;du\right)dt$
$\displaystyle=\int_{0}^{\infty}{\mathcal{H}}_{\alpha,\beta}(f)(\lambda
t)\phi(t)\;dt.$
Since $|G^{\alpha,\beta}_{\lambda}(-x)|\leq 1$, the absolute convergence of
these double integrals justifies the above calculations. ∎
We define two quantities $A_{\sup}$ and $A_{\inf}$ as
$\displaystyle A_{\sup}$
$\displaystyle:=\int_{0}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}}\left(\sup_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{1-\frac{1}{p}}dt,$
$\displaystyle A_{\inf}$
$\displaystyle:=\int_{0}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}}\left(\inf_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{1-\frac{1}{p}}dt.$
Next, we prove the boundedness of the Hausdorff operator in
$L^{p}(\mathbb{R},A_{\alpha,\beta})$.
###### Theorem 3.4.
Let $1<p<\infty$ and $\phi\in L^{1}(0,\infty).$ If $A_{\text{sup }}<\infty$,
then $H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{p}(\mathbb{R},A_{\alpha,\beta})$ is a bounded operator with
$\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}\leq
A_{\sup}\;\|f\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})},$
for $f\in L^{p}(\mathbb{R},A_{\alpha,\beta})$.
###### Proof.
For any $f\in L^{p}(\mathbb{R},A_{\alpha,\beta})$, using the generalized
Minkowski inequality, we get
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle=\left(\int_{\mathbb{R}}|H_{\alpha,\beta,\phi}f(x)|^{p}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle=\left(\int_{\mathbb{R}}\left|\int_{0}^{\infty}\frac{\phi(t)}{t}f\left(\frac{x}{t}\right)\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\;dt\right|^{p}A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle\leq\int_{0}^{\infty}\frac{\phi(t)}{t}\left(\int_{\mathbb{R}}\left|f\left(\frac{x}{t}\right)\right|^{p}\left(\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\right)^{p}A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}dt.$
Using the change of variable $x\mapsto u=\frac{x}{t}$ in the second integral,
we obtain
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle\leq\int_{0}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}}\left(\int_{\mathbb{R}}|f(u)|^{p}\left(\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{p}A_{\alpha,\beta}(tu)\;du\right)^{\frac{1}{p}}dt$
$\displaystyle\leq\int_{0}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}}\left(\sup_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{1-\frac{1}{p}}\left(\int_{\mathbb{R}}|f(u)|^{p}A_{\alpha,\beta}(u)\;du\right)^{\frac{1}{p}}dt$
$\displaystyle=\left(\int_{0}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}}\left(\sup_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{1-\frac{1}{p}}dt\right)\|f\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle=A_{\sup}\;\|f\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})},$
which completes the proof. ∎
In the following theorem, we give a necessary condition for the
$L^{p}(\mathbb{R},A_{\alpha,\beta})$-boundedness of the operator
$H_{\alpha,\beta,\phi}$.
###### Theorem 3.5.
Let $1<p<\infty$ and $A_{\inf}>0$. If
$H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{p}(\mathbb{R},A_{\alpha,\beta})$ is a bounded operator, then
$\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})\to
L^{p}(\mathbb{R},A_{\alpha,\beta})}\geq A_{\inf}.$
###### Proof.
Assume that
$H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{p}(\mathbb{R},A_{\alpha,\beta})$ is a bounded operator. For
$0<\varepsilon<1$ fixed, we consider the function
$f_{\varepsilon}(x)=x^{-\frac{1}{p}-\varepsilon}A_{\alpha,\beta}(x)^{-\frac{1}{p}}\chi_{(1,\infty)}(x).$
Then
$\|f_{\varepsilon}\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}=\left(\int_{\mathbb{R}}|f_{\varepsilon}(x)|^{p}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}=\left(\int_{1}^{\infty}x^{-1-\varepsilon
p}\;dx\right)^{\frac{1}{p}}=\frac{1}{(\varepsilon p)^{\frac{1}{p}}}.$
Also, we have
$\displaystyle
H_{\alpha,\beta,\phi}f_{\varepsilon}(x)=\int_{0}^{\infty}\frac{\phi(t)}{t}f_{\varepsilon}\left(\frac{x}{t}\right)\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\;dt=x^{-\frac{1}{p}-\varepsilon}\int_{0}^{x}\frac{\phi(t)}{t}t^{\frac{1}{p}+\varepsilon}\frac{A_{\alpha,\beta}(\frac{x}{t})^{1-\frac{1}{p}}}{A_{\alpha,\beta}(x)}\;dt.$
Therefore,
$\displaystyle\left\|H_{\alpha,\beta,\phi}f_{\varepsilon}\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle=\left(\int_{\mathbb{R}}|H_{\alpha,\beta,\phi}f_{\varepsilon}(x)|^{p}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle\geq\left(\int_{\frac{1}{\varepsilon}}^{\infty}x^{-1-\varepsilon
p}\left(\int_{0}^{x}\frac{\phi(t)}{t}t^{\frac{1}{p}+\varepsilon}\frac{A_{\alpha,\beta}(\frac{x}{t})^{1-\frac{1}{p}}}{A_{\alpha,\beta}(x)}\;dt\right)^{p}A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle\geq\left(\int_{\frac{1}{\varepsilon}}^{\infty}x^{-1-\varepsilon
p}\left(\int_{0}^{\frac{1}{\varepsilon}}\frac{\phi(t)}{t}t^{\frac{1}{p}+\varepsilon}\left(\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\right)^{1-\frac{1}{p}}\;dt\right)^{p}dx\right)^{\frac{1}{p}}$
$\displaystyle\geq\frac{\varepsilon^{\varepsilon}}{(\varepsilon
p)^{\frac{1}{p}}}\int_{0}^{\frac{1}{\varepsilon}}\frac{\phi(t)}{t}t^{\frac{1}{p}+\varepsilon}\left(\inf_{x\in\mathbb{R}}\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\right)^{1-\frac{1}{p}}\;dt$
$\displaystyle=\frac{\varepsilon^{\varepsilon}}{(\varepsilon
p)^{\frac{1}{p}}}\int_{0}^{\frac{1}{\varepsilon}}\frac{\phi(t)}{t}t^{\frac{1}{p}+\varepsilon}\left(\inf_{x\in\mathbb{R}}\frac{A_{\alpha,\beta}(x)}{A_{\alpha,\beta}(tx)}\right)^{1-\frac{1}{p}}\;dt.$
Thus,
$\displaystyle\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})\to
L^{p}(\mathbb{R},A_{\alpha,\beta})}\geq\varepsilon^{\varepsilon}\int_{0}^{\frac{1}{\varepsilon}}\frac{\phi(t)}{t}t^{\frac{1}{p}+\varepsilon}\left(\inf_{x\in\mathbb{R}}\frac{A_{\alpha,\beta}(x)}{A_{\alpha,\beta}(tx)}\right)^{1-\frac{1}{p}}\;dt.$
(3.2)
Finally, applying the Fatou lemma and taking the limit $\varepsilon\to 0$, we
obtain that the right hand side of (3.2) converges to $A_{\inf}$ and this
completes the proof of the theorem. ∎
In the following, we give a characterization for the boundedness of the
Hausdorff operator
$H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{p}(\mathbb{R},A_{\alpha,\beta})$ using Theorems 3.4 and 3.5.
###### Corollary 3.6.
Let $1<p<\infty$ and
$\sup_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\leq
C\inf_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)},$
for some positive constant $C.$ Then, the operator
$H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{p}(\mathbb{R},A_{\alpha,\beta})$ is bounded if and only if
$0<A_{\sup}<\infty.$ Also, the following estimates hold
$\frac{1}{C^{1-\frac{1}{p}}}A_{\sup}\leq\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})\to
L^{p}(\mathbb{R},A_{\alpha,\beta})}\leq A_{\sup}.$
Next, we obtain a sufficient condition for the boundedness of the operator
$H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\to
L^{q}(\mathbb{R},A_{\alpha,\beta})$.
###### Theorem 3.7.
Let $1<q<p<\infty$ and $\phi\in L^{1}(0,\infty)$ be such that
$C=\int_{0}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{q}}\left(\int_{\mathbb{R}}\left(\frac{A_{\alpha,\beta}(u)^{q-\frac{q}{p}}}{A_{\alpha,\beta}(tu)^{q-1}}\right)^{\frac{p}{p-q}}\;du\right)^{\frac{p-q}{pq}}dt<\infty.$
Then $H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{q}(\mathbb{R},A_{\alpha,\beta})$ is a bounded operator and
$\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{q}(\mathbb{R},A_{\alpha,\beta})}\leq
C\;\|f\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}.$
###### Proof.
For every $f\in L^{p}(\mathbb{R},A_{\alpha,\beta})$, using the generalized
Minkowski inequality, we get
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{q}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle=\left(\int_{\mathbb{R}}|H_{\alpha,\beta,\phi}f(x)|^{q}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{q}}$
$\displaystyle=\left(\int_{\mathbb{R}}\left|\int_{0}^{\infty}\frac{\phi(t)}{t}f\left(\frac{x}{t}\right)\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\;dt\right|^{q}A_{\alpha,\beta}(x)dx\right)^{\frac{1}{q}}$
$\displaystyle\leq\int_{0}^{\infty}\frac{\phi(t)}{t}\left(\int_{\mathbb{R}}\left|f\left(\frac{x}{t}\right)\right|^{q}\left(\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\right)^{q}A_{\alpha,\beta}(x)\;dx\right)^{\frac{1}{q}}dt.$
Using the change of variable $x\mapsto u=\frac{x}{t}$ in the second integral
and Hölder’s inequality, we obtain
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{q}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle\leq\int_{0}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{q}}\left(\int_{\mathbb{R}}|f(u)|^{q}\left(\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{q}A_{\alpha,\beta}(tu)\;du\right)^{\frac{1}{q}}dt$
$\displaystyle=\int_{0}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{q}}\left(\int_{\mathbb{R}}|f(u)|^{q}A_{\alpha,\beta}(u)^{\frac{q}{p}}\frac{A_{\alpha,\beta}(u)^{q-\frac{q}{p}}}{A_{\alpha,\beta}(tu)^{q-1}}\;du\right)^{\frac{1}{q}}dt$
$\displaystyle\leq\left(\int_{0}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{q}}\left(\int_{\mathbb{R}}\left(\frac{A_{\alpha,\beta}(u)^{q-\frac{q}{p}}}{A_{\alpha,\beta}(tu)^{q-1}}\right)^{\frac{p}{p-q}}\;du\right)^{\frac{p-q}{pq}}dt\right)\|f\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle=C\|f\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}.$
This completes the proof. ∎
In the following, we obtain the boundedness of the Hausdorff operator in
$L^{p}((0,1),A_{\alpha,\beta})$.
###### Theorem 3.8.
Let $1<p<\infty$ and $\phi\in L^{1}(0,\infty)$ be such that
$\hbox{supp\,}\phi\subset[1,\infty).$ Then, the following conditions are
equivalent
1. (1)
$E(\phi,p)=\int_{1}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}}\;dt<\infty$,
2. (2)
$H_{\alpha,\beta,\phi}:L^{p}((0,1),A_{\alpha,\beta})\rightarrow
L^{p}((0,1),A_{\alpha,\beta})$ is a bounded operator.
###### Proof.
First, assume that $E(\phi,p)<\infty$. Then, for every $f\in
L^{p}((0,1),A_{\alpha,\beta})$, using the generalized Minkowski inequality, we
get
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p}((0,1),A_{\alpha,\beta})}$
$\displaystyle=\left(\int_{0}^{1}|H_{\alpha,\beta,\phi}f(x)|^{p}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle=\left(\int_{0}^{1}\left|\int_{0}^{\infty}\frac{\phi(t)}{t}f\left(\frac{x}{t}\right)\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\;dt\right|^{p}A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle\leq\int_{0}^{\infty}\frac{\phi(t)}{t}\left(\int_{0}^{1}\left|f\left(\frac{x}{t}\right)\right|^{p}\left(\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\right)^{p}A_{\alpha,\beta}(x)\;dx\right)^{\frac{1}{p}}dt.$
Using the change of variable $x\mapsto u=\frac{x}{t}$ in the second integral,
we obtain
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p}((0,1),A_{\alpha,\beta})}$
$\displaystyle\leq\int_{1}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}}\left(\int_{0}^{\frac{1}{t}}|f(u)|^{p}\left(\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{p}A_{\alpha,\beta}(tu)\;du\right)^{\frac{1}{p}}dt$
$\displaystyle\leq\int_{1}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}}\left(\int_{0}^{1}|f(u)|^{p}\left(\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{p}A_{\alpha,\beta}(tu)\;du\right)^{\frac{1}{p}}dt$
$\displaystyle\leq
A_{\alpha,\beta}(1)^{1-\frac{1}{p}}\left(\int_{1}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}}\;dt\right)\left(\int_{0}^{1}|f(u)|^{p}A_{\alpha,\beta}(u)\;du\right)^{\frac{1}{p}}$
$\displaystyle=A_{\alpha,\beta}(1)^{1-\frac{1}{p}}E(\phi,p)\left\|f\right\|_{L^{p}((0,1),A_{\alpha,\beta})}.$
This shows that
$H_{\alpha,\beta,\phi}:L^{p}((0,1),A_{\alpha,\beta})\rightarrow
L^{p}((0,1),A_{\alpha,\beta})$ is a bounded operator.
Next, assume that the operator
$H_{\alpha,\beta,\phi}:L^{p}((0,1),A_{\alpha,\beta})\rightarrow
L^{p}((0,1),A_{\alpha,\beta})$ is bounded. For a fixed $\delta$ with
$0<\delta<\frac{1}{p}$, we define the function
$f_{\delta}(x)=x^{\delta-\frac{1}{p}}A_{\alpha,\beta}(x)^{-\frac{1}{p}},\quad
x\in(0,1).$
Then, we have
$\|f_{\delta}\|_{L^{p}((0,1),A_{\alpha,\beta})}=\left(\int_{0}^{1}|f_{\delta}(x)|^{p}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}=\left(\int_{0}^{1}x^{\delta
p-1}\;dx\right)^{\frac{1}{p}}=\frac{1}{(\delta p)^{\frac{1}{p}}}.$
Moreover, for any $x\in(0,1)$, we get
$\displaystyle H_{\alpha,\beta,\phi}f_{\delta}(x)$
$\displaystyle=\int_{0}^{\infty}\frac{\phi(t)}{t}f_{\delta}\left(\frac{x}{t}\right)\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\;dt$
$\displaystyle=x^{\delta-\frac{1}{p}}A_{\alpha,\beta}(x)^{-\frac{1}{p}}\int_{1}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}-\delta}\frac{A_{\alpha,\beta}(\frac{x}{t})^{1-\frac{1}{p}}}{A_{\alpha,\beta}(x)^{1-\frac{1}{p}}}\;dt$
$\displaystyle\geq\frac{1}{A_{\alpha,\beta}(1)^{1-\frac{1}{p}}}x^{\delta-\frac{1}{p}}A_{\alpha,\beta}(x)^{-\frac{1}{p}}\int_{1}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{p}-\delta}\;dt$
$\displaystyle=\frac{1}{A_{\alpha,\beta}(1)^{1-\frac{1}{p}}}E\left(\phi,\frac{p}{1-\delta
p}\right)f_{\delta}(x).$ (3.3)
Therefore,
$\displaystyle\left\|H_{\alpha,\beta,\phi}f_{\delta}\right\|_{L^{p}((0,1),A_{\alpha,\beta})}$
$\displaystyle\geq\frac{1}{A_{\alpha,\beta}(1)^{1-\frac{1}{p}}}E\left(\phi,\frac{p}{1-\delta
p}\right)\|f_{\delta}\|_{L^{p}((0,1),A_{\alpha,\beta})},$
and thus
$\displaystyle\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p}((0,1),A_{\alpha,\beta})\to
L^{p}((0,1),A_{\alpha,\beta})}\geq\frac{1}{A_{\alpha,\beta}(1)^{1-\frac{1}{p}}}E\left(\phi,\frac{p}{1-\delta
p}\right).$
Now, taking the limit $\delta\to 0$, we obtain
$\displaystyle\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p}((0,1),A_{\alpha,\beta})\to
L^{p}((0,1),A_{\alpha,\beta})}\geq\frac{1}{A_{\alpha,\beta}(1)^{1-\frac{1}{p}}}E\left(\phi,p\right),$
and this completes the proof of the theorem. ∎
### 3.2. Boundedness of the Hausdorff operator in grand Lebesgue spaces
Let $I\subset(0,\infty)$ be such that $A_{\alpha,\beta}(I)<\infty.$ Then, the
grand Lebesgue space $L^{p)}(I,A_{\alpha,\beta})$ associated with the
Opdam–Cherednik transform is the class of all measurable functions
$f:I\rightarrow\mathbb{R}$ such that
$\|f\|_{L^{p)}(I,A_{\alpha,\beta})}:=\sup_{0<\varepsilon<p-1}\varepsilon^{\frac{1}{p-\varepsilon}}\left(\frac{1}{A_{\alpha,\beta}(I)}\int_{I}|f(x)|^{p-\varepsilon}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\varepsilon}}<\infty.$
The grand Lebesgue space was introduced by Iwaniec and Sbordone in [24]. For a
more detailed study on properties and applications of grand Lebesgue spaces,
we refer to [14, 23].
In the following theorem, we obtain the boundedness of the Hausdorff operator
in $L^{p)}((0,1),A_{\alpha,\beta})$.
###### Theorem 3.9.
Let $1<p<\infty$ and $\phi\in L^{1}(0,\infty)$ be such that
$\hbox{supp\,}\phi\subset[1,\infty).$ If
$E(\phi,q)=\int_{1}^{\infty}\frac{\phi(t)}{t}t^{\frac{1}{q}}\;dt<\infty$ for
some $q\in(0,p)$, then
$H_{\alpha,\beta,\phi}:L^{p)}((0,1),A_{\alpha,\beta})\rightarrow
L^{p)}((0,1),A_{\alpha,\beta})$ is a bounded operator and
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p)}((0,1),A_{\alpha,\beta})}$
$\displaystyle\leq(A_{\alpha,\beta}(1))^{2}(p-1)\inf_{0<\sigma<p-1}\sigma^{-\frac{1}{p-\sigma}}E(\phi,p-\sigma)\|f\|_{L^{p)}((0,1),A_{\alpha,\beta})}.$
###### Proof.
Let us fix $\sigma\in(0,p-1)$. Then
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p)}((0,1),A_{\alpha,\beta})}$
$\displaystyle=\sup_{0<\varepsilon<p-1}\varepsilon^{\frac{1}{p-\varepsilon}}\left(\frac{1}{A_{\alpha,\beta}((0,1))}\int_{0}^{1}|H_{\alpha,\beta,\phi}f(x)|^{p-\varepsilon}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\varepsilon}}$
$\displaystyle=\max\left\\{\sup_{0<\varepsilon\leq\sigma}\left(\frac{\varepsilon}{A_{\alpha,\beta}((0,1))}\int_{0}^{1}|H_{\alpha,\beta,\phi}f(x)|^{p-\varepsilon}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\varepsilon}},\right.$
$\displaystyle\left.\qquad\sup_{\sigma<\varepsilon<p-1}\left(\frac{\varepsilon}{A_{\alpha,\beta}((0,1))}\int_{0}^{1}|H_{\alpha,\beta,\phi}f(x)|^{p-\varepsilon}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\varepsilon}}\right\\}.$
Using Theorem 3.8 and Hölder’s inequality for the conjugate exponents
$\frac{p-\sigma}{p-\varepsilon}$ and $\frac{p-\sigma}{\varepsilon-\sigma}$, we
get
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p)}((0,1),A_{\alpha,\beta})}$
$\displaystyle=\max\left\\{\sup_{0<\varepsilon\leq\sigma}\left(\frac{\varepsilon}{A_{\alpha,\beta}((0,1))}\int_{0}^{1}|H_{\alpha,\beta,\phi}f(x)|^{p-\varepsilon}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\varepsilon}},\sup_{\sigma<\varepsilon<p-1}\left(\frac{\varepsilon}{A_{\alpha,\beta}((0,1))}\right)^{\frac{1}{p-\varepsilon}}\right.$
$\displaystyle\left.\qquad\times\left(\int_{0}^{1}|H_{\alpha,\beta,\phi}f(x)|^{p-\sigma}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\sigma}}\left(\int_{0}^{1}\;A_{\alpha,\beta}(x)dx\right)^{\frac{\varepsilon-\sigma}{(p-\sigma)(p-\varepsilon)}}\right\\}$
$\displaystyle\leq\max\left\\{\sup_{0<\varepsilon\leq\sigma}\left(\frac{\varepsilon}{A_{\alpha,\beta}((0,1))}\int_{0}^{1}|H_{\alpha,\beta,\phi}f(x)|^{p-\varepsilon}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\varepsilon}},\sup_{\sigma<\varepsilon<p-1}\varepsilon^{\frac{1}{p-\varepsilon}}\right.$
$\displaystyle\left.\qquad\times\left(\frac{\sigma}{A_{\alpha,\beta}((0,1))}\right)^{-\frac{1}{p-\sigma}}\left(\frac{\sigma}{A_{\alpha,\beta}((0,1))}\int_{0}^{1}|H_{\alpha,\beta,\phi}f(x)|^{p-\sigma}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\sigma}}A_{\alpha,\beta}(1)^{\frac{p-\sigma-1}{p-\sigma}}\right\\}$
$\displaystyle\leq\max\left\\{1,(p-1)\left(\frac{\sigma}{A_{\alpha,\beta}((0,1))}\right)^{-\frac{1}{p-\sigma}}A_{\alpha,\beta}(1)^{\frac{p-\sigma-1}{p-\sigma}}\right\\}$
$\displaystyle\qquad\times\sup_{0<\varepsilon\leq\sigma}\left(\frac{\varepsilon}{A_{\alpha,\beta}((0,1))}\int_{0}^{1}|H_{\alpha,\beta,\phi}f(x)|^{p-\varepsilon}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\varepsilon}}$
$\displaystyle\leq\max\left\\{1,(p-1)\left(\frac{\sigma}{A_{\alpha,\beta}((0,1))}\right)^{-\frac{1}{p-\sigma}}A_{\alpha,\beta}(1)^{\frac{p-\sigma-1}{p-\sigma}}\right\\}$
$\displaystyle\qquad\times
A_{\alpha,\beta}(1)^{1-\frac{1}{p}}\sup_{0<\varepsilon\leq\sigma}E(\phi,p-\varepsilon)\left(\frac{\varepsilon}{A_{\alpha,\beta}((0,1))}\int_{0}^{1}|f(x)|^{p-\varepsilon}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\varepsilon}}$
$\displaystyle\leq(A_{\alpha,\beta}(1))^{2}(p-1)\sigma^{-\frac{1}{p-\sigma}}E(\phi,p-\sigma)\|f\|_{L^{p)}((0,1),A_{\alpha,\beta})}.$
Now, taking the infimum over $\sigma\in(0,p-1)$, we get
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p)}((0,1),A_{\alpha,\beta})}$
$\displaystyle\leq(A_{\alpha,\beta}(1))^{2}(p-1)\inf_{0<\sigma<p-1}\sigma^{-\frac{1}{p-\sigma}}E(\phi,p-\sigma)\|f\|_{L^{p)}((0,1),A_{\alpha,\beta})},$
and this completes the proof. ∎
Now, we give a necessary condition for the
$L^{p)}((0,1),A_{\alpha,\beta})$-boundedness of the Hausdorff operator.
###### Theorem 3.10.
Let $1<p<\infty$ and $\phi\in L^{1}(0,\infty)$. If
$H_{\alpha,\beta,\phi}:L^{p)}((0,1),A_{\alpha,\beta})\rightarrow
L^{p)}((0,1),A_{\alpha,\beta})$ is a bounded operator, then
$\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p)}((0,1),A_{\alpha,\beta})\rightarrow
L^{p)}((0,1),A_{\alpha,\beta})}\geq\frac{1}{A_{\alpha,\beta}(1)^{1-\frac{1}{p}}}E\left(\phi,p\right),$
where $E\left(\phi,p\right)$ as in Theorem 3.8.
###### Proof.
For a fixed $\delta$ with $\delta<\min(\frac{1}{p},1-\frac{1}{p})$, we define
the function
$f_{\delta}(x)=x^{\delta-\frac{1}{p}}A_{\alpha,\beta}(x)^{-\frac{1}{p}},\quad
x\in(0,1).$
Then
$\displaystyle\|f_{\delta}\|_{L^{p)}((0,1),A_{\alpha,\beta})}$
$\displaystyle=\sup_{0<\varepsilon<p-1}\varepsilon^{\frac{1}{p-\varepsilon}}\left(\frac{1}{A_{\alpha,\beta}((0,1))}\int_{0}^{1}|f_{\delta}(x)|^{p-\varepsilon}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\varepsilon}}$
$\displaystyle=\sup_{0<\varepsilon<p-1}\varepsilon^{\frac{1}{p-\varepsilon}}\left(\frac{1}{A_{\alpha,\beta}((0,1))}\int_{0}^{1}x^{(\delta-\frac{1}{p})(p-\varepsilon)}A_{\alpha,\beta}(x)^{-\frac{p-\varepsilon}{p}}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p-\varepsilon}}$
$\displaystyle\leq
A_{\alpha,\beta}(1)\sup_{0<\varepsilon<p-1}\varepsilon^{\frac{1}{p-\varepsilon}}\left(\int_{0}^{1}x^{(\delta-\frac{1}{p})(p-\varepsilon)}dx\right)^{\frac{1}{p-\varepsilon}}$
$\displaystyle=A_{\alpha,\beta}(1)\sup_{0<\varepsilon<p-1}\left(\frac{\varepsilon}{\left(\delta-\frac{1}{p}\right)(p-\varepsilon)+1}\right)^{\frac{1}{p-\varepsilon}}$
$\displaystyle\leq A_{\alpha,\beta}(1)\frac{p-1}{\delta p}.$
Also, from the relation (3.1), for any $x\in(0,1)$, we have
$\displaystyle
H_{\alpha,\beta,\phi}f_{\delta}(x)\geq\frac{1}{A_{\alpha,\beta}(1)^{1-\frac{1}{p}}}E\left(\phi,\frac{p}{1-\delta
p}\right)f_{\delta}(x).$
Therefore,
$\displaystyle\left\|H_{\alpha,\beta,\phi}f_{\delta}\right\|_{L^{p)}((0,1),A_{\alpha,\beta})}$
$\displaystyle\geq\frac{1}{A_{\alpha,\beta}(1)^{1-\frac{1}{p}}}E\left(\phi,\frac{p}{1-\delta
p}\right)\|f_{\delta}\|_{L^{p)}((0,1),A_{\alpha,\beta})},$
and thus
$\displaystyle\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p)}((0,1),A_{\alpha,\beta})\to
L^{p)}((0,1),A_{\alpha,\beta})}\geq\frac{1}{A_{\alpha,\beta}(1)^{1-\frac{1}{p}}}E\left(\phi,\frac{p}{1-\delta
p}\right).$
Now, taking the limit $\delta\to 0$, we obtain
$\displaystyle\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p)}((0,1),A_{\alpha,\beta})\to
L^{p)}((0,1),A_{\alpha,\beta})}\geq\frac{1}{A_{\alpha,\beta}(1)^{1-\frac{1}{p}}}E\left(\phi,p\right),$
and this completes the proof of the theorem. ∎
### 3.3. Boundedness of the Hausdorff operator in quasi-Banach spaces
In this subsection, we study the boundedness of the Hausdorff operator in the
quasi-Banach space associated with the Opdam–Cherednik transform. First, we
recall the following lemma.
###### Lemma 3.11.
[4] Let $0<s<1,\;-\infty<a<b\leq\infty$ and $h$ be a non-negative and non-
increasing function defined on the interval $(a,b)$, then
$\left(\int_{a}^{b}h(t)\;dt\right)^{s}\leq
s\int_{a}^{b}h^{s}(t)(t-a)^{s-1}\;dt.$
For $\phi\in L^{1}(0,\infty)$, let $\mathcal{M}_{\phi}$ be the class of
measurable functions $f:\mathbb{R}\rightarrow\mathbb{R}$ such that
$t\mapsto\frac{\phi(t)}{t}f\left(\frac{x}{t}\right)\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}$
is non-increasing. We define two quantities $B_{\sup}$ and $B_{\inf}$ as
$\displaystyle B_{\sup}$
$\displaystyle:=\left(\int_{0}^{\infty}\phi(t)^{p}\left(\sup_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{p-1}dt\right)^{\frac{1}{p}},$
$\displaystyle B_{\inf}$
$\displaystyle:=\left(\int_{0}^{\infty}\phi(t)^{p}\left(\inf_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{p-1}dt\right)^{\frac{1}{p}}.$
In the following, we prove the
$L^{p}(\mathbb{R},A_{\alpha,\beta})$-boundedness of the Hausdorff operator in
the quasi-Banach space
$L^{p}(\mathbb{R},A_{\alpha,\beta})\cap\mathcal{M_{\phi}}$.
###### Theorem 3.12.
Let $0<p<1$ and $\phi\in L^{1}(0,\infty).$ If $B_{\text{sup }}<\infty$, then
for any $f\in L^{p}(\mathbb{R},A_{\alpha,\beta})\cap\mathcal{M_{\phi}}$, the
operator $H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{p}(\mathbb{R},A_{\alpha,\beta})$ is bounded and
$\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}\leq
p^{\frac{1}{p}}B_{\sup}\|f\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}.$
###### Proof.
For any $f\in L^{p}(\mathbb{R},A_{\alpha,\beta})\cap\mathcal{M_{\phi}}$, using
Lemma 3.11 with $a=0,b=\infty,s=p$, and Fubini’s theorem, we get
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle=\left(\int_{\mathbb{R}}|H_{\alpha,\beta,\phi}f(x)|^{p}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle=\left(\int_{\mathbb{R}}\left|\int_{0}^{\infty}\frac{\phi(t)}{t}f\left(\frac{x}{t}\right)\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\;dt\right|^{p}A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle\leq\left(\int_{\mathbb{R}}p\left(\int_{0}^{\infty}\frac{\phi(t)^{p}}{t^{p}}t^{p-1}\left|f\left(\frac{x}{t}\right)\right|^{p}\left(\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\right)^{p}dt\right)A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle=\left(p\int_{0}^{\infty}\frac{\phi(t)^{p}}{t}\left(\int_{\mathbb{R}}\left|f\left(\frac{x}{t}\right)\right|^{p}\left(\frac{A_{\alpha,\beta}(\frac{x}{t})}{A_{\alpha,\beta}(x)}\right)^{p}A_{\alpha,\beta}(x)dx\right)dt\right)^{\frac{1}{p}}.$
Using the change of variable $x\mapsto u=\frac{x}{t}$ in the second integral,
we obtain
$\displaystyle\left\|H_{\alpha,\beta,\phi}f\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle\leq\left(p\int_{0}^{\infty}\phi(t)^{p}\left(\int_{\mathbb{R}}\left|f\left(u\right)\right|^{p}\left(\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{p}A_{\alpha,\beta}(tu)du\right)dt\right)^{\frac{1}{p}}$
$\displaystyle\leq\left(p\int_{0}^{\infty}\phi(t)^{p}\left(\sup_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(u)}{A_{\alpha,\beta}(tu)}\right)^{p-1}dt\right)^{\frac{1}{p}}\left(\int_{\mathbb{R}}|f(u)|^{p}A_{\alpha,\beta}(u)\;du\right)^{\frac{1}{p}}$
$\displaystyle=p^{\frac{1}{p}}B_{\sup}\|f\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}.$
This completes the proof. ∎
Next, we provide a necessary condition for the
$L^{p}(\mathbb{R},A_{\alpha,\beta})$-boundedness of the Hausdorff operator in
the quasi-Banach space
$L^{p}(\mathbb{R},A_{\alpha,\beta})\cap\mathcal{M_{\phi}}$.
###### Theorem 3.13.
Let $0<p<1$, $\phi\in L^{1}(0,\infty)$ and $B_{\inf}>0$. If
$H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{p}(\mathbb{R},A_{\alpha,\beta})$ is a bounded operator, then
$\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})\to
L^{p}(\mathbb{R},A_{\alpha,\beta})}\geq p^{\frac{1}{p}}B_{\inf}.$
###### Proof.
Suppose that
$H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{p}(\mathbb{R},A_{\alpha,\beta})$ is a bounded operator. We consider the
function
$f_{0}(x)=x^{-\frac{1}{p}-1}A_{\alpha,\beta}(x)^{-\frac{1}{p}}\chi_{(1,\infty)}(x).$
Then, we have
$\|f_{0}\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}=\left(\int_{\mathbb{R}}|f_{0}(x)|^{p}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}=\left(\int_{1}^{\infty}x^{-1-p}\;dx\right)^{\frac{1}{p}}=\frac{1}{p^{\frac{1}{p}}}.$
Also, using the reverse Minkowski inequality, we get
$\displaystyle\left\|H_{\alpha,\beta,\phi}f_{0}\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle=\left(\int_{\mathbb{R}}|H_{\alpha,\beta,\phi}f_{0}(x)|^{p}\;A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle\geq\left(\int_{0}^{\infty}\left|\int_{0}^{\infty}\frac{\phi(\frac{x}{t})}{t}f_{0}(t)\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(x)}\;dt\right|^{p}A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle=\left(\int_{0}^{\infty}\left(\int_{1}^{\infty}\frac{\phi(\frac{x}{t})}{t}t^{-\frac{1}{p}-1}\frac{A_{\alpha,\beta}(t)^{1-\frac{1}{p}}}{A_{\alpha,\beta}(x)}\;dt\right)^{p}A_{\alpha,\beta}(x)dx\right)^{\frac{1}{p}}$
$\displaystyle\geq\int_{1}^{\infty}\frac{1}{t^{2}}\left(\int_{0}^{\infty}\frac{\phi(\frac{x}{t})^{p}}{t}\left(\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(x)}\right)^{p-1}dx\right)^{\frac{1}{p}}dt.$
Using the change of variable $x\mapsto u=\frac{x}{t}$ in the second integral,
we obtain
$\displaystyle\left\|H_{\alpha,\beta,\phi}f_{0}\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})}$
$\displaystyle\geq\int_{1}^{\infty}\frac{1}{t^{2}}\left(\int_{0}^{\infty}\phi(u)^{p}\left(\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(ut)}\right)^{p-1}du\right)^{\frac{1}{p}}dt$
$\displaystyle\geq\left(\int_{1}^{\infty}\frac{1}{t^{2}}dt\right)\left(\int_{0}^{\infty}\phi(u)^{p}\left(\inf_{t\in\mathbb{R}}\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(ut)}\right)^{p-1}du\right)^{\frac{1}{p}}$
$\displaystyle=\left(\int_{0}^{\infty}\phi(u)^{p}\left(\inf_{t\in\mathbb{R}}\frac{A_{\alpha,\beta}(t)}{A_{\alpha,\beta}(ut)}\right)^{p-1}du\right)^{\frac{1}{p}}.$
Thus,
$\displaystyle\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})\to
L^{p}(\mathbb{R},A_{\alpha,\beta})}\geq p^{\frac{1}{p}}B_{\inf}.$
∎
From Theorems 3.12 and 3.13, in the following corollary, we obtain a
characterization for the boundedness of the Hausdorff operator
$H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{p}(\mathbb{R},A_{\alpha,\beta})$.
###### Corollary 3.14.
Let $0<p<1$ and
$\sup_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(tu)}{A_{\alpha,\beta}(u)}\leq
D\inf_{u\in\mathbb{R}}\frac{A_{\alpha,\beta}(tu)}{A_{\alpha,\beta}(u)},\quad
t>0,$
for some positive constant $D.$ Then, the operator
$H_{\alpha,\beta,\phi}:L^{p}(\mathbb{R},A_{\alpha,\beta})\rightarrow
L^{p}(\mathbb{R},A_{\alpha,\beta})$ is bounded if and only if
$0<B_{\sup}<\infty.$ Moreover, the following estimates hold
$\frac{p^{\frac{1}{p}}}{D^{\frac{1}{p}-1}}B_{\sup}\leq\left\|H_{\alpha,\beta,\phi}\right\|_{L^{p}(\mathbb{R},A_{\alpha,\beta})\to
L^{p}(\mathbb{R},A_{\alpha,\beta})}\leq p^{\frac{1}{p}}B_{\sup}.$
## Acknowledgments
The first author gratefully acknowledges the support provided by IIT Guwahati,
Government of India. The second author is deeply indebted to Prof. Nir Lev for
several fruitful discussions and generous comments. The authors wish to thank
the anonymous referee for valuable comments and suggestions that helped to
improve the quality of the paper.
## Data availability statements
The authors confirm that the data supporting the findings of this study are
available within the article and its supplementary materials.
## Declarations
Conflict of interest The authors declare that there is no conflict of interest
regarding the publication of this article.
## References
* [1] N.B. Andersen, Real Paley–Wiener theorems and Roe’s theorem associated with the Opdam–Cherednik transform, J Math Anal Appl. 427(1):47–59 (2015).
* [2] K. Andersen and E. Sawyer, Weighted norm inequalities for the Riemann–Liouville and Weyl fractional integral operators, Trans Amer Math Soc. 308:547–558 (1988).
* [3] J.-P. Anker, F. Ayadi and M. Sifi, Opdam’s hypergeometric functions: product formula and convolution structure in dimension 1, Adv Pure Appl Math. 3(1):11–44 (2012).
* [4] R. Bandaliyev and P. Górka, Hausdorff operator in Lebesgue spaces, Math Inequal Appl. 22(2):657–676 (2019).
* [5] R.A. Bandaliyev and K.H. Safarova, On boundedness of multidimensional Hausdorff operator in weighted Lebesgue spaces, Tbilisi Math J. 13(1):39–45 (2020).
* [6] R.A. Bandaliyev and K.H. Safarova, On two-weight inequalities for Hausdorff operators of special kind in Lebesgue spaces, Hacet J Math Stat. 50(5):1334–1346 (2021).
* [7] J. Chen, D. Fan and S. Wang, Hausdorff operators on Euclidean spaces, Appl Math J Chinese Univ Ser B 28(4):548–564 (2013).
* [8] J. Chen and X. Zhu, Boundedness of multidimensional Hausdorff operators on $H^{1}({\mathbb{R}}^{n})$, J Math Anal Appl. 409(1):428–434 (2014).
* [9] M. Christ and L. Grafakos, The best constants for two non-convolution inequalities, Proc Amer Math Soc. 123:1687–1693 (1995).
* [10] R. Daher and F. Saadi, The Dunkl–Hausdorff operator is bounded on the real Hardy space $H_{\alpha}^{1}(\mathbb{R})$, Integral Trans Spec Funct. 30(11):882–892 (2019).
* [11] R. Daher and F. Saadi, The Dunkl–Hausdorff operators and the Dunkl continuous wavelets transform, J Pseudo-Differ Oper Appl. 11:1821–1831 (2020).
* [12] J.F. van Diejen and L. Vinet, Calogero–Moser–Sutherland Models, CRM Series in Mathematical Physics, Springer, New York (2000).
* [13] C.F. Dunkl, Hankel transforms associated to finite reflection groups, Contemp Math. 138:123–138 (1992).
* [14] A. Fiorenza, B. Gupta and P. Jain, The maximal theorem in weighted grand Lebesgue spaces, Studia Math. 188:123–133 (2008).
* [15] Z. Fu, L. Grafakos, S. Lu and F. Zhao, Sharp bounds for $m$-linear Hardy and Hilbert operators, Houston J Math. 38(1):225–243 (2012).
* [16] G. Gao, X. Wu and W. Guo, Some results for Hausdorff operators, Math Inequal Appl. 18:155–168 (2015).
* [17] C. Georgakis, The Hausdorff mean of a Fourier–Stieltjes transform, Proc Amer Math Soc. 116:465–471 (1992).
* [18] G.H. Hardy, Divergent Series, Oxford University Press, Oxford (1949).
* [19] F. Hausdorff, Summation methoden und Momentfolgen, Math Z. 9:74–109 (1921).
* [20] G.J. Heckman, An elementary approach to the hypergeometric shift operators of Opdam, Invent Math. 103:341–350 (1991).
* [21] G.J. Heckman and E.M. Opdam, Root systems and hypergeometric functions I, Comp Math. 64:329–352 (1987).
* [22] K. Hikami, Dunkl operators formalism for quantum many-body problems associated with classical root systems, J Phys Soc Japan 65:394–401 (1996).
* [23] T. Iwaniec, P. Koskela and J. Onninen, Mappings of finite distortion: Monotonicity and continuity, Invent Math. 144:507–531 (2001).
* [24] T. Iwaniec and C. Sbordone, On the integrability of the Jacobian under minimal hypothesis, Arch Ration Mech Anal. 119:129–143 (1992).
* [25] S. Jain, A. Fiorenza and P. Jain, Boundedness of the Dunkl–Hausdorff operator in Lebesgue spaces, Rocky Mountain J Math. 51(6):2031–2044 (2021).
* [26] T.R. Johansen, Uncertainty principles for the Heckman–Opdam transform, Bull Sci Math. 140:687–717 (2016).
* [27] A.K. Lerner and E. Liflyand, Multidimensional Hausdorff operators on the real Hardy spaces, J Aust Math Soc. 83:79–86 (2007).
* [28] E. Liflyand, Hausdorff operators on Hardy spaces, Eurasian Math J. 4:101–141 (2013).
* [29] E. Liflyand, Hardy type inequalities in the category of Hausdorff operators, Modern methods in operator theory and harmonic analysis, Springer Proc Math Stat. 291, 89–91, Springer, Cham (2019).
* [30] E. Liflyand and A. Miyachi, Boundedness of the Hausdorff operators in $H^{p}$ spaces, $0<p<1$, Studia Math. 194(3):279–292 (2009).
* [31] E. Liflyand and A. Miyachi, Boundedness of multidimensional Hausdorff operators in $H^{p}$ spaces, $0<p<1$, Trans Amer Math Soc. 371:4793–4814 (2019).
* [32] E. Liflyand and F. Móricz, The Hausdorff operator is bounded on the real Hardy space $H^{1}(\mathbb{R})$, Proc Amer Math Soc. 128:1391–1396 (2000).
* [33] E. Liflyand and F. Móricz, The multi-parameter Hausdorff operator is bounded on the product Hardy space $H^{11}(\mathbb{R}\times\mathbb{R})$, Analysis 21:107–118 (2001).
* [34] A. Miyachi, Boundedness of the Cesàro operator in Hardy space, J Fourier Anal Appl. 10:83–92 (2004).
* [35] S.S. Mondal and A. Poria, Weighted norm inequalities for the Opdam–Cherednik transform, arXiv:2107.04090 (2021).
* [36] F. Móricz, Multivariate Hausdorff operators on the spaces $H^{1}(\mathbb{R}^{n})$ and BMO$(\mathbb{R}^{n})$, Anal Math. 31:31–41 (2005).
* [37] E.M. Opdam, Harmonic analysis for certain representations of graded Hecke algebras, Acta Math. 175(1):75–121 (1995).
* [38] E.M. Opdam, Lecture notes on Dunkl operators for real and complex reflection groups, In: Mem Math Soc Japan 8, pp. 63–90, Tokyo (2000).
* [39] A. Poria, Uncertainty principles for the Opdam–Cherednik transform on modulation spaces, Integral Trans Spec Funct. 32(3):191–206 (2021).
* [40] W. Qingyan and F. Zunwei, Boundedness of Hausdorff operators on Hardy spaces in the Heisenberg group, Banach J Math Anal. 12(4):909–934 (2018).
* [41] J. Ruan, D. Fan and Q. Wu, Weighted Herz space estimates for Hausdorff operators on the Heisenberg group, Banach J Math Anal. 11:513–535 (2017).
* [42] B. Schapira, Contributions to the hypergeometric function theory of Heckman and Opdam: sharp estimates, Schwartz space, heat kernel, Geom Funct Anal. 18:222–250 (2008).
* [43] K. Trimèche, Harmonic analysis associated with the Cherednik operators and the Heckman–Opdam theory, Adv Pure Appl Math. 2:23–46 (2011).
* [44] F. Weisz, The boundedness of the Hausdorff operator on multi-dimensional Hardy spaces, Analysis 24:183–195 (2004).
| arxiv-papers | 2021-07-25T21:09:19 | 2024-09-04T03:07:17.264825 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Shyam Swarup Mondal and Anirudha Poria",
"submitter": "Anirudha Poria Ph.D.",
"url": "https://arxiv.org/abs/2107.11893"
} |
2107.11898 |
A sketch for derivators]A sketch for derivators
Giovanni Marelli
We show first that derivators can be seen as models of a suitable homotopy limit 2-sketch. After discussing homotopy local $\lambda$-presentability of the 2-category of derivators, for some appropriate regular cardinal $\lambda$, as an application we prove that derivators of small presentation are homotopy $\lambda$-presentable objects.
University of Namibia, Department of Computing, Mathematical and Statistical Sciences,
340 Mandume Ndemufayo Ave.,
13301 Windhoek (Namibia)
§ INTRODUCTION
Derivators were introduced by Grothendieck in his manuscript [32] written between the end of 1990 and the beginning of 1991,
though the term first appeared in his letter to Quillen [31] of 1983.
Similar notions appeared, independently, in Heller's work [33] of 1988 with the name of homotopy theories, and later, in 1996, in Franke's paper [25] with the name of systems of triangulated diagram categories. Then they were studied, for example, by
Heller himself [34], Maltsiniotis [55], Cisinski [15], [17], Cisinski and Neeman [18], Keller [38], Tabuada [71], Groth [28], Groth, Ponto and Shulman [30].
A reason for proposing derivators is to provide a formalism improving that of triangulated categories. In fact, triangulated categories lack a good theory of homotopy limits and homotopy colimits, in the sense that, though they can be defined, they can not be expressed by means of an explicit universal property. An example of this is the non-functoriality of the cone construction.
Since in the case of the derived category of an abelian category or the homotopy category of a stable model category or of a stable $(\infty,1)$-category, these construction can be made functorial, it means that when passing to the homotopy category the information for the construction of homotopy limits and homotopy colimits is lost. A derivator, as opposed to the homotopy (or derived) category, contains enough information to deal in a satisfactory way with homotopy limits and homotopy colimits. The idea in derivators is not only to consider the homotopy (or derived) category, but also to keep track of the homotopy (or derived) categories of diagrams and homotopy Kan extension between them. An advantage of working with derivators
is also the possibility of describing them completely by means of the theory of 2-categories.
As proved by Cisinski [15], model categories give rise to derivators, yielding a pseudo-functor between the 2-category of model categories and the 2-category of derivators. Building on this and on Dugger's result [22] about presentation of combinatorial model categories, Renaudin [64] proved that the pseudo-localization of the 2-category of combinatorial model categories at the class of Quillen equivalences is biequivalent to the 2-category of derivators of small presentation. These are defined by imposing, in a suitable sense,
relations on a derivator associated to the model category of simplicial presheaves on a small category $\CC$, which plays the role of a free derivator on $\CC$.
In this sense, small presentation of derivators resembles finite presentation of modules over rings or of models of algebraic theories, when given in terms of generators and relations. However, in these last two cases, finite presentation can be characterized also intrinsically: finitely presented modules (or models) are those which represent functors preserving filtered colimits. The search for an analogous intrinsic formulation of small presentation for derivators has been the motivation for this paper.
The main result we have obtained is the construction of a homotopy limit 2-sketch whose homotopy models can be identified with derivators. A (homotopy) limit sketch is a way to describe a theory defined by means of (homotopy) limits. The 2-categories of (homotopy) models of (homotopy) limit 2-sketches are the (homotopy) locally presentable 2-categories. Therefore, the construction of a homotopy limit 2-sketch for derivators, besides providing some kind of algebraic description of derivators, supplies also a framework in which to discuss homotopy presentability. Indeed, as an application, we prove that derivators of small presentation are homotopy $\lambda$-presentable models, partially meeting our original motivation.
We summarize the content of the paper and present the results.
In section <ref> we recall (right, left) derivators, as they were defined by Grothendieck [32], and we present Cisinski's result mentioned above. In this paper, in order to study presentability, we will assume that the 2-category of diagrams $\mathfrak{Dia}$ on which derivators are defined is small with respect to a fixed Grothendieck universe.
In section <ref>, we recall the definition of the weighted homotopy limit 2-sketch $\Sk$ and of its category of models.
We explain, then, how to include pseudo-natural transformations as morphisms between models in a new 2-category of models $\mathfrak{hMod}_\Sk^{ps}$.
In section <ref> we present our main result: we prove that the 2-category $\mathfrak{Der}^r$ of right derivators, cocontinuous pseudo-natural transformations (<ref>) and modifications, is the 2-category of models of a weighted homotopy limit 2-sketch, whose construction is explicitly exhibited.
*MainTheorem <ref>
There exists a weighted homotopy limit 2-sketch $\Sk=(\Gk,\Pro)$ and a biequivalence from the 2-category $\mathfrak{hMod}_\Sk^{ps}$ to the 2-category $\mathfrak{Der}^r$.
In section <ref> we recall the theory of homotopy presentable categories, together with the notion of presentable object in the homotopic sense. We have:
*opls1Corollary <ref>
$\mathfrak{hMod}^{ps}_\Sk$ is a homotopy locally $\lambda$-presentable 2-category, where $\lambda$ is a regular cardinal bounding the size of every category in $\mathfrak{Dia}$.
In section <ref>, we prove first, in lemma <ref>, after passing to a realized sketch, that representable models correspond to derivators defined by model categories of the form $sSet^{\CC^{op}}$, for some small category $\CC$. As an application,
derivators can be reconstructed by means of homotopy $\lambda$-filtered colimits as follows:
*ultimo7Corollary <ref>
Any right derivator is a homotopy $\lambda$-filtered colimit in $\mathfrak{Der}^r$ of $\lambda$-small homotopy 2-colimits of derivators of the form $\F(\CC)=\Phi(sSet^{\CC^{op}})$.
Finally, after recalling Renaudin's definitions and result on small presentability, we obtain:
*main7Theorem <ref>
A derivator of small presentation is a homotopy $\lambda$-presentable object of $\mathfrak{Der}^r$.
The author would like to thank Kuerak Chung for introducing the topic, Bernhard Keller for bringing this problem to his attention, Steve Lack and John Power for useful suggestions, Georges Maltsiniotis and Mike Shulman for useful comments.
§ DERIVATORS
In this section we recall derivators as
introduced by Grothendieck <cit.>. Derivators of small presentation, defined by Renaudin <cit.>, will be recalled instead in section <ref>. Besides these two references, introductions to derivators
are found for instance in [55], <cit.> or <cit.>.
We fix a Grothendieck universe $\U$ and we denote by $\mathfrak{Cat}$ the 2-category of $\U$-small categories, and by $\CC at$ the ordinary category underlying $\mathfrak{Cat}$.
A category of diagrams, which we denote by $\mathfrak{Dia}$, is a full 2-subcategory of $\mathfrak{Cat}$ such that:
* it contains the empty category, the terminal category $e$ and the category $\Delta_1=\mathbbm{2}$ associated to the ordered set $\{0<1\}$;
* it is closed under finite coproducts and pullbacks;
* it contains the overcategories $\CC/D$ and the undercategories $D\backslash\CC$ corresponding to any functor $u:\CC\rightarrow\DD$ and to any object $D\in\DD$;
* it is stable under passage to the opposite category.
Examples of categories of diagrams are $\mathfrak{Cat}$ itself, the 2-category $\mathfrak{Cat}_f$ of finite categories, the 2-category of partially ordered sets
or the 2-category of finite ordered sets.
In this paper we will assume that $\mathfrak{Dia}$ is $\U$-small, because, although the definitions regarding derivators do not depend on this, this hypothesis guarantees that all limits and colimits with which we will be concerned are $\U$-small. So we will assume the existence of a regular cardinal $\lambda$ such that all the categories in $\mathfrak{Dia}$ are $\lambda$-small.
A prederivator
of domain $\mathfrak{Dia}$ is a strict 2-functor
In other words, applying a prederivator $\D$ to the diagram
^u_v α
yields the diagram
() () ^v^∗_u^∗α^∗
where we have set $u^\ast=\D(u)$, $v^\ast=\D(v)$ and $\alpha^\ast=\D(\alpha)$.
For any category $\CC\in\mathfrak{Dia}$, the representable 2-functor $\mathfrak{Dia}(-^{op},\CC)$ is a prederivator of domain $\mathfrak{Dia}$.
Actually, any $\CC\in\mathfrak{Cat}$ defines a prederivator of domain $\mathfrak{Dia}$.
We present now the definitions of derivator, right derivator and left derivator, as introduced by Grothendieck [32]. There are other variants, which, however, we do not consider in this paper
(see, for instance, <cit.>).
A derivator is a prederivator $\D$ satisfying the following axioms.
* For every $\CC_0$ and $\CC_1$ in $\mathfrak{Dia}$, the functor
\begin{equation*}
\D(\CC_0\amalg\CC_1)\longrightarrow\D(\CC_0)\times\D(\CC_1),
\end{equation*}
induced by the canonical inclusions $\CC_i\rightarrow\CC_0\amalg\CC_1$, is an equivalence of categories.
Moreover, $\D(\varnothing)$ is equivalent to the terminal category $e$.
* A morphism $f:A\rightarrow B$ of $\D(\CC)$ is an isomorphism if and only if, for any object $D$ of $\CC$, the morphism in $\D(e)$
\begin{equation*}
c_D^\ast(f):c_D^\ast(A)\longrightarrow c_D^\ast(B)
\end{equation*}
is an isomorphism, where $c_D:e\rightarrow\CC$ denotes the constant functor at $D$.
* For every $u:\CC\rightarrow\mD$ in $\mathfrak{Dia}$, the functor
has both left and right adjoints
\begin{align}
& u_!:\D(\CC)\longrightarrow\D(\mD) \label{hdi} \\
& u_\ast:\D(\CC)\longrightarrow\D(\mD), \label{cdi}
\end{align}
called homological and cohomological direct image functor respectively.
* Consider diagrams in $\mathfrak{Dia}$ of the form
D\[r]^f [d]_t [d]^u /D [r]^f [d]_t <> β [d]^u
e [r]_c_D <>α e [r]_c_D
where $D\in\DD$, $t$ is the unique functor to the terminal category $e$, $f$ the obvious forgetful functor, $c_D$ the constant functor at $D$, $\alpha$ and $\beta$ the canonical natural transformations.
Apply $\D$
(D\) <> α^∗ () [l]_f^∗ (/D) () [l]_f^∗
(e) [u]^t^∗ () [l]^c_D^∗ [u]_u^∗ (e) [u]^t^∗ () [l]^c_D^∗ [u]_u^∗ <>β^∗
and use axiom 3 to construct the Beck-Chevalley transformations
\begin{align}
\alpha^\ast_{bc} & :t_! f^\ast\Rightarrow c_D^\ast u_! \label{hdi4} \\
\beta^\ast_{bc} & :c_D^\ast u_\ast\Rightarrow t_\ast f^\ast, \label{cdi4}
\end{align}
shown in the diagrams
(D\) [d]_t_! () [d]^u_! [l]_f^∗ (/D) [d]_t_∗ () [d]^u_∗ [l]_f^∗ <>β^∗_bc
(e) <> α^∗_bc () [l]^c_D^∗ (e) () [l]^c_D^∗
and given respectively by the composites
\begin{align*}
t_! f^\ast & \Rightarrow t_! f^\ast u^\ast u_! \Rightarrow t_! t^\ast c_D^\ast u_! \Rightarrow c_D^\ast u_! \\
c_D^\ast u_\ast & \Rightarrow t_\ast t^\ast c_D^\ast u_\ast \Rightarrow t_\ast f^\ast u^\ast u_\ast \Rightarrow t_\ast f^\ast.
\end{align*}
Then the natural transformations $\alpha^\ast_{bc}$ and $\beta^\ast_{bc}$ are isomorphisms.
A right derivator is a prederivator such that:
* it satisfies axioms 1 and 2;
* it admits homological direct image functors $u_!$ for any functor $u$ in $\mathfrak{Dia}$;
* every $\alpha^\ast_{bc}$ as in (<ref>) is an isomorphism.
A left derivator is defined in an analogous way.
Let $\M$ be a model category
and $W$ the class of its weak equivalences. The prederivator ${\rm Ho}[-^{op},\M]$, which on objects $\CC\in\mathfrak{Dia}$ is defined as the homotopy category
\begin{equation*}
{\rm Ho}[\CC^{op},\M]=[\CC^{op},\M][W_{\CC}^{-1}],
\end{equation*}
where $W_{\CC}$ is the class of objectwise weak equivalences,
defines a derivator. Its value on the terminal category $e$ is just the homotopy category ${\rm Ho}(\M)$ of $\M$. Its complete definition and the proof that it does define a derivator is the subject of [15].
We use pseudo-natural transformations to define 1-morphisms of
A morphism of prederivators $\theta:\D_1\rightarrow\D_2$
is a pseudo-natural transformation $\theta:\D_1\Rightarrow\D_2$.
Explicitly, a pseudo-natural transformation $\theta:\D_1\Rightarrow\D_2$ consists of the following data:
* for any $\CC\in\mathfrak{Dia}$, a functor
* for any $\CC$, $\DD$ and $u:\CC\rightarrow\DD$ in $\mathfrak{Dia}$,
an isomorphism
where $u^\ast_i=\D_i(u)$ for $i=1,2$, which is
natural in $u$,
that is, for any $\alpha:u\Rightarrow v$ in $\mathfrak{Dia}$ the diagram
v_2^∗∘θ_[r]^β^θ_v [d]_α_2^∗∗θ_ θ_∘v_1^∗[d]^θ_∗α^∗_1
u_2^∗∘θ_[r]_β^θ_u θ_∘u_1^∗
is commutative;
these data are required to fulfill the following coherence conditions
\begin{align*}
\beta^\theta_{1_\CC} & =1_{\theta_\CC} \\
\beta^\theta_{vu} & =(\beta^\theta_{u}\ast v_1^\ast)\circ(u_2^\ast\ast\beta^\theta_{v})
\end{align*}
for any composable $u$ and $v$.
A morphism of right derivators $\theta:\D_1\rightarrow\D_2$ is cocontinuous if it is
compatible with the homological direct image functors, namely,
for every $u$ in $\mathfrak{Dia}$ the Beck-Chevalley transform
\begin{align*}
\beta^\theta_{u_!} & :u_{2!}\circ\theta_\CC\Rightarrow\theta_\DD\circ u_{1!}
\end{align*}
is an isomorphism.
Continuous morphisms of (left) derivators are defined in an analogous way.
It remains to define 2-morphisms of derivators.
Given two (pre)derivators $\D_1$ and $\D_2$
and two morphisms $\theta_1$, $\theta_2:\D_1\rightarrow\D_2$, a 2-morphism $\lambda:\theta_1\rightarrow\theta_2$ is a modification $\lambda:\theta_1\Rrightarrow\theta_2$ between the underlying pseudo-natural transformations.
Explicitly, a modification $\lambda:\theta_1\Rrightarrow\theta_2$ consists of a family of natural transformations
\begin{equation*}
\lambda_\CC:\theta_{1\CC}\Rightarrow\theta_{2\CC}
\end{equation*}
for any $\CC\in\mathfrak{Dia}$, such that for every $u:\CC\rightarrow\DD$ of $\mathfrak{Dia}$ the diagram
\begin{gather}
\begin{aligned}
\xymatrix{
u_2^\ast\circ\theta_{1\CC} \ar[r]^{\beta^{\theta_1}_u} \ar[d]_{u_2^\ast\ast\lambda_\CC} & \theta_{1\DD}\circ u_1^\ast \ar[d]^{\lambda_\DD\ast u_1^\ast} \\
u_2^\ast\circ\theta_{2\CC} \ar[r]_{\beta^{\theta_2}_u} & \theta_{2\DD}\circ u_1^\ast
\end{aligned}
\label{modi}
\end{gather}
is commutative.
We organize what has been introduced so far into the following 2-categories:
* $\mathfrak{PDer}$ the 2-category of prederivators, morphisms of prederivators and 2-morphisms,
* $\mathfrak{Der}^r$ the 2-category of right derivators, cocontinuous morphisms and 2-morphisms,
* $\mathfrak{Der}^r_s$ the 2-category of right derivators, 2-natural transformations which are cocontinuous with $\beta_{u_!}=1$ for every morphisms $u$ of $\mathfrak{Dia}$, and 2-morphisms,
* $\mathfrak{Der}^l$ the 2-category of left derivators, continuous morphisms and 2-morphisms,
* $\mathfrak{Der}^{rl}$ the 2-category of derivators, continuous and cocontinuous morphisms and 2-morphisms,
* $\mathfrak{Der}_{ad}$ the 2-category of derivators,
morphisms of derivators whose components have right adjoints, and modifications.
We conclude this section by telling more about the relationship between derivators and model categories outlined in example <ref>.
Let $\mathfrak{ModQ}$ denote the 2-category of model categories,
left Quillen functors and
natural transformations.
Cisinski proved in [15] that the map in example <ref>
\begin{align*}
ob\mathfrak{ModQ} & \longrightarrow ob\mathfrak{Der}_{ad} \\
\M & \longmapsto {\rm Ho}[-^{op},\M]
\end{align*}
extends to 1-morphisms and 2-morphisms: he showed that
a Quillen adjunction $F:\M_1\rightleftarrows\M_2:G$ induces for any $\CC\in\mathfrak{Dia}$ an adjunction of total derived functors
LF̃:Ho[^op,_1]⇄Ho[^op,_2]:R G̃,
where $\tilde{F}$ and $\tilde{G}$ act by composing with $F$ and $G$ respectively,
and so it defines a pair of adjoint morphisms between the corresponding derivators.
The construction above defines a pseudo-functor
\begin{equation*}
\Phi:\mathfrak{ModQ}\rightarrow\mathfrak{Der}_{ad}
\end{equation*}
taking Quillen equivalences to equivalences of derivators.
We will use the symbol $\Phi(\M)$ for the derivator ${\rm Ho}[-^{op},\M]$ constructed from a model category $\M$.
We will recall other facts about derivators, especially the definition of small presentation, in section <ref>.
§ SKETCHES
Sketches, introduced by Ehresmann [23], are a way of presenting a theory which can be defined by means of limits and colimits.
It turns out that the categories of models of sketches can be characterized intrinsically as the accessible categories (Lair <cit.>), and, in particular, the categories of models of limit sketches are the locally presentable categories (Gabriel and Ulmer [26]).
Though the underlying idea is the same, there are different types of sketches, depending on the type of limits and colimits which define the theory we want to describe.
In this section we recall, in some detail, homotopy limit 2-sketches: in fact, in section <ref> we will prove that derivators can be identified, up to equivalence, with the
homotopy models of a sketch of this type. The 2-category of homotopy models, pseudo-natural transformations and modifications is then homotopy locally presentable.
As an application, in section <ref>, we will use this framework to study small presentability of derivators.
In this section we recall, in some detail, enriched sketches: in fact, in section <ref> we will prove that derivators can be identified, up to equivalence, with
models of a certain limit sketch enriched in categories. The 2-category of models, natural transformations and modifications is then locally presentable as enriched category; the restriction to its full 2-subcategory spanned by models which are flexible functors, in other words, including pseudo-natural transformations as morphisms between models, will allow to recover 1-morphisms and 2-morphisms of derivators.
As an application, in section <ref>, we will use this framework to study small presentability of derivators.
Enriched sketches were introduced by Kelly [40] and [41]. In the following presentation we will refer mainly to [13], however, we will restrict to the case $\V=\CC at$, as it is the one we are interested in (see also [63] for this case).
A limit 2-sketch is a pair $\Sk=(\Gk,\Pro)$ where:
* $\Gk$ is a small 2-category;
* $\Pro$ is a set of 2-cones, that is, quintuples $(\E,F,G,\LC,\gamma)$ where $\E$ is a small 2-category, the diagram $F:\E\rightarrow\Gk$ and the weight $G:\E\rightarrow\mathfrak{Cat}$ are 2-functors, the vertex $\LC$ is an object of $\Gk$ and $\gamma:G\Rightarrow\Gk(\LC,F-)$ is a 2-natural transformation.
Let $[\E,\mathfrak{Cat}]$ denote the 2-category of 2-functors, 2-natural transformations and modifications.
Let $F:\E\rightarrow\Gk$ and $G:\E\rightarrow\mathfrak{Cat}$ be 2-functors between 2-categories with $\E$ small. The 2-limit of $F$ weighted by $G$ exists when there is an object $\{G,F\}\in\Gk$ and for every object $\DD$ in $\Gk$ an isomorphism in $\CC at$
\begin{equation}
\label{defwl}
\Gk(\DD,\{G,F\})\cong[\E,\mathfrak{Cat}](G,\Gk(\DD,F-))
\end{equation}
which is 2-natural in $\DD$.
In a similar way we define the 2-colimit
$G\star F$ of $F$ weighted by $G$ by replacing isomorphism (<ref>) with the isomorphism
\begin{equation*}
\Gk(G\star F,\DD)\cong[\E,\mathfrak{Cat}](G,\Gk(F-,\DD)).
\end{equation*}
A model of a 2-sketch $\Sk=(\Gk,\Pro)$ is a 2-functor $\MM:\Gk\rightarrow\mathfrak{Cat}$ transforming the 2-cones of $\Pro$ into 2-limits. The 2-category of models of the sketch $\Sk$ is the full 2-subcategory $\mathfrak{Mod}_{\Sk}$ of $[\Gk,\mathfrak{Cat}]$ spanned by the models.
Those 2-categories which are isomorphic to the 2-category of models of a limit 2-sketch $\Sk$ are exactly the locally presentable 2-categories (see <cit.>, <cit.>).
As $\V=\CC at$, we can also consider pseudo-natural transformations as morphisms between models. Indeed, if we want to recover morphisms of derivators, we have to deal with pseudo-natural transformations. To this purpose, we let $\Pro s(\Gk,\mathfrak{Cat})$ denote the 2-category of 2-functors $\Gk\rightarrow\mathfrak{Cat}$, pseudo-natural transformations and modifications.
If $\Sk$ is a limit 2-sketch, we define $\mathfrak{Mod}_\Sk^{ps}$ to be the full 2-subcategory of $\Pro s(\Gk,\mathfrak{Cat})$ spanned by the models of $\Sk$.
Homotopy limit sketches were proposed by Rosický [66] with the purpose of extending rigidification results of Badzioch [2] and Bergner [8] to finite limit theories. Lack and Rosický in [50] proved that the $\V$-categories of homotopy models of homotopy limit $\V$-sketches can be characterized as the homotopy locally presentable $\V$-categories.
We will consider only the case $\V=\CC at$, since this is the one of derivators.
Recall that $\CC at$ has a model structure, known as the standard model structure, where weak equivalences are the equivalences of categories, and fibrations are the isofibrations;
this model structure is combinatorial,
all objects are fibrant and, assuming the axiom of choice, also cofibrant, moreover, $\mathfrak{Cat}$ becomes a monoidal model 2-category (in the sense of <cit.>).
If $\E$ is a small 2-category, then the category underlying $[\E,\mathfrak{Cat}]$, endowed with the projective model structure, is also a combinatorial model category, whose
cofibrant objects can be characterized as follows.
Recall that the inclusion
\begin{equation*}
i:[\E,\mathfrak{Cat}]\hookrightarrow \Pro s(\E,\mathfrak{Cat})
\end{equation*}
has a left adjoint $\Qc$ (see <cit.>), where $\Pro s(\E,\mathfrak{Cat})$ denotes the 2-category of 2-functors $\E\rightarrow\mathfrak{Cat}$, pseudo-natural transformations and modifications.
Thus, for 2-functors $G,H:\E\rightarrow\mathfrak{Cat}$, there is a natural isomorphism of categories
\begin{equation}
\label{coheq}
\mathfrak[\E,\mathfrak{Cat}](\Qc G,H)\cong\Pro s(\E,\mathfrak{Cat})(G,H).
\end{equation}
The counit and unit computed at a functor $G:\E\rightarrow\mathfrak{Cat}$ are given by a 2-natural transformation
$\varepsilon_G:\Qc(G)\rightarrow G$ and a pseudo-natural transformation $\eta_G:G\rightarrow\Qc(G)$ respectively.
One of the triangle equations tells us that $\varepsilon_G\circ\eta_G=1_G$. Since $\eta_G\circ\varepsilon_G\cong1_G$ (see <cit.>), it follows that $\Qc G$ and $G$ are equivalent in $\Pro s(\E,\mathfrak{Cat})$. If $\varepsilon$ has a section in $[\E,\mathfrak{Cat}]$, then $\Qc G$ and $G$ are equivalent also in $\mathfrak[\E,\mathfrak{Cat}]$ and $G$ is said to be flexible (see <cit.> and <cit.>). As proved in <cit.>, flexible 2-functors are exactly the cofibrant objects of $[\E,\mathfrak{Cat}]$ with respect to the projective model structure, and $\Qc G$ is indeed a cofibrant replacement of $G$.
Let $\Gk$ be a 2-category, $F:\E\rightarrow\Gk$ and $G:\E\rightarrow\mathfrak{Cat}$ be 2-functors, where $\E$ is a small 2-category. Assume $G$ is a cofibrant object of the category $[\E,\mathfrak{Cat}]$ endowed with the projective model structure. The homotopy 2-limit of $F$ weighted by $G$ exists when there is an object $\{G,F\}_h\in\Gk$ and for every object $\DD$ of $\Gk$ an equivalence of categories
\begin{equation}
\label{defhwl}
\Gk(\DD,\{G,F\}_h)\longrightarrow[\E,\mathfrak{Cat}](G,\Gk(\DD,F-))
\end{equation}
which is 2-natural in $\DD$.
In a similar way we define the homotopy 2-colimit
$G\star_h F$ of $F:\E\rightarrow\Gk$ weighted by $G:\E^{op}\rightarrow\mathfrak{Cat}$ by replacing formula (<ref>) with
\begin{equation*}
\Gk(G\star_h F,\DD)\longrightarrow[\E,\mathfrak{Cat}](G,\Gk(F-,\DD)).
\end{equation*}
The following definitions are from from <cit.>.
A weighted limit 2-sketch is a pair $\Sk=(\Gk,\Pro)$ where:
* $\Gk$ is a small 2-category;
* $\Pro$ is a set of 2-cones, that is, quintuples $(\E,F,G,\LC,\gamma)$ where $\E$ is a small 2-category, the diagram $F:\E\rightarrow\Gk$ and the weight $G:\E\rightarrow\mathfrak{Cat}$ are 2-functors, the vertex $\LC$ is an object of $\Gk$ and $\gamma:G\Rightarrow\Gk(\LC,F-)$ is a 2-natural transformation.
A weighted homotopy limit 2-sketch is a weighted limit 2-sketch $\Sk=(\Gk,\Pro)$ with all weights cofibrant.
A homotopy model of a weighted homotopy limit 2-sketch $\Sk$ is a 2-functor $\MM:\Gk\rightarrow\mathfrak{Cat}$ transforming the cones of $\Pro$ into weighted homotopy 2-limits. We denote by $\mathfrak{hMod}_{\Sk}$ the full 2-subcategory of $[\Gk,\mathfrak{Cat}]$ spanned by the homotopy models of the weighted homotopy limit 2-sketch $\Sk$.
The 2-categories of the form $\mathfrak{hMod}_{\Sk}$ for some weighted homotopy limit 2-sketch $\Sk$ are the homotopy locally presentable 2-categories: this fact <cit.> is a consequence of <cit.> (and, actually, holds for a more general $\V$). We will return to these results and to homotopy locally presentable 2-categories in <ref>.
To recover morphisms of derivators, we have to consider pseudo-natural transformations as morphisms between homotopy models. This motivates the following definition.
If $\Sk$ is a weighted homotopy limit 2-sketch, we define $\mathfrak{hMod}_\Sk^{ps}$ to be the full 2-subcategory of $\Pro s(\Gk,\mathfrak{Cat})$ spanned by the homotopy models.
§ A SKETCH FOR DERIVATORS
In this section we prove, by giving an explicit construction, that $\mathfrak{Der}^r$ is the 2-category $\mathfrak{hMod}_\Sk^{ps}$ of homotopy models of a homotopy limit 2-sketch $\Sk$. Analogous results hold for $\mathfrak{Der}^{l}$ and $\mathfrak{Der}^{rl}$, however, here we consider just the case of $\mathfrak{Der}^r$, since this is the one relevant to study of presentability of derivators.
We recall that a biequivalence between 2-categories is a pseudo-functor which is 2-essentially surjective (surjective on objects up to equivalence), and a local equivalence (essentially full on 1-morphisms and full and faithful on 2-morphisms), see <cit.> and <cit.>.
We call equivalence between 2-categories a 2-functor which is 2-essentially surjective and full and faithful on both 1-morphisms and 2-morphisms.
There exists a weighted homotopy limit 2-sketch $\Sk=(\Gk,\Pro)$ and a biequivalence from the 2-category $\mathfrak{hMod}_\Sk^{ps}$ to the 2-category $\mathfrak{Der}^r$.
As we will see along the proof, the construction also provide a homotopy limit 2-sketch, which simplifies some steps in the proof and partly the discussion about local presentability.
There exists a homotopy limit 2-sketch $\Sk=(\Gk,\Pro)$ such that the 2-category $\mathfrak{hMod}_\Sk^{ps}$ is biequivalent to the 2-category $\mathfrak{Der}^r$.
The 2-category $\mathfrak{Mod}_\Sk$ is equivalent to the 2-category $\mathfrak{Der}^r_s$.
Since the proof is long, we split it into several parts.
§.§ Idea of the proof
The proof consists of two parts: the first, from subsection <ref> to <ref>, contains the construction of a homotopy limit 2-sketch $\Sk=(\Gk,\Pro)$, and the second, in subsection <ref>, the verification that the 2-category $\mathfrak{hMod}_\Sk^{ps}$ is indeed $\mathfrak{Der}^r$.
The construction of $\Sk$ will be carried out as follows.
After providing a 2-sketch for prederivators $(\Gk,\Pro)$ in subsection <ref>, we will proceed by steps
capturing, in subsections <ref>, <ref>, <ref> and <ref>, each of the four axioms for derivators.
More precisely, we will adjoin to $\Gk$, at each step,
new elements and commutative diagrams, and we will enlarge $\Pro$ with new cones, in order to express by means of these the axioms for derivators; then,
we will redefine $\Gk$ as the free 2-category on these data and on the commutativity conditions already in $\Gk$ (see remark <ref> below).
Observe that cones in $\Pro$ are used to capture only axiom 1 and 2.
The free construction we use to adjoin new elements to $\Gk$ generalizes the analogous construction for ordinary categories (see <cit.>), replacing ordinary graphs with 2-graphs. A 2-graph is a graph “enriched” over the category of small graphs, that is, it is given by a set of vertices and a family of ordinary graphs, one for every pair of vertices (see <cit.> for the precise definition).
If $2\G r$ denotes the category of 2-graphs and morphisms of 2-graphs, and $2\CC at$ the category of 2-categories whose underlying 2-graph belongs to $2\G r$ and 2-functors, then the forgetful functor $2\CC at\rightarrow 2\G r$ is monadic (see <cit.>).
When a 2-graph contains elements already composable or relations among them, we would like that the free 2-category constructed over it preserves such data.
As usual, the idea is to consider, in the given 2-graph, pairs formed by finite sequences of horizontally or vertically composable 2-cells in a prescribed order, sharing horizontal sources and targets, and to require that the components of each pair become equal in the free 2-category. Such pairs, called commutativity conditions, are defined rigorously by Power and Wells <cit.>, in terms of labeled pasting schemes, called pasting diagrams in [70]. The proof that pasting 2-cells is well-defined in any 2-category is the subject of [60], of which a brief survey is found in <cit.>.
Denoting by $c2\G r$ the category whose objects are 2-graphs with a set of commutativity conditions and whose morphisms are morphisms of 2-graphs preserving commutativity conditions, a free construction, left adjoint to the forgetful functor $2\CC at\rightarrow c2\G r$, is provided in Street's paper <cit.> in terms of “presentations" of 2-categories.
When a 2-graph $\Gk$ is built from a 2-category $\Ck$ by adjoining new symbols, as in our case, we refer to all the relations among elements of $\Ck$ determined by the 2-category structure on $\Ck$ as the commutativity conditions defined by $\Ck$ .
The first step consists in providing a sketch for prederivators.
§.§ Prederivators
Let $\Gk=\mathfrak{Dia}^{op}$ and set $\Pro=\varnothing$.
A homotopy model with values in $\mathfrak{Cat}$ is a 2-functor $\D:\Gk\rightarrow\mathfrak{Cat}$ with domain $\mathfrak{Dia}^{op}$, in other words, a prederivator of domain $\mathfrak{Dia}$. Therefore $\Sk=(\Gk,\Pro)$
is a homotopy limit 2-sketch whose 2-category $\mathfrak{hMod}_\Sk^{ps}$ of homotopy models
in $\mathfrak{Cat}$
is the 2-category $\mathfrak{PDer}$ of prederivators.
The next steps are concerned with including into the sketch the axioms for derivators.
§.§ Axiom 1
Let $\Gk=\mathfrak{Dia}^{op}$ and define $\Pro$ to be the family of cones in $\mathfrak{Dia}^{op}$ of the form
\begin{gather}
\begin{aligned}
\xymatrix{
& \CC_0\amalg\CC_1 \ar[dl]_{s_{\CC_0}} \ar[dr]^{s_{\CC_1}} & \\
\CC_0 & & \CC_1,
\end{aligned}
\label{diaprod2}
\end{gather}
corresponding to cocones for the coproducts $\CC_0\amalg\CC_1$ in $\mathfrak{Dia}$, for any pair of objects $\CC_0$ and $\CC_1$. Therefore, $s_{\CC_0}$ and $s_{\CC_1}$ are the arrows in $\mathfrak{Dia}^{op}$ corresponding to the canonical morphisms of the coproduct $\CC_0\amalg\CC_1$ taken in $\mathfrak{Dia}$. With the notation of definition <ref> we can write these cones as
\begin{equation}
\label{prodcon}
\end{equation}
where $\{0,1\}$ is the discrete 2-category with two objects,
$F:\{0,1\}\rightarrow\Gk$ is the 2-functor mapping $i$ to $\CC_i$, for $i=0,1$, $\delta_e:\{0,1\}\rightarrow\mathfrak{Cat}$ is the constant 2-functor at the terminal category $e$ (which is clearly cofibrant), $\CC_0\amalg\CC_1$ denotes the product of $\CC_0$ and $\CC_1$ in $\mathfrak{Dia}^{op}$ (the coproduct in $\mathfrak{Dia}$) and $s_{\CC_i}:\CC_0\amalg\CC_1\rightarrow\CC_i$ are the canonical projections.
Since models take the product cones (<ref>) to product cones in $\mathfrak{Cat}$, they fulfill the first part of axiom 1. To capture completely axiom 1, we have to include into $\Pro$ the cone $\varnothing$ with vertex the empty category over the empty diagram, thus forcing $\D(\varnothing)\simeq e$
Observe that $\Pro$ is a set, as we have assumed that $\mathfrak{Dia}$ is small for the fixed universe $\U$.
For every pairs of objects $\CC_0$ and $\CC_1$ in $\Gk$, we adjoin to $\Gk$ a new object $\Pi(\CC_0,\CC_1)$ together, for $i=0,1$, with morphisms $p_{\CC_i}:\Pi(\CC_0,\CC_1)\rightarrow\CC_i$, $f_{\CC_0\CC_1}:\Pi(\CC_0,\CC_1)\rightarrow\CC_0\Pi\CC_1$ such that, using the notation above, $p_{\CC_i}=s_{\CC_i}f_{\CC_0\CC_1}$, and $e_{\CC_0\CC_1}:\CC_0\Pi\CC_1\rightarrow\Pi(\CC_0,\CC_1)$, and 2-isomorphism $f_{\CC_0\CC_1}e_{\CC_0\CC_1}\cong1_{\CC_0\Pi\CC_1}$, $e_{\CC_0\CC_1}f_{\CC_0\CC_1}\cong1_{\Pi(\CC_0,\CC_1)}$. We then add the cone
\begin{equation*}
\end{equation*}
to $\Pro$. In this way, every model $\D$ takes $\Pi(\CC_0,\CC_1)$ to the product $\D(\CC_0)\times\D(\CC_1)$ in $\mathfrak{Cat}$, to which $\D(\CC_0\Pi\CC_1)$ is then equivalent by means of $f_{\CC_0\CC_1}\ast$ and $e_{\CC_0\CC_1}^\ast$.
To capture completely axiom 1, we deal in a similar way with $\D(\varnothing)\equiv e$, adding a cone which we denote by $\varnothing'$.
Observe that $\Pro$ is a set, as we have assumed that $\mathfrak{Dia}$ is small for the fixed universe $\U$.
We could declare the cones in $\Gk=\mathfrak{Dia}^{op}$ of the form $\CC_0\Pi\CC_1$, for every $\CC_0$ and $\CC_1$ in $\Gk$, corresponding to cocones for the coproducts $\CC_0\amalg\CC_1$ in $\mathfrak{Dia}$,
\begin{gather}
\begin{aligned}
\xymatrix{
& \CC_0\Pi\CC_1 \ar[dl]_{s_{\CC_0}} \ar[dr]^{s_{\CC_1}} & \\
\CC_0 & & \CC_1,
\end{aligned}
\label{diaprod2}
\end{gather}
to be elements of the set of cones $\Pro$ of the sketch $\Sk$. In this way, every model of $\Sk$ would map $\CC_0\Pi\CC_1$ to a product cone in $\mathfrak{Cat}$. Since, weighted 2-limits are defined up to isomorphism, we would have that models preserve product only up to isomorphism. As, by axiom 1, derivators should map coproducts into products up to equivalence, we proceeded, instead, as explained above. However, note, first, that in case we proceed as in this remark, at a certain point some rigidification result will have to be applied, second, we can indeed proceed as in this remark if we are interested in a homotopy limit 2-sketch.
Notice, first, that since weighted 2-limits are defined up to isomorphism, the sketch $\Sk$ which we are constructing will be able to describe only a strict form of axiom 1.
Let $\Gk=\mathfrak{Dia}^{op}$ and define $\Pro$ to be the family of cones in $\mathfrak{Dia}^{op}$ of the form
\begin{gather}
\begin{aligned}
\xymatrix{
& \CC_0\Pi\CC_1 \ar[dl]_{s_{\CC_0}} \ar[dr]^{s_{\CC_1}} & \\
\CC_0 & & \CC_1,
\end{aligned}
\label{diaprod2}
\end{gather}
corresponding to cocones for the coproducts $\CC_0\amalg\CC_1$ in $\mathfrak{Dia}$, for any pair of objects $\CC_0$ and $\CC_1$. Therefore, $s_{\CC_0}$ and $s_{\CC_1}$ are the arrows in $\mathfrak{Dia}^{op}$ corresponding to the canonical morphisms of the coproduct $\CC_0\amalg\CC_1$ taken in $\mathfrak{Dia}$. With the notation of definition <ref> we can write these cones as
\begin{equation}
\label{prodcon}
\end{equation}
where $\{0,1\}$ is the discrete 2-category with two objects,
$F:\{0,1\}\rightarrow\Gk$ is the 2-functor mapping $i$ to $\CC_i$, for $i=0,1$, $\delta_e:\{0,1\}\rightarrow\mathfrak{Cat}$ is the constant 2-functor at the terminal category $e$, $\CC_0\Pi\CC_1$ is the product of $\CC_0$ and $\CC_1$ in $\mathfrak{Dia}^{op}$ and $s_{\CC_i}:\Pi_{i\in I}\CC_i\rightarrow\CC_i$ are the canonical projections.
Since models take the product cones (<ref>) to product cones in $\mathfrak{Cat}$, they fulfil the first part of axiom 1. To capture completely axiom 1, we have to include into $\Pro$ the empty cone $\varnothing$, thus forcing $\D(\varnothing)\simeq e$
Observe that $\Pro$ is a set, as we have assumed that $\mathfrak{Dia}$ is small for the fixed universe $\U$.
§.§ Axiom 2
To capture axiom 2 we need first a reformulation of it in terms of limits. As an intermediate step, we recast it as follows.
A prederivator $\D$ satisfies axiom 2 if and only if,
for any $\CC\in\mathfrak{Dia}$, the family of functors $\D(c_D):\D(\CC)\rightarrow\D(e)$ induced by the constant functors $c_D:e\rightarrow\CC$ at $D\in\CC$, is jointly conservative, that is, the induced functor
\begin{equation*}
\D(\CC)\rightarrow\Pi_{D\in\CC}\D(e)
\end{equation*}
is conservative.
Conservative functors can be described as follows. Consider a functor $f:A\rightarrow B$. Denote by $A^\mathbbm{2}$ and $B^\mathbbm{2}$ the categories of arrows of $A$ and $B$ respectively, seen as categories of functors, where $\mathbbm{2}=\Delta^1$ is the category corresponding to the ordered set $\{0<1\}$. Let $c_A:A\rightarrow A^\mathbbm{2}$ and $c_B:B\rightarrow B^\mathbbm{2}$ denote the canonical inclusions. Let $f^{\mathbbm 2}:A^\mathbbm{2}\rightarrow B^\mathbbm{2}$ be the functor induced by $f$ via composition. With these data, consider the diagram
\begin{gather}
\begin{aligned}
\xymatrix{
A \ar[r] \ar[d]_{f} \ar[r]^{c_A} & A^{\mathbbm 2} \ar[d]^{f^{\mathbbm 2}} \\
B \ar[r]_{c_B} & B^{\mathbbm 2}
\end{aligned}
\label{consdia}
\end{gather}
in the 2-category $\mathfrak{Cat}$.
Let us call bipullback the bilimit of a cospan, where by bilimit, if $F:\E\rightarrow\Gk$ and $G:\E\rightarrow\mathfrak{CAT}$ are 2-functors, where $\E$ is a
small 2-category, the bilimit of $F$ weighted by $G$ exists when there is an object $\{G,F\}_b\in\Gk$ and for every object $\DD$ in $\Gk$ an equivalence in $\cal{C}at$
\begin{equation*}
\label{lhs}
\Gk(\DD,\{G,F\}_b)\simeq\Pro s(\E,\mathfrak{Cat})(G,\Gk(\DD,F-))
\end{equation*}
natural in $\DD$. However, by the isomorphism (<ref>), any bilimit $\{G,F\}_b$ is equivalent to the weighted homotopy limit $\{\Qc G,F\}_h$, where $\Qc G$ is a cofibrant replacement of $G$, so we see that a bilimit is a special case of weighted homotopy limit (definition <ref>). In particular, when $G$ is cofibrant (or flexible), by the equivalence $G\simeq\Qc G$ in $[\Gk,\mathfrak{CAT}]$, the bilimit $\{G,F\}_b$ is equivalent to $\{G,F\}_h$.
Recall that a functor is conservative when it reflects isomorphisms. An equivalent formulation, which is more convenient to our purpose, is as follows. Consider a functor $f:A\rightarrow B$. Denote by $A^\mathbbm{2}$ and $B^\mathbbm{2}$ the categories of arrows of $A$ and $B$ respectively, where $\mathbbm{2}=\Delta^1$ is the category corresponding to the ordered set $\{0<1\}$.
In a similar way, let $A^I$ and $B^I$ be the categories of isomorphisms of $A$ and $B$ respectively, where $I$ denotes the category with two objects and an isomorphism between them.
Let $b_A:A^I\rightarrow A^\mathbbm{2}$ and $b_B:B^I\rightarrow B^\mathbbm{2}$ denote the canonical inclusions. Let $f^{\mathbbm 2}:A^\mathbbm{2}\rightarrow B^\mathbbm{2}$ and $f^I:A^I\rightarrow B^I$ be the functors induced by $f$. With these data, consider the commutative diagram
\begin{gather}
\begin{aligned}
\xymatrix{
A^I \ar[r] \ar[d]_{f^I} \ar[r]^{b_A} & A^{\mathbbm 2} \ar[d]^{f^{\mathbbm 2}} \\
B^I \ar[r]_{b_B} & B^{\mathbbm 2}
\end{aligned}
\label{consdia}
\end{gather}
in the 2-category $\mathfrak{Cat}$.
A functor $f:A\rightarrow B$ is conservative if and only if the commutative diagram <ref>
is a bilimit
in $\mathfrak{Cat}$.
We recall the notion of bilimit: if $F:\E\rightarrow\Gk$ and $G:\E\rightarrow\mathfrak{Cat}$ are 2-functors, where $\E$ is a
small 2-category, the bilimit of $F$ weighted by $G$ exists when there is an object $\{G,F\}_b\in\Gk$ and for every object $\DD$ in $\Gk$ an equivalence in $\cal{C}at$
\begin{equation*}
\label{lhs}
\Gk(\DD,\{G,F\}_b)\simeq\Pro s(\E,\mathfrak{Cat})(G,\Gk(\DD,F-))
\end{equation*}
natural in $\DD$.
Notice, however, that by the isomorphism (<ref>), any bilimit $\{G,F\}_b$ is equivalent to the weighted homotopy limit $\{\Qc G,F\}_h$, where $\Qc G$ is a cofibrant replacement of $G$, so that a bilimit is a special case of weighted homotopy limit (definition <ref>).
The proof of lemma <ref> is lengthy nevertheless straightforward, so we just outline the idea.
Suppose $f$ is conservative. Observe first that a pseudo-pullback is indeed a bilimit (see <cit.>) and recall its explicit expression (see <cit.>): in our case, it is the category whose objects are quintuples $(b,w,h,v,g)$ with $b\in B$, $h\in B^{\mathbbm 2}$, $g\in A^{\mathbbm 2}$, $w:c_B(b)\cong h$, $v:f^{\mathbbm 2}(g)\cong h$, and whose morphisms are triples
with $x:b\rightarrow b'$, $y:h\Rightarrow h'$ and $z:g\Rightarrow g'$, such that
\begin{align*}
\label{morpspb}
\begin{split}
& y\circ w=w'\circ c_B(x) \\
& y\circ v=v'\circ f^{\mathbbm 2}(z).
\end{split}
\end{align*}
Denoting by $B\times^{ps}_{B^{\mathbbm 2}}A^{\mathbbm 2}$ the pseudo-pullback of the diagram in figure (<ref>),
we have an inclusion of $r:A\rightarrow B\times^{ps}_{B^{\mathbbm 2}}A^{\mathbbm 2}$ constructed by means of $f$.
We then define a functor $u:B\times^{ps}_{B^{\mathbbm 2}}A^{\mathbbm 2}\rightarrow A$ as follows: on objects $(b,w,h,v,g)$ in $B\times^{ps}_{B^{\mathbbm 2}}A^{\mathbbm 2}$ we set
\begin{equation*}
\label{uobj}
\end{equation*}
where $0\in\mathbbm{2}$; on morphisms $(x,y,z):(b,w,h,v,g)\rightarrow(b',w',h',v',g')$ we define
\begin{equation*}
\label{umor}
\end{equation*}
where $z_0$ denotes the natural transformation $z$ computed at $0\in{\mathbbm 2}$.
Clearly $ur=1_A$. That $ru\cong1_{B\times^{ps}_{B^{\mathbbm 2}}A^{\mathbbm 2}}$, and so that the pair $r:A\rightleftarrows B\times^{ps}_{B^{\mathbbm 2}}A^{\mathbbm 2}:u$ is an equivalence and so $A$ a bilimit,
follows from the hypothesis that $f$ is conservative. We omit however this part.
Concerning the converse, observe first that if <ref> is a bilimit
then $(r,u)$ defined above yields an equivalence $A\simeq B\times^{ps}_{B^{\mathbbm 2}}A^{\mathbbm 2}$. Now, if $n:a\rightarrow a'$ is a morphism in $A$ then it defines an object in $A^{\mathbbm 2}$, and, if, in addition, $f(n)$ is also an isomorphism, then it can be extended to an object of $B\times^{ps}_{B^{\mathbbm 2}}A^{\mathbbm 2}$. This finally implies that $n$ is an isomorphism. Again, we omit the details.
Note that, by the isomorphism (<ref>), any bilimit $\{G,F\}_b$ is equivalent to the weighted homotopy limit $\{\Qc G,F\}_h$, where $\Qc G$ is a cofibrant replacement of $G$, so we see that a bilimit is a special case of weighted homotopy limit (definition <ref>).
Lemma <ref> and <ref> provide a formulation of axiom 2 in terms of limits.
The functor $%\Pi_{D\in\CC}\D(c_D):
\D(\CC)\rightarrow\Pi_{D\in\CC}\D(e)$ is conservative if and only if the diagram
\begin{gather}
\begin{aligned}
\xymatrix{
\D(\CC) \ar[rr]%^{c_{\D(\CC)}}
\ar[d]%_{\Pi_{D\in\CC}\D(c_D)}
& & \D(\CC)^{\mathbbm 2} \ar[d]%^{(\Pi_{D\in\CC}\D(c_D))^{\mathbbm 2}}
\\
\Pi_{D\in\CC}\D(e) \ar[rr]%_{c_{\Pi_{D\in\CC}\D(e)}}
& & (\Pi_{D\in\CC}\D(e))^{\mathbbm 2}
\end{aligned}
\label{bipulldia}
\end{gather}
is a bilimit, where arrows are as in diagram <ref>.
The functor $\Pi_{D\in\CC}\D(c_D):\D(\CC)\rightarrow\Pi_{D\in\CC}\D(e)$ is conservative if and only if the diagram
\begin{gather}
\begin{aligned}
\xymatrix{
\D(\CC)^I \ar[rr]^{b_{\D(\CC)}} \ar[d]_{(\Pi_{D\in\CC}\D(c_D))^I} & & \D(\CC)^{\mathbbm 2} \ar[d]^{(\Pi_{D\in\CC}\D(c_D))^{\mathbbm 2}} \\
(\Pi_{D\in\CC}\D(e))^I \ar[rr]_{b_{\Pi_{D\in\CC}\D(e)}} & & (\Pi_{D\in\CC}\D(e))^{\mathbbm 2}
\end{aligned}
\label{bipulldia}
\end{gather}
is a bipullback.
Now, as explained in <ref>, we have to add to $\Pro$ cones, one for each $\CC\in\mathfrak{Dia}$, which models will then map to the bilimit
(<ref>), thus forcing them to fulfill axiom 2; the weights defining such cones will have to be cofibrant.
We proceed as follows.
However, since the categories and morphisms in diagram (<ref>) in general are not images by $\D$ of objects and morphisms in $\Gk$, first
we freely adjoin to $\Gk$ new symbols and force models, by means of new cones added to $\Pro$
and commutative diagrams, to map them to the categories and functors in diagram (<ref>).
There is not a unique way to do this, but to minimize the number of cones, we make the following remark where we will see that the limit in lemma <ref> is the weighted limit of a yet simpler diagram.
For every $\CC\in\mathfrak{Dia}^{op}$, let $\CC'$ denote the category obtained by adjoining an initial object to the discrete category on the objects of $\CC$: in other words, $\CC'$ is the category whose objects are all those of $\CC$ together with a new one $\ast$ acting as initial object, and whose non-trivial morphisms are just the canonical ones with source the initial object $\ast$.
Given a derivator $\D$, consider the following functors: a diagram
\begin{equation*}
\end{equation*}
which, on objects, maps $\ast$ to $\D(\CC)$ and the remaining objects to $\D(e)$, and, on morphisms, sends the morphism $\ast\rightarrow C$, for every object $C$ of $\CC$, to the morphism $\D(\CC)\rightarrow\D(e)$, obtained by applying $\D$ to the functor $c_C:e\rightarrow\CC$ in $\mathfrak{Dia}$ constant at $C$ in $\CC$; a weight
\begin{equation*}
\end{equation*}
which, on objects, maps each $C$ of $\CC$ to $e$ and $\ast$ to ${\mathbbm 2}$, and, on morphisms, takes each $\ast\rightarrow C$ to the canonical morphism ${\mathbbm 2}\rightarrow e$.
We claim that $\{G_\CC,F_\CC\}$ is the bilimit (<ref>). This will imply the following form of axiom 2.
The functor $%\Pi_{D\in\CC}\D(c_D):
\D(\CC)\rightarrow\Pi_{D\in\CC}\D(e)$ is conservative if and only if $\D(\CC)\cong\{G_\CC,F_\CC\}$.
The claim follows from the observation that a natural transformation $G_\CC\Rightarrow\mathfrak{Cat}(\{G_\CC,F_\CC\},F_\CC-)$ consists of:
* a functor $G_\CC(\ast)\rightarrow\mathfrak{Cat}(\{G_\CC,F_\CC\},F_\CC(\ast))$, that is,
a functor $\{G_\CC,F_\CC\}\rightarrow\D(\CC)^{\mathbbm 2}$;
* a functor $G_\CC(C)\rightarrow\mathfrak{Cat}(\{G_\CC,F_\CC\},F_\CC(C))$ for every object $C$ of $\CC$, that is,
a functor $\{G_\CC,F_\CC\}\rightarrow\D(e)$;
* for every arrow $\ast\rightarrow C$ in $\CC'$, with $C\in\CC$, a commutative diagram imposing that each composition
\begin{equation*}
\{G_\CC,F_\CC\}\rightarrow\D(e)\rightarrow\D(e)^{\mathbbm 2},
\end{equation*}
of the functor in (2) with that induced by ${\mathbbm 2}\rightarrow e$, agrees with the composition
\begin{equation*}
\{G_\CC,F_\CC\}\rightarrow\D(\CC)^{\mathbbm 2}\rightarrow\D(e)^{\mathbbm 2},
\end{equation*}
of the functors in (1) with those induced by $c_C:e\rightarrow\CC$;
of such diagram we display below the part defined by $C\in\CC$:
{G_,F_} [r] [d] ()^2 [d]
(e) [r] (e)^2.
Observe that the weight $G$ is PIE, and so cofibrant, as it can be obtained from the representable functors $\CC'(\ast,-)$ and $\CC'(C,-)$ by means of coproducts, coinserters and coinverters (providing the objects of ${\mathbbm 2}$ and $I$, the morphism of ${\mathbbm 2}$, and the isomorphisms of $I$ respectively).
As we said, to capture axiom 2, we proceed by adjoining to $\Gk$ symbols which models, by means of new cones added to $\Pro$ and commutative diagrams, will take to those elements in the diagram determined by $F_\CC$ and $G_\CC$ which are not already image of elements in $\Gk$.
§.§.§ The case of $(\CC)^I$
We need first a new symbol $(\CC)^I$ which $\D$ will map to the category $\D(\CC)^I$ in $\mathfrak{Cat}$. To this purpose,
observe that the category $\D(\CC)^I$ of isomorphisms of $\D(\CC)$ is the cotensor $[I,\D(\CC)]$, which is the weighted limit $\{\delta_I,\delta_{\D(\CC)}\}$, where the weight $\delta_I:e\rightarrow\mathfrak{Cat}$ is the constant 2-functors at $I$, and the diagram $\delta_{\D(\CC)}:e\rightarrow\Gk$ is the constant 2-functor at $\D(\CC)$. So, first we impose $\D((\CC)^I)\cong\D(\CC)^I$ to any model $\D$, by adding to $\Pro$, for any $\CC\in\mathfrak{Dia}$, the
\begin{equation}
\label{secone}
\end{equation}
where $\delta_\CC:e\rightarrow\Gk$ is the constant 2-functor at $\CC$,
and $\gamma_{(\CC)^I}:\delta_I\Rightarrow\Gk((\CC)^I,\delta_\CC-)$ is a
natural transformation. Note that $\gamma_{(\CC)^I}$ consists of two 1-morphisms $(\CC)^I\rightrightarrows\CC$ and a 2-isomorphism between them, which are not in $\Gk$.
So we freely adjoin to $\Gk$, beside the new symbol $(\CC)^I$, also all those enclosed in $\gamma_{(\CC)^I}$, for any $\CC\in\mathfrak{Dia}$. Since $\mathfrak{Dia}$ is small, then the new cones and new symbols form sets.
Notice also that cotensors are flexible as they can be constructed from PIE-weights (see <cit.>).
§.§.§ The case of $b_{(\CC)}$
Notice the cone
\begin{equation*}
(e,\delta_\CC,\delta_{\mathbbm 2},(\CC)^I,\gamma^1_{(\CC)^I})
\end{equation*}
in $\Gk$, where the natural transformation $\gamma^1_{(\CC)^I}:\delta_{\mathbbm 2}\Rightarrow\G((\CC)^I,\delta_\CC)$ is the composite functor ${\mathbbm 2}\rightarrow I\rightarrow\G((\CC)^I,\CC)$, with the second arrow induced by $\gamma_{(\CC)^I}$ in <ref>.
We add to $\Gk$, for any $\CC\in\mathfrak{Dia}$, the commutative the diagram,
\begin{gather}
\begin{aligned}
\xymatrix{
(\CC)^I \ar[rr]^{b_{(\CC)}} \ddrrtwocell_{\gamma^1_{(\CC)^I}(i)\hspace{2em}} &
& (\CC)^{\mathbbm 2} \ddtwocell^{\hspace{2em}\gamma_{(\CC)^{\mathbbm 2}}(i)} \\
& & \\
& & \CC
\end{aligned}
\label{sdew3}
\end{gather}
The commutativity of this diagram again implies that any model $\D$ takes $b_{(\CC)}$ to $b_{\D(\CC)}$.
In view of corollary <ref> we have to impose that the bilimit of diagram (<ref>)), computed by $\{G_\CC,F_\CC\}$, is $\D(\CC)$.
To this purpose we consider, for every $\CC\in\mathfrak{Dia^{op}}$, the cone
\begin{equation}
\label{secsec}
\end{equation}
where $\CC'$ and $G_\CC$ have been defined above; $F'_\CC:\CC'\rightarrow\Gk$ is the functor which, in a way analogous to what $F_\CC$ does, maps $\ast$ to $\CC$ and the remaining objects to $e$, and sends the unique morphism $\ast\rightarrow C$, for every object $C$ of $\CC$, to the morphism in $\Gk$ corresponding to the functor $c_C:e\rightarrow\CC$ in $\mathfrak{Dia}$ constant at $C$ in $\CC$;
and $\gamma$ is a 2-natural transformation $G_\CC\Rightarrow\Gk(\CC,F'_\CC-)$ determined by two identity arrows $\CC\rightarrow\CC$ with the identity 2-morphism between them, and, for each $C\in\CC$, by the arrow $c_C:\CC\rightarrow e$, where the naturality is expressed by the commutativity of the following
diagram, of which we display below the part corresponding to $C\in\CC$,
^1_C_1_C1 [dll]^c_C
Finally, we replace this pseudo-cone by the cone defined by the 2-natural transformation $\gamma'$ corresponding to $\gamma$ via the isomorphism (<ref>)
which comes after taking a cofibrant replacement $\Qc G$ of $G$. We add to $\Pro$ all such cones, for every $C\in\mathfrak{Dia}$.
Models satisfy axiom 2 if and only if $\Pro$ contains a cone which is mapped by models to the pullback in diagram (<ref>), for any $\CC\in\mathfrak{Dia}$. However, since categories and morphisms in diagram (<ref>) in general are not images by $\D$ of objects and morphisms in $\Gk$, first
we freely adjoin to $\Gk$ new symbols and force models, by means of new cones added to $\Pro$
and commutative diagrams, to map them to the categories and functors in diagram (<ref>). It is clear that
we need new symbols for each of the categories $\D(\CC)^I$, $(\Pi_{D\in\CC}\D(e))^I$, $\D(\CC)^{\mathbbm 2}$ and $(\Pi_{D\in\CC}\D(e))^{\mathbbm 2}$ in $\mathfrak{Cat}$, and for each of the functors $(\Pi_{D\in\CC}\D(c_D))^I$, $b_{\D(\CC)}$, $(\Pi_{D\in\CC}\D(c_D)
)^{\mathbbm 2}$ and $b_{\Pi_{D\in\CC}\D(e)}$ in $\mathfrak{Cat}$. After this we can add a cone for the pullback in diagram (<ref>).
We explain this procedure.
For any $\CC\in\mathfrak{Dia}$, consider, first, new symbols $(\CC)^I$, $\Pi_{D\in\CC}(e)^I$, $(\CC)^{\mathbbm 2}$ and $\Pi_{D\in\CC}(e)^{\mathbbm 2}$. For each of them, we describe the cones which have to be included in $\Pro$ in order that any model $\D$ maps these new symbols to $\D(\CC)^I$,$(\Pi_{D\in\CC}\D(e))^I$, $\D(\CC)^{\mathbbm 2}$ and $(\Pi_{D\in\CC}\D(e))^{\mathbbm 2}$ respectively.
§.§.§ The case of $(\CC)^I$
The category $\D(\CC)^I$ of isomorphisms of $\D(\CC)$ is the cotensor $[I,\D(\CC)]$, which is the weighted limit $\{\delta_I,\delta_{\D(\CC)}\}$, where, denoted by $e$ the unit 2-category, the weight $\delta_I:e\rightarrow\mathfrak{Cat}$ is the constant 2-functors at $I$, and the diagram $\delta_{\D(\CC)}:e\rightarrow\Gk$ is the constant 2-functor at $\D(\CC)$. So, to impose $\D((\CC)^I)\cong\D(\CC)^I$ to any model $\D$, we need to add to $\Pro$, for any $\CC\in\mathfrak{Dia}$, the
\begin{equation*}
\end{equation*}
where $\delta_\CC:e\rightarrow\Gk$ is the constant 2-functor at $\CC$ and $\gamma_{(\CC)^I}:\delta_I\Rightarrow\Gk((\CC)^I,\delta_\CC-)$ is a
natural transformation. Note that $\gamma_{(\CC)^I}$ consists of two 1-morphisms $(\CC)^I\rightrightarrows\CC$ and a 2-isomorphism between them, which are not in $\Gk$.
So we freely adjoin to $\Gk$ the new symbols $(\CC)^I$ and those enclosed in $\gamma_{(\CC)^I}$, for any $\CC\in\mathfrak{Dia}$. Since $\mathfrak{Dia}$ is small, then the new cones and new symbols form sets.
§.§.§ The case of $\Pi_{D\in\CC}(e)^I$
To impose $\D(\Pi_{D\in\CC}(e)^I)\cong(\Pi_{D\in\CC}\D(e))^I$ to any model $\D$, we have to adjoin first a symbol for $\Pi_{D\in\CC}\D(e)$. This step is necessary only when $\CC$ has not a finite number of objects, otherwise, from axiom 1, we know that $\Pi_{D\in\CC}\D(e)\cong \D(\Pi_{D\in\CC}e)$.
For any such $\CC$,
since the category $\Pi_{D\in\CC}\D(e)$ is the product indexed by $ob\CC$ of $\D(e)$, we include into $\Pro$ the cone
\begin{equation}
\label{saqdv}
\end{equation}
where $ob\CC$ is the discrete 2-category on the objects of $\CC$, $\delta_e:ob\CC\rightarrow\Gk$ and
$\delta_e:ob\CC\rightarrow\mathfrak{Cat}$ are the constant 2-functors at the terminal category $e$,
and $(p_D)_{D\in\CC}:\delta_e\Rightarrow\Gk(\Pi_{D\in\CC}(e),\delta_e-)$ is the
2-natural transformation consisting of arrows $p_\CC:\Pi_{D\in\CC}(e)\rightarrow e$ for any object $D\in\CC$:
Π_D∈(e) [dll]_p_D [dl]
e e e ...
We adjoin to $\Gk$ all the new symbols $\Pi_{D\in\CC}(e)$ and the collection $(p_D)_{D\in\CC}$. Note that, since $\mathfrak{Dia}$ is small, $\CC$ is small too, so the cone above is well-defined. Moreover, the cones and symbols, which are adjoined for every $\CC\in\mathfrak{Dia}$, form sets.
As for $\Pi_{D\in\CC}(e)^I$, we proceed as in <ref>: we add to $\Pro$, for any $\CC\in\mathfrak{Dia}$, the
\begin{equation}
\label{tuiom}
\end{equation}
where $\delta_{\Pi_{D\in\CC}(e)}:e\rightarrow\Gk$ is the constant 2-functor at $\Pi_{D\in\CC}(e)$ (if $\CC$ has a finite number of objects, then $\Pi_{D\in\CC}(e)$ is the object $\Pi_{D\in\CC}e$ of $\mathfrak{Dia}$) and $\gamma_{\Pi_{D\in\CC}(e)^I}:\delta_I\Rightarrow\Gk(\Pi_{D\in\CC}(e)^I,\delta_{\Pi_{D\in\CC}(e)}-)$ is a
natural transformation.
§.§.§ The case of $(\CC)^{\mathbbm 2}$
As the category $\D(\CC)^{\mathbbm 2}$ of arrows of $\D(\CC)$ is the cotensor $[{\mathbbm 2},\D(\CC)]$, which is the weighted limit $\{\delta_{\mathbbm 2},\delta_{\D(\CC)}\}$, we add to $\Pro$, for any $\CC\in\mathfrak{Dia}$, the
\begin{equation*}
(e,\delta_\CC,\delta_{\mathbbm 2},(\CC)^{\mathbbm 2},\gamma_{(\CC)^{\mathbbm 2}}),
\end{equation*}
where $\delta_\CC:e\rightarrow\Gk$ is the constant 2-functor at $\CC$, $\delta_{\mathbbm 2}$ is the constant 2-functors at ${\mathbbm 2}$, and $\gamma_{(\CC)^{\mathbbm 2}}:\delta_{\mathbbm 2}\Rightarrow\Gk((\CC)^{\mathbbm 2},\delta_\CC-)$ is a
natural transformation.
§.§.§ The case of $\Pi_{D\in\CC}(e)^{\mathbbm 2}$
Similarly, to impose $\D(\Pi_{D\in\CC}(e)^{\mathbbm 2})\cong(\Pi_{D\in\CC}\D(e))^{\mathbbm 2}$ to any model $\D$,
we include in $\Pro$, for any $\CC\in\mathfrak{Dia}$, the
\begin{equation}
\label{tuio}
(e,\delta_{\Pi_{D\in\CC}(e)},\delta_{\mathbbm 2},\Pi_{D\in\CC}(e)^{\mathbbm 2},\gamma_{\Pi_{D\in\CC}(e)^{\mathbbm 2}}),
\end{equation}
where $\delta_{\Pi_{D\in\CC}(e)}$ and $\delta_{\mathbbm 2}$ are the constant 2-functors at $\Pi_{D\in\CC}(e)$ and ${\mathbbm 2}$ respectively, and $\gamma_{\Pi_{D\in\CC}({\mathbbm 1})^{\mathbbm 2}}:\delta_{\mathbbm 2}\Rightarrow\Gk(\Pi_{D\in\CC}(e)^{\mathbbm 2},\delta_{\Pi_{D\in\CC}(e)}-)$ is a natural transformation.
Consider now the symbols
\begin{align*}
& (\Pi_{D\in\CC}(c_D))^I:(\CC)^I\rightarrow\Pi_{D\in\CC}(e)^I \\
& (\Pi_{D\in\CC}(c_D))^{\mathbbm 2}:(\CC)^{\mathbbm 2}\rightarrow\Pi_{D\in\CC}(e)^{\mathbbm 2} \\
& b_{\Pi_{D\in\CC}(e)}:\Pi_{D\in\CC}e^I\rightarrow\Pi_{D\in\CC}(e)^{\mathbbm 2} \\
& b_{(\CC)}:(\CC)^I\rightarrow(\CC)^{\mathbbm 2}
\end{align*}
for any $\CC\in\mathfrak{Dia}$:
we adjoin them to $\Gk$ as 1-morphisms together with commutative diagrams to force models to map them to the corresponding functors in diagram (<ref>).
§.§.§ The case of $(\Pi_{D\in\CC}(c_D))^I$
We have to adjoin first a symbol for $\Pi_{D\in\CC}(c_D)$.
Assume $\CC$ has not a finite number of objects (on the contrary, the morphism $\Pi_{D\in\CC}c_D$ of $\mathfrak{Dia}^{op}$ plays the role of $\Pi_{D\in\CC}(c_D)$).
Consider the cone
\begin{equation}
\label{saqd}
\end{equation}
where $ob\CC$ is the discrete 2-category on the objects of $\CC$
and $(c_D)_{D\in\CC}$ is the 2-natural transformation defined by the family of arrows $c_D:\CC\rightarrow e$, for $D\in\CC$, corresponding to the constant functors $e\rightarrow\CC$ at $D$ in $\mathfrak{Dia}$.
We add to $\Gk$, for any $\CC\in\mathfrak{Dia}$ and for any $D\in\CC$, the commutative diagram
\begin{gather}
\begin{aligned}
\xymatrix{
\CC \ar[rr]^{\Pi_{D\in\CC}(c_D)} \ar@/_1pc/[rrd]_{c_D} &
& \Pi_{D\in\CC}(e) \ar[d]^{p_D} \\
& & e,
\end{aligned}
\label{saq}
\end{gather}
and we adjoin to $\Gk$ the new symbol $\Pi_{D\in\CC}(c_D)$. Observe that diagram <ref> provides a factorization of the cone (<ref>) through the cone (<ref>).
Since $\Pi_{C\in\CC}\D(c_C)$ fits into the image of diagram <ref> by any derivator $\D$, and since the cone (<ref>) belongs to $\Pro$, the commutativity of diagram <ref> forces models to take $\Pi_{D\in\CC}(c_D)$ to $\Pi_{D\in\CC}\D(c_D)$.
As for $(\Pi_{D\in\CC}(c_D))^I$, consider in $\Gk$, for every $\CC\in\mathfrak{Dia}$, the cone
\begin{equation}
\label{tuim}
\end{equation}
$\gamma^1_{(\CC)^I}:\delta_I\Rightarrow\G((\CC)^I,\delta_{\Pi_{D\in\CC}(e)}-)$ is defined as the composite
I [rr]^(.4)γ_()^I (()^I,) [rr]^(.42)(-,Π_D∈(c_D)) (()^I,Π_D∈(e)),
with $\gamma_{(\CC)^I}$ as in <ref>.
We add to $\Gk$, for any $\CC\in\mathfrak{Dia}$,
the commutative diagram
\begin{gather}
\begin{aligned}
\xymatrix{
(\CC)^I \ar[rr]^{(\Pi_{D\in\CC}(c_D))^I} \ddrrtwocell_{\gamma^1_{(\CC)^I}(i)\hspace{3em}} &
& \Pi_{D\in\CC}(e)^I \ddtwocell^{\hspace{4em}\gamma_{\Pi_{D\in\CC}(e)^I}(i)} & \\
& & & \\
& & \Pi_{D\in\CC}(e)
\end{aligned}
\label{sdewm}
\end{gather}
where, to make the diagram readable, $\gamma^1_{(\CC)^I}(i)$ and $\gamma_{\prod_{D\in\CC}(e)^I}(i)$ label the arrows, when $i=0,1\in I$, and label the isomorphic cells, when $i=\zeta:0\rightarrow1$ is the isomorphism of $I$.
Notice that diagram <ref> represents a factorization of the cone (<ref>) through the cone (<ref>). The same argument used for $\Pi_{D\in\CC}(c_D)$ implies that every model $\D$ takes $(\Pi_{D\in\CC}(c_D))^I$ to $(\Pi_{D\in\CC}\D(c_D))^I$.
§.§.§ The case of $(\Pi_{D\in\CC}(c_D))^{\mathbbm 2}$
Consider first in $\Gk$ the cone
\begin{equation}
\label{tui}
(e,\delta_{\Pi_{D\in\CC}(e)},\delta_{\mathbbm 2},(\CC)^{\mathbbm 2},\gamma^1_{(\CC)^{\mathbbm 2}}),
\end{equation}
$\gamma^1_{(\CC)^{\mathbbm 2}}:\delta_{\mathbbm 2}\Rightarrow\G((\CC)^{\mathbbm 2},\delta_{\Pi_{D\in\CC}(e)}-)$ is defined as the composite
2 [rr]^(.4)γ_()^2 (()^2,) [rr]^(.42)(-,Π_D∈(c_D)) (()^2,Π_D∈(e)),
with $\gamma_{(\CC)^{\mathbbm 2}}$ as in <ref>.
We add to $\Gk$, for any $\CC\in\mathfrak{Dia}$,
the commutative diagram
\begin{gather}
\begin{aligned}
\xymatrix{
(\CC)^{\mathbbm 2} \ar[rr]^{(\Pi_{D\in\CC}(c_D))^{\mathbbm 2}} \ddrrtwocell_{\gamma^1_{(\CC)^{\mathbbm 2}}(i)\hspace{3em}} &
& \Pi_{D\in\CC}(e)^{\mathbbm 2} \ddtwocell^{\hspace{4em}\gamma_{\Pi_{D\in\CC}(e)^{\mathbbm 2}}(i)} & \\
& & & \\
& & \Pi_{D\in\CC}(e)
\end{aligned}
\label{sdew}
\end{gather}
where $\gamma^1_{(\CC)^{\mathbbm 2}}(i)$ and $\gamma_{\prod_{D\in\CC}(e)^{\mathbbm 2}}(i)$ label the arrows, when $i=0,1\in{\mathbbm 2}$, and label the cells, when $i=\zeta:0\rightarrow1$, the non-trivial arrow of ${\mathbbm 2}$.
Since that diagram <ref> represents a factorization of the cone (<ref>) through the cone (<ref>), we conclude that every model $\D$ maps $(\Pi_{D\in\CC}(c_D))^{\mathbbm 2}$ to $(\Pi_{D\in\CC}\D(c_D))^{\mathbbm 2}$.
§.§.§ The case of $b_{\Pi_{D\in\CC}(e)}$
The argument is as in <ref>. We consider first the cone in $\Gk$
\begin{equation*}
(e,\delta_{\Pi_{D\in\CC}(e)},\delta_{\mathbbm 2},\Pi_{D\in\CC}(e)^I,\gamma^1_{\Pi_{D\in\CC}(e)^I}),
\end{equation*}
where the natural transformation $\gamma^1_{\Pi_{D\in\CC}(e)^I}:\delta_{\mathbbm 2}\Rightarrow\G(\Pi_{D\in\CC}(e)^I,\delta_{\Pi_{D\in\CC}}(e))$ is defined by the composite functor ${\mathbbm 2}\rightarrow I \rightarrow\G(\Pi_{D\in\CC}(e)^I,\Pi_{D\in\CC}(e))$, where the second arrow is induced by $\gamma_{\Pi_{D\in\CC}(e)^I}$ in <ref>.
We add to $\Gk$, for any $\CC\in\mathfrak{Dia}$, the following commutative diagram
\begin{gather}
\begin{aligned}
\xymatrix{
\Pi_{D\in\CC}(e)^I \ar[rr]^{b_{\Pi_{D\in\CC}(e)}} \ddrrtwocell_{\gamma^1_{\Pi_{D\in\CC}(e)^I}(i)\hspace{4em}} &
& \Pi_{D\in\CC}(e)^{\mathbbm 2} \ddtwocell^{\hspace{4em}\gamma_{\Pi_{D\in\CC}(e)^{\mathbbm 2}}(i)} \\
& & \\
& & \Pi_{D\in\CC}(e).
\end{aligned}
\label{sdew2}
\end{gather}
The same argument used in <ref> finally leads us to conclude that any model $\D$ takes $b_{\Pi_{D\in\CC}(e)}$ to $b_{\Pi_{D\in\CC}\D(e)}$.
§.§.§ The case of $b_{(\CC)}$
We briefly consider also $b_{(\CC)}:(\CC)^I\rightarrow(\CC)^{\mathbbm 2}$.
We notice in $\Gk$ the cone
\begin{equation*}
(e,\delta_\CC,\delta_{\mathbbm 2},(\CC)^I,\gamma^1_{(\CC)^I}),
\end{equation*}
where the natural transformation $\gamma^1_{(\CC)^I}:\delta_{\mathbbm 2}\Rightarrow\G((\CC)^I,\delta_\CC)$ is the composite functor ${\mathbbm 2}\rightarrow I\rightarrow\G((\CC)^I,\CC)$, with the second arrow induced by $\gamma_{(\CC)^I}$ in <ref>.
We add to $\Gk$, for any $\CC\in\mathfrak{Dia}$, the commutative the diagram,
\begin{gather}
\begin{aligned}
\xymatrix{
(\CC)^I \ar[rr]^{b_{(\CC)}} \ddrrtwocell_{\gamma^1_{(\CC)^I}(i)\hspace{2em}} &
& (\CC)^{\mathbbm 2} \ddtwocell^{\hspace{2em}\gamma_{(\CC)^{\mathbbm 2}}(i)} \\
& & \\
& & \CC
\end{aligned}
\label{sdew3}
\end{gather}
The commutativity of this diagram again implies that any model $\D$ takes $b_{(\CC)}$ to $b_{\D(\CC)}$.
We can now include in $\Pro$ the cone corresponding to the pullback (<ref>): this will force models to satisfy axiom 2.
§.§.§ The cone for the pullback (<ref>)
For any $\CC\in\mathfrak{Dia}^{op}$, we add to $\Pro$ the cone
where $\mathfrak{E}$ is the cospan
Z [d]_v
Y [r]^u X,
$F:\E\rightarrow\Gk$ is the 2-functor mapping the cospan $\E$ to the cospan
()^2 [d]^(Π_D∈(c_D))^2
Π_D∈(e)^I [rr]_b_Π_D∈(e) Π_D∈(e)^2,
$\delta_e:\E\rightarrow\mathfrak{Cat}$ is the constant 2-functor at the terminal category
and $\gamma:\delta_e\Rightarrow\Gk((\CC)^I,F-)$ is a 2-natural transformation, determined by the arrows $(\Pi_{D\in\CC}(c_D))^I:(\CC)^I\rightarrow\Pi_{D\in\CC}(e)^I$ and $b_{(\CC)}:(\CC)^I\rightarrow(\CC)^{\mathbbm 2}$ and by the commutative diagram
\begin{gather}
\begin{aligned}
\xymatrix{
(\CC)^I \ar[rr]^{b_{(\CC)}} \ar[d]_{(\Pi_{D\in\CC}(c_C))^I} & & (\CC)^{\mathbbm{2}} \ar[d]^{(\Pi_{D\in\CC}(c_C))^{\mathbbm 2}} \\
\Pi_{D\in\CC}(e)^I \ar[rr]_{b_{\Pi_{D\in\CC}(e)}} & & \Pi_{D\in\CC}(e)^{\mathbbm 2}.
\end{aligned}
\label{bastaa}
\end{gather}
We remark that the commutativity of diagram <ref> follows from the definitions of the arrows appearing in it, so it is not a datum which has to be added to $\Gk$.
§.§ Axiom 3
If we are constructing a sketch for $\mathfrak{Der}^{r}$, to capture axiom 3
we freely adjoin to $\Gk$ a 1-morphism $u_{(!)}:\CC\rightarrow\DD$ and 2-morphisms $\epsilon_{(u_!)}:u_{(!)}u\Rightarrow1_\CC$, $\eta_{(u_!)}:1_\DD\Rightarrow uu_{(!)}$, for any 1-morphism $u:\DD\rightarrow\CC$ in $\mathfrak{Dia}^{op}$ which has not already a left adjoint. We impose the following diagrams in $\Gk$:
\begin{equation}
\begin{aligned}
\label{relterzo}
& (u\ast\epsilon_{(u_!)})\circ(\eta_{(u_!)}\ast u)=1_u \\
& (\epsilon_{(u_!)}\ast u_{(!)})\circ(u_{(!)}\ast\eta_{(u_!)})=1_{u_{(!)}}
\end{aligned}
\end{equation}
These will ensure the existence of a left adjoint to $\D(u)$, for any model $\D$.
We remark that if we are instead interested in a sketch for $\mathfrak{Dia}^l$ then we should adjoin, for any $u:\DD\rightarrow\CC$ in $\mathfrak{Dia}^{op}$ not having a right adjoint, a 1-morphism $u_{(\ast)}:\CC\rightarrow\DD$ and 2-morphisms
$\epsilon_{(u_\ast)}:uu_{(\ast)}\Rightarrow1_\CC$, $\eta_{(u_\ast)}:1_\DD\Rightarrow u_{(\ast)}u$, together with diagrams
\begin{align*}
& (u_{(u_\ast)}\ast\epsilon_{(\ast)})\circ(\eta_{(u_\ast)}\ast u_{(\ast)})=1_{u_{(\ast)}} \\
& (\epsilon_{(u_\ast)}\ast u)\circ(u\ast\eta_{(\ast)})=1_u.
\end{align*}
If we are constructing a sketch for $\mathfrak{Der}^{rl}$ then all the 1-morphisms, 2-morphisms and relations above should be added.
§.§ Axiom 4
To capture axiom 4 in the sketch for $\mathfrak{Der}^r$,
for any diagram in $\mathfrak{Dia}^{op}$ of the form
D\<>α [l]_f
e [u]^t [l]^d [u]_u
(see axiom 4 in definition <ref> for the meaning of the symbols),
we add a 2-morphism $\alpha_{bc}^{-1}:du_{(!)}\Rightarrow t_{(!)}f$ and impose the commutativity conditions
\begin{equation}
\begin{aligned}
\label{relquarto}
[(\epsilon_{(t_!)}\ast d\ast u_{(!)})\circ(t_{(!)}\ast\alpha\ast u_{(u_!)})\circ(t_{(!)}\ast f\ast\eta_{(u_!)})]\circ\alpha_{bc}^{-1}=1_{du_{(!)}} \\
\alpha_{bc}^{-1}\circ[(\epsilon_{(t_!)}\ast d\ast u_{(!)})\circ(t_{(!)}\ast\alpha\ast u_{(u_!)})\circ(t_{(!)}\ast f\ast\eta_{(u_!)})]=1_{t_{(!)}f},
\end{aligned}
\end{equation}
provided such a morphism is not already in $\Gk$.
If concerned with $\mathfrak{Der}^l$ or $\mathfrak{Der}^{rl}$, we proceed by adapting what done above to the new situation in the obvious way.
If concerned with $\mathfrak{Der}^l$,
for any diagram in $\mathfrak{Dia}^{op}$ of the form
/D [l]_f
e [u]^t [l]^d [u]_u <>β
we add a 2-morphism $\beta^{-1}:t_{(\ast)}f\Rightarrow du_{(\ast)}$ and commutativity conditions
\begin{align*}
[(t_{(\ast)}\ast f\ast\epsilon_{(u_\ast)})\circ(t_{(\ast)}\ast\beta\ast u_{(\ast)})\circ(\eta_{t_\ast)}\ast d\ast u_{(\ast)})]\circ\beta^{-1}=1_{t_{(\ast)}f} \\
\beta^{-1}\circ[(t_{(\ast)}\ast f\ast\epsilon_{(u_\ast)})\circ(t_{(\ast)}\ast\beta\ast u_{(\ast)})\circ(\eta_{(t_\ast)}\ast d\ast u_{(\ast)})]=1_{du_{(\ast)}}
\end{align*}
Finally, if we want a sketch for $\mathfrak{Der}^{rl}$, this should include all the 2-morphisms and relations introduced above.
§.§ Summary
We summarize the construction of the sketch $\Sk=(\Gk,\Pro)$ for $\mathfrak{Der}^r$.
§.§.§ Cones
The set $\Pro$ contains the following cones:
* $(\{0,1\},F,\delta_e,\CC_0\amalg\CC_1,(s_{\CC_0},s_{\CC_1}))$, for any objects $\CC_0$ and $\CC_1$ of $\mathfrak{Dia}$ (see <ref>);
* $\varnothing$ the empty cone (see <ref>);
* $(\CC',F'_\CC,G_\CC,\CC,\gamma')$, for every object $\CC\in\mathfrak{Dia}$ (see <ref>).
The set $\Pro$ contains the following cones:
* $(\Pi(\CC_0,\CC_1),p_{\CC_0},p_{\CC_1})$, for any objects $\CC_0$ and $\CC_1$ of $\mathfrak{Dia}^{op}$ (see <ref>);
* $\varnothing'$ (see <ref>);
* $(\CC',F',G,(\CC)^I,\gamma)$, for every object $\CC$ of $\mathfrak{Dia}^{op}$ (see <ref>).
The set $\Pro$ contains the following cones:
* $(\{0,1\},F,\delta_e,\CC_0\Pi\CC_1,(s_{\CC_0},s_{\CC_1}))$, for any objects $\CC_0$ and $\CC_1$ of $\mathfrak{Dia}^{op}$ (see <ref>);
* $\varnothing$ the empty cone;
* $(e,\delta_\CC,\delta_I,(\CC)^I,\gamma_{(\CC)^I})$, for any $\CC\in\mathfrak{Dia}^{op}$ (see <ref>);
* $(ob\CC,\delta_e,\delta_e,\Pi_{D\in\CC}(e),(p_D)_{D\in\CC})$, for any $\CC\in\mathfrak{Dia}^{op}$ not having a finite number of objects (see <ref>);
* $(e,\delta_{\Pi_{D\in\CC}(e)},\delta_I,\Pi_{D\in\CC}(e)^I,\gamma_{\Pi_{D\in\CC}(e)^I})$, for any $\CC\in\mathfrak{Dia}^{op}$ with an infinite number of objects (see <ref>);
* $(e,\delta_\CC,\delta_{\mathbbm 2},(\CC)^{\mathbbm 2},\gamma_{(\CC)^{\mathbbm 2}})$, for any $\CC\in\mathfrak{Dia}^{op}$ (see <ref>);
* $(e,\delta_{\Pi_{D\in\CC}(e)},\delta_{\mathbbm 2},\Pi_{D\in\CC}(e)^{\mathbbm 2},\gamma_{\Pi_{D\in\CC}(e)^{\mathbbm 2}})$, for any $\CC\in\mathfrak{Dia}^{op}$ (see (<ref>));
* $(\mathfrak{E},F,\delta_e,(\CC)^I,\gamma)$, for $\CC\in\mathfrak{Dia}^{op}$ (see <ref>).
We recall that cones of type (1a) and (1b) are concerned with axiom 1, cones of type (2a), (2b), (2c), (2d), (2e) and (2f) with axiom 2.
§.§.§ $\Gk$
The 2-category $\Gk$ is the free 2-category on $\mathfrak{Dia}^{op}$ with new symbols and with commutativity conditions adjoined. It is made of the following elements:
* elements of $\mathfrak{Dia}^{op}$;
* 1-morphism $u_{(!)}$ and 2-morphisms $\epsilon_{(u_!)}$, $\eta_{(u_!)}$, for every 1-morphism $u\in\mathfrak{Dia}^{op}$ without a left adjoint <ref>;
* 2-morphism $\alpha_{bc}^{-1}$, for any 2-morphism $\alpha\in\mathfrak{Dia}^{op}$ as in <ref>;
* elements obtained as a result of the free construction over the previous elements and the commutativity conditions.
The 2-category $\Gk$ is the free 2-category on $\mathfrak{Dia}^{op}$ with new symbols and with commutativity conditions adjoined. It is made of the following elements:
* elements of $\mathfrak{Dia}^{op}$;
* vertices, 1-morphisms and 2-morphisms encoded in cones (2a), (2b), (2c), (2d), (2e), for any $\CC\in\mathfrak{Dia}^{op}$;
* 1-morphisms $\Pi_{D\in\CC}(c_D)$ for any $\CC\in\mathfrak{Dia}^{op}$ not having a finite number of objects, $(\Pi_{D\in\CC}(c_D))^I$, and 1-morphisms $(\Pi_{D\in\CC}(c_D))^{\mathbbm 2}$, $b_{\Pi_{D\in\CC}(e)}$, $b_{(\CC)}$, for any $\CC\in\mathfrak{Dia}^{op}$;
* 1-morphism $u_{(!)}$ and 2-morphisms $\epsilon_{(u_!)}$, $\eta_{(u_!)}$, for every 1-morphism $u\in\mathfrak{Dia}^{op}$ without a left adjoint;
* 2-morphism $\alpha^{-1}$, for any 2-morphism $\alpha\in\mathfrak{Dia}^{op}$ as in <ref>;
* elements obtained as a result of the free construction over the previous elements and the commutativity conditions.
We recall that elements of type (2.1) and (2.2) are adjoined to capture axiom 2, elements of type (3) are adjoined to describe axiom 3 and elements of type (4) are adjoined to achieve axiom 4. The commutativity conditions are represented by diagrams (<ref>), (<ref>), (<ref>), (<ref>), (<ref>) and by equations (<ref>) and (<ref>).
We omit a summary for the sketches for $\mathfrak{Der}^l$ and $\mathfrak{Der}^{rl}$, which can be obtained from the sketch for $\mathfrak{Der}^r$ by making the proper substitutions or additions, as outlined in <ref> and <ref>.
Observe that conservativity can be expressed not only in terms of the bilimit
<ref>, but also by means of the following strict pullback
\begin{gather}
\begin{aligned}
\xymatrix{
A^I \ar[r] \ar[d]_{f^I} \ar[r]^{b_A} & A^{\mathbbm 2} \ar[d]^{f^{\mathbbm 2}} \\
B^I \ar[r]_{b_B} & B^{\mathbbm 2}
\end{aligned}
\end{gather}
Since $b_B$ is an isofibration, the pullback above is a homotopy pullback.
If, in order to capture axiom 2, we construct a sketch with cones for each diagram <ref>, we will have to introduce a new symbol for $A^I$ and a cone to impose what this symbol should be. However,
the resulting sketch will be an ordinary 2-sketch, and, since weights are cofibrant, also a homotopy limit 2-sketch.
If considered as an ordinary 2-sketch, to prove biequivalence between models and derivators, since models preserves products strictly while derivators transform coproducts into products up to equivalence, some rigidification will be necessary. This last problem can be faced also by expressing axiom 1 by means of a suitable strict cone, for every $\CC_0$ and $\CC_1$ in $\mathfrak{Dia}$, and by adjoining an arrow which act as an equivalence between the vertex of such cone and $\CC_0\amalg\CC_1$.
We could then try to recover 1-morphisms of derivators by restricting to cofibrant models, however, it is not then evident why a cofibrant replacement of a derivator may be identified with some model. Moreover, since the definition of small presentability is up to equivalence, we have preferred a homotopy limit 2-sketch in place of this approach.
§.§ Biequivalence between models and derivators
In this subsection we prove that the 2-category $\mathfrak{Der}^r$ is
biequivalent to the 2-category $\mathfrak{hMod}^{ps}_\Gk$ of models of the homotopy limit 2-sketch $\Gk$.
If concerned with $\mathfrak{Der}^l$ or $\mathfrak{Der}^{rl}$, the proof is analogous.
We will exhibit a 2-functor
\begin{equation*}
\Upsilon:\mathfrak{hMod}^{ps}_\Sk\longrightarrow \mathfrak{Der}^r,
\end{equation*}
and we will outline why $\Upsilon$ is surjective on objects,
full and faithful on both 1-morphisms and
2-morphisms, however, omitting those lenghty verifications which looks nevertheless sufficiently clear for the way the sketch $\Sk$ has been constructed.
§.§.§ The 2-functor $\Upsilon$
Every model $\MM$, via the inclusion $\mathfrak{Dia}^{op}\rightarrow\Gk$, yields a derivator $\Upsilon(\MM)$.
The 2-functor $\Upsilon:\mathfrak{Mod}^{ps}_\Sk\rightarrow \mathfrak{Der}^r$ is defined by means of the canonical functor $\mathfrak{Dia}^{op}\rightarrow\Gk$ of the adjunction $c2\G r\rightleftarrows2\CC at$, formed by the free construction and the forgetful functor (see remark <ref>).
Composing any model $\MM$ with $\mathfrak{Dia}^{op}\rightarrow\Gk$ yields a derivator $\Upsilon(\MM)$. This defines $\Upsilon$ on objects.
Given any 1-morphism of models
for any $\CC\in\mathfrak{Dia}^{op}$, and
for any $u\in\mathfrak{Dia}^{op}$. These data do define a morphism of derivators $\Upsilon(\theta)$:
what is left to prove is that $\Upsilon(\theta)$ is cocontinuous, in other words, that, for any $u\in\mathfrak{Dia}^{op}$, the Beck-Chevalley transform $\beta^{'\theta}_{u_{(!)}}$ of $\beta^\theta_{u}$ is an isomorphism; this can be proved directly by showing that $\beta^{'\theta}_{u_{(!)}}$ coincides with $\beta^{\theta}_{u_{(!)}}$ up to isomorphism, however, we omit the lengthy verification.
Given any 1-morphism of models
for any $\CC\in\mathfrak{Dia}^{op}$, and
for any $u\in\mathfrak{Dia}^{op}$. These data do define a morphism of derivators $\Upsilon(\theta)$, however to prove that they define a 1-morphism of $\mathfrak{Der}^r$, we have to verify that $\Upsilon(\theta)$ is cocontinuous, in other words, that, for any $u\in\mathfrak{Dia}^{op}$, the Beck-Chevalley transform $\beta^{'\theta}_{u_{(!)}}$ of $\beta^\theta_{u}$ is an isomorphism. We prove this by showing that $\beta^{'\theta}_{u_{(!)}}$ actually equals $\beta^{\theta}_{u_{(!)}}$.
In the proof, to simplify the notation, we write $u^\ast_i$ for $\MM_i(u)$, $u_{!i}$ for $\MM_i(u_{(!)})$, $\eta_i$ for $\MM_i(\eta_{(u_!)})$ and $\epsilon_i$ for $\MM_i(\epsilon_{(u_!)})$, with $i=1,2$.
We first compute $\beta^{'\theta}_{u_{(!)}}:u_{!2}\circ\theta_\Y\rightarrow\theta_\X\circ u_{!1}$: the Beck-Chevalley transform of $\beta^\theta_{u}$ is the composite
and it is explicitly given by
\begin{equation}
\label{u!}
\beta^{'\theta}_{u_{(!)}}=(\epsilon_2\ast\theta_\X\ast u_{!1})\circ(u_{!2}\ast(\beta^\theta_u)^{-1}\ast u_{!1})\circ(u_{!2}\ast\theta_\Y\ast\eta_1).
\end{equation}
Now observe that the naturality of $\beta^\theta_u$ implies, in particular, the commutative diagram
θ_[rr]^1_θ_ [d]|-η_2∗θ_ θ_[d]|-θ_∗η_1
u^∗_2∘u_!2∘θ_[rr]_β^θ_u u_(!) θ_∘u^∗_1∘u_!1
that is,
θ_∗η_1=β^θ_u u_(!)∘(η_2∗θ_).
From the coherence conditions for pseudo-natural transformations $\beta^{\theta}_{uu_{(!)}}=(\beta^\theta_u\ast u_{!1})\circ(u^\ast_2\ast\beta^{\theta}_{u_!})$, we deduce
\begin{equation}
\label{ciaoporcocane2}
((\beta^\theta_u)^{-1}\ast u_{!1})\circ(\theta_\Y\ast\eta_1)=(u^\ast\ast\beta^{\theta}_{u_{(!)}})\circ(\eta_2\ast\theta_\Y).
\end{equation}
Let us check that an equation analogous to (<ref>) holds also for $\beta^{'\theta}_{u_!}$, namely,
\begin{equation}
\label{ciaoporcocane}
((\beta^\theta_u)^{-1}\ast u_{!1})\circ(\theta_\Y\ast\eta_1)=(u^\ast_2\ast\beta^{'\theta}_{u_{(!)}})\circ(\eta_2\ast\theta_\Y).
\end{equation}
By (<ref>) the l.h.s. of (<ref>) becomes
\begin{multline*}
(u^\ast_2\ast\beta^{'\theta}_{u_{(!)}})\circ(\eta_2\ast\theta_\Y)=\\=(u^\ast_2\ast[(\epsilon_2\ast\theta_\X\ast u_{!1})\circ(u_{!2}\ast(\beta^\theta_u)^{-1}\ast u_{!1})\circ(u_{!2}\ast\theta_\Y\ast\eta_1)])\circ(\eta_2\ast\theta_\Y)$$
\end{multline*}
and so it is equal to
\begin{align*}
& (u^\ast_2\ast\epsilon_2\ast\theta_\X\ast u_{!1})\circ(u^\ast_2\ast u_{!2}\ast(\beta^\theta_u)^{-1}\ast u_{!1})\circ(u^\ast_2\ast u_{!2}\ast\theta_\Y\ast\eta_1)\circ(\eta_2\ast\theta_\Y)\\
& =(u^\ast_2\ast\epsilon_2\ast\theta_\X\ast u_{!1})\circ[(u^\ast_2\ast u_{!2})\ast[((\beta_u^\theta)^{-1}\ast u_{!1})\circ(\theta_\Y\ast\eta_1)]]\circ(\eta_2\ast\theta_\Y)\\
& =(u^\ast_2\ast\epsilon_2\ast\theta_\X\ast u_{!1})\circ([(u^\ast_2\ast u_{!2})\circ\eta_2]\ast[((\beta_u^\theta)^{-1}\ast u_{!1})\circ(\theta_\Y\ast\eta_1)\circ\theta_\Y])\\
& =(u^\ast_2\ast\epsilon_2\ast\theta_\X\ast u_{!1})\circ(\eta_2\ast[((\beta_u^\theta)^{-1}\ast u_{!1})\circ(\theta_\Y\ast\eta_1)])\\
& =(u^\ast_2\ast\epsilon_2\ast\theta_\X\ast u_{!1})\circ(\eta_2\ast u^\ast_2\ast\theta_\X\ast u_{!1})\circ((\beta_u^\theta)^{-1}\ast u_{!1})\circ(\theta_\Y\ast\eta_1)\\
& =([(u^\ast_2\ast\epsilon_2)\circ(\eta_2\ast u^\ast_2)]\ast(\theta_\X\ast u_{!1}))\circ((\beta_u^\theta)^{-1}\ast u_{!1})\circ(\theta_\Y\ast\eta_1)\\
& =(\theta_\X\ast u_{!1})\circ((\beta_u^\theta)^{-1}\ast u_{!1})\circ(\theta_\Y\ast\eta_1)\\
& =((\beta^\theta_u)^{-1}\ast u_{!1})\circ(\theta_\Y\ast\eta_1).
\end{align*}
Equations \eqref{ciaoporcocane2} and \eqref{ciaoporcocane} now imply
\begin{displaymath}
\theta_\DD)
\end{displaymath}
that is,
\begin{displaymath}
\end{displaymath}
by definition of reflection, yields finally $\beta^\theta_{u_!}=\beta^{'\theta}_{u_!}$.
\end{comment}
Concerning $\Upsilon$ on 2-morphisms,
a modification $\lambda:\theta_1\Rrightarrow\theta_2$ in $\mathfrak{hMod}^{ps}_\Sk$ does define a modification $\Upsilon(\lambda):\Upsilon(\theta_1)\Rrightarrow\Upsilon(\theta_2)$ in $\mathfrak{Der}^r$, by setting for every $\CC\in\mathfrak{Dia}$
\begin{displaymath}
\Upsilon(\lambda)_\CC=\lambda_\CC.
\end{displaymath}
It is now straightforward to check that $\Upsilon$ preserves strictly all compositions and identities, and so it is a 2-functor.
\subsubsection{$\Upsilon$ is surjective on objects}
\label{omega0}
%omega zero
\begin{comment}
First observe that axiom 1 for derivators is satisfied only up to equivalence, however, by \cite[3.3-3.5]{Ro} and \cite[1]{Bk}, every derivator can be rigidified to a 2-functor equivalent to it and which satisfies axiom 1 strictly. Such 2-functor still satisfies the remaining axioms.
We prove now that
any derivator $\D$ which satisfies axiom 1 strictly can be extended, along the canonical functor $\mathfrak{Dia}^{op}\rightarrow\Gk$, to a model $\Omega(\D):\Gk\rightarrow\mathfrak{Cat}$ such that $\Upsilon(\Omega(\D))=\D$.
\end{comment}
%We prove that
Any derivator $\D$ can be extended along the canonical functor $\mathfrak{Dia}^{op}\rightarrow\Gk$ to a model $\Omega(\D):\Gk\rightarrow\mathfrak{Cat}$ such that $\Upsilon(\Omega(\D))=\D$.
Indeed, it is enough to assign $\Omega(\D)$ on the symbols adjoined to $\mathfrak{Dia}^{op}$: %, in such a way that $\Omega(\D)$ preserves the commutative diagrams adjoined to $\mathfrak{Dia}^{op}$ and takes the cones of $\Pro$ to limit cones in $\mathfrak{Cat}$.
by construction of the sketch $\Sk$,
%the value of $\Omega(\D)$ on the new symbols adjoined
this assignment is determined by $\D$ itself;
%we assign $\Omega(\D)$ on new symbols in such a way that models take these to elements in $\mathfrak{Cat}$ which can be reconstructed from their restrictions to $\mathfrak{Dia}^{op}$ according to what these symbols should do:
for example, %%if one of such symbols is an element of a cone in $\Pro$, then $\Omega(\D)$ will take it to an element of a limit cone in $\mathfrak{Cat}$ over a diagram completely determined by $\D$; %on them is determined by bringing that cone to a limit cone in $\mathfrak{Cat}$;
%in a similar way,
$\Omega(\D)$ must bring $u_{(!)}$ to a left adjoint $u_!$ to $u^\ast=\D(u)=\Omega(\D)(u)$. %, which, in this case, is determined up to isomorphism.
From this we see that two models determining the same derivators are isomorphic.
%As it will be clearer from section \ref{omega1} just below, if two models define the same derivator then they are equivalent.
% ACTUALLY ANY DERIVATOR IS ISOMORPHIC TO ONE OF THE FORM OMEGA(D)
\begin{comment}
Any derivator $\D$ can be extended along the canonical functor $\mathfrak{Dia}^{op}\rightarrow\Gk$ to a model $\Omega(\D):\Gk\rightarrow\mathfrak{Cat}$ such that $\Upsilon(\Omega(\D))\simeq\D$.
Indeed, by construction of the sketch $\Sk$, the assignment of $\Omega(\D)$ on the symbols adjoined to $\mathfrak{Dia}^{op}$ is determined by $\D$ itself, yielding a pseudofunctor $\Omega(\D)':\Gk\rightarrow\mathfrak{Cat}$ (and not yet a 2-functor because its assignment on $u_{(!)}$ is determined only up to isomorphism, and so $\Omega(\D)'$ will preserve compositions only up to isomorphism); nonetheless, the pseudofunctor $\Omega(\D)'$ can be strictified to a pseudo-natural equivalent 2-functor $\Omega(\D)$, as discussed in section \ref{sketches}, and in particular \eqref{coheq}; finally, we observe that $\Omega(\D)$ transforms the 2-cones of the homotopy limit 2-sketch $\Sk$ into weighted homotopy 2-limits (that is, it is a homotopy model for $\Sk$): in fact, $\Omega(\D)'$ has such property by construction, then, the pseudo-natural equivalence $\Omega(\D)'\simeq\Omega(\D)$ implies the equivalence \eqref{defhwl}, in the definition of weighted homotopy 2-limit, for $\Omega(\D)$, via the isomorphism \eqref{coheq} since all %the
weights %of the 2-cones of $\Sk$
are %all
From this we see that two models determining the same derivators are equivalent.
\end{comment}
\begin{comment}
Such an extension follows directly from the adjunction $c2\G r\rightleftarrows2\CC at$ (see remark \ref{yyu}), as soon as we assign the values of $\Omega(\D)$ on every new symbols adjoined to $\mathfrak{Dia}^{op}$, in such a way that $\Omega(\D)$ preserves the commutative diagrams adjoined to $\mathfrak{Dia}^{op}$ and takes the cones of $\Pro$ to limit cones in $\mathfrak{Cat}$.
Assigning $\Omega(\D)$ on new symbols, as required above, is indeed possible by construction of the sketch $\Sk$: models take the new symbols to elements in $\mathfrak{Cat}$ which can be reconstructed from their restrictions to $\mathfrak{Dia}^{op}$. For example, we define $\Omega(\D)$ on $(\CC)^{\mathbbm 2}$ to be $\D(\CC)^{\mathbbm 2}$, on $u_{(!)}$ to be the left adjoint $u_!$ to $u^\ast=\D(u)$, and so on.
More explicitly, consider, according to the numbering in \ref{resumesk}, elements of type (2.1): since these define cones $(\E,F,G,\LC,\gamma)$ of $\Pro$, we define $\Omega(\D)$ on the vertex $\LC$ as the vertex of the corresponding limit cone in $\mathfrak{Cat}$, similarly, we define its value on $\gamma$; we remark that such limits can be computed by means of $\D$. Observe that the value on $\LC$ is determined up to isomorphism, as well as the value on $\gamma$, and on the elements enclosed in it. As a result we have that $\Omega(\D)$ takes the cones of $\Pro$ to limit cones. Moreover, choosing $\Omega(\D)$ on $\gamma$, rather than separately on each element enclosed in it, guarantees that $\Omega(\D)$ preserves the commutative diagram used to define $\gamma$.
In a similar way, $\Omega(\D)$ is assigned on 1-morphisms of type (2.2). Notice that the commutative diagram \eqref{bastaa} imposed by the cone (2d) is automatically preserved by $\Omega(\D)$.
The choice of $\Omega(\D)$ on elements of type (3) is determined up to isomorphism, since it consists in choosing a left adjoint functor, which exists as $\D$ is a derivator, together with the unit and counit.
Finally, $\Omega(\D)$ is completely determined on elements $\alpha^{-1}$ of type (4) by its value on $\alpha$.
Therefore, for $\D$ satisfying axiom 1 strictly, we have found a model $\Omega(\D)$ such that $\Upsilon(\Omega(\D))=\D$. This proves that $\Upsilon$ is 2-essentially surjective.
\end{comment}
\subsubsection{$\Upsilon$ is full and faithful on 1-morphisms}
\label{omega1}
Consider models $\MM_1$ and $\MM_2$ and the corresponding derivators $\Upsilon(\MM_1)$ and $\Upsilon(\MM_2)$. Let $\theta:\Upsilon(\MM_1)\rightarrow\Upsilon(\MM_2)$ be a morphism in $\mathfrak{Der}^r$. We show that we can find a morphism of models $\Omega(\theta):\MM_1\rightarrow\MM_2$ such that $\Upsilon(\Omega(\theta))=\theta$. Let us write
\begin{displaymath}
\theta=((\theta_\CC)_{\CC\in\mathfrak{Dia}^{op}},(\beta^\theta_u)_{u:\CC\rightarrow\DD\in\mathfrak{Dia}^{op}}):\Upsilon(\MM_1)\rightarrow\Upsilon(\MM_2).
\end{displaymath}
We start defining
\begin{displaymath}
\Omega(\theta)=((\Omega(\theta)_\X)_{\X\in\Gk},(\beta^{\Omega(\theta)}_u)_{u:\X\rightarrow\Y\in\Gk}):\MM_1\rightarrow\MM_2
\end{displaymath}
by setting $\Omega(\theta)_\X=\theta_X$ for any $X\in\mathfrak{Dia}^{op}$ and $\beta^{\Omega(\theta)}_u=\beta^\theta_u$ for any $u\in\mathfrak{Dia}^{op}$.
\begin{comment}
We proceed now by assigning $\Omega(\theta)$ on all the new symbols adjoined to $\mathfrak{Dia}^{op}$, checking the naturality of each $\beta^{\Omega(\theta)}$ and that the construction is compatible with the commutative diagrams adjoined to $\mathfrak{Dia}^{op}$.
\end{comment}
\begin{comment}
The definition of $\beta^{\Omega(\theta)}_u$ is then completed, as in \ref{omega1}, by means of the adjunction $2\CC at\rightleftarrows c2\G r$ (see remark \ref{yyu}).
\end{comment}
\begin{comment}
The only objects adjoined to $\mathfrak{Dia}^{op}$ are the vertices of cones for axiom 1 and axiom 2, namely. $P(\CC_0,\CC_1)$ and $\CC'$.
Let $\LC$ denote generically one of such vertices and $(\E,F,G,\LC,\gamma)$ its cone. We define $\Omega_1(\theta)_\X$ as follows: $\MM_2$ takes the cone $(\E,F,G,\LC,\gamma)$ to a limit cone with vertex $\MM_2(\LC)$ on the diagram $\MM_2\circ F$ with weight $G$; by means of $\MM_1$ and $\Omega(\theta)_{\MM_2\circ F}$, we get a pseudocone with vertex $\MM_1(\LC)$ on the diagram $\MM_2\circ F$ with weight $G$; note, however, that since the weight $G$ is PIE, and so flexible, such limit computes the corresponding non-strict 2-limit, as pseudonatural cones from a cofibrant weight correspond to strict ones; given this cone and pseudocone, by definition of weighted limit, there exists a functor $\Omega(\theta)_\LC:\MM_1(\LC)\rightarrow\MM_2(\LC)$, such that composing it with the pseduocone with vertex $\MM_1(\LC)$ yields, up to pseudonatural isomorphism, the cone with vertex $\MM_2(\LC)$. This also provides the isomorphism $\beta^{\Omega(\theta)}_u$.
The definition of $\beta^{\Omega(\theta)}$ for the morphisms $f_{\CC_0,\CC_1}$ is again constructed as above, from the definition of limit. We define $\beta^{\Omega(\theta)}$ for morphisms $e_{\CC_0,\CC_1}$ and $u_{(!)}$ as the Beck-Chevalley transforms of $\beta^{\Omega(\theta)}$ for the corresponding adjoints; a lengthy computation that we omit shows that with this definition the coherence conditions are fulfilled.
The naturality of $\beta^{\Omega(\theta)}_u$ with respect to 2-morphisms of the form $\alpha^{-1}$ is also easily verified.
Therefore, $\Upsilon(\Omega(\theta))=\theta$, thus proving that $\Upsilon$ is full on 1-morphisms.
\end{comment}
\begin{comment}
The only objects adjoined to $\mathfrak{Dia}^{op}$ are the vertices of cones for axiom 2, namely, $(\CC)^I$.
Let $\LC$ denote generically one of such vertices and $(\E,F,G,\LC,\gamma)$ its cone. We define $\Omega_1(\theta)_\X$ as follows: $\MM_2$ takes the cone $(\E,F,G,\LC,\gamma)$ to a limit cone with vertex $\MM_2(\LC)$ on the diagram $\MM_2\circ F$ with weight $G$; by means of %$\MM_1$ and $\Omega(\theta)_{\MM_2\circ F}$,
$\Omega(\theta)_{F-}$, we get a pseudocone with vertex $\MM_1(\LC)$ on the diagram $\MM_2\circ F$ with weight $G$; note, however, that since the weight $G$ is PIE, and so flexible, %such limit computes the corresponding non-strict 2-limit,
such psuedolimit computes the corresponding homotopy 2-limit, as pseudonatural cones from a cofibrant weight correspond to strict ones;
\end{comment}
\begin{comment}
given this cone and pseudocone, by definition of weighted limit, there exists a functor $\Omega(\theta)_\LC:\MM_1(\LC)\rightarrow\MM_2(\LC)$, such that composing it with the pseduocone with vertex $\MM_1(\LC)$ yields, up to pseudonatural isomorphism, the cone with vertex $\MM_2(\LC)$. This also provides the isomorphism $\beta^{\Omega(\theta)}_u$.
\end{comment}
\begin{comment}
by definition of weighted limit, we obtain a functor $\Omega(\theta)_\LC:\MM_1(\LC)\rightarrow\MM_2(\LC)$ and an isomorphism $\beta^{\Omega(\theta)}_u$ satisfying the coherence conditions as in definition \ref{morphisms}.
\end{comment}
%The definition of $\beta^{\Omega(\theta)}$ for the morphisms $f_{\CC_0,\CC_1}$ is again constructed as above, from the definition of limit.
We assign now $\Omega(\theta)$ on the symbols adjoined to $\mathfrak{Dia}^{op}$, that is, on $u_{(!)}$, by defining $\beta^{\Omega(\theta)}_{u_{(!)}}$ %for morphisms %$e_{\CC_0,\CC_1}$ and $u_{(!)}$
as the Beck-Chevalley transform of $\beta^{\Omega(\theta)}_u$: %for the corresponding adjoints;
with this definition the naturality of $\beta^{\Omega(\theta)}_{u_{(!)}u}$ and of $\beta^{\Omega(\theta)}_{uu_{(!)}}$ with respect to $\epsilon_{u_{(!)}}$ and to $\eta_{u_{(!)}}$ respectively, as well as the coherence conditions, are fulfilled; we skip the verification.
The naturality of $\beta^{\Omega(\theta)}_u$ with respect to 2-morphisms of the form $\alpha_{bc}^{-1}$ is also easily verified.
Therefore, $\Upsilon(\Omega(\theta))=\theta$, thus proving that $\Upsilon$ is full on 1-morphisms.
Since $\beta^{\Omega(\theta)}_{u_{(!)}}$ is completely determined, $\Upsilon$ is also faithful.
\begin{comment}
The only objects adjoined to $\mathfrak{Dia}^{op}$ are the vertices of cones (2a), (2b), (2c), (2d) and (2e) (see the numbering introduced in \ref{resumesk}). Let $\LC$ be such a vertex and $(\E,F,G,\LC,\gamma)$ its cone. We define $\Omega_1(\theta)_\X$ as follows: $\MM_2$ takes the cone $(\E,F,G,\LC,\gamma)$ to a limit cone with vertex $\MM_2(\LC)$ on the diagram $\MM_2\circ F$ with weight $G$; by means of $\MM_1$ and $\Omega(\theta)_{\MM_2\circ F}$, we get another cone with vertex $\MM_1(\LC)$ on the diagram $\MM_2\circ F$ with weight $G$; given these two cones, by definition of weighted limit, there exists a functor $\Omega(\theta)_\LC:\MM_1(\LC)\rightarrow\MM_2(\LC)$, such that composing it with the cone with vertex $\MM_1(\LC)$ yields the cone with vertex $\MM_2(\LC)$. This also implies that $\beta^{\Omega(\theta)}_u$ is the identity.
By an analogous argument, when $u$ is $\Pi_{D\in\CC}(c_D)$, $(\Pi_{D\in\CC}(c_D))^I$, $(\Pi_{D\in\CC}(c_D))^{\mathbbm 2}$, $b_{\Pi_{D\in\CC}(e)}$ and $b_{(\CC)}$, for $\CC\in\mathfrak{Dia}^{op}$, it is easy to check that $\beta^{\Omega(\theta)}_u$ is again the identity, and so natural with respect to any 2-morphisms used to determine $u$.
Observe that the coherence conditions on $\beta^{\Omega(\theta)}_u$ defined so far are obviously fulfilled.
As for the construction of $\beta^{\Omega(\theta)}_{u_{(!)}}$, observe that $u_!$, the left adjoint of $u^\ast=\D(u)$, is cocontinuous, which means that the Beck-Chevalley transform of $\beta^\theta_u$ is an isomorphism. We set $\beta^{\Omega(\theta)}_{u_{(!)}}$ to be this isomorphism. The verification of the naturality of $\beta^{\Omega(\theta)}_{uu_{(!)}}$ is analogous to the proof of the naturality of $\beta^\theta_{uu_{(!)}}$ in \ref{Upsilon}. Note that the choice of $\beta^{\Omega(\theta)}_{u_{(!)}}$ is not completely determined by $\theta$, as $u_!$ is determined only up to isomorphism.
The naturality of $\beta^{\Omega(\theta)}_u$ with respect to 2-morphisms of the form $\alpha^{-1}$ is also easily verified.
We conclude that $\Upsilon(\Omega(\theta))=\theta$, proving that $\Upsilon$ is full on 1-morphisms.
\end{comment}
\subsubsection{$\Upsilon$ is full and faithful on 2-morphisms}
\label{Omega2}
% 2-MORPHISM
Consider a modification $\lambda:\Upsilon(\theta_1)\Rrightarrow\Upsilon(\theta_2)$ in $\mathfrak{Der}^r$, where $\theta_1,\theta_2:\MM_1\rightarrow\MM_2$ are 1-morphisms of models. We set
\begin{displaymath}
\Omega(\lambda)_\CC=\lambda_\CC
\end{displaymath}
for every object $\CC$ in $\mathfrak{Dia}^{op}$.
The commutativity of diagram \ref{modi} for $u_{(!)}$ follows from commutativity of diagram \ref{modi} for $u$ and the relation between $u$ and $u_{(!)}$ via Beck-Chevalley transforms. % Beck-Chevalley is given by composing three morphisms: that on the middle is \beta_u which we suppose it makes commutative the diagram for modification, and at the left and right there are the canonical morphisms of the adjunction (u,u_!), but writing the diagrams for modifications for these, then they are trivial, with the same morphsism \eta or \epsilon on topo and bottom and identities to the left and right, namely, 1 and uu_!, that is, 1_{uu_1}.
Since $\Omega(\lambda)$ is completely determined by $\lambda$, then $\Upsilon$ is full and faithful on 2-morphisms.
\begin{comment}
We verify that we can extend these data in a unique way to a modification $\Omega(\lambda):\theta_1\Rrightarrow\theta_2$ in $\mathfrak{hMod}^{ps}_\Sk$, such that $\Upsilon(\Omega(\lambda))=\lambda$.
If $\LC$ is the vertex of a cone $(\E,F,G,\LC,\gamma)$ in $\Pro$, then, by means of $\theta_{1\LC}$ and $\theta_{2\LC}$, and the cone with vertex $\MM_2(\LC)$, we obtain two pseudocones with vertex $\MM_1(\LC)$ over the diagram $\MM_2\circ F$ with weight $G$. %(which, as observed, is flexible, and so the corresponding strict limit computes the non-strict one).
Since $G$ is flexible, for the same reason as in \ref{Omega1}, the modification $\lambda$ induces a morphism between such cones, which, by the definition of weighted limit, corresponds uniquely to a natural transformation between $\theta_{1\LC}$ and $\theta_{2\LC}$. We define $\lambda_\LC$ to be such natural transformation. This also guarantees that $\lambda_\LC$ makes diagram \ref{modi} commutative for any 2-morphisms enclosed in $\lambda$. In a similar way, the commutativity of such diagram can be verified also for the remaining adjoined morphisms, such as those of the form $u_{(!)}$.
Since the construction of $\Omega(\lambda)$ is completely determined by $\lambda$, it follows that $\Upsilon$ is full and faithful on 2-morphisms.
\end{comment}
\begin{comment}
If $\LC$ is the vertex of a cone $(\E,F,G,\LC,\gamma)$ of type (2a), (2b), (2c), (2d) or (2e), then, by means of $\theta_{1\LC}$ and $\theta_{2\LC}$, and the cone with vertex $\MM_2(\LC)$, we obtain two cones with vertex $\MM_1(\LC)$ over the diagram $\MM_2\circ F$ with weight $G$. The modification $\lambda$ induces a morphism between such cones, which, by the definition of weighted limits, corresponds uniquely to a natural transformation between $\theta_{1\LC}$ and $\theta_{2\LC}$. We define $\lambda_\LC$ to be such natural transformation. We remark that, since the construction of $\lambda_\LC$ for $\LC=\Pi_{D\in\CC}(e)^{\mathbbm{2}}$ and $\Pi_{D\in\CC}(e)^I$ depends on the construction of $\lambda_\LC$ for $\LC=\Pi_{D\in\CC}(e)$, it must be performed after the case $\LC=\Pi_{D\in\CC}(e)$. Notice also that constructing $\lambda_\LC$ by means of the properties of weighted limits, also guarantees that $\lambda_\LC$ makes diagram \ref{modi} commutative for any 2-morphisms enclosed in $\lambda$.
In a similar way, we can check that $\Omega(\lambda)$ fulfills the commutative diagram \ref{modi} relative to any 1-morphism $u$ of type (2.2) (see the numbering in \ref{resumesk}). We explain the idea of the procedure, which again is based on the properties of weighted limits, omitting the details. The diagram of which we have to verify the commutativity is made of four natural transformations. We consider suitable cones such that, using the definition of limit, each of these natural transformations corresponds bijectively with a morphism, constructed by means of $\lambda$, between two of these cones. We observe finally that, since $\lambda$ is a modification, the induced morphisms between cones satisfy a relation corresponding to the commutativity of the given diagram.
It remains to check that $\Omega(\lambda)$ makes diagram \ref{modi} relative to $u_!$ commutative. We can verify this again using that $\lambda$ is a modification.
Since the construction of $\Omega(\lambda)$ is completely determined by $\lambda$, we have proved that $\Upsilon$ is full and faithful on 2-morphisms.
\end{comment}
\begin{comment}
\begin{remark}
\label{2palle}
\rm
Corollary \ref{Maincor1} follows from the proof given above, since natural transformations between models are just the natural transformations between the corresponding derivators which are cocontinuous with $\beta_{u_!}=1$ for every morphisms $u$ of $\mathfrak{Dia}$. Observe that $\Upsilon$ is now full and faithful also on 1-morphisms, as there is no ambiguity in the choice of $\beta_{u_!}$.
\end{remark}
\end{comment}
\begin{comment}
%ENRICHED PRESENTABILITY
\section{Enriched presentability}
\label{enripre}
\subsection{Locally presentable 2-categories.}
\label{enpregen}
We recall from [13] and [40] some definition and result regarding presentability for enriched categories and its relation to sketches. Since we are concerned with $\V=\CC at$, we restrict to this case, though the theory holds in greater generality. Recall that $\CC at$, as ordinary category, is locally finitely presentable.
Let $\E$ be a 2-category and $\lambda$ a regular cardinal.
In what follows, Kan extensions are to be intended in the enriched sense, as in \cite[4.1]{Ke} or \cite[6.7.7]{B}.
\begin{definition}
A weight $G:\E\rightarrow\mathfrak{Cat}$ is $\lambda$-small if $\E$ has strictly less than $\lambda$-objects and the categories $\E(C,D)$ and $G(C)$ are $\lambda$-presentable for every objects $C,D\in\E$.
A weight $G:\E\rightarrow\mathfrak{Cat}$ is $\lambda$-filtered if its left Kan extension along the Yoneda embedding $Lan_\Y G:[\E,\mathfrak{Cat}]\rightarrow\mathfrak{Cat}$ preserves $\lambda$-small weighted 2-limits.
\end{definition}
Observe that $\lambda$-small weighted 2-limits commute with $\lambda$-filtered weighted 2-colimits (\cite[2.4]{BQR}), and that every $\lambda$-filtered conical colimit is, indeed, a $\lambda$-filtered weighted 2-colimit \cite[2.7]{BQR}).
\begin{definition}
\label{presobj}
An object $C$ of $\E$ is $\lambda$-presentable if the 2-functor $\E(C,-):\E\rightarrow\mathfrak{Cat}$ preserves $\lambda$-filtered weighted 2-colimits.
\end{definition}
The next is \cite[3.2]{BQR}.
\begin{lemma}
\label{kkkjjj}
$\lambda$-small weighted 2-colimits of $\lambda$-presentable objects, whenever exist, are $\lambda$-presentable.
\end{lemma}
The definition of local presentability for a 2-category generalizes the definition for ordinary categories. Generators are to be intended in the enriched sense, see \cite[3.6]{Ke}.
\begin{definition}
\label{strew}
A 2-category $\E$ is locally $\lambda$-presentable if it has all weighted 2-colimits and admits a strongly generating family $\G$ of $\lambda$-presentable objects. $\E$ is locally presentable if it is locally $\lambda$-presentable for some regular cardinal $\lambda$.
\end{definition}
As in the ordinary case, enriched presentability has the following characterization (see \cite[6.3]{BQR}).
\begin{proposition}
A 2-category $\E$ is locally $\lambda$-presentable if and only if it is equivalent to the 2-category of $\lambda$-continuous functors $\lambda\text{-}{\rm Lex}(\Wk,\mathfrak{Cat})$ on a small $\lambda$-complete 2-category $\Wk$.\\
\end{proposition}
\begin{proposition}
\label{tyc}
Suppose $\E$ is a locally $\lambda$-presentable 2-category and let $\G$ be a set of generators of $\E$ as in definition \ref{strew}, then: \\
(1) the full 2-subcategory $\E_\lambda$ of $\lambda$-presentable objects has all $\lambda$-small weighted colimits and it is the closure under $\lambda$-small weighted 2-colimits of the full 2-subcategory spanned by $\G$; \\
(2) $\E$ is equivalent to $\lambda\text{-}{\rm Lex}(\E_\mu^{op},\mathfrak{Cat})$.
\end{proposition}
The following criterion, which holds in locally presentable 2-categories (\cite[6.5]{BQR}), allows to check presentability of objects at the level of the underlying ordinary categories.
\begin{proposition}
\label{prespres}
In a $\lambda$-presentable 2-category $\E$, an object $C$ is $\lambda$-presentable if and only if the functor $\EE(C,-):\EE\rightarrow Set$ preserves $\lambda$-filtered conical colimits, where $\EE$ is the ordinary category underlying $\E$.
\end{proposition}
The correspondence between local presentability and sketches extends to the enriched context (\cite[7.4]{BQR}).
\begin{theorem}
\label{opl}
A 2-category is locally presentable if and only if it equivalent to the 2-category of models of a limit 2-sketch.
\end{theorem}
%the case of models
\subsection{The 2-category $\mathfrak{Mod}_\Sk$ of models of the 2-sketch $\Sk$ for derivators.}
\label{thecase}
We apply now the results recalled in \ref{enpregen} to the 2-category $\mathfrak{Mod}_\Sk$, where $\Sk$ is the limit 2-sketch for derivators.
\begin{theorem}
\label{opls}
$\mathfrak{Mod}_\Sk$ is locally presentable as a 2-category.
\end{theorem}
\begin{proof}
It suffices to apply theorem \ref{opl} to the construction in section \ref{skder}.
\end{proof}
We soon deduce also the following corollary.
\begin{corollary}
$\mathfrak{Mod}_\Sk$ has all weighted 2-limits and weighted 2-colimits.
\end{corollary}
\begin{proof}
The statement is a consequence of theorem \ref{opls}, as for colimits, and of \cite[6.3]{BQR}, as for limits.
\end{proof}
To say more about the set generators $\G$ and the degree of presentability of $\mathfrak{Mod}_\Sk$, we recall the following kind of Morita equivalence between sketches, mentioned along the proof of \cite[7.3]{BQR}. It is proved in \cite[6.21]{Ke} and generalizes the analogous result for ordinary sketches in \cite[3.1]{MP}). A limit 2-sketch $\T=(\Hg,\SSS)$ is said to be realized if $\SSS$ contains only cones which are weighted limit cones in $\Hg$. A morphism of limit 2-sketches $\Sk_1=(\Gk_1,\Pro_1)\rightarrow\Sk_2=(\Gk_2,\Pro_2)$ is a 2-functor $\Gk_1\rightarrow\Gk_2$ which takes the cones of $\Pro_1$ into $\Pro_2$.
\begin{proposition}
For every limit 2-sketch $\Sk$ there exists a realized limit 2-sketch $\T$ and a morphism of limit 2-sketches $I:\Sk\rightarrow\T$ which induces an equivalence $\mathfrak{Mod}_\T\rightarrow\mathfrak{Mod}_\Sk$.
\end{proposition}
Therefore, it is convenient to replace $\Sk$ with $\T$ and to study $\mathfrak{Mod}_\T$. For simplicity, by abuse of notation, we write $\T=(\Gk,\Pro)$. A first advantage is that representable 2-functors $\Gk(C,-)$ are models of $\T$ and, since they form a strong generating family for $[\Gk,\mathfrak{Cat}]$, they are also a strong generating family for $\mathfrak{Mod}_\T$.
As we will see, the degree of presentability $\lambda$ of representable models depends on the size of the categories in $\mathfrak{Dia}$.
To discuss this issue, we need, first, some general definition and lemma.
\begin{definition}
Let $\E$ be a 2-category and $u:C\rightarrow D$ a morphism in the underlying category $\EE$. An object $G$ in $\E$ is orthogonal to $u$ if $\E(u,G)$ is an isomorphism of categories.
\end{definition}
If $\Sigma$ is a class of morphism in $\EE$, we let $\E_\Sigma$ denote the full 2-subcategory of $\E$ spanned by objects which are orthogonal to all the elements of $\Sigma$. 2-subcategories of this form are called orthogonal.
\begin{lemma}
\label{ortho}
$\mathfrak{Mod}_\T$ is a orthogonal 2-subcategory of $[\Gk,\mathfrak{Cat}]$.
\end{lemma}
\begin{proof}
See \cite[6.11]{Ke}.
\end{proof}
The proof in \cite[6.11]{Ke} explicitly shows the way each morphism in $\Sigma$ is determined by a cone in $\Pro$.
\begin{lemma}
\label{reflee}
$\mathfrak{Mod}_\T$ is a reflective 2-subcategory of $[\Gk,\mathfrak{Cat}]$.
\end{lemma}
\begin{proof}
By \cite[6.5]{Ke} and \cite[6.11]{Ke}.
\end{proof}
\begin{proof}
By \cite[6.5]{Ke}, every small orthogonal 2-subcategory of $[\EE,\mathfrak{Cat}]$ is reflective. Since $\Pro$ is a set, $\Sigma$ is small.
\end{proof}
Let $j:\mathfrak{Mod}_\T\rightleftarrows[\Gk,\mathfrak{Cat}]:r$ be the natural inclusion and its reflection determined by lemma \ref{reflee}. As a consequence we have that weighted 2-limits in $\mathfrak{Mod}_\T$ are computed as in $[\Gk,\mathfrak{Cat}]$, that is, $\{G,F\}\cong \{G,jF\}$, in particular, they are computed pointwise (see section \cite[3.3]{Ke}); instead, weighted 2-colimits in $\mathfrak{Mod}_\T$ are computed via the reflection $r$, that is, $G\star F\cong r(G\star jF\}$.
For filtered weighted 2-colimits the situation is simpler. Let $\lambda$ be a regular cardinal which bounds the size of any category in $\mathfrak{Dia}$. Since, by assumption, $\mathfrak{Dia}$ is small, such a cardinal does exist.
\begin{lemma}
\label{scambio}
$\lambda$-filtered weighted 2-colimits in $\mathfrak{Mod}_\T$ are computed as in $[\Gk,\mathfrak{Cat}]$, particularly, they are computed pointwise.
\end{lemma}
\begin{proof}
Let $K:\I\rightarrow\mathfrak{Cat}$ be a $\lambda$-filtered weight and $H:\I\rightarrow\mathfrak{Mod}_\T$ a 2-functor. We prove that the $\lambda$-filtered colimit $K\star jH$ in $[\Gk,\mathfrak{Cat}]$ preserves all the weighted 2-limits $\{G,F\}$ in $\Pro$. This implies that $K\star jH$ belongs to $\mathfrak{Mod}_\T$ and so it coincides with $K\star H$.
Observing that weighted 2-colimits in $[\Gk,\mathfrak{Cat}]$ can be computed pointwise (\cite[3.3]{Ke}), and that $\lambda$-small weighted 2-limits commute in $\mathfrak{Cat}$ with $\lambda$-filtered weighted 2-colimits \cite[2.4]{BQR}, as all the weighted limits $\{G,F\}$ are $\lambda$-small, we have
\begin{align*}
(K\star jH)(\{G,F\}) & \cong K\star jH(\{G,F\}) \\
& \cong \{G,K\star jHF\} \\
& \cong \{G,(K\star jH)(F)\}.
\end{align*}
\end{proof}
\begin{lemma}
\label{pooou}
Representable models are $\lambda$-presentable.
\end{lemma}
\begin{proof}
By lemma \ref{prespres}, we can check presentability of objects of $\mathfrak{Mod}_\T$ in its underlying ordinary category. So, let $K\star H$ be a $\lambda$-filtered weighted 2-colimit in $\mathfrak{Mod}_\T$. Since $\mathfrak{Mod}_\T$ is a full 2-subcategory of $[\Gk,\mathfrak{Cat}]$, by the Yoneda lemma
\begin{equation*}
[\Gk,\mathfrak{Cat}](\Gk(C,-),K\star H)\cong(K\star H)(C),
\end{equation*}
and by lemma \ref{scambio}
\begin{equation*}
(K\star H)(C)\cong K\star H(C)\cong K\star[\Gk,\mathfrak{Cat}](\Gk(C,-),H).
\end{equation*}
\end{proof}
We can restate theorem \ref{opls} more precisely as follows.
\begin{theorem}
\label{opls1}
$\mathfrak{Mod}_\T$ is a locally $\lambda$-presentable 2-category, where $\lambda$ is a regular cardinal bounding the size of every category in $\mathfrak{Dia}$.
\end{theorem}
\begin{corollary}
\label{ultimo4}
Every object of $\mathfrak{Mod}_\T$ is a $\lambda$-filtered 2-colimit of $\lambda$-presentable models and these are $\lambda$-small weighted 2-colimits of representable models.
\end{corollary}
\begin{proof}
It follows from \cite[6.3-5.1]{BQR}.
\end{proof}
%the case of models and pseudo-natural
\subsection{The 2-category $\mathfrak{Mod}_\Sk^{ps}$.}
\label{retorica}
We let ${\rm Int}[\Gk,\mathfrak{Cat}]$ denote the full 2-subcategory spanned by the flexible 2-functors, that is, the cofibrant objects of $[\Gk,\mathfrak{Cat}]$.
\begin{lemma}
\label{redf}
$\Pro s(\Gk,\mathfrak{Cat})$ is equivalent to ${\rm Int}[\Gk,\mathfrak{Cat}]$.
\end{lemma}
\begin{proof}
The inclusion $i:{\rm Int}[\Gk,\mathfrak{Cat}]\hookrightarrow\Pro s(\Gk,\mathfrak{Cat})$ is an equivalence. In fact, any 2-functor $F:\Gk\rightarrow\mathfrak{Cat}$ is equivalent in $\Pro s(\Gk,\mathfrak{Cat})$ to its cofibrant replacement $\Qc F$, thus proving that $i$ is 2-essentially surjective.
Since $F$ is cofibrant,
\begin{displaymath}
{\rm Int}[\Gk,\mathfrak{Cat}](F,G)\cong{\rm Int}[\Gk,\mathfrak{Cat}](\Qc iF,G)\cong\Pro s(\Gk,\mathfrak{Cat})(iF,iG),
\end{displaymath}
thus $i$ is also locally an isomorphism.
\end{proof}
Alternatively we could also prove that the cofibrant replacement functor $\Qc:\Pro s(\Gk,\mathfrak{Cat})\rightarrow{\rm Int}[\Gk,\mathfrak{Cat}]$ is an equivalence. In fact, since $\Qc F$ is equivalent to $F$ in ${\rm Int}[\Gk,\mathfrak{Cat}]$ for any $F$ flexible, it follows that $\Qc$ is 2-essentially surjective. Observing, moreover, that
\begin{displaymath}
{\rm Int}[\Gk,\mathfrak{Cat}](\Qc F,\Qc G)\cong\Pro s(\Gk,\mathfrak{Cat})(F,\Qc G)\cong\Pro s(\Gk,\mathfrak{Cat})(F,G)
\end{displaymath}
we get the conclusion.
Consider a model $\MM$ and view it as a derivator:
since $\Qc(\MM)$ is equivalent to $\MM$ in $\Pro s(\Gk,\mathfrak{Cat})$, $\Qc(\MM)$ is still a derivator, that is, it preserves strictly all the cones of $\Pro$ but those relative to axiom 1 (type (1a) and (1b) in \ref{resumesk}), which it preserves only up to equivalence. However, as explained in \ref{omega0}, $\Qc\MM$ can be rigidified to a model which is equivalent to it. In this way, we can deal with $\mathfrak{Mod}_\T^{ps}$ by restricting to flexible models. We summarize this in the following corollary.
\begin{corollary}
\label{flexps}
The 2-categories $\mathfrak{Mod}_\T^{ps}$ and ${\rm Int}\mathfrak{Mod}_\T$ are biequivalent.
\end{corollary}
\end{comment}
% PRESENTABILITY
\section{Homotopy local presentability}
\label{accessibility}
\subsection{Homotopy locally presentable categories}
\label{summaryLR}
% REMINDING RESULTS IN LACK-ROSICKY
We recall some definitions and results from [50] regarding homotopy local presentability \cite[9.6]{LR} and the characterization \cite[9.13]{LR}, in the case $\V=\CC at$.
%This subsections is analogous to \ref{enpregen}.
\begin{comment}
The first result is \cite[5.5]{LR}.
\begin{proposition}
\label{port}
If $\Ck$ is a model 2-category and ${\rm Int}\Ck$ denotes its full 2-subcategory spanned by fibrant-cofibrant objects, then ${\rm Int}\Ck$ has weighted homotopy 2-limits and weighted homotopy 2-colimits.
\end{proposition}
\end{comment}
We recall the definition of homotopy filtered colimit, by means of which we will introduce homotopy presentability \cite[6.4]{LR}.
Let $\lambda$ be a regular cardinal, $\II$ the free 2-category on an ordinary small $\lambda$-filtered category, $F:\II\rightarrow\Ck$ a 2-functor, $\delta_e:\II^{op}\rightarrow\mathfrak{Cat}$ the 2-functor constant at the terminal category, $\Qc\delta_e$ a cofibrant replacement of $\delta_e$: the homotopy $\lambda$-filtered colimit $\rm{hocolim}F$ of $F$ is defined as the weighted homotopy colimit $\Qc\delta_e\star_h F$. Homotopy filtered colimits are computed up to equivalence by ordinary conical filtered colimits %Homotopy filtered colimits and ordinary conical filtered colimits are related as follows
\cite[5.9]{LR}.
\begin{comment}
\begin{proposition}
\label{port2}
Let $\Ck$ be a $\lambda$-combinatorial 2-category. Given a $\lambda$-filtered diagram $F:\II\rightarrow{\rm Int}\Ck$ as above, the canonical map ${\rm hocolim}H\rightarrow{\rm colim}H$ is an equivalence.
\end{proposition}
In other words, homotopy filtered colimits are computed up to equivalence by ordinary conical filtered colimits.
\end{comment}
\begin{definition}
Let $\Ck$ be a 2-category. An object $C$ in $\Ck$ is homotopy $\lambda$-presentable if $\Ck(C,-):\Ck\rightarrow\mathfrak{Cat}$ preserves homotopy $\lambda$-filtered colimits.
\end{definition}
The following is the definition of homotopy locally presentable 2-category \cite[9.6]{LR}. Below, a 2-functor $F:\RR\rightarrow\Sk$ is called a local equivalence if $F_{XX'}:\RR(X,X')\rightarrow\Sk(F(X),F(X'))$ is an equivalence of categories for every objects $X$ and $X'$ of $\RR$ (see \cite[7]{LR} or \cite[1.1.4]{R}).
\begin{definition}
\label{defhlp}
Let $\Ck$ be a 2-category admitting weighted homotopy 2-colimits, $i:\Ak\hookrightarrow\Ck$ a small full 2-subcategory of homotopy $\lambda$-presentable objects. We say that $\Ak$ exhibits $\Ck$ as strongly homotopy locally $\lambda$-presentable if every object of $\Ck$ is a homotopy $\lambda$-filtered colimit of objects of $\Ak$. We say that $\Ak$ exhibits $\Ck$ as homotopy locally $\lambda$-presentable if the induced functor
\begin{displaymath}
\xymatrix{
\Ck \ar[r]^(.35){\Ck(i,-)} & [\Ak^{op},\mathfrak{Cat}] \ar[r]^\Qc & [\Ak^{op},\mathfrak{Cat}]
\end{displaymath}
is a local equivalence. %(that is, functors induced on categories of 1-morphisms are equivalences \cite[7]{LR}).
We say that $\Ck$ is strongly homotopy locally $\lambda$-presentable or homotopy locally $\lambda$-presentable if there is some such $\Ak$, and that $\Ck$ is strongly homotopy locally presentable or homotopy locally presentable if it is so for some $\lambda$.
\end{definition}
Notice that strongly homotopy local presentability implies homotopy local presentability (\cite[9.7]{LR}).
A characterization of homotopy locally presentable 2-categories is \cite[9.13]{LR}.
\begin{theorem}
\label{lrth}
Suppose there exists a combinatorial model 2-category $\Dk$ and a biequivalence $\Ck\rightarrow{\rm Int}\Dk$, then $\Ck$ is strongly homotopy local presentable. Assuming Vop\v{e}nka's principle, the converse holds true, and $\Dk$ can be taken to be a left Bousfield localization of the 2-category $[\Ak^{op},\mathfrak{Cat}]$, where $\Ak$ is as in definition \ref{defhlp}.
\end{theorem}
Note that we will be using only the first part of theorem \ref{lrth} (namely, \cite[9.13]{LR}), which does not depend on Vop\v{e}nka's principle.
\begin{comment}
We recall two further concepts from [50].
\begin{definition}
Let $\Ck$ be a 2-category
and $u:C\rightarrow D$ a morphism in $\Ck$. An object $G$ in $\Ck$ is said to be
homotopy orthogonal to $u$ if $\Ck(u,G)$ is an equivalence.
\end{definition}
If $\Sigma$ is a class of
morphisms in $\Ck$, we denote by $\Ck_\Sigma$ the full 2-subcategory of $\Ck$ spanned by the objects which are homotopy orthogonal to all the elements of $\Sigma$.
\begin{definition}
\label{horefl}
Let $\Ck$ be a 2-category and $\Kk$ a full 2-subcategory. We say that $\Kk$ is homotopy reflective in $\Ck$ if, for every $\X\in\Ck$, there are $r(\X)\in\Kk$ and $\eta_\X:\X\rightarrow r(\X)$ such that each $\Y\in\Kk$ is homotopy orthogonal to $\eta_\X$.
\end{definition}
The following is \cite[4.5]{LR}
\begin{proposition}
\label{horeflp}
Each small homotopy orthogonal 2-subcategory of $Int[\Ck,\mathfrak{CAT}]$ is homotopy reflective.
\end{proposition}
Proposition \ref{horeflp} implies \cite[9.9]{LR}.
\begin{proposition}
\label{pol}
Each homotopy orthogonal 2-subcategory of ${\rm Int}[\Ck,\mathfrak{CAT}]$ is strongly homotopy locally presentable.
\end{proposition}
\end{comment}
% our case
\subsection{The 2-category $\mathfrak{hMod}^{ps}_\Sk$ of homotopy models of $\Sk$}
\label{skw}
We now apply what recalled in \ref{summaryLR} to $\mathfrak{hMod}^{ps}_\Sk$. By \cite[9.14(1)]{LR} we know that %$\mathfrak{hMod}_\Sk$
the 2-category of homotopy models of $\Sk$ is homotopy locally presentable, however, as we are interested in $\mathfrak{hMod}^{ps}_\Sk$ where we allow pseudo-natural transformations as 1-morphisms, we show that the same procedure applies also to this case, leading to the same conclusion.
\begin{comment}
It is convenient to replace the weighted homotopy limit 2-sketch $\Sk=(\Gk,\Pro)$ of derivators with one whose cones are already homotopy limit cones and with a 2-category of homotopy models which is equivalent to that of $\Sk$; the existence of such homotopy limit 2-sketch is analogous to that of \cite[6.21]{Ke}. We denote this new sketch by $\T$. The advantage is that, in this way,
\end{comment}
Let ${\rm Int}[\Gk,\mathfrak{Cat}]$ denote the full 2-subcategory spanned by the flexible 2-functors, that is, the cofibrant objects of $[\Gk,\mathfrak{Cat}]$. By means of the cofibrant replacement $\Qc$ (see section \ref{sketches}), we have the following result.
\begin{lemma}
\label{redf}
%$\Pro s(\Gk,\mathfrak{Cat})$ is biequivalent %equivalent
%to ${\rm Int}[\Gk,\mathfrak{Cat}]$.
There is a biequivalence $\Qc:\Pro s(\Gk,\mathfrak{Cat})\longrightarrow{\rm Int}[\Gk,\mathfrak{Cat}]$, provided by the cofibrant replacement functor.
\end{lemma}
\begin{comment}
\begin{proof}
The inclusion $i:{\rm Int}[\Gk,\mathfrak{Cat}]\hookrightarrow\Pro s(\Gk,\mathfrak{Cat})$ is an equivalence. In fact, any 2-functor $F:\Gk\rightarrow\mathfrak{Cat}$ is equivalent in $\Pro s(\Gk,\mathfrak{Cat})$ to its cofibrant replacement $\Qc F$, thus proving that $i$ is 2-essentially surjective.
Since $F$ is cofibrant,
\begin{displaymath}
{\rm Int}[\Gk,\mathfrak{Cat}](F,G)\cong{\rm Int}[\Gk,\mathfrak{Cat}](\Qc iF,G)\cong\Pro s(\Gk,\mathfrak{Cat})(iF,iG),
\end{displaymath}
thus $i$ is also locally an isomorphism.
\end{proof}
Alternatively we could also prove that the cofibrant replacement functor $\Qc:\Pro s(\Gk,\mathfrak{Cat})\rightarrow{\rm Int}[\Gk,\mathfrak{Cat}]$ is an equivalence. In fact, since $\Qc F$ is equivalent to $F$ in ${\rm Int}[\Gk,\mathfrak{Cat}]$ for any $F$ flexible, it follows that $\Qc$ is 2-essentially surjective. Observing, moreover, that
\begin{displaymath}
{\rm Int}[\Gk,\mathfrak{Cat}](\Qc F,\Qc G)\cong\Pro s(\Gk,\mathfrak{Cat})(F,\Qc G)\cong\Pro s(\Gk,\mathfrak{Cat})(F,G)
\end{displaymath}
we get the conclusion.
\end{comment}
\begin{comment}
Consider the weighted homotopy limit 2-sketch $\Sk=(\Gk,\Pro)$ of derivators and, as already done for the corresponding limit 2-sketch, replace it with a realized homotopy limit 2-sketch $\T$ which is Morita equivalent to it (we will prove this in \ref{realizedsk}).
\end{comment}
We soon deduce the following corollary. %from lemma \ref{redf}.
\begin{corollary}
\label{prohlp}
$\Pro s(\Gk,\mathfrak{Cat})$ is strongly homotopy locally presentable.
\end{corollary}
\begin{proof}
By \cite[9.8]{LR}, ${\rm Int}[\Gk,\mathfrak{Cat}]$ is strongly homotopy locally presentable. The claim now follows now from \ref{redf} and \cite[9.15]{LR}.
\end{proof}
To prove that $\mathfrak{hMod}^{ps}_\Sk$ is homotopy locally presentable, we show that $\mathfrak{hMod}^{ps}_\Sk$ is a homotopy orthogonal subcategory of $\Pro s(\Gk,\mathfrak{Cat})$ (see \cite[4.1]{LR} for the general definition of homotopy orthogonal). %, extending to the homotopy case lemma \ref{ortho}.
The proof extends the one given in \cite[6.11]{Ke}. %Note that this result does not depend on the the sketch being realized or not.
\begin{lemma}
\label{dkps}
$\mathfrak{hMod}^{ps}_\Sk$ is a homotopy orthogonal subcategory of $\Pro s(\Gk,\mathfrak{Cat})$.
\end{lemma}
\begin{proof}
Consider a cone $(\E,F,G,\LC,\gamma)\in\Pro$ and the composite, which we denote $i\Y(\gamma)$,
\begin{displaymath}
\xymatrix{
G \ar[r]^(.3)\gamma & \Gk(\LC,F-) \ar[rr]^(.35){i\Y_{\LC,F-}} & & \Pro s(\Gk,\mathfrak{Cat})(i\Y(F-),i\Y(\LC)),
\end{displaymath}
where $\Y$ indicates the enriched contravariant Yoneda embedding $\Gk\rightarrow[\Gk,\mathfrak{Cat}]$ and $i$ the inclusion $[\Gk,\mathfrak{Cat}]\hookrightarrow\Pro s(\Gk,\mathfrak{Cat})$.
Since $\Pro s(\Gk,\mathfrak{Cat})$ has weighted homotopy 2-colimits (corollary \ref{prohlp}), $i\Y(\gamma)$ yields a 1-morphism
\begin{equation*}
\rho:G\star_hi\Y(F-)\longrightarrow i\Y(\LC)
\end{equation*}
in $\Pro s(\Gk,\mathfrak{Cat})$.
We prove that a 2-functor $\MM:\Gk\rightarrow\mathfrak{Cat}$ preserves
the weighted homotopy 2-limits of $\Pro$, that is, it is a homotopy model,
if and only if, for any $\DD\in\mathfrak{Cat}$, the 2-functor $[\DD,\MM-]$ is homotopy orthogonal in $\Pro s(\Gk,\mathfrak{Cat})$ to the collection of 1-morphisms $\rho$ constructed above from cones of $\Pro$, namely,
the functor $\Pro s(\Gk,\mathfrak{Cat})(\rho,[\DD,\MM-])$
\begin{multline}
\label{fff}
\Pro s(\Gk,\mathfrak{Cat})(i\Y(\LC),[\DD,\MM-])\longrightarrow\Pro s(\Gk,\mathfrak{Cat})(G\star_hi\Y(F-),[\DD,\MM-])
\end{multline}
is an equivalence of categories.
Since $\Y(\LC)$ is flexible (\cite[4.6]{BKPS}) and by the enriched Yoneda lemma, we have an equivalence
\begin{equation}
\label{fffs}
\Pro s(\Gk,\mathfrak{Cat})(i\Y(\LC),[\DD,\MM-])\simeq[\DD,\MM(\LC)].
\end{equation}
On the other hand, by definition of weighted homotopy 2-colimit, we obtain an equivalence
\begin{multline*}
\Pro s(\Gk,\mathfrak{Cat})(G\star_hi\Y(F-),[\DD,\MM-])\simeq \\ \simeq[\Gk,\mathfrak{Cat}](G,\Pro s(\Gk,\mathfrak{Cat})(i\Y(F-),[\DD,\MM-])
\end{multline*}
and, using again the flexibility of $\Y(\LC)$ and the enriched Yoneda lemma, an equivalence
\begin{equation}
\label{fffd}
[\Gk,\mathfrak{Cat}](G,\Pro s(\Gk,\mathfrak{Cat})(i\Y(F-),[\DD,\MM-])\simeq[\Gk,\mathfrak{Cat}](G,[\DD,\MM\circ F-].
\end{equation}
By the equivalences \eqref{fffs} and \eqref{fffd}, the functor \eqref{fff} induces an equivalence
\begin{equation*}
[\Gk,\mathfrak{Cat}](G,[\DD,\MM\circ F-]\longrightarrow[\DD,\MM(\LC)],
\end{equation*}
or, equivalently, $\MM(\LC)\simeq\{G,\MM\circ F\}_h$, that is, $\MM$ takes all the cones of $\Pro$ to weighted homotopy limit cones.
\end{proof}
$\Sigma$ for the collection of all morphisms $\rho$ as in lemma \ref{dkps}, $\mathfrak{hMod}^{ps}_\Sk$ can be identified with the homotopy orthogonal subcategory $\Pro s(\Gk,\mathfrak{Cat})_\Sigma$ of $\Pro s(\Gk,\mathfrak{Cat})$.
\begin{corollary}
\label{nn}
$\mathfrak{hMod}^{ps}_\Sk$ is strongly homotopy locally presentable, and there are biequivalences
\begin{equation*}
\mathfrak{hMod}^{ps}_\Sk\longrightarrow\Pro s(\Gk,\mathfrak{Cat})_\Sigma\longrightarrow{\rm Int}[\Gk,\mathfrak{Cat}]_{\Qc(\Sigma)}
\end{equation*}
\end{corollary}
\begin{proof}
By lemma \ref{redf} and \ref{dkps}, the proof follows from proposition \cite[9.9]{LR}.
\end{proof}
\begin{comment}
Consider the collection of 1-morphisms $\Qc(\Sigma)$ in ${\rm Int}[\Gk,\mathfrak{Cat}]$ and the relative homotopy orthogonal subcategory ${\rm Int}[\Gk,\mathfrak{Cat}]_{\Qc(\Sigma)}\simeq{\rm Int}\mathfrak{hMod}_\T$.
\begin{lemma}
\label{pop}
The restriction of $\Qc$ to $\mathfrak{hMod}^{ps}_\T$
\begin{displaymath}
\Qc:\mathfrak{hMod}^{ps}_\T\longrightarrow{\rm Int}[\Gk,\mathfrak{Cat}]_{\Qc(\Sigma)},
\end{displaymath}
is a biequivalence.
\end{lemma}
\begin{proof}
To simplify the notation, we set $\Kk=\Pro s(\Gk,\mathfrak{Cat})$, $\Kk'=\Pro s(\Gk,\mathfrak{Cat})_\Sigma=\mathfrak{hMod}^{ps}_\T$, $\RR={\rm Int}[\Gk,\mathfrak{Cat}]$ and $\RR'={\rm Int}[\Gk,\mathfrak{Cat}]_{\Qc(\Sigma)}$.
We prove first that $\Qc$ is well defined, namely, that $\Qc(\MM)\in\RR'$ for any $\MM\in\Kk'$. We have to check that for any $f:\Xb\rightarrow\Yb$ in $\Sigma$
\begin{equation}
\label{ort}
\RR(\Qc(f),\Qc(\MM)):\RR(\Qc(\Yb),\Qc(\MM))\longrightarrow\RR(\Qc(\Xb),\Qc(\MM))
\end{equation}
is an equvalence of categories.
If $g$ is an object of $\RR(\Qc(\Xb),\Qc(\MM))$, then, since $\Qc$ is a biequivalence, in particular it is locally an equivalence, there exist $g':\Xb\rightarrow\MM$ and an isomorphism $g\cong \Qc(g')$. Since $\MM$ belongs to $\Kk'$, by homotopy orthogonality there exist $h':\Yb\rightarrow\MM$ and an isomorphism $h'f\cong g'$. Thus $\Qc(h')\Qc(f)\cong \Qc(g')\cong g$. Observing that $\Qc(h')$ is an object of $\RR(\Qc(\Yb),\Qc(\MM))$,
it follows that
\eqref{ort} is essentially surjective. In a similar way
we can verify that \eqref{ort} is full and faithful.
We prove now that the restriction of $\Qc$ to $\Kk'$ is a biequivalence.
Since $\RR'$ and $\Kk'$ are full 2-subcategories and $\Qc$ is locally an equivalence on $\Kk$, we have only to prove that any $\Z\in\RR'$ is equivalent to an object of the form $\Qc(\Xb)$ for some $\Xb\in\Kk'$. As we already know that $\Qc$ is 2-essentially surjective when defined on $\Kk$, namely, that there exist $\Xb\in\Kk$ and a equivalence $g:\Z\rightarrow \Qc(\Xb)$, we prove that $\Xb$ is indeed in $\Kk'$, that is, for any $f:\Yb\rightarrow\Yb'$ in $\Sigma$,
\begin{displaymath}
\Kk(f,\Xb):\Kk(\Yb',\Xb)\longrightarrow\Kk(\Yb,\Xb)
\end{displaymath}
is an equivalence of categories.
Given $h:\Yb\rightarrow\Xb$, then $\Qc(h)$ is an object of $\RR(\Qc(\Yb),\Qc(\Xb))$, and since $\RR(\Qc(\Yb),g)$ is an equivalence of categories, there exist $p:\Qc(\Yb)\rightarrow\Z$ and an isomorphism $\Qc(h)\cong gp$. Since $\Z\in\RR'$, by homotopy orthogonality there exist $q:\Qc(\Yb')\rightarrow\Z$ and an isomorphism $q\Qc(f)\cong p$. Therefore $\Qc(h)\cong gq\Qc(f)$. As $gq\in\RR(\Qc(\Yb'),\Qc(\Xb))$ and since $\Qc$ is a biequivalence there exist $t:\Yb'\rightarrow\Xb$ and an isomorphism $\Qc(t)\cong gq$. So $\Qc(h)\cong \Qc(t)\Qc(f)$, and the equivalence $\RR(\Qc(\Yb),\Qc(\Xb))\simeq\Kk(\Yb,\Xb)$ yields an isomorphism $h\cong tf$, proving that $\Kk(f,\Xb)$ is essentially surjective. The proof that $\Kk(f,\Xb)$ is full and faithful is analogous.
\end{proof}
\begin{corollary}
\label{nn}
$\mathfrak{hMod}^{ps}_\T$ is strongly homotopy locally presentable.
\end{corollary}
\begin{proof}
Since $\Pro$ is a set, and so $\Sigma$ is a set as well, the corollary follows from lemma \ref{pop} and from proposition \ref{pol}.
\end{proof}
\end{comment}
Observe that ${\rm Int}[\Gk,\mathfrak{Cat}]$ and $\Pro s(\Gk,\mathfrak{Cat})$ are strongly homotopy locally finitely presentable, as representable functors are homotopy finitely presentables (see \cite[9.8-7.1(3)]{LR}). We will prove now that $\mathfrak{hMod}^{ps}_\Sk$ is strongly homotopy locally $\lambda$-presentable, where $\lambda$ is a regular cardinal which bounds the size of any category in $\mathfrak{Dia}$. %; since, by assumption, $\mathfrak{Dia}$ is $\U$-small, such a cardinal does exist.
First we need a few results summarized in the remark below.
%defined in subsection \ref{thecase}, will be recalled in lemma \ref{hofiltcolim}.
\begin{comment}
We show that $\mathfrak{hMod}^{ps}_\T$ is a homotopy reflective 2-subcategory of $\Pro s(\Gk,\mathfrak{Cat})$. To this purpose we need the following lemma.
\begin{lemma}
If in the diagram
\begin{displaymath}
\xymatrix{
\Kk' \ar[r]^{i_\Kk} \ar[d]_{\Qc} & \Kk \ar[d]^\Qc \\
\RR' \ar[r]_{i_\RR} & \RR,
\end{displaymath}
where $i_\Kk:\Kk'\rightarrow\Kk$ and $i_\RR:\RR'\rightarrow\RR$ are full 2-subcategories, $\RR'$ is homotopy reflective in $\RR$ and $\Qc$ and its restriction to $\Kk'$ are biequivalence, then $\Kk'$ is homotopy reflective in $\Kk$.
\end{lemma}
\begin{proof}
For $\Yb\in\Kk$, consider $\Qc(\Yb)\in\RR$: since $\RR'$ is homotopy reflective there are $r(\Qc(\Yb))\in\RR'$ and $\eta_{\Qc(\Yb)}:\Qc(\Yb)\rightarrow r(\Qc(\Yb))$ such that every $\Xb'\in\RR'$ is homotopy orthogonal to $\eta_{\Qc(\Yb)}$, that is,
\begin{displaymath}
\RR(\eta_{\Qc(\Yb)},\Xb'):\RR(r(\Qc(\Yb)),\Xb')\longrightarrow\RR(\Qc(\Yb),\Xb')
\end{displaymath}
is an equivalence of categories. Since $\Qc$ is a biequivalence, in particular it is 2-essentially surjective, there exist $r(\Yb)\in\Kk'$ (which will be indeed the reflection of $\Yb$) and an equivalence $g:r(\Qc(\Yb))\rightarrow \Qc(r(\Yb))$, that is, an equivalence of categories $\RR(g,\Xb')$. Composing $\eta_{\Qc(\Yb)}$ with $g$ we get an equivalence of categories
\begin{equation}
\label{hu}
\RR(\eta_{\Qc(\Yb)}g,\Xb'):\RR(\Qc(r(\Yb)),\Xb')\longrightarrow\RR(\Qc(\Yb),\Xb').
\end{equation}
Since $\Qc$ is locally an equivalence, there are $\eta_\Yb:\Yb\rightarrow r(\Yb)$ and an isomorphism $\Qc(\eta_\Yb)\cong\eta_{\Qc(\Yb)}g$. We claim that any $\Yb'\in\Kk'$ is homotopy orthogonal to $\eta_\Yb$, that is
\begin{displaymath}
\Kk(\eta_\Yb,\Yb'):\Kk(r(\Yb),\Yb')\longrightarrow\Kk(\Yb,\Yb')
\end{displaymath}
is an equivalence of categories: this will prove the lemma. If $p\in\Kk(\Yb,\Yb')$, then, by the equivalence (\ref{hu}) for $\Xb'=\Qc(\Yb')$, there exist $q:\Qc(r(\Yb))\rightarrow \Qc(\Yb')$ and an isomorphism $\Qc(p)\cong q\eta_{\Qc(\Yb)}g\cong q\Qc(\eta_\Yb)$. Since $\Qc$ is locally an equivalence, there are $t:r(\Yb)\rightarrow\Yb'$ and an isomorphism $\Qc(t)\cong q$. Thus $\Qc(p)\cong \Qc(t\eta_\Yb)$, and $p\cong t\eta_\Yb$, proving that $\Kk(\eta_\Yb,\Yb')$ is essentially surjective. The proof that $\Kk(\eta_\Yb,\Yb')$ is full and faithful is similar.
\end{proof}
\end{comment}
\begin{remark}
\label{lop}
\rm
(1) By \cite[8.5]{LR}, $\mathfrak{hMod}^{ps}_\Sk$ is a homotopy reflective 2-subcategory of $\Pro s(\Gk,\mathfrak{Cat})$.
Let $j$ and $r$ denote the inclusion and reflection
\begin{equation*}
j:\mathfrak{hMod}^{ps}_\Sk\rightleftarrows\Pro s(\Gk,\mathfrak{Cat}):r.
\end{equation*}
Weighted homotopy 2-colimits in $\mathfrak{hMod}^{ps}_\Sk$ are computed by means of the reflection $r$ from the corresponding weighted homotopy 2-colimit in $\Pro s(\Gk,\mathfrak{Cat})$ (see the proof of \cite[9.9]{LR}): if $F$ is a diagram in $\mathfrak{hMod}^{ps}_\Sk$, then $G\star_hF\simeq r(G\star_hjF)$.
(2) We can now use the biequivalences $\Qc$ and $i$ to compute weighted homotopy 2-colimits in $\Pro s(\Gk,\mathfrak{Cat})$: indeed, by \cite[7.1]{LR} biequivalences preserve and create weighted homotopy colimits, so if $F$ is a diagram in $\Pro s(\Gk,\mathfrak{Cat})$, then $G\star_hF\simeq G\star_hi\Qc F\simeq i(G\star_h\Qc F)$. %\simeq i(G\star\Qc F)$. \simeq i\Qc(G\star F)$.
(3) Finally, as explained in the proof of \cite[5.5]{LR},
weighted homotopy 2-colimits $G\star_hF$ in ${\rm Int}[\Gk,\mathfrak{Cat}]$ are computed as fibrant replacement of the weighted 2-colimits $G\star F$ in $[\Gk,\mathfrak{Cat}]$, so
by $G\star F$ itself. The advantage is that weighted 2-colimits in $[\Gk,\mathfrak{Cat}]$ are computed pointwise (\cite[3.3]{Ke}). %Similarly, weighted homotopy 2-limits $\{G,F\}_h$ are computed as cofibrant replacement $\Qc\{G,F\}$ of the weighted 2-limits $\{G,F\}$ in $[\Gk,\mathfrak{Cat}]$. This remark is useful as weighted 2-limits and weighted 2-colimits in $[\Gk,\mathfrak{Cat}]$ are computed pointwise (\cite[3.3]{Ke}).
(4) It is convenient to replace the weighted homotopy limit 2-sketch $\Sk=(\Gk,\Pro)$ for derivators with a realized one, that is, whose underlying category has the same objects as $\Gk$, whose cones are already homotopy limit cones and whose 2-category of homotopy models is equivalent to that of $\Sk$; the proof of the existence of such homotopy limit 2-sketch is analogous to that of \cite[6.21]{Ke}. We denote this new sketch by $\T$. %, and, for simplicity, we will denote by this same symbol also the 2-category underlying $\T$.
% IDEA OF THE PROOF: $\Gk$ is equivalent (actually isomorphic) to the full 2-subcategory $Y(\Gk)$ of $[\Gk,\mathrak{Cat}]$; consider in $Y(\Gk)$ the cones induced by $\Pro$ and take the cocompletion of $Y(\Gk)$ in $[\Gk,\mathrak{Cat}]$ with respect to such cones; add equivalences between the vertexes of the cones of $\Pro$ and the vertexes adjoined after the cocompletion and generate the free 2-category on it; the free construction doesn't add any new object, the cones induced by $\Pro$ are now limit cone whose vertex is the wanted one; the 2-categories of homotopy models are biequivalent as the extension of models to vertexes is determined by the fact that they must preserve limits in $\Pro$, and, by definition of reflection, for the case of graphs with commutativity conditions, we have the biequivalence.
In this way, representable 2-functors, which we will write as $\T(\CC,-)$, are automatically homotopy models of $\T$.
\end{remark}
Let $\lambda$ be a regular cardinal which bounds the size of any category in $\mathfrak{Dia}$.
\begin{lemma}
\label{hofiltcolim}
%There exists a regular cardinal $\lambda$ such that,
Homotopy $\lambda$-filtered colimits in $\mathfrak{hMod}^{ps}_\T$ are computed as in $\Pro s(\Gk,\mathfrak{Cat})$, particularly, they are computed pointwise via $\Qc$.
\end{lemma}
\begin{proof}
Let $\II$ be the free 2-category on an ordinary small $\lambda$-filtered category, and $H:\II\rightarrow\mathfrak{hMod}^{ps}_\T$ a 2-functor. We want to prove that %there exists $\lambda$ such that
the homotopy $\lambda$-filtered colimit ${\rm hocolim}jH$ in $\Pro s(\Gk,\mathfrak{Cat})$ is indeed the homotopy $\lambda$-filtered colimit ${\rm hocolim}H$ in $\mathfrak{hMod}^{ps}_\T$, where $j$ denotes the inclusion of $\mathfrak{hMod}^{ps}_\T$ into $\Pro s(\Gk,\mathfrak{Cat})$. To this purpose, we verify that ${\rm hocolim}jH$ preserves the weighted homotopy limit cones of $\Pro$, thus proving that it belongs to $\mathfrak{hMod}^{ps}_\T$.
%We make the following remarks which will be used to prove the lemma.
Notice that, as observed in remark \ref{lop}, ${\rm hocolim}jF$ is computed by the pointwise ordinary filtered colimit ${\rm colim}\Qc jH$ in $[\Gk,\mathfrak{Cat}]$.
%Recall from lemma \ref{dkps} that weighted homotopy limit cones $\{G,F\}_h$ in $\Pro$ correspond to morphisms $\rho:G\star_h\Y(F-)\rightarrow\Y(\LC)$ in $\Pro s(\Gk,\mathfrak{Cat})$, and that $\Sigma$ is the collection of such morphisms. Since $\Qc(G\star_h\Y(F))\simeq G\star_h\Qc(\Y(F))$, as $\Qc$ preserves weighted homotopy colimits, and since $\Qc(\Y(F))$ is equivalent to $\Y(F)$, as representables are flexible, homotopy orthogonality relative to $\Qc(\Sigma)$ (see lemma \ref{nn}) %%\ref{pop}) is expressed by requiring that 2-functors in ${\rm Int}[\Gk,\mathfrak{Cat}]$ preserve the homotopy limit cones of $\Pro$.
Since the weighted homotopy limit cones in $\Pro$ are $\lambda$-small, in the sense that they have $\lambda$-small diagrams and are weighted by $\lambda$-presentable 2-functors, they commute with $\lambda$-filtered homotopy colimits (\cite[6.10]{LR}). Therefore, %we can prove that
%We also recall (\cite[6.10]{LR}) that in ${\rm Int}[\Gk,\mathfrak{Cat}]$ $\lambda$-filtered homotopy colimits commute with $\lambda$-small homotopy 2-limits (these are homotopy 2-limits on $\lambda$-small diagrams and weighted by a $\lambda$-presentable 2-functor). Observe that the weights of the cones of $\Pro$ are $\lambda$-presentable provided we choose $\lambda$ large enough (see by \cite[9.3]{LR}): to this purpose, as observed in subsection \ref{thecase}, $\lambda$ must bound the size of any category in $\mathfrak{Dia}$, and, since by assumption $\mathfrak{Dia}$ is small, such a cardinal does exist.
%Finally, by means of the above remarks, we can prove the lemma by observing that
\begin{align*}
({\rm colim}\Qc jH)(\{G,F\}_h) & \simeq{\rm colim}(\Qc jH)(\{G,F\}_h)) \\
& \simeq{\rm colim}(\{G,\Qc jH(F)\}_h) \\
& \simeq\{G,{\rm colim}(\Qc jH(F))\}_h \\
& \simeq\{G,({\rm colim}(\Qc jH)(F)\}_h
\end{align*}
\end{proof}
Finally, the next lemma implies that $\lambda$ is a degree of homotopy locally presentability for $\mathfrak{hMod}^{ps}_\T$.
\begin{lemma}
\label{ultimo}
Representable 2-functors on $\T$ %are homotopy models of $\T$ and
are homotopy $\lambda$-presentable objects of $\mathfrak{hMod}^{ps}_\T$. The full 2-subcategory of $\mathfrak{hMod}^{ps}_\T$ spanned by $\lambda$-small homotopy 2-colimits of representable models can be taken for the 2-subcategory $\Ak$ in definition \ref{defhlp}.
\end{lemma}
\begin{proof}
By lemma \ref{hofiltcolim} and by the Yoneda lemma for bicategories, representable 2-functors are homotopy $\lambda$-presentable objects of $\mathfrak{hMod}^{ps}_\T$.
Since representable models are cofibrant, we can view them as 2-functors in $[\T,\mathfrak{Cat}]$. From the proof of \cite[9.8]{LR}, we see that 2-functors which are $\lambda$-presentable in $[\T,\mathfrak{Cat}]$ are homotopy $\lambda$-presentable in ${\rm Int}[\T,\mathfrak{Cat}]$. Since $[\T,\mathfrak{Cat}]$ is locally $\lambda$-presentable and representable 2-functors form a set of generators, then every object of $[\T,\mathfrak{Cat}]$ is a $\lambda$-filtered colimit of $\lambda$-small colimits of representables. Therefore, by (3) in \ref{lop}, the full 2-subcategory of ${\rm Int}[\T,\mathfrak{Cat}]$ spanned by $\lambda$-small homotopy 2-colimits of representable models can be taken as $\Ak$ in definition \ref{defhlp} for the homotopy $\lambda$-presentable 2-category ${\rm Int}[\T,\mathfrak{Cat}]$.
%By \cite[8.5]{LR}, $\mathfrak{hMod}^{ps}_\T$ is a homotopy reflective 2-subcategory of $\Pro s(\Gk,\mathfrak{Cat})$. Let $j$ and $r$ denote the inclusion and reflection $j:\mathfrak{hMod}^{ps}_\T\rightleftarrows\Pro s(\Gk,\mathfrak{Cat}):r$. The existence of a reflection can be used to construct weighted homotopy colimits in $\mathfrak{hMod}^{ps}_\T$ from those in $\Pro s(\Gk,\mathfrak{Cat})$, that is, in ${\rm Int}[\T,\mathfrak{Cat}]$ by lemma \ref{redf}.
%Since by \cite[6.10]{LR} in ${\rm Int}[\T,\mathfrak{Cat}]$ $\lambda$-filtered homotopy colimits commute with $\lambda$-small homotopy limits, and by what explained above, we prove that in $\mathfrak{hMod}^{ps}_\T$ $\lambda$-filtered homotopy colimits can be computed via $\Qc$ in $[\T,\mathfrak{Cat}]$, where they are pointwise. Then,
%Since every object of $\mathfrak{hMod}^{ps}_\T$ is a $\lambda$-filtered homotopy colimit of $\lambda$-small homotopy colimits of representables in ${\rm Int}[\T,\mathfrak{Cat}]$, then, by (1) in remark \ref{lop} and lemma \ref{hofiltcolim}, it is so also in $\mathfrak{hMod}^{ps}_\T$.
By (1) in remark \ref{lop} and lemma \ref{hofiltcolim}, every object of $\mathfrak{hMod}^{ps}_\T$ is a $\lambda$-filtered homotopy colimit of $\lambda$-small homotopy colimits of representables.
% if M is in hMod, then M=colim_filt colim_fin R, where R are representable, in Int, so rM=colim_filt rcolim_fin R, so, since rM=M, M is a filtered colimit of rcolim_fin R, which are colim_fin R in hMod. Notice that rcolim_filt colim_fin R=rcolim_fin colim_filt R, then, since colim_filt R is already in hMod, exchanging the two colimits now in hMod, we get M=colim_filt rcolim_fin R.
\end{proof}
\begin{corollary}
\label{opls1}
$\mathfrak{hMod}^{ps}_\T$ is a homotopy locally $\lambda$-presentable 2-category, where $\lambda$ is a regular cardinal bounding the size of every category in $\mathfrak{Dia}$.
\end{corollary}
%From this we deduce the following result.
% EXPLANATION: first, observe that $[\Gk,\mathfrak{Cat}]$ is locally presentable as an ordinary category, in particular, $\lambda$-presentable for any regular cardinal, so $\lambda$-small colimits of representable generate all the object of $[\Gk,\mathfrak{Cat}]$ by $\lambda$-filtered colimits, so $\lambda$-small colimits of representable generate all the object of $Int[\Gk,\mathfrak{Cat}]$ by $\lambda$-filtered colimits; since $\mathfrak{hMod}^{ps}_\T$ is a full 2-subcategory, the representable are still generators and still strong (in the non-homotopy sense); observe that $\lambda$-small colimits are homotopy models by commuting these with $\lambda$-filtered colimits; note that the reflection $r$ maps objects already in $\mathfrak{hMod}^{ps}_\T$ to themselves, since the inclusion if fully faithful, so if a colimit has already value in $\mathfrak{hMod}^{ps}_\T$, the application of $r$ is then superfluous. And representables are $\lambda$-presentable, so homotopy $\lambda$-presentable by [64].
%Any derivator is a homotopy $\lambda$-filtered colimit in $\mathfrak{hMod}^{ps}_\T$ of homotopy $\lambda$-presentable models and these are $\lambda$-small homotopy 2-colimits of representable models.
\begin{comment}
By \cite[8.5]{LR}, $\mathfrak{hMod}^{ps}_\T$ is a homotopy reflective 2-subcategory of $\Pro s(\Gk,\mathfrak{Cat})$.
Let $j$ and $r$ denote the inclusion and reflection $j:\mathfrak{hMod}^{ps}_\T\rightleftarrows\Pro s(\Gk,\mathfrak{Cat}):r$.
The existence of a reflection can be used to construct weighted homotopy colimits in $\mathfrak{hMod}^{ps}_\T$ from those in $\Pro s(\Gk,\mathfrak{Cat})$.
\begin{remark}
\label{lop}
\rm As explained in the proof of \cite[5.5]{LR},
weighted homotopy 2-colimits $G\star_hF$ in ${\rm Int}[\Gk,\mathfrak{Cat}]$ are computed as fibrant replacement of the weighted 2-colimits $G\star F$ in $[\Gk,\mathfrak{Cat}]$, so
by $G\star F$ itself. Similarly, weighted homotopy 2-limits $\{G,F\}_h$ are computed
as cofibrant replacement $\Qc\{G,F\}$ of the weighted 2-limits $\{G,F\}$ in $[\Gk,\mathfrak{Cat}]$. This remark is useful as weighted 2-limits and weighted 2-colimits in $[\Gk,\mathfrak{Cat}]$ are computed pointwise (\cite[3.3]{Ke}).
As for weighted homotopy 2-colimits in $\Pro s(\Gk,\mathfrak{Cat})$, we can use the biequivalences $\Qc$ and $i$: by \cite[7.1]{LR} biequivalences preserve and create weighted homotopy colimits, so if $F$ is a diagram in $\Pro s(\Gk,\mathfrak{Cat})$, then $G\star_hF\simeq G\star_hi\Qc F\simeq i(G\star_h\Qc F)\simeq i(G\star\Qc F)\simeq i\Qc(G\star F)$.
Weighted homotopy 2-colimits in $\mathfrak{hMod}^{ps}_\T$ are computed by means of the reflection $r$ from the corresponding weighted homotopy 2-colimit in $\Pro s(\Gk,\mathfrak{Cat})$ (see the proof of \cite[9.9]{LR}): if $F$ is a diagram in $\mathfrak{hMod}^{ps}_\T$, then $G\star_hF\simeq r(G\star_hjF)$.
\end{remark}
%The next two lemma are the homotopy counterpart of lemma \ref{scambio} and \ref{pooou}. A regular cardinal $\lambda$, which will represent the degree of presentability of $\mathfrak{hMod}^{ps}_\T$, is determined in the same way as in \ref{scambio}.
Let $\lambda$ be a regular cardinal as above.
\begin{lemma}
\label{hofiltcolim}
%There exists a regular cardinal $\lambda$ such that,
Homotopy $\lambda$-filtered colimits in $\mathfrak{hMod}^{ps}_\T$ are computed as in $\Pro s(\Gk,\mathfrak{Cat})$ via $\Qc$, particularly, they are computed pointwise.
\end{lemma}
\begin{proof}
Let $\II$ be the free 2-category on an ordinary small $\lambda$-filtered category, for some regular cardinal $\lambda$, and $H:\II\rightarrow\mathfrak{hMod}^{ps}_\T$ a 2-functor. We want to prove that %there exists $\lambda$ such that the
homotopy $\lambda$-filtered colimit ${\rm hocolim}jH$ in $\Pro s(\Gk,\mathfrak{Cat})$ is indeed the homotopy $\lambda$-filtered colimit ${\rm hocolim}H$ in $\mathfrak{hMod}^{ps}_\T$, where $j$ denotes the inclusion of $\mathfrak{hMod}^{ps}_\T$ into $\Pro s(\Gk,\mathfrak{Cat})$. To this purpose, we verify that ${\rm hocolim}jH$ preserves the weighted homotopy limit cones of $\Pro$, thus proving that it belongs to $\mathfrak{hMod}^{ps}_\T$.
We make the following remarks which will be used to prove the lemma.
(1) As observed in remark \ref{lop}, ${\rm hocolim}jF$ is computed by the pointwise ordinary filtered colimit ${\rm colim}\Qc jH$ in $[\Gk,\mathfrak{Cat}]$.
(2) Recall from lemma \ref{dkps} that weighted homotopy limit cones $\{G,F\}_h$ in $\Pro$ correspond to morphisms $\rho:G\star_h\Y(F-)\rightarrow\Y(\LC)$ in $\Pro s(\Gk,\mathfrak{Cat})$, and that $\Sigma$ is the collection of such morphisms.
Observe now that, since $\Qc(G\star_h\Y(F))\simeq G\star_h\Qc(\Y(F))$, as $\Qc$ preserves weighted homotopy colimits, and since $\Qc(\Y(F))$ is equivalent to $\Y(F)$, as representables are flexible, homotopy orthogonality relative to $\Qc(\Sigma)$ (see lemma \ref{nn}) %%\ref{pop})
is expressed by requiring 2-functors in ${\rm Int}[\Gk,\mathfrak{Cat}]$ to preserve the limit cones of $\Pro$.
(3) We also recall (\cite[6.10]{LR}) that in ${\rm Int}[\Gk,\mathfrak{Cat}]$ $\lambda$-filtered homotopy colimits commute with $\lambda$-small homotopy 2-limits (these are homotopy 2-limits on $\lambda$-small diagrams and weighted by a $\lambda$-presentable 2-functor). Observe that the weights of the cones of $\Pro$ are $\lambda$-presentable provided we choose $\lambda$ large enough (see by \cite[9.3]{LR}): to this purpose,
as observed in subsection \ref{thecase}, $\lambda$ must bound the size of any category in $\mathfrak{Dia}$, and, since by assumption $\mathfrak{Dia}$ is small, such a cardinal does exist.
Finally, by means of the above remarks, we can prove the lemma by observing that
\begin{align*}
({\rm colim}\Qc jH)(\{G,F\}_h) & \simeq{\rm colim}(\Qc jH)(\{G,F\}_h)) \\
& \simeq{\rm colim}(\{G,\Qc jH(F)\}_h) \\
& \simeq\{G,{\rm colim}(\Qc jH(F))\}_h \\
& \simeq\{G,({\rm colim}(\Qc jH)(F)\}_h
\end{align*}
\end{proof}
Notice that, when $\mathfrak{Dia}=\mathfrak{Cat}_f$, we can choose $\lambda=\aleph_0$.
The content of the next lemma concerns the degree of presentability of $\mathfrak{hMod}^{ps}_\T$.
\begin{lemma}
\label{presrep}
Representable 2-functors are homotopy $\lambda$-presentable objects of $\mathfrak{hMod}^{ps}_\T$, where the regular cardinal $\lambda$ is as determined in lemma \ref{hofiltcolim}.
\end{lemma}
\end{comment}
\begin{comment}
\begin{lemma}
\label{presrep}
$\mathfrak{hMod}^{ps}_\Sk$ is strongly homotopy $\lambda$ presentable.
\end{lemma}
\begin{proof}
Since $\mathfrak{hMod}^{ps}_\Sk$ is homotopy locally presentable, there is a small full subcategory $i:\Ak\hookrightarrow\mathfrak{hMod}^{ps}_\Sk$ such that
\begin{gather}
\begin{aligned}
\xymatrix{
\mathfrak{hMod}^{ps}_\Sk \ar[rr]^{\mathfrak{hMod}^{ps}_\Sk(i,-)} & & [\Ak^{op},\mathfrak{Cat}] \ar[r]^\Qc & [\Ak^{op},\mathfrak{Cat}]
\end{aligned}
\label{pannaaa1}
\end{gather}
is a local equivalence. To prove the lemma we have to show that the objects of $\Ak$ are homotopy $\lambda$-presentable, that is, if ${\rm hocolim}H$ is a homotopy $\lambda$-filtered colimit in $\mathfrak{hMod}^{ps}_\Sk$, for every object $\M$ of $\Ak$
\begin{equation}
\label{annaaa2}
\mathfrak{hMod}^{ps}_\Sk(\M,{\rm hocolim}H)\simeq{\rm hocolim}\mathfrak{hMod}^{ps}_\Sk(\M,H).
\end{equation}
By the local equivalence \eqref{pannaaa1}, to verify \eqref{annaaa2} is equivalent to check
\begin{multline*}
[\Ak^{op},\mathfrak{Cat}](\Qc(\mathfrak{hMod}^{ps}_\Sk)^{op}(\M,i-),\Qc(\mathfrak{hMod}^{ps}_\Sk)^{op}({\rm holim}H,i-))\simeq \\ {\rm hocolim}[\Ak^{op},\mathfrak{Cat}](\Qc(\mathfrak{hMod}^{ps}_\Sk)^{op}(\M,i-),\Qc(\mathfrak{hMod}^{ps}_\Sk))^{op}(H,i-)).
\end{multline*}
Since $\mathfrak{hMod}^{ps}_\Sk(\M,i-)=\Ak(\M,-)$ and representables are cofibrant, by the enriched Yoneda lemma, the equivalence above follows from the equivalence (see \cite[6.3]{LR})
\begin{equation*}
(\mathfrak{hMod}^{ps}_\Sk)^{op}({\rm holim}H,\M)\simeq{\rm hocolim}(\mathfrak{hMod}^{ps}_\Sk))^{op}(H,M).
\end{equation*}
\end{proof}
\end{comment}
\begin{comment}
First of all we observe that representable 2-functors are indeed homotopy models: as they are flexible, we can check they preserve weighted homotopy 2-limits of $\Pro$ as objects of ${\rm Int}[\Gk,\mathfrak{Cat}]$; by \cite[6.3]{LR} representable 2-funtors preserve weighted homotopy 2-limits.
By the biequivalence $\Qc:\mathfrak{hMod}^{ps}_\T\rightarrow{\rm Int}[\Gk,\mathfrak{Cat}]_{\Qc(\Sigma)}$, we can check presentability in ${\rm Int}[\Gk,\mathfrak{Cat}]_{\Qc(\Sigma)}$.
If ${\rm hocolim}H$ is a homotopy $\lambda$-filtered colimit in ${\rm Int}[\Gk,\mathfrak{Cat}]_{\Qc(\Sigma)}$, by the enriched Yoneda lemma
\begin{displaymath}
[\Gk,\mathfrak{Cat}](\Gk(\CC,-),{\rm hocolim}H_i)\simeq({\rm hocolim}H_i)(\CC),
\end{displaymath}
and by lemma \ref{hofiltcolim}
\begin{displaymath}
({\rm hocolim}H_i)(\CC)\simeq{\rm hocolim}H_i(\CC)\simeq{\rm hocolim}[\Gk,\mathfrak{Cat}](\Gk(\CC,-),H_i).
\end{displaymath}
\end{proof}
\end{comment}
\begin{comment}
We conclude with the following corollary. By means of homotopy $\lambda$-presentable models we can reconstruct all the remaining objects.
\begin{corollary}
\label{ultimo}
Any derivator is a homotopy $\lambda$-filtered colimit in $\mathfrak{hMod}^{ps}_\T$ of homotopy $\lambda$-presentable models and these are $\lambda$-small homotopy 2-colimits of representable models.
\end{corollary}
\begin{proof}
It follows from \cite[9.5-9.8]{LR}.
\end{proof}
\subsection{Summary}
% summary of presentability
\label{finremark}
We summarize a few properties of $\mathfrak{hMod}^{ps}_\T$:
\begin{enumerate}
\item $\mathfrak{hMod}^{ps}_\T$ is a homotopy orthogonal and homotopy reflective 2-subcategory of $\Pro s(\Gk,\mathfrak{Cat})$, particularly, it is strongly homotopy locally $\lambda$-presentable, for a regular cardinal $\lambda$ bounding the size of any category in $\mathfrak{Dia}$ (lemma \ref{dkps}, corollary \ref{nn1} and \ref{nn});
\item $\mathfrak{hMod}^{ps}_\T$ has weighted homotopy 2-limits and these are computed as in $\Pro s(\Gk,\mathfrak{Cat})$ (\cite[8.1]{LR});
\item if $j:\mathfrak{hMod}^{ps}_\T\rightarrow\Pro s(\Gk,\mathfrak{Cat}):r$ are the inclusion and the reflection, then weighted homotopy 2-colimits in $\mathfrak{hMod}^{ps}_\T$ exist and are computed as $G\star_hF\simeq r(G\star_hjF)$ (remark \ref{lop}), particularly, as for homotopy $\lambda$-filtered colimits, ${\rm hocolim}F\simeq{\rm hocolim}jF\simeq{\rm colim}\Qc jF$ (lemma \ref{hofiltcolim});
\item representable 2-functors $\Gk(\CC,-)$ are homotopy models and are homotopy $\lambda$-presentable objects (lemma \ref{presrep});
\item any homotopy model in $\mathfrak{hMod}^{ps}_\T$ is a homotopy $\lambda$-filtered colimit of $\lambda$-small weighted homotopy 2-colimits of representables (corollary \ref{ultimo});
\item there is a biequivalence between $\mathfrak{hMod}^{ps}_\T$ and the 2-category ${\rm Int}[\Gk,\mathfrak{Cat}]_{\Qc(\Sigma)}$ (lemma \ref{pop}).
\end{enumerate}
\subsection{Presentation of derivators}
Small presentation (definition \ref{smpres}) and $\lambda$-small presentation (definition \ref{preees}) can be treated directly in $\mathfrak{hMod}^{ps}_\T$ (which, on the other hand, by lemma \ref{pop}, is biequivalent to ${\rm Int}[\Gk,\mathfrak{Cat}]_{\Qc(\Sigma)}$, namely, to the full 2-subcategory ${\rm Int}\mathfrak{hMod}_\T$ of $[\Gk,\mathfrak{Cat}]$ spanned by flexible homotopy models). All the PIES-colimits used in \ref{finalresren} compute the corresponding homotopy weighted 2-colimits. Moreover, since presentability is defined up to equivalence, the fact that homotopy models preserve the limit cones of the sketch up to equivalence can simplifies a little the study.
\begin{theorem}
A homotopy model of $\T$ has $\lambda$-small presentation if and only if it is a homotopy $\lambda$-presentable object of $\mathfrak{hMod}_\T^{ps}$.
\end{theorem}
In particular, derivators of small presentation are homotopy $\lambda$-presentable object of $\mathfrak{hMod}_\T^{ps}$.
%REALIZED SKETCHES
\subsection{Realized sketches}
\label{realizedsk}
We extend the idea of realized sketch for ordinary sketches \cite[3.1]{MP} to homotopy limit sketches and study the problem of replacing a homotopy limit sketch with one which is realized, proving a result analogous to \cite[3.1.1]{MP}.
\begin{definition}
A weighted homotopy limit 2-sketch $\T=(\Gk,\Pro)$ is realized if $\Pro$ contains only cones which are weighted homotopy limit cones in $\Gk$.
\end{definition}
If $\Sk_1=(\Gk_1,\Pro_1)$ and $\Sk_2=(\Gk_2,\Pro_2)$ are homotopy limit 2-sketches, morphisms $\Sk_1\rightarrow\Sk_2$ are 2-functors $\Gk_1\rightarrow\Gk_2$ taking cones of $\Pro_1$ into $\Pro_2$, 2-morphisms are pseudo-natural transformations and 3-morphisms are modifications.
\begin{proposition}
\label{limsk0}
For any homotopy limit 2-sketch $\Sk$ there exist a realized homotopy limit 2-sketch $\T$ and a morphism of homotopy limit 2-sketches $I:\Sk\rightarrow\T$ such that, for any realized homotopy limit 2-sketch $\T'$, the 2-functor defined by composition with $I$
\begin{equation*}
\SSS k(\T,\T')\longrightarrow\SSS k(\Sk,\T')
\end{equation*}
is a biequivalence.
\end{proposition}
In this paper we have exploited the following consequence of proposition \ref{limsk0}.
\begin{definition}
\label{moritask}
We say that two homotopy limit 2-sketches $\Sk_1$ and $\Sk_2$ are Morita equivalent if their 2-category of homotopy models $\mathfrak{hMod}_{\Sk_1}$ and $\mathfrak{hMod}_{\Sk_2}$ are biequivalent.
\end{definition}
\begin{corollary}
\label{limsk}
For every weighted homotopy limit 2-sketch $\Sk=(\Gk,\Pro)$ there exist a realized weighted homotopy limit 2-sketch $\T$ and a morphism of homotopy limit 2-sketches $I:\Sk\rightarrow\T$ inducing a Morita equivalence.
\end{corollary}
%Observe that $\mathfrak{Cat}$ becomes a homotopy limit 2-sketch by endowing it with the collection of its homotopy limit cones. Since this sketch is clearly realized, and since homotopy models of $\Sk$ are just morphisms of sketches between $\Sk\rightarrow\mathfrak{Cat}$, the claim follows from proposition \ref{limsk0}.
If we replace $\mathfrak{hMod}_\Sk$ with $\mathfrak{hMod}_\Sk^{ps}$ in definition \ref{moritask}, corollary \ref{limsk} still holds true.
Corollary \ref{limsk} follows from proposition \ref{limsk0} observing that $\mathfrak{Cat}$ is a realized homotopy limit 2-sketch when endowed with the collection of its homotopy limit cones. However, for simplicity, we just give the proof of corollary \ref{limsk}, which is what we need, extending the proof of \cite[6.21]{Ke} and using that the 2-category of homotopy models of a homotopy limit 2-sketch is homotopy locally presentable.
\begin{proof}
Since $\mathfrak{hMod}_\Sk$ is strongly homotopy locally $\lambda$-presentable, there exists a small full 2-subcategory $J:\Ak\rightarrow\mathfrak{hMod}_\Sk$, as in definition \ref{defhlp}, which induces a local equivalence $\tilde{J}:\mathfrak{hMod}_\Sk\rightarrow[\Ak^{op},\mathfrak{Cat}]$. Let $\Ak_\lambda$ denote the closure of $\Ak$ by the weighted homotopy 2-colimits determined by the cones of $\Pro$, then $\Ak_\lambda^{op}$ is a 2-category where all the cones induced by $\Pro$ are homotopy limit cones. For simplicity, we call again $\Pro$ the collection of such cones. Since homotopy models preserve the cones in $\Pro$, it follows that $\tilde{J}$ extends to a 2-functor $\mathfrak{hMod}_\Sk\rightarrow[\Ak^{op}_\lambda,\mathfrak{Cat}]$, which we denote by the same symbol. As mentioned in theorem \ref{lrth} and proved in \cite[9.10]{LR}, the 2-essential image of $\tilde{J}$ is spanned by the 2-functors which are $\Sigma$-local, where $\Sigma$ is the set of morphisms determined by $\Pro$ in lemma \ref{dkps}, that is, by 2-functors which are homotopy orthogonal to $\Sigma$. These are exactly the objects of $[\Ak^{op},\mathfrak{Cat}]$ which preserve the homotopy limit cones of $\Pro$.
\end{proof}
\end{comment}
% SMALL PRESENTATION
\section{Small presentation}
\label{finalres}
\begin{comment}
In this section we describe in terms of ``generators and relations'' the models of $\T$ which are $\lambda$-presentable objects of $\mathfrak{Mod}_\T$, and we prove, via the biequivalences in \ref{flexps} and in \ref{Main}, that Renaudin's derivators of small presentation are $\lambda$-presentable.
\end{comment}
%In this section we describe a set of $\lambda$-presentable generators of $\mathfrak{Mod}_\T$, identifying them with a precise type of derivators, and we prove, via the biequivalence in \ref{Main}, that Renaudin's derivators of small presentation are $\lambda$-presentable objects.
In this section we identify representable models for $\T$ with a precise type of derivator, and we prove, via the biequivalence in \ref{Main}, that Renaudin's derivators of small presentation are $\lambda$-presentable objects.
\subsection{Representable models}
\begin{comment}
\subsection{Representable models}
%CISINSKI'S RESULT
It is convenient to replace the weighted homotopy limit 2-sketch $\Sk=(\Gk,\Pro)$ of derivators with one whose underlying category has the same objects as $\Gk$, whose cones are already homotopy limit cones and whose 2-category of homotopy models is equivalent to that of $\Sk$; the proof of the existence of such homotopy limit 2-sketch is analogous to that of \cite[6.21]{Ke}. We denote this new sketch by $\T$, and, for simplicity, we will denote by this same symbol also the 2-category underlying $\T$.
% IDEA OF THE PROOF: $\Gk$ is equivalent (actually isomorphic) to the full 2-subcategory $Y(\Gk)$ of $[\Gk,\mathrak{Cat}]$; consider in $Y(\Gk)$ the cones induced by $\Pro$ and take the cocompletion of $Y(\Gk)$ in $[\Gk,\mathrak{Cat}]$ with respect to such cones; add equivalences between the vertexes of the cones of $\Pro$ and the vertexes adjoined after the cocompletion and generate the free 2-category on it; the free construction doesn't add any new object, the cones induced by $\Pro$ are now limit cone whose vertex is the wanted one; the 2-categories of homotopy models are biequivalent as the extension of models to vertexes is determined by the fact that they must preserve limits in $\Pro$, and, by definition of reflection, for the case of graphs with commutativity conditions, wehave the biequivalence.
In this way, representable 2-functors are automatically homotopy models of $\T$.
%Moreover, from the proof of \cite[9.8]{LR}, we see that we can take $\Ak$ in definition \ref{defhlp} to be full 2-subcategory of $\mathfrak{hMod}^{ps}_\T$ spanned by $\lambda$-small homotopy 2-colimits of representable models.
\begin{lemma}
Representable 2-functors on $\T$ are homotopy models of $\T$ and are homotopy $\lambda$-presentable objects of $\mathfrak{hMod}^{ps}_\T$. The full 2-subcategory of $\mathfrak{hMod}^{ps}_\T$ spanned by $\lambda$-small homotopy 2-colimits of representable models can be taken as $\Ak$ in definition \ref{defhlp}.
\end{lemma}
\begin{proof}
From the proof of \cite[9.8]{LR}, we see that 2-functors which are $\lambda$-presentable in $[\T,\mathfrak{Cat}]$ are homotopy $\lambda$-presentable in ${\rm Int}[\T,\mathfrak{Cat}]$. Since $[\T,\mathfrak{Cat}]$ is locally $\lambda$-presentable and representable 2-functors form a set of generators, then every object of $[\T,\mathfrak{Cat}]$ is a $\lambda$-filtered colimit of $\lambda$-small colimits of representables. Since by \cite[5.5]{LR} weighted homotopy colimits in ${\rm Int}[\T,\mathfrak{Cat}]$ are computed as fibrant replacement of the corresponding weighted colimit in $[\T,\mathfrak{Cat}]$, it follows that the full 2-subcategory of ${\rm Int}[\T,\mathfrak{Cat}]$ spanned by $\lambda$-small homotopy 2-colimits of representable models can be taken as $\Ak$ in definition \ref{defhlp} for the homotopy $\lambda$-presentable 2-category ${\rm Int}[\T,\mathfrak{Cat}]$.
By \cite[8.5]{LR}, $\mathfrak{hMod}^{ps}_\T$ is a homotopy reflective 2-subcategory of $\Pro s(\Gk,\mathfrak{Cat})$.
Let $j$ and $r$ denote the inclusion and reflection $j:\mathfrak{hMod}^{ps}_\T\rightleftarrows\Pro s(\Gk,\mathfrak{Cat}):r$.
The existence of a reflection can be used to construct weighted homotopy colimits in $\mathfrak{hMod}^{ps}_\T$ from those in $\Pro s(\Gk,\mathfrak{Cat})$, that is, in ${\rm Int}[\T,\mathfrak{Cat}]$ by lemma \ref{redf}.
Since by \cite[6.10]{LR} in ${\rm Int}[\T,\mathfrak{Cat}]$ $\lambda$-filtered homotopy colimits commute with $\lambda$-small homotopy limits, and by what explained above, we prove that in $\mathfrak{hMod}^{ps}_\T$ $\lambda$-filtered homotopy colimits can be computed via $\Qc$ in $[\T,\mathfrak{Cat}]$, where they are pointwise. Then, by the Yoneda lemma for bicategories, it follows that representable 2-functors are homotopy $\lambda$-presentable objects of $\mathfrak{hMod}^{ps}_\T$.
Since every object of $\mathfrak{hMod}^{ps}_\T$ is a $\lambda$-filtered homotopy colimit of $\lambda$-small homotopy colimits of representables in ${\rm Int}[\T,\mathfrak{Cat}]$, then it is so also in $\mathfrak{hMod}^{ps}_\T$.
\end{proof}
\end{comment}
\begin{comment}
From this we deduce the following result.
% EXPLANATION: first, observe that $[\Gk,\mathfrak{Cat}]$ is locally presentable as an ordinary category, in particular, $\lambda$-presentable for any regular cardinal, so $\lambda$-small colimits of representable generate all the object of $[\Gk,\mathfrak{Cat}]$ by $\lambda$-filtered colimits, so $\lambda$-small colimits of representable generate all the object of $Int[\Gk,\mathfrak{Cat}]$ by $\lambda$-filtered colimits; since $\mathfrak{hMod}^{ps}_\T$ is a full 2-subcategory, the representable are still generators and still strong (in the non-homotopy sense); observe that $\lambda$-small colimits are homotopy models by commuting these with $\lambda$-filtered colimits; note that the reflection $r$ maps objects already in $\mathfrak{hMod}^{ps}_\T$ to themselves, since the inclusion if fully faithful, so if a colimit has already value in $\mathfrak{hMod}^{ps}_\T$, the application of $r$ is then superfluous. And representables are $\lambda$-presentable, so homotopy $\lambda$-presentable by [64].
\begin{corollary}
\label{ultimo}
Any derivator is a homotopy $\lambda$-filtered colimit in $\mathfrak{hMod}^{ps}_\T$ of homotopy $\lambda$-presentable models and these are $\lambda$-small homotopy 2-colimits of representable models.
\end{corollary}
%It follows from \cite[9.5-9.8]{LR}.
\end{comment}
%We want to identify such representable models with some well precise type of derivator.
%We study representable models in terms of derivators via the biequivalence of theorem \ref{Main}.
Denote by $sSet$ the category of simplicial sets with its classical model structure
and by $sSet^{\CC^{op}}$ the category of simplicial presheaves endowed with the projective model structure.
Recall that $\Phi$ denotes the pseudo-functor of theorem \ref{cisinski}. The following result is due to Cisinski (see \cite[3.24]{C2}).
\begin{theorem}
\label{cis}
For every right derivator $\D$ and every small category $\CC$ in $\mathfrak{Dia}$ there is an equivalence of categories
\begin{displaymath}
\mathfrak{Der}^r(\Phi(sSet^{\CC^{op}}),\D)
\simeq\D(\CC).
\end{displaymath}
\end{theorem}
Before outlining how the equivalence in theorem \ref{cis} is constructed, we rewrite it as follows.
Setting $\F(\CC)=\Phi(sSet^{\CC^{op}})$, we have
\begin{equation}
\label{ciseqq}
\Psi:\mathfrak{Der}^r(\F(\CC),\D)
\simeq\D(\CC):\Xi.
\end{equation}
%Notice that $\F$, which extends to a pseudo-functor $\F:\mathfrak{Dia}\rightarrow\mathfrak{Der}^r$, provides a kind of free construction. In fact, consider the forgetful 2-functor $\U:\mathfrak{Der}^r\rightarrow\mathfrak{PDer}$ and the composite
%\Y:\mathfrak{Dia}\rightarrow[\mathfrak{Dia}^{op},\mathfrak{Cat}]\hookrightarrow\Pro s(\mathfrak{Dia}^{op},\mathfrak{Cat})=\mathfrak{PDer}
%of the enriched Yoneda embedding
%with the inclusion $[\mathfrak{Dia}^{op},\mathfrak{Cat}]\hookrightarrow\Pro s(\mathfrak{Dia}^{op},\mathfrak{Cat})$.
%By the Yoneda lemma for bicategories (see \cite[1.9]{St}), the equivalence (\ref{ciseqq}) can be written as
%\item In terms of homotopy models of the homotopy %realized
%limit 2-sketch $\T$, we have what follows. Denoting by $\Omega$ the pseudo-functor providing together with $\Upsilon$ the biequivalence between $\mathfrak{hMod}^{ps}_\T$ and $\mathfrak{Der}^r$, theorem \ref{Main} yields, for $\CC\in\mathfrak{Dia}^{op}$,
%and $\Omega(\D)(\CC)=\Upsilon(\Omega(\D))(\CC)\simeq\D(\CC)$.
%Setting for simplicity $\Omega(\F(\CC))=\F(\CC)$ and $\Omega(\D)=\MM$, the equivalence \eqref{ciseqq} becomes
\begin{remark}
\label{catsset}
\rm Consider the morphism of localizers
\begin{displaymath}
N:(\CC at,W_\infty)\longrightarrow(sSet,W_{sSet}),
\end{displaymath}
where $N:\CC at\rightarrow sSet$ is the nerve and $W_{sSet}$ is the class of weak-equivalences of $sSet$ and $W_\infty=N^{-1}W_{sSet}$. This morphism induces an equivalence between the associated derivators, namely, $\Hc ot_\CC=[-,\CC at^{\CC^{op}}][W^{-1}_\infty]$ and $\F(\CC)$.
In view of this, we will use the notation $\F(\CC)$ also for $\Hc ot_\CC$. We refer to \cite[1.1]{C2} for more details.
\end{remark}
We recall now from \cite[3.18]{C2} and [16]
how equivalence (\ref{ciseqq}) is constructed.
We describe first the functor
\begin{displaymath}
\Xi:\D(\CC)\longrightarrow\mathfrak{Der}^r(\F(\CC),\D).
\end{displaymath}
For every $h\in\D(\CC)$, we indicate how the pseudo-natural transformation $\Xi(h):\F(\CC)\Rightarrow\D$ is defined, by giving the functors $\Xi(h)_\DD$, for every object $\DD\in\mathfrak{Dia}$, and referring then to [17] for the rest.
%the natural isomorphisms $\beta^{\Xi(h)}_u$, for every 1-morphism $u\in\mathfrak{Dia}$.
For $g\in\F(\CC)(\DD)$, let $\nabla g$ and $\int g$ be the Grothendieck fibration and cofibration associated to $g:\DD\times\CC^{op}\rightarrow\CC at$, by fixing $C\in\CC^{op}$ and $D\in\DD$ respectively. Let $\pi(g):\nabla\int g\rightarrow\DD$ and $\varpi(g):\nabla\int g\rightarrow\CC^{op}$ be the projections:
\begin{displaymath}
\xymatrix{
& \nabla\int(g) \ar[dl]_{\pi(g)} \ar[dr]^{\varpi(g)} & \\
\DD & & \CC
\end{displaymath}
Applying $\D$, we obtain the diagram
\begin{displaymath}
\xymatrix{
& \D(\nabla\int(g)) \ar@<-.5ex>[dl]_{\pi(g)_{!}} \ar@<.5ex>[dr]^{\varpi(g)_{!}} & \\
\D(\DD) \ar@<-.5ex>[ur]_{\pi(g)^\ast} & & \D(\CC) \ar@<.5ex>[ul]^{\varpi(g)^\ast}
\end{displaymath}
%Using the equivalent expression for $\F$ explained in remark \ref{catsset},
The functor $\Xi(h)_\DD:\F(\CC)(\DD)\rightarrow\D(\DD)$ is defined on objects $g\in\F(\CC)(\DD)$ as
\begin{equation}
\label{cisform}
\pi(g)_{!}\varpi(g)^\ast(h).
\end{equation}
The action of $\Xi(h)_\DD$ on morphisms is as follows: for $\alpha:g\rightarrow g'$ in $\F(\CC)(\DD)$, we set $\beta=\nabla\int\alpha$, yielding in $\mathfrak{Dia}$ the commutative diagram
\begin{displaymath}
\xymatrix{
& \nabla\int(g) \ar[dl]_{\pi(g)} \ar[d]^\beta \ar[dr]^{\varpi(g)} & \\
\DD & \nabla\int(g') \ar[l]^(.6){\pi(g')} \ar[r]_(.65){\varpi(g')} & \CC,
\end{displaymath}
$\Xi(h)_\DD(\alpha)$ is now defined as the composite
\begin{equation*}
\pi(g)_{!}\varpi(g)^\ast(h)\cong\pi(g')_{!}\beta_!\beta^\ast\varpi(g')^\ast(h)\longrightarrow\pi(g')_{!}\varpi(g')^\ast(h)
\end{equation*}
We refer to \cite[3.19]{C2} to complete the definition of $\Xi(h)$.
We now consider the other functor in (\ref{ciseqq})
\begin{displaymath}
\Psi:\mathfrak{Der}^r(\F(\CC),\D)\longrightarrow\D(\CC).
\end{displaymath}
As explained in remark \ref{catsset}, we can view the Yoneda embedding $\Y:\CC\rightarrow\CC at^{\CC^{op}}$ as an object of $\F(\CC)(\CC)$. Any 1-morphism of derivators $\theta:\F(\CC)\rightarrow\D$, when computed at $\CC$, yields a functor $\theta_\CC:\F(\CC)(\CC)\rightarrow\D(\CC)$, whose value $\theta_\CC(\Y)$ at $\Y$ defines $\Psi(\theta)$.
We establish now a correspondence between %free
derivators $\F(\CC)=\Phi(sSet^{\CC^{op}})$ and representable models $\T(\CC,-)$ of the homotopy limit %realized
2-sketch $\T$.
%We %abuse notation by denoting
%denoted by $\T(\CC,-)$. %the representable models of the sketch $\T$. %Recall that
%For simplicity we also denote $\Omega(\F(\CC))$ by $\F(\CC)$.
\begin{proposition}
\label{repf}
For every $\CC\in\mathfrak{Dia}$, the derivator $\Upsilon(\T(\CC,-))$ corresponding to the representable model $\T(\CC,-)$ is equivalent in $\mathfrak{Der}^r$ to %free
\end{proposition}
\begin{proof}
For the way $\Upsilon$ is defined, the derivator $\Upsilon(\T(\CC,-))$ will be reasonably denoted $\T(\CC,-)$.
On the one hand, equivalence (\ref{ciseqq}) for $\D=\T(\CC,-)$ becomes
\begin{equation}
\label{ciseq2}
\Psi:\mathfrak{Der}^r(\F(\CC),\T(\CC,-))\rightleftarrows\T(\CC,\CC):\Xi.
\end{equation}
Noting that the category $\T(\CC,\CC)$ has $\mathfrak{Dia}^{op}(\CC,\CC)=[\CC,\CC]$ as subcategory, let
\begin{equation*}
\varphi:\F(\CC)\Rightarrow\T(\CC,-)
\end{equation*}
be the 1-morphism of derivators $\Xi(1_{\CC})$.
On the other hand, by the Yoneda lemma for bicategories (see \cite[1.9]{St}) there is an equivalence of categories
\begin{equation}
\label{yoneq}
\Lambda:\mathfrak{hMod}^{ps}_\T(\T(\CC,-),\Omega(\F(\CC)))\rightleftarrows\Omega(\F(\CC))(\CC):\Pi,
\end{equation}
where $\Omega(\F(\CC)$ is any homotopy model such that $\Upsilon\Omega(\F(\CC))\simeq\F(\CC)$ (such models are all equivalent), and, again, we will denote the derivator $\Upsilon\Omega(\F(\CC))$ simply as $\Omega(\F(\CC))$.
Consider the Yoneda embedding $\Y:\CC\rightarrow\CC at^{\CC^{op}}$ as an object of $\F(\CC)(\CC)$ and, by means of the equivalence above, as an element, which we denote again $\Y$, of $\Omega(\F(\CC))$. Let
\begin{equation*}
\psi:\T(\CC,-)\Rightarrow\Omega(\F(\CC))
\end{equation*}
be the 1-morphism of models $\Pi(\Y)$:
for $\DD\in\Gk$ and $g\in\T(\CC,\DD)$
\begin{equation*}
\psi_\DD(g)=\Omega(\F(\CC))(g)(\Y),
\end{equation*}
particularly, when $g:\CC\rightarrow\DD$ is a morphism in $\Gk$ corresponding to some $g:\DD\rightarrow\CC$ in $\mathfrak{Dia}$, then $\psi_\DD(g)=\Y\circ g$. We write $\psi$ also for the morphism of derivators $\Upsilon(\psi)$, and, by the equivalence $\Omega(\F(\CC)\simeq\F(\CC)$, we have $\psi_\DD(g)\cong\Y\circ g$, for $g$ in $\mathfrak{Dia}$.
To prove the lemma we show there are isomorphic modifications $\varphi\circ\psi\Rrightarrow1_{\T(\CC,-)}$ and $\psi\circ\varphi\Rrightarrow1_{\F(\CC)}$.
Formula (\ref{cisform}), for $\DD\in\Gk$ and $g\in\F(\CC)(\DD)$, yields
\begin{equation*}
\varphi_\DD(g)=\T(\CC,\pi(g))_!\T(\CC,\varpi(g))(1_{\CC}),
\end{equation*}
which we visualize in the diagram
\begin{displaymath}
\xymatrix{
& \T(\CC,\nabla\int(g)) \ar@<-.5ex>[dl]_{\T(\CC,\pi(g)_{(!)})~{}~} \ar@<.5ex>[dr]^{~{}~\T(\CC,\varpi(g)_{(!)})} & \\
\T(\CC,\DD) \ar@<-.5ex>[ur]_{~{}~\T(\CC,\pi(g))} & & \T(\CC,\CC), \ar@<.5ex>[ul]^{\T(\CC,\varpi(g))~{}~}
\end{displaymath}
where note that $\T(\CC,\pi(g))_!$ denotes a left adjoint to $\T(\CC,\pi(g))$, and that, viewing $\T(\CC,-)$ as model, $\T(\CC,\pi(g))_!$ equals $\T(\CC,\pi(g)_{(!)})$ up to isomorphism; analogous considerations hold for $\T(\CC,\varpi(g))$ and $\T(\CC,\varpi(g)_{(!)})$.
Notice also that $\T(\CC,\varpi(g))$ acts by composing in $\Gk$ with the projection $\varpi(g)$,
so $\T(\CC,\varpi(g))(1_{\CC})=\varpi(g)$. Similarly $\T(\CC,\pi(g)_{(!)})$ acts by composing in $\Gk$ with $\pi(g)_{(!)}$, therefore
\begin{equation*}
\varphi_\DD(g)=\pi(g)_{(!)}\varpi(g).
\end{equation*}
As a consequence we find out that
\begin{align*}
\psi\circ\varphi & =\Omega(\F(\CC))(\varphi(-))(\Y) \\
& =\Omega(\F(\CC))(\pi(-)_{(!)}\varpi(-))(\Y) \\
& =\Omega(\F(\CC))(\pi(-)_{(!)})\Omega(\F(\CC))(\varpi(-))(\Y).
\end{align*}
by the equivalence (\ref{ciseqq}), particularly \eqref{cisform}, for $\D=\F(\CC)$, observing the diagram
\begin{displaymath}
\xymatrix{
& \F(\CC)(\nabla\int(g)) \ar@<-.5ex>[dl]_{\F(\CC)(\pi(g))_!~{}~{}~} \ar@<.5ex>[dr]^{~{}~{}~\F(\CC)(\varpi(g))_!} & \\
\F(\CC)(\DD) \ar@<-.5ex>[ur]_{~{}~\F(\CC)(\pi(g))} & & \F(\CC)(\CC) \ar@<.5ex>[ul]^{\F(\CC)(\varpi(g))~{}~}
\end{displaymath}
with $g\in\F(\CC)(\DD)$,
we see that $\psi\circ\varphi$ is isomorphic to $\Xi(\Y)$; %\in\F(\CC)(\CC)$;
on the other hand, the image of the identity $1_{\F(\CC)}\in\mathfrak{Der}^r(\F(\CC),\F(\CC))$ by $\Psi$ is $\Y$; so $\psi\circ\varphi$ and $1_{\F(\CC)}$ are isomorphic in $\mathfrak{Der}^r(\F(\CC),\F(\CC))$, that is, there is an isomorphic modification $\psi\circ\varphi\Rrightarrow1_{\F(\CC)}$.
As to $\varphi\circ\psi$, observe that
\begin{align*}
\varphi\circ\psi & =\varphi(\Omega(\F(\CC))(-)(\Y)) \\
& =\pi(\Omega(\F(\CC))(-)(\Y))_{(!)}\varpi(\Omega(\F(\CC))(-)(\Y)).
\end{align*}
The equivalence $\Lambda$ in (\ref{yoneq}) maps $\varphi\circ\psi:\T(\CC,-)\Rightarrow\T(\CC,-)$ to the object $\Lambda(\varphi\circ\psi)$ in $\T(\CC,\CC)$ obtained by computing $\varphi\circ\psi$ at $\CC$ and then evaluating at $1_\CC$:
\begin{equation*}
\pi(\Omega(\F(\CC))(1_\CC)(\Y))_{(!)}\varpi(\Omega(\F(\CC))(1_\CC)(\Y))=\pi(\Y)_{(!)}\varpi(\Y). %\cong\pi(\Y)_\ast\varpi(\Y)^\ast.
\end{equation*}
This, by lemma 3.22 in [17], is isomorphic to the identity $1_\CC$, providing an isomorphic modification $\varphi\circ\psi\Rrightarrow1_{\T(\CC,-)}$.
\end{proof}
As a consequence of lemma \ref{ultimo} and proposition \ref{repf} above we have the following result.
\begin{corollary}
\label{ultimo7}
Any right derivator is a homotopy $\lambda$-filtered colimit in $\mathfrak{Der}^r$ of $\lambda$-small homotopy 2-colimits of derivators of the form $\F(\CC)=\Phi(sSet^{\CC^{op}})$.
\end{corollary}
%RENAUDIN'S DEFINITIONS
\subsection{Derivators of small presentation}
\label{finalresren}
Let $\mathfrak{ModQ}^c[\Qc^{-1}]$ be the pseudo-localization at Quillen equivalences $\Qc$ of the 2-category of combinatorial model categories $\mathfrak{ModQ}^c$, as in \cite[2.3]{R}. The following theorem, proved by Renaudin \cite[3.3.2]{R}, builds on Dugger's results on universal homotopy theories [21] and on presentations of combinatorial model categories [22].
\begin{theorem}
\label{ren1}
The pseudo-functor $\Phi$ induces a local equivalence
\begin{equation*}
\tilde{\Phi}:\mathfrak{ModQ}^c[\Qc^{-1}]\longrightarrow\mathfrak{Der}_{ad}.
\end{equation*}
\end{theorem}
Renaudin also describes the essential image of $\tilde{\Phi}$: it is formed by derivators of small presentation.
We recall this result and the relevant definitions from \cite[3.4]{R}.
\begin{definition}
Given a prederivator $\D$, a localization of $\D$ is an adjunction $\theta:\D\rightleftarrows\D':\chi$ such that the counit $\epsilon:\theta\circ\chi\rightarrow 1_{\D'}$ is an isomorphism.
\end{definition}
Derivators are invariant under localization, in the sense that a localization of a derivator is again a derivator (see \cite[4.2]{C2}).
\begin{comment}
\begin{lemma}
\label{locder}
The localization of a derivator is again a derivator.
\end{lemma}
\begin{proof}
See \cite[4.2]{C2}.
\end{proof}
\end{comment}
We recall now from \cite[3.4]{R} the concept of presentation in the case of derivators. The motivation comes from Dugger's definitions of homotopically surjective map (\cite[3.1]{D2}) and of presentation of a model category (\cite[1]{D2} or \cite[6.1]{D1}).
We will observe an analogy between the definition
of a derivator of small presentation (generation) and the definition, by means of the free construction, of a finitely presented (generated) model of an algebraic theory or module over a ring (see for example \cite[3.8.1]{B}). This analogy relies on the use of ``generators" and ``relations".
\begin{definition}
\label{smgen}
A derivator $\D$ has small generation if there is a category $\CC\in\CC at$ and a localization $\F(\CC)\rightleftarrows\D$.
\end{definition}
%By lemma \ref{locder} a prederivator of small generation is necessarily a derivator.
\begin{definition}
\label{smpres}
A derivator $\D$ has small presentation if it has a small generation
$\F(\CC)\rightleftarrows\D$ and there is a set $S$
of morphisms in $sSet^{\CC^{op}}$, such that the $S$-local equivalences coincide in $\F(\CC)(e)$ with the inverse image of the isomorphisms in $\D(e)$ by the induced functor $\F(\CC)(e)\rightarrow\D(e)$. In this case, we call the pair $(\CC,S)$ a small presentation for $\D$.
\end{definition}
Let $\mathfrak{Der}_{ad}^{fp}$ be the full 2-subcategory of $\mathfrak{Der}_{ad}$ spanned by derivators of small presentation. The next is the main result of [64].
\begin{theorem}
There is a biequivalence $\mathfrak{ModQ}^c[\Qc^{-1}]\rightarrow\mathfrak{Der}_{ad}^{fp}$ induced by $\tilde{\Phi}$.
%The pseudo-functor $\tilde{\Phi}:\mathfrak{ModQ}^c[\Qc^{-1}]\rightarrow\mathfrak{Der}_{ad}$ is a biequivalence on $\mathfrak{Der}_{ad}^{fp}$.
\end{theorem}
\begin{proof}
See \cite[3.4.4]{R}.
\end{proof}
As a consequence we see that a derivator has small presentation if and only if it is equivalent to a derivator of the form ${\Phi(sSet^{\CC^{op}}/S)}$, where $sSet^{\CC^{op}}/S$ denotes the left Bousfield localization of $sSet^{\CC^{op}}$ with respect to $S$.
For algebraic theories, an intrinsic definition of finitely presented model
consists in requiring that
the model represents a functor which preserves filtered colimits (see proposition \cite[3.8.14]{B}).
A similar situation occurs with finitely presented modules over a ring. We would like to see if anything similar holds for derivators of small presentation. To this purpose, we recall from \cite[5.2]{T} the notion of Bousfield localization of derivators, from which we will deduce a reformulation of small presentation.
\begin{definition}
\label{bousfield}
A derivator $\D$ admits a left Bousfield localization by a subset $S$ of $\D(e)$ if there exists a cocontinuous morphism of derivators
\begin{equation*}
\gamma:\D\longrightarrow L_S\D
\end{equation*}
mapping the elements of $S$ to isomorphisms in $L_S\D(e)$ and such that for any other derivator $\D'$ the morphism $\gamma$ induces an equivalence of categories
\begin{equation*}
\mathfrak{Der}^r(L_S\D,\D')\longrightarrow\mathfrak{Der}^r_S(\D,\D'),
\end{equation*}
where $\mathfrak{Der}^r_S(\D,\D')$ denotes the category of cocontinuous morphisms of derivators which send the elements of $S$ to isomorphisms in $\D'(e)$.
\end{definition}
Small presentation is a special case of Bousfield localization.
\begin{proposition}
\label{bolo}
If $\D$ is a derivator of small presentation $(\CC,S)$, for some category $\CC$ and some set $S$ as in definition \ref{smpres}, then $\D$ is equivalent to the left Bousfield localization $L_S\F(\CC)$.
\end{proposition}
\begin{proof}
This result, due to Cisinski, is \cite[5.4]{T}.
\end{proof}
We would like now to translate the notions introduced above in terms of models by means of the biequivalence $\Upsilon:\mathfrak{hMod}^{ps}_\T\rightarrow\mathfrak{Der}^r$. %\Omega$.
Note, however, that we can not use this biequivalence to transfer the notion of localization from derivators to models: in general, of the two morphisms forming a localization of derivators only one is a morphism in $\mathfrak{Der}^r$. Nevertheless, we can reformulate finite presentation in terms of models by means of proposition \ref{bolo} as it uses only cocontinuous morphisms.
Observe that, as localizations of categories are coinverters, similarly, derivators of small presentation, regarded as Bousfield localizations, can be written as coinverters.
\begin{lemma}
\label{tab}
If $\D$ is a derivator of small presentation $(\CC,S)$, then it is equivalent to the coinverter
\begin{displaymath}
\xymatrix{
\D\simeq{\rm coinv}\Big(\T(\tilde{S},-) \rtwocell^s_t{\eta} & \T(\CC,-)\Big),\qquad\qquad
\end{displaymath}
computed in $\mathfrak{hMod}_\T^{ps}$, where $\tilde{S}$ is the subcategory of the category of arrows of $\CC$ spanned by $S$ ($s$, $t$ and $\eta$ are defined below in the proof).
\end{lemma}
\begin{proof}
%Via the biequivalence of corollary \ref{flexps}, we identify
With $\D$ being identified with a %cofibrant replacement $\Qc(\D)$ in ${\rm Int}\mathfrak{Mod}_\T$.
homotopy model in $\mathfrak{hMod}^{ps}_\T$, by the Yoneda lemma, the diagram
\begin{gather}
\begin{aligned}
\xymatrix{
\T(\tilde{S},-) \rtwocell^s_t{\eta} & \T(\CC,-)
\end{aligned}
\label{coinv}
\end{gather}
corresponds in $\T$ to the diagram
\begin{displaymath}
\xymatrix{
\CC \rtwocell^u_v{\alpha} & \tilde{S},
\end{displaymath}
where $\T(u,-)=t$, $\T(v,-)=s$ and $\T(\alpha,-)=\eta$. As $\T(\CC,\tilde{S})\simeq{\rm Ho}[\tilde{S}^{op},sSet^{\CC^{op}}]$, the coinverter \eqref{coinv} is completely assigned by choosing $v$ and $u$ to be the obvious source and tail functors, and $\eta$ the canonical natural transformation between them. Since coiverters are PIE-colimits, and so they compute their non-strict counterparts, and by lemma \ref{bolo}, it follows that the universal property of the coinverter \eqref{coinv} is just the universal property of the left Bousfield localization of derivators $\D\simeq L_S\F(\CC)$.
\end{proof}
\begin{comment}
Lemma \ref{tab} suggests that we can consider a class of derivators more general than derivators of small presentation, that is, generic Bousfield localizations between free derivators.
\begin{definition}
\label{preees}
A derivator has $\lambda$-small presentation if it equivalent to a flexible model which is a retract of a coinserter followed by a coinverter, that is,
\begin{displaymath}
\xymatrix{
\qquad\qquad\D \ar@/^/[r]^(.35)i & {\rm coinv}\Big({\rm coins}\Big(\coprod_k\T(\CC^k_1,-) \rtwocell^f_g{\eta} \ar@/^/[l]^(.65)r & \coprod_j\T(\CC^j_2,-)\Big)\Big),\qquad\qquad
\end{displaymath}
in $\mathfrak{Mod}_\T$, for some small categories $\CC^k_1$ and $\CC^j_2$ in $\mathfrak{Dia}$, 2-morphism $\eta:f\rightarrow g$, $\lambda$-small indices $k$ and $j$, and retraction $(i,r)$.
\end{definition}
We can look at the colimit above as a way to assign $\D$ by means of generators and relations, as, by lemma \ref{repf}, $\T(\CC_1,-)$ and $\T(\CC_2,-)$ are the free derivators $\F(\CC_1)$ and $\F(\CC_2)$ on the small categories $\CC_1$ and $\CC_2$ respectively, and the relations are determined by means of the coinserter, inserting a 2-morphism $\eta$, and the coinverter, inverting $\eta$. As already remarked, the coinserter and coinverter above, being PIE-limits, compute also their non-strict counterparts.
Compared to Renaudin's small presentation, the set of relations can be more general than a set of $S$-equivalences, so, derivators of small presentations are those having $\lambda$-presentation and defined by combinatorial model categories.
We want now to relate $\lambda$-small presentation of definition \ref{preees}, expressed in terms of generators and relations, with the notion of $\lambda$-presentable object of definition \ref{presobj}. First, we prove the following lemma.
\begin{lemma}
\label{ponpok}
Finite coproducts of representable models and tensor of a representable model with ${\mathbbm 2}$ are representable.
\end{lemma}
\begin{proof}
The argument of the proof is analogous to the one in \cite[6.2.3]{B1}.
Let us show that $\T(\Pi_i\CC_i,-)$ computes the colimit $\amalg_i\T(\CC_i,-)$, where $i$ is a finite index, $\Pi_i\CC_i$ is the product computed in $\Gk$, which exists by construction of $\T$ (see axiom 1 \ref{first}) and because the sketch $\T$ is realized, and $p_i:\Pi_i\CC_i\rightarrow\CC_i$ are the canonical projections. Note that the morphisms $\T(p_i,-)$ become the canonical inclusions of the coproduct. Consider another cocone $\xi_i:\T(\CC_i,-)\rightarrow\MM$: by the Yoneda lemma, the $\xi_i$'s correspond to elements $\xi'_i\in\MM(\CC_i)$; since $\MM$, being a model, preserves products, then $\MM(\Pi_i\CC_i)\cong\Pi_i\MM(\CC_i)$ and $\MM(p_i)$ are the projections; by construction of the product in $\mathfrak{Cat}$, there is, up to isomorphism, a unique element $\xi'\in\MM(\Pi_i\CC_i)$ such that $\MM(p_i)(\xi')=\xi'_i$; by the Yoneda lemma, $\xi'$ corresponds to a morphism $\xi:\T(\Pi_i\CC_i,-)\rightarrow\MM$ such that, by naturality of the Yoneda isomorphism, $\xi_i=\xi\circ\T(p_i,-)$.
As for tensors of the form $\mathbbm{2}\otimes\T(\CC,-)$, we can reason similarly and show that they are computed by
the representable models $\T([\mathbbm{2},\CC],-)$, where, again, the key ingredients are that the models of $\T$ preserve, by construction, cotensors by ${\mathbbm 2}$, and that $\Gk$, since the sketch $\T$ is realized, contains the cotensors $[\mathbbm{2},\CC]$.
\end{proof}
\end{comment}
\begin{comment}
\begin{theorem}
\label{main7}
A model of $\T$ has $\lambda$-small presentation if and only if it is a $\lambda$-presentable flexible object of $\mathfrak{Mod}_\T$.
\end{theorem}
\begin{proof}
Since models having a $\lambda$-small presentation are flexible $\lambda$-small weighted 2-colimits of representable models (see \cite[4.9]{BKPS}), and these, by lemma \ref{pooou}, are $\lambda$-presentable object of $\mathfrak{Mod}_\T$, the statement follows from lemma \ref{kkkjjj}.
We prove now the converse, namely, that a $\lambda$-presentable flexible model $\MM$ has $\lambda$-small presentation. By corollary \ref{tyc}, $\MM$ is a $\lambda$-small weighted 2-colimit of representables. Since it is flexible, by \cite[4.11]{BKPS}, it is a PIiCS-colimit of representables, that is, it can be constructed from $\lambda$-coproducts, iso-coinserters, tensors with ${\mathbbm 2}$ and splitting of idempotents. Observe that, by lemma \ref{ponpok}, finite products and cotensors with ${\mathbbm 2}$ of representable models are again representable. Therefore, $\MM$ can be written in $\mathfrak{Mod}_\T$ as a retract
\begin{displaymath}
\xymatrix{
\MM \ar@/^/[r]^(.25)i & {\rm coisoins}\Big(\coprod_k\T(\CC^k_1,-) \rtwocell^f_g{\eta} \ar@/^/[l]^(.75)r & \coprod_j\T(\CC^j_2,-)\Big),\qquad
\end{displaymath}
for some small categories $\CC^k_1$ and $\CC^j_2$ in $\mathfrak{Dia}$, 2-isomorphism $\eta:f\rightarrow g$, $\lambda$-small indices $k$ and $j$, and retraction $(i,r)$.
Since every iso-coinserter can be written as a coinserter followed by a coinverter, we obtain a $\lambda$-small presentation for $\MM$.
\end{proof}
\begin{corollary}
\label{Rena}
A derivator of small presentation is a $\lambda$-presentable flexible object of $\mathfrak{Mod}_\T$.
\end{corollary}
\end{comment}
%WITHOUT FLEXIBILITY I CAN'T USE THE DEFINITION OF LOCALIZATION IS TOO RESRICTIVE
\begin{theorem}
\label{main77}
If a model of $\T$ is a Bousfield localization of a representable one, then it is a homotopy $\lambda$-presentable object of $\mathfrak{hMod}^{ps}_\T$.
\end{theorem}
\begin{proof}
Since, by \cite[9.5]{LR}, $\lambda$-small weighted homotopy colimit of homotopy $\lambda$-presentable objects are homotopy $\lambda$-presentable, the theorem follows from lemma \ref{tab}. %and from the fact that representable models are homotopy $\lambda$-presentable.
\begin{comment}
Since models having a $\lambda$-small presentation are flexible $\lambda$-small weighted 2-colimits of representable models (see \cite[4.9]{BKPS}), and these, by lemma \ref{pooou}, are $\lambda$-presentable object of $\mathfrak{Mod}_\T$, the statement follows from lemma \ref{kkkjjj}.
\end{comment}
\end{proof}
As a consequence we deduce the following property for small presentation of derivators.
\begin{theorem}
\label{main7}
A derivator of small presentation is a homotopy $\lambda$-presentable object of $\mathfrak{Der}^r$.
\end{theorem}
%\section{Homotopy limit 2-sketches and derivators}
\begin{comment}
We present a slightly different way to deal with derivators.
\subsection{Homotopy limit 2-sketches}
% homotopy
Homotopy limit sketches were proposed by Rosick\'y [66] with the purpose of extending rigidification results of Badzioch [2] and Bergner [8] to finite limit theories. Lack and Rosick\'y in [50] proved that the $\V$-categories of homotopy models of homotopy limit $\V$-sketches can be characterized as the homotopy locally presentable $\V$-categories. For simplicity, we will consider only the case $\V=\CC at$.
\begin{definition}
\label{hwl}
Let $\Gk$ be a 2-category, $F:\E\rightarrow\Gk$ and $G:\E\rightarrow\mathfrak{Cat}$ be 2-functors, where $\E$ is a small 2-category. Assume $G$ is a cofibrant object of the category $[\E,\mathfrak{Cat}]$ endowed with the projective model structure. The homotopy 2-limit of $F$ weighted by $G$ exists when there is an object $\{G,F\}_h\in\Gk$ and for every object $\DD$ of $\Gk$ an equivalence of categories
\begin{equation}
\label{defhwl}
\Gk(-,\{G,F\}_h)\longrightarrow[\E,\mathfrak{Cat}](G,\Gk(\DD,F-))
\end{equation}
which is 2-natural in $\DD$.
\end{definition}
In a similar way we define the homotopy 2-colimit
$G\star_h F$ of $F$ weighted by $G$ by replacing formula (\ref{defhwl}) with
\begin{equation*}
\Gk(G\star_h F,-)\longrightarrow[\E,\mathfrak{Cat}](G,\Gk(F-,\DD)).
\end{equation*}
The following definitions are from from \cite[2]{Ro}.
\begin{definition}
\label{hls}
A weighted homotopy limit 2-sketch is a limit 2-sketch $\Sk=(\Gk,\Pro)$ with all weights cofibrant.
\end{definition}
\begin{definition}
\label{hm}
A homotopy model of a weighted homotopy limit 2-sketch $\Sk$ is a 2-functor $\MM:\Gk\rightarrow\mathfrak{Cat}$ transforming the cones of $\Pro$ into weighted homotopy 2-limits. We denote by $\mathfrak{hMod}_{\Sk}$ the full 2-subcategory of $[\Gk,\mathfrak{Cat}]$ spanned by the homotopy models of the weighted homotopy limit 2-sketch $\Sk$.
\end{definition}
The 2-categories of the form $\mathfrak{hMod}_{\Sk}$ for some weighted homotopy limit 2-sketch $\Sk$ are the homotopy locally presentable 2-categories: this fact \cite[9.14(1)]{LR} is a consequence of \cite[9.10]{LR} (and, actually, holds for a more general $\V$). We will return to these results and to homotopy locally presentable 2-categories in \ref{summaryLR}.
To recover morphisms of derivators, we have to consider pseudo-natural transformations as morphisms between homotopy models.
\begin{definition}
\label{mskkk1nh}
If $\Sk$ is a weighted homotopy limit 2-sketch, we define $\mathfrak{hMod}_\Sk^{ps}$ to be the full 2-subcategory of $\Pro s(\Gk,\mathfrak{Cat})$ spanned by the homotopy models.
\end{definition}
\end{comment}
%homotopy sketch and derivators
%MERGE IT WITH PREVIOUS PART
\begin{comment}
\subsection{A homotopy limit sketch for derivators}
\begin{corollary}
\label{Maincor2}
The limit 2-sketch $\Sk$ of theorem \ref{Main} is also a weighted homotopy limit 2-sketch and its 2-category of homotopy models $\mathfrak{hMod}^{ps}_\Sk$ is biequivalent to $\mathfrak{Der}^r$.
\end{corollary}
\begin{proof}
The first statement follows from the fact that the weights of all cones in $\Pro$ are cofibrant: indeed, product and cotensors, being PIE-weights, are flexible (\cite[4.9]{BKPS}); as for cones of type (2f) in \ref{resumesk} (see \ref{secondofinale}), observe that, since $b_B$ is an isofibration, the pullback of diagram \ref{consdia} is also a homotopy pullback, as it is a pseudo-limit and so a PIE-limit.
Note that the conservativity of $f$ can be equivalently expressed by requiring that diagram \ref{consdia} is a homotopy pullback. As a consequence, axiom 2 can be captured also by imposing that models map the cone \ref{bastaa} to a homotopy limit.
A 2-functor $\Upsilon:\mathfrak{\textcolor{red}{h}Mod}^{ps}_\Sk\longrightarrow \mathfrak{Der}^r$ is then defined as in \ref{verification} and, by an analogous argument, verified to be surjective on objects, full on 1-morphisms and full and faithfull on 2-morphisms
\end{proof}
Regarding the proof of corollary \ref{Maincor2},
we observe that, though weighted homotopy 2-limits and 2-colimits are more difficult to deal with than their non-homotopy counterparts, and though all the weighted homotopy 2-limits appearing in $\Sk$ are computed by their corresponding weighted 2-limits, an advantage of viewing $\Sk$ as a weighted homotopy limit 2-sketch is that we no longer need the rigidification results of Rosick\'y and Bourke used in \ref{omega0} to prove that $\Upsilon$ is surjective on objects.
\end{comment}
\begin{thebibliography}{100}\frenchspacing\small
%[1] J. Ad\'amek, J. Rosick\'y, \emph{Locally presentable and accessible categories,} \rm Cambridge University Press, Cambridge (1994).
[2] B. Badzioch, \emph{Algebraic theories in homotopy theories,} \rm Ann. Math, 155, (2002), 859-913.
%[3] A. Bagchi, C. Wells, \emph{Graph-based logic and sketches,} \rm arXiv:0809.3023v1, (2008).
%[4] M. Barr, C. Wells, \emph{On the limitations of sketches,} \rm Canad. Math. Bull, 35(3), (1992), 287-294.
%[5] M. Barr, C. Wells, \emph{Topos, triples and theories,} \rm Theory and applications of categories, 12, (2005), 1-288.
%[6] C. Barwick, \emph{On left and right model categories and left and right Bousfield localizations,} \rm Homology, Homotopy Appl., 12(2), (2010), 245–320.
%[7] M.A.Bednarczyk, A.M.Borzyszkowski, W.Pawlowski, \emph{Generalized congruences - Epimorphisms in $\CC at$,} \rm Theory and applications of categories, 11, (1999), 266-280.
[8] J.E. Bergner, \emph{Rigidifications of algebras over multi-sorted theories,} \rm Alg. Geom. Topology, 6, (2005), 1925-1955.
[9] G.J. Bird, G.M Kelly, A.J. Power, R. Street \emph{Flexible limits for 2-categories,} \rm J. Pure. Appl. Alg., 61(1), (1989), 1-27.
[10] R. Blackwell, G.M Kelly, A.J. Power, \emph{Two-dimensional monad theory,} \rm J. Pure. Appl. Alg., 59(1), (1989), 1-41.
[11] F. Borceux, \emph{Handbook of categorical algebra 1, Basic category theory,} \rm Cambridge University Press, Cambridge (1994).
[12] F. Borceux, \emph{Handbook of categorical algebra 2, Categories and structures,} \rm Cambridge University Press, Cambridge (1994).
[13] F. Borceux, C. Quinteiro, J. Rosicky, \emph{A theory of enriched sketches,} \rm Theory and applications of categories, 4(3), (1998) 47-72.
[14] J. Bourke,\emph{A colimit decomposition for homotopy algebras in CAT,} \rm arXiv 1206.1203.
[15] D.C. Cisinski, \emph{Images directes cohomologiques dans les cat\'egories de mod\`eles,} \rm Ann.
Math. Blaise Pascal, 10(2), (2003), 195-244.
[16] D.C. Cisinski, \emph{Le localisateur fondamental minimal,} \rm Cahiers de topologie et g\'eom\'etrie diff\'erentielle cat\'egoriques, 45(2), (2004), 109-140.
[17] D.C. Cisinski, \emph{Propri\'et\'es universelles
et extensions de Kan d\'eriv\'ees,} \rm Theory and Applications of Categories 20(17) (2008), 605-649.
[18] D.C. Cisinski, A. Neeman \emph{Additivity for derivator $K$-theory,} \rm Adv. Math., 217 (2008), 1381-1475.
%[19] B.R. Corrigan-Salter, \emph{Rigidification of homotopy algebras over finite product sketches,} \rm arXiv:1307.6896.
%[20] E. Dubuc, R. Street \emph{A construction of 2-filtered bicolimits of categories}, \rm Cahiers de topologie et g\'eom\'etrie diff\'erentielle cat\'egoriques, 47, (2006), 83-106.
[21] D. Dugger, \emph{Universal homotopy theories}, \rm Adv. Math., 164(1) (2001), 144-176.
[22] D. Dugger, \emph{Combinatorial model categories have presentations}, \rm Adv. Math., 164(1) (2001), 177-201.
[23] C. Ehresmann, \emph{Esquisses et types des structures alg\'ebriques}, \rm Bul. Inst. Polit. Ia\c si, XIV (1968).
%[24] T.M. Fiore, \emph{Pseudo limits, biadjoints, and pseudo algebras: categorical foundations of conformal field theory}, \rm Mem. Amer. Math. Soc., 182(860) (2006).
[25] J. Franke, \emph{Uniqueness theorems for certain triangulated categories with an Adams spectral sequence,} \rm K-Theory archive (1996).
[26] P. Gabriel, F. Ulmer \emph{Lokal Praesentierbare Kategorien,} Springer Lecture Notes in Mathematics, 221, Berlin, 1971.
%[27] N. Gambino, \emph{Homotopy limits for 2-categories,} \rm Math. Proc. Cambridge Phil. Soc., 145(1) (2008), 43-63.
[28] M. Groth, \emph{On the theory of derivators,}, \rm Thesis, (2011).
% \rm http://www.math.uni-bonn.de/\~{}mgroth/groth_derivators.pdf, (2011).
[29] M. Groth, \emph{Derivators, pointed derivators, and stable derivators,}, \rm Alg. Geom. Top, 13 (2013), 313-374.
% \rm http://www.math.uni-bonn.de/\~{}mgroth/groth_derivators.pdf, (2011).
[30] M. Groth, K. Ponto, M. Shulman \emph{Mayer-Vietoris sequences in stable derivators,}, \rm Homology Homotopy Appl. 16(1) (2014), 265–294 (2014).%asXiv 1306.2072, (2013)
[31] A. Grothendieck, \emph{Pursuing stacks,}\\ \rm http://webusers.imj-prg.fr/\~{}georges.maltsiniotis/ps.html
[32] A. Grothendieck, \emph{Les d\'erivateurs,} \rm Edited by M. K\"unzer, J. Malgoire, G. Maltsiniotis,\\ http://webusers.imj-prg.fr/\~{}georges.maltsiniotis/groth/Derivateurs.html (1991).
%\rm http://www.math.jussieu.fr/\~{}maltsin/groth/Derivateurs.html (1991).
[33] A. Heller, \emph{Homotopy theories,} \rm Memoirs of the American Mathematical Society, 71(383), (1988), vi+78.
[34] A. Heller, \emph{Stable homotopy theories and stabilization,} \rm Journal of pure and applied algebra, 115(2), (1997), 113-130.
%[35] P.S. Hirschhorn, \emph{Model categories and their localizations,} \rm Mathematical surveys and monographs, 99, American Mathematical Society, Providence RI, (2003).
[36] M. Hovey, \emph{Model categories,}. \rm Mathematical surveys and monographs, 63, American Mathematical Society, Providence RI, (1999)
%[37] P.T. Johnstone, \emph{Sketches of an elephant 2,} \rm Clarendon Press, Oxford (2002).
[38] B. Keller, \emph{Appendice: Le d\'erivateur triangul\'e associ\'e \`a une cat\'egorie exacte,} \rm Categories
in algebra, geometry and mathematical physics, volume 431 of Contemporary Mathematics, 369-373,
American Mathematical Society, Providence, RI, 2007..
%[39] G.M. Kelly, \emph{A unified treatment of transfinite constructions for free algebras, free monoids, colimits, associated sheaves, and so on,} \rm Bull. Austral. Math. Soc., 22 (1980), 1-83.
[40] G.M. Kelly, \emph{Structures defined by finite limits in the enriched context I,} \rm Cahiers de topologie et g\'eom\'etrie diff\'erentielle cat\'egoriques, 23(1) (1982), 3-42.
[41] G.M. Kelly, \emph{Basic concepts of enriched category theory,} \rm Theory and applications of categories, 10 (2005).
%[42] G.M. Kelly, S. Lack, R.F.C. Walters, \emph{Coinverters and category of fractions for categories with structures,} \rm Applied Categorical Structures, 1 (1993), 94-102.
%[43] J.F. Kennison, \emph{The fundamental localic groupoid of a topos,} \rm J. Pure Appl. Alg., 77 (1992), 67-86.
%[44] Y. Kinoshita, J. Power, M. Takeyama, \emph{Sketches,} \rm J. Pure Appl. Alg., 143 (1999), 275-291.
%[45] S. Lack, \emph{Codescent objects and coherence,} \rm J. Pure Appl. Alg, 175(1-3) (2002), 223-241.
%[46] S. Lack, \emph{A Quillen model structure for 2-categories,} \rm K-Theory, 26(2) (2002), 171-205.
[47] S. Lack, \emph{Homotopy theoretic aspects of 2-monads,} \rm J. Homotopy and Related Structures, 2(2) (2007), 229-260.
[48] S. Lack, \emph{A 2-categories companion,} \rm Towards Higher Categories,
The IMA Volumes in Mathematics and its Applications, 152, (2010), 105-191.
%%[49] S. Lack, J. Power, \emph{Gabriel-Ulmer duality and Lawvere theories enriched over a general base,} \rm Preprint, (2011).
%%[50] S. Lack, J. Rosicky, \emph{Notions of Lawvere theory,} \rm Applied Categorical Structures, 19(1), (2011), 363-391.
[50] S. Lack, J. Rosicky, \emph{Homotopy locally presentable enriched categories,} \rm arXiv:1311.3712.
[51] C. Lair, \emph{Cat\'egories modelables et cat\'egories esquissables,} \rm Diagrammes, (1981).
[52] T. Leinster, \emph{Higher operads, higher categories,} \rm Cambridge University Press, (2003).
%[53] W.F. Lawvere, \emph{Functorial Semantics of Algebraic Theories,} \rm PhD Thesis, (1964).
[54] J. Lurie, \emph{Higher topos theory,} \rm Annalsof Mathematics Studies, (2009).
[55] G. Maltsiniotis, \emph{Introduction \`a la th\'eorie des d\'erivateurs (d'apr\`es Grothendieck),} \rm http:
//people.math.jussieu.fr/~maltsin/textes.html, (2001).
[56] M. Makkai, R. Par\'e \emph{Accessible Categories: The Foundations of Categorical Model Theory,} \rm Contemporry Mathematics 104, American Mathematical Society, (1989).
%[57] C. McLarty, \emph{Elementary categories, elementary toposes,} \rm Clarendon Press, Oxford (1992).
%%[58] K. Nishizawa, J. Power, \emph{Lawvere theories enriched of a general base,} \rm J. Pure Appl. Algebra, 213(3), (2009), 377-386.
%[59] J. Power, \emph{A general coherence result,} \rm J. Pure Appl. Alg., 57(2), (1989), 165-173.
[60] J. Power, \emph{A 2-categorical pasting theorem,} \rm J. Alg., 129, (1990), 439-445.
[61] J. Power, \emph{2-categories,} \rm BRICS NS, 98(7), (1998), 1-21.
%%[62] J. Power, \emph{Enriched Lawvere theories,} \rm Theory and Applications of Categories, 6(7), (1999), 83-93.
[63] J. Power, C.Wells, \emph{A formalism for the specification of essentially-algebraic structures in 2-categories,} \rm Math. Struct. in Comp. Science, 2, (1992), 1-28.
[64] O. Renaudin, \emph{Th\'eorie homotopiques de Quillen combinatoires et d\'erivateurs de Grothendieck,} \rm arXiv:math/0603339.
%[65] J. Rosick\'y, \emph{Accesible categories and homotopy theory,} \rm Contemporary categorical methods in algebra and topology, (2007), http://www.math.yorku.ca/~tholen/HB07Rosicky.pdf.
[66] J. Rosick\'y, \emph{Rigidification of algebras over essentially algebraic theories,} \rm arXiv:math/1206.0422.
%[67] S. Schwede, B.E. Shipley \emph{Algebras and modules in monoidal model categories,} \rm Proc. London Math. Soc. (3), 80(2), (2000), 491-511.
%[68] M. Shulman, \emph{Homotopy limits and colimits and enriched homotopy theory,} \rm arXiv:math/0610194.
[69] R. Street, \emph{Fibrations in bicategories,} \rm Cahiers de topologie et g\'eom\'etrie diff\'erentielle cat\'egoriques, 21(2), (1980), 111-160.
[70] R. Street, \emph{Categorical structures,} \rm in Handbook of Algebra 1 (Ed. M. Hazewinkel), Elsevier (1996), 529-577.
[71] G. Tabuada, \emph{Higher K-theory via universal invariants,} \rm Duke Math. Jour., 145(1), (2008), 193-213.
%[72] L. Vok\u r\'inek, \emph{Homotopy weighted colimits,} \rm arXiv:1201.2970.
%[73] C.Wells, \emph{Sketches: outline with references,} \rm http://www.cwru.edu/artsci/math/wells/pub/pdf/sketch.pdf, (2009).
%%[74] N.H.Williams, \emph{On Grothendieck universes,} \rm Comp. Math., 21(1), (1969), 1-3.
\end{thebibliography}
\end{document} | arxiv-papers | 2021-07-25T21:30:29 | 2024-09-04T03:07:17.280082 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Giovanni Marelli",
"submitter": "Giovanni Marelli",
"url": "https://arxiv.org/abs/2107.11898"
} |
2107.11899 | notabloids centertableaux
# On characters of wreath products
Ron M. Adin Department of Mathematics, Bar-Ilan University, Ramat-Gan 52900,
Israel [email protected] and Yuval Roichman Department of Mathematics,
Bar-Ilan University, Ramat-Gan 52900, Israel [email protected]
(Date: August 31, 2021; revised: May 29, 2022)
###### Abstract.
A character identity which relates irreducible character values of the
hyperoctahedral group $B_{n}$ to those of the symmetric group $S_{2n}$ was
recently proved by Lübeck and Prasad. Their proof is algebraic and involves
Lie theory. We present a short combinatorial proof of this identity, as well
as a generalization to other wreath products.
Partially supported by the Israel Science Foundation, Grant No. 1970/18.
## 1\. Introduction
One of the most important and well-studied finite groups is the classical Weyl
group of type $B_{n}$, also known as the hyperoctahedral group, the group of
symmetries of the hypercube, or the group of signed permutations. The
character theory of the hyperoctahedral group was developed by Specht more
than 80 years ago, using its presentation as a wreath product. While the
irreducible characters of the symmetric group $S_{n}$ are indexed by the
integer partitions of $n$, those of $B_{n}$ are indexed by pairs of partitions
of total size $n$, or equivalently by partitions of $2n$ with an empty 2-core
(to be defined below). It is well known that the degree of an irreducible
$B_{n}$-character is equal, up to sign, to the value, at the longest element
of $S_{2n}$, of the irreducible $S_{2n}$-character indexed by the same
partition of $2n$; see, e.g., [6, p. 110].
This phenomenon was recently generalized by Lübeck and Prasad [5], presenting
the following character identity, which relates the irreducible characters of
$B_{n}$ to those of $S_{2n}$.
Recall the notation $\lambda\vdash n$ for an integer partition $\lambda$ of
$n$. Denote by $\chi^{\lambda}$ (respectively, $\psi^{\lambda}$) the
irreducible character of $S_{n}$ (respectively, $B_{n}$) indexed by
$\lambda\vdash n$ (respectively, by $\lambda\vdash 2n$ with an empty
$2$-core). For a partition $\mu\vdash n$, denote by $\chi^{\lambda}_{\mu}$
(respectively, $\psi^{\lambda}_{(\mu,\varnothing)}$) the evaluation of this
character at a conjugacy class of type $\mu$ (respectively,
$(\mu,\varnothing)$). Denote by ${\operatorname{Par}}_{2}(2n)$ the set of all
partitions of $2n$ with an empty 2-core.
###### Theorem 1.1.
[5, Theorem 6.1] There exists a function
$\epsilon:{\operatorname{Par}}_{2}(2n)\to\\{1,-1\\}$ such that, for every
$\lambda\in{\operatorname{Par}}_{2}(2n)$ and $\mu\vdash n$,
$\psi^{\lambda}_{(\mu,\varnothing)}=\epsilon(\lambda)\chi^{\lambda}_{2\mu},$
where $2(\mu_{1},\dots,\mu_{t}):=(2\mu_{1},\dots,2\mu_{t})$.
The proof in [5] is algebraic in nature, and involves Lie theory. We present
here a short combinatorial proof, applying the Murnaghan-Nakayama rule. We
state it, more generally, for the wreath product $G\wr S_{n}$ where $G$ is any
finite abelian group; see Theorems 3.1 and 4.2 below.
The rest of the paper is organized as follows. Relevant background and
notation are given in Section 2. The main result (Theorem 3.1) is stated and
proved in Section 3. This result is further generalized in Section 4 (see
Theorem 4.2). Section 5 contains some alternative descriptions of the $r$-sign
function.
## 2\. The Murnaghan-Nakayama rule for wreath products
In this section we recall some useful facts from combinatorial character
theory, regarding the Murnaghan-Nakayama rule for the symmetric group $S_{n}$
and for the wreath products ${\mathbb{Z}}_{r}\wr S_{n}$.
The Murnaghan-Nakayama rule is an explicit formula for computing values of
irreducible characters of the symmetric group; see, e.g., [7, §4.10]. A
generalization to wreath products $G\wr S_{n}$, where $G$ is any finite group,
was described by Stembridge [8, Theorem 4.3]. We now give a very short
exposition of the Murnaghan-Nakayama rule for ${\mathbb{Z}}_{r}\wr S_{n}$,
following [1, Proposition 2.2]. We use the term ribbon instead of the older,
equivalent, terms border strip, skew hook, and rim hook.
### 2.1. General version
A composition of a non-negative integer $n$ is a sequence
$\lambda=(\ell_{1},\ldots,\ell_{k})$ of positive integers whose sum is $n$; we
say that $n$ is the size of $\lambda$ and $k$ is its length. It is a partition
of $n$ if $\ell_{1}\geq\ldots\geq\ell_{k}$; in that case we write
$\lambda\vdash n$. The only composition (or partition) of $0$ is the empty
one, with $k=0$. The diagram corresponding to $\lambda$, according to the
English convention, is an array of cells in the plane, arranged in left-
justified rows of lengths $\ell_{1},\ldots,\ell_{k}$, from top to bottom.
An $r$-partite partition of $n$ is an $r$-tuple
${\bm{\lambda}}=(\lambda_{0},\ldots,\lambda_{r-1})$ such that each
$\lambda_{i}$ is a partition of a non-negative integer $n_{i}$ and
$n_{0}+\ldots+n_{r-1}=n$. (We shall use boldface to denote $r$-partite
concepts.) An $r$-partite ribbon tableau of shape ${\bm{\lambda}}$ is a
sequence
${\bm{T}}:\quad\varnothing={\bm{\lambda}}^{(0)}\subseteq\ldots\subseteq{\bm{\lambda}}^{(t)}={\bm{\lambda}}$
of $r$-partite partitions (diagrams) such that each consecutive difference
${\bm{b}}_{i}:={\bm{\lambda}}^{(i)}\setminus{\bm{\lambda}}^{(i-1)}$ $(1\leq
i\leq t)$, as an $r$-tuple of skew shapes, has $r-1$ empty parts and one
nonempty part which is a ribbon, namely a connected skew shape “of width $1$”;
explicitly, a ribbon is a sequence of cells in which consecutive cells share
an edge, and the steps are either due East or due North. For each $1\leq i\leq
t$, let $f_{{\bm{T}}}(i)\in\\{0,\ldots,r-1\\}$ be the index of the nonempty
part in the $r$-tuple ${\bm{b}}_{i}$, let $\ell_{{\bm{T}}}(i)$ be the length
(number of cells) of this part, and let $ht_{{\bm{T}}}(i)$ be its height (one
less than its number of rows). An $r$-partite ribbon tableau can also be
described by an $r$-tuple of tableaux, in which the cells of each ribbon
${\bm{b}}_{i}$ are marked $i$ $(1\leq i\leq t)$.
###### Example 2.1.
Here is a $3$-partite ribbon tableau ${\bm{T}}$ of shape
${\bm{\lambda}}=((4,3),(2),(1,1))$, with $t=4$. The ribbon indices (omitting
the subscript ${\bm{T}}$) are $f(1)=f(3)=0$, $f(4)=1$, $f(2)=2$, with
corresponding lengths $\ell(1)=3$, $\ell(3)=4$, $\ell(4)=\ell(2)=2$, and
heights $ht(1)=ht(3)=ht(2)=1$, $ht(4)=0$:
$\left(\,\,\ytableau 1&133\\\ 133\\\ \quad,\quad\ytableau 4&4\\\
\quad,\quad\ytableau 2\\\ 2\\\ \,\,\right)\,.$
The wreath product ${\mathbb{Z}}_{r}\wr S_{n}$ is the semidirect product of
${\mathbb{Z}}_{r}^{n}$, the $n$-th direct power of the cyclic group
${\mathbb{Z}}_{r}$, with the symmetric group $S_{n}$, obtained by the natural
$S_{n}$-action on the $n$ copies of ${\mathbb{Z}}_{r}$, namely
${\mathbb{Z}}_{r}\wr S_{n}:=\\{(\sigma,(z_{1},\ldots,z_{n}))\,:\,\sigma\in
S_{n},\,z_{i}\in{\mathbb{Z}}_{r}\,(\forall i)\\}$
with the group operation
$(\sigma,(z_{1},\ldots,z_{n}))\cdot(\tau,(y_{1},\ldots,y_{n})):=(\sigma\tau,(z_{\tau^{-1}(1)}+y_{1},\ldots,z_{\tau^{-1}(n)}+y_{n})).$
${\mathbb{Z}}_{r}\wr S_{n}$ can also be viewed as a group of $r$-colored
permutations, consisting of all the permutations of the set of $rn$ colored
digits $\\{(i,z)\,:\,1\leq i\leq n,\,z\in{\mathbb{Z}}_{r}\\}$ which are
${\mathbb{Z}}_{r}$-equivariant, in the sense that if $\pi(i,z)=(j,y)$ then
$\pi(i,z+x)=(j,y+x)$ for all $x\in{\mathbb{Z}}_{r}$. The cycle decomposition
of an element $(\sigma,(z_{1},\ldots,z_{n}))\in{\mathbb{Z}}_{r}\wr S_{n}$ is
the decomposition of its underlying permutation $\sigma\in S_{n}$ as a product
of disjoint cycles, with each cycle $c=(i_{1},\ldots,i_{k})$ assigned a
corresponding color $z(c):=z_{i_{1}}+\ldots+z_{i_{k}}\in{\mathbb{Z}}_{r}$. The
corresponding cycle structure is the $r$-partite partition
${\bm{\lambda}}=(\lambda_{0},\ldots,\lambda_{r-1})$, where each partition
$\lambda_{j}$ $(0\leq j\leq r-1)$ records the cycle lengths of color $j$. The
conjugacy classes of ${\mathbb{Z}}_{r}\wr S_{n}$, as well as its irreducible
characters, are indexed by the $r$-partite partitions of $n$.
###### Theorem 2.2.
[Murnaghan-Nakayama rule for ${\mathbb{Z}}_{r}\wr S_{n}$] Fix an arbitrary
ordering $c=(c_{1},\ldots,c_{t})$ of the disjoint cycles of an element
$\pi\in{\mathbb{Z}}_{r}\wr S_{n}$. Let $\ell(c_{i})$ be the length of the
cycle $c_{i}$, and let $z(c_{i})\in{\mathbb{Z}}_{r}$ be its color. Then, for
any $r$-partite partition ${\bm{\lambda}}$ of $n$,
$\psi^{\bm{\lambda}}(\pi)=\sum_{{\bm{T}}\in{\bm{RT}}_{c}({\bm{\lambda}})}\prod_{i=1}^{t}(-1)^{ht_{{\bm{T}}}(i)}\omega^{f_{{\bm{T}}}(i)\cdot
z(c_{i})},$
where ${\bm{RT}}_{c}({\bm{\lambda}})$ is the set of all $r$-partite ribbon
tableaux ${\bm{T}}$ of shape ${\bm{\lambda}}$ such that
$\ell_{{\bm{T}}}(i)=\ell(c_{i})$ $(\forall i)$;
$f_{{\bm{T}}}(i)\in{\mathbb{Z}}_{r}$ and $ht_{{\bm{T}}}(i)$ are, respectively,
the $i$-th index and height of ${\bm{T}}$, as above; and $\omega:=e^{2\pi
i/r}$.
For $r=1$ this reduces to the usual Murnaghan-Nakayama rule for $S_{n}$:
$\chi^{\lambda}(\sigma)=\sum_{T\in
RT_{c}(\lambda)}\prod_{i=1}^{t}(-1)^{ht_{T}(i)},$
where $c=(c_{1},\ldots,c_{t})$ is an arbitrary ordering of the cycles of a
permutation $\sigma\in S_{n}$, $\lambda$ is a partition of $n$, and
$RT_{c}(\lambda)$ is the set of all ribbon tableaux $T$ of shape $\lambda$
such that $\ell_{T}(i)=\ell(c_{i})$ $(\forall i)$.
### 2.2. A restatement
We want to restate Theorem 2.2, in the special case where $z(c_{i})=0$
$(\forall i)$, with the following notational changes:
1. (1)
Use a 0/1 encoding of partitions.
2. (2)
Use a recursive interpretation of ($r$-partite) ribbon tableaux.
3. (3)
Replace each $r$-partite partition by a single partition.
Let $\lambda$ be a partition, and let $D=[\lambda]$ be the corresponding
diagram, drawn according to the English convention, so that row lengths weakly
decrease from top to bottom. The boundary sequence of $\lambda$ is a finite
$0/1$ sequence $\partial(\lambda)=(\delta_{1},\ldots,\delta_{t})$, constructed
as follows: start at the southwestern corner of the diagram $D$, and proceed
along the edges of the southeastern boundary up to the northeastern corner;
encode each horizontal (east-bound) step by $1$, and each vertical (north-
bound) step by $0$. Thus $\partial(\lambda)$ starts with a $1$ and ends with a
$0$ (unless $\lambda$ is the empty partition, for which $\partial(\lambda)$ is
the empty sequence). Each $1$ corresponds to a column of $D$ (columns ordered
from left to right), and each $0$ corresponds to a row of $D$ (rows ordered
from bottom to top).
###### Observation 2.3.
For $[\mu]\subset[\lambda]$, the skew diagram
$[\lambda/\mu]=[\lambda]\setminus[\mu]$ is a ribbon of length $k$ if and only
if $\partial(\mu)$ is obtained from $\partial(\lambda)$ by exchanging two
entries $\delta_{j}=1$ and $\delta_{j+k}=0$ for some $j$ (and deleting leading
$0$-s and trailing $1$-s from the resulting sequence).
In the situation described in Observation 2.3 we say that $\mu$ is obtained
from $\lambda$ by peeling a ribbon of length $k$. Thus a ribbon tableau of
shape $\lambda$ corresponds to a sequence of peelings of ribbons from
$\lambda$, and similarly for $r$-partite ribbon tableaux.
###### Example 2.4.
The $3$-partite ribbon tableau in Example 2.1 corresponds to the following
sequence of ribbon peelings, where cells are labeled for clarity:
$\left(\,\,\ytableau 1&133\\\ 133\\\ \quad,\quad\ytableau 4&4\\\
\quad,\quad\ytableau 2\\\ 2\\\
\,\,\right)\quad\longrightarrow\quad\left(\,\,\ytableau 1&133\\\ 133\\\
\quad,\quad\varnothing\quad,\quad\ytableau 2\\\ 2\\\
\,\,\right)\quad\longrightarrow$
$\left(\,\,\ytableau 1&1\\\ 1\\\ \quad,\quad\varnothing\quad,\quad\ytableau
2\\\ 2\\\ \,\,\right)\quad\longrightarrow\quad\left(\,\,\ytableau 1&1\\\ 1\\\
\quad,\quad\varnothing\quad,\quad\varnothing\,\,\right)\quad\longrightarrow\quad\left(\,\,\varnothing\quad,\quad\varnothing\quad,\quad\varnothing\,\,\right)\,.$
It also corresponds to the following sequence of $3$-tuples of boundary
sequences, where the exchanged entries are marked:
$(111010,\check{1}1\check{0},100)\,\longrightarrow\,(1\check{1}101\check{0},\varnothing,100)\,\longrightarrow\,(1010,\varnothing,\check{1}0\check{0})\,\longrightarrow\,(\check{1}01\check{0},\varnothing,\varnothing)\,\longrightarrow\,(\varnothing,\varnothing,\varnothing).$
So far we have described two of the three changes in interpretation that we
intend to introduce; let us now describe the third.
###### Definition 2.5.
Let $\delta=(\delta_{1},\ldots,\delta_{t})$ be a finite 0/1 sequence
containing both $0$-s and $1$-s. Define
$m_{i}:=|\\{1\leq j\leq i\,:\,\delta_{j}=1\\}|-|\\{i+1\leq j\leq
t\,:\,\delta_{j}=0\\}|\qquad(1\leq i\leq t),$
namely the number of $1$-s weakly preceding $\delta_{i}$ minus the number of
$0$-s strictly succeeding $\delta_{i}$.
###### Observation 2.6.
$m_{i+1}-m_{i}=1\qquad(1\leq i\leq t-1)$
and $m_{1}\leq 0<m_{t}$. Therefore there is a unique index $1\leq i\leq t-1$
satisfying $m_{i}=0$. The position between indices $i$ and $i+1$ is called the
anchor of the sequence; the number of $1$-s preceding it is equal to the
number of $0$-s succeeding it. This position is invariant under addition of
leading $0$-s and trailing $1$-s to the sequence.
###### Example 2.7.
Here is a 0/1 sequence, with its anchor denoted by the separator “$|$”:
$\begin{matrix}i:&1&2&|&3&4&5\\\ \delta_{i}:&1&0&|&1&1&0\\\
m_{i}:&-1&0&|&1&2&3\\\ \end{matrix}$
and here is the same sequence with some leading $0$-s and trailing $1$-s
added:
$\begin{matrix}i:&1&2&3&4&|&5&6&7&8\\\ \delta_{i}:&0&0&1&0&|&1&1&0&1\\\
m_{i}:&-3&-2&-1&0&|&1&2&3&4\\\ \end{matrix}$
###### Definition 2.8.
Let ${\operatorname{Par}}$ be the set of all partitions of integers, including
the empty partition, and let $r$ be a positive integer. Define a function
$\varphi_{r}:{\operatorname{Par}}^{r}\to{\operatorname{Par}}$, on $r$-tuples
of partitions, as follows: for
$\lambda^{(0)},\ldots,\lambda^{(r-1)}\in{\operatorname{Par}}$, the partition
$\lambda=\varphi_{r}(\lambda^{(0)},\ldots,\lambda^{(r-1)})$ is obtained by the
following procedure.
1. (1)
Consider the $r$ boundary sequences
$\partial(\lambda^{(0)}),\ldots,\partial(\lambda^{(r-1)})$.
2. (2)
Add to these sequences leading $0$-s and trailing $1$-s such that the
resulting sequences $s^{(0)},\ldots,s^{(r-1)}$ have the same length $t$ and
the same position of the anchor.
3. (3)
Merge the sequences $s^{(0)},\ldots,s^{(r-1)}$ into a single sequence $s$ of
length $rt$, in an interlacing fashion:
$s_{1}^{(0)},s_{1}^{(1)},\ldots,s_{1}^{(r-1)},s_{2}^{(0)},s_{2}^{(1)},\ldots,s_{2}^{(r-1)},\ldots,s_{t}^{(0)},s_{t}^{(1)},\ldots,s_{t}^{(r-1)}.$
4. (4)
Let $\lambda$ be the unique partition such that $\partial(\lambda)$ is equal
to $s$, with leading $0$-s and trailing $1$-s removed.
###### Remark 2.9.
The function $\varphi_{r}$ is well-defined, namely independent of the precise
lengthening of the sequences is step (2). It is injective, but (for $r>1$) not
surjective. Its image, denoted ${\operatorname{Par}}_{r}$, consists of all
partitions with an empty $r$-core, namely partitions which can be reduced to
the empty partition by some sequence of peelings of ribbons of length $r$. For
each $\lambda\in{\operatorname{Par}}_{r}$, the unique preimage
$\varphi_{r}^{-1}(\lambda)=(\lambda^{(0)},\ldots,\lambda^{(r-1)})$ is also
called the $r$-quotient of $\lambda$. Note that, by Observation 2.3, peeling a
ribbon of length $r$ from $\lambda$ is equivalent to peeling a ribbon of
length $1$, namely a single cell, from one of
$\lambda^{(0)},\ldots,\lambda^{(r-1)}$. It follows that
$|\lambda|=r\cdot(|\lambda^{(0)}|+\ldots+|\lambda^{(r-1)}|)$ for
$\lambda\in{\operatorname{Par}}_{r}$. For more details see, e.g., [2, §9].
Note that the convention there differs slightly from that of [5], which
follows the abacus interpretation of [4, Section 2.7]; the difference amounts
to a cyclic shift of the $r$-quotient.
###### Example 2.10.
For $r=3$ and the shapes in Example 2.4,
$\begin{matrix}\lambda^{(0)}=(4,3)&\mapsto&\partial(\lambda^{(0)})=11|1010&\mapsto&s^{(0)}=11|1010\\\
\lambda^{(1)}=(2)&\mapsto&\partial(\lambda^{(1)})=1|10&\mapsto&s^{(1)}=01|1011\\\
\lambda^{(2)}=(1,1)&\mapsto&\partial(\lambda^{(2)})=10|0&\mapsto&s^{(2)}=10|0111\\\
\end{matrix}$
and therefore $\lambda=\varphi_{3}(\lambda^{(0)},\lambda^{(1)},\lambda^{(2)})$
is obtained by
$\begin{matrix}s=101110|110001111011&\mapsto&\partial(\lambda)=101110|1100011110&\mapsto&\lambda=(10,6,6,6,4,1).\end{matrix}$
Indeed, $|\lambda^{(0)}|+|\lambda^{(1)}|+|\lambda^{(2)}|=7+2+2=11$ and
$|\lambda|=33=3\cdot 11$.
We now restate Theorem 2.2 in the special case where the colors of all cycles
are zero. Note that, by Remark 2.9, the irreducible characters of
${\mathbb{Z}}_{r}\wr S_{n}$ can be indexed by partitions $\lambda$ of $rn$
with an empty $r$-core, instead of $r$-partite partitions of $n$.
###### Theorem 2.11.
Let $\lambda$ be a partition of $rn$ with an empty $r$-core, and let
$\mu=(\mu_{1},\dots,\mu_{t})$ be a composition of $n$. The character
$\psi^{\lambda}_{(\mu,\varnothing,\dots,\varnothing)}$ is equal to the sum of
values obtained by all possible applications of the following “peeling
algorithm”:
Initialization: $\mu:=(\mu_{1},\dots,\mu_{t})$, $\delta:=\partial(\lambda)$,
and $\epsilon:=1$.
Main loop:
1. (1)
If $t=0$ then end the loop and output $\epsilon$.
2. (2)
Choose an index $q$ such that $\delta_{q}=1$ and $\delta_{q+r\mu_{t}}=0$. If
there is no such index, set $\epsilon:=0$ and end the loop. [This is the case
of an unsuccessful peeling.]
3. (3)
Redefine $\delta$ by switching the two entries, i.e., letting $\delta_{q}:=0$
and $\delta_{q+r\mu_{t}}:=1$.
4. (4)
Multiply $\epsilon$ by $-1$ if the number of zeros in $\delta$ between the
switched entries, in positions congruent to $q\pmod{r}$ only, is odd (and by 1
otherwise).
5. (5)
Redefine $\mu:=(\mu_{1},\dots,\mu_{t-1})$, $t:=t-1$.
6. (6)
Go to step (1).
###### Remark 2.12.
There is a choice in step (2) of the algorithm. Each successful round of the
main loop (ending with $t=0$) is called a $\mu$-peeling, and contributes a
summand $\epsilon=\pm 1$ to
$\psi^{\lambda}_{(\mu,\varnothing,\dots,\varnothing)}$.
###### Proof.
Let $\pi\in{\mathbb{Z}}_{r}\wr S_{n}$ belong to the conjugacy class
corresponding to $(\mu,\varnothing,\dots,\varnothing)$. Each ordering
$(c_{1},\ldots,c_{t})$ of the cycles of $\pi$ with lengths
$\ell(c_{i})=\mu_{i}$ $(\forall i)$ has, by assumption, colors $z(c_{i})=0$
$(\forall i)$. Therefore the formula in Theorem 2.2 reduces to
$\psi^{\bm{\lambda}}(\pi)=\sum_{{\bm{T}}\in{\bm{RT}}_{c}({\bm{\lambda}})}\prod_{i=1}^{t}(-1)^{ht_{{\bm{T}}}(i)}.$
Each $r$-partite ribbon tableau ${\bm{T}}\in{\bm{RT}}_{c}({\bm{\lambda}})$
corresponds to a sequence of ribbon peelings of the $r$-partite partition
${\bm{\lambda}}=(\lambda^{(0)},\dots,\lambda^{(r-1)})$. Peeling a ribbon of
length $\mu_{t}$ from $\lambda^{(j)}$ is equivalent to switching two entries
$\delta_{k}=1$ and $\delta_{k+\mu_{t}}=0$ in $\partial(\lambda^{(j)})$. The
relevant height $ht_{{\bm{T}}}(t)$ is the number of zeros in
$\partial(\lambda^{(j)})$ strictly between $\delta_{k}$ and
$\delta_{k+\mu_{t}}$. This can be restated in terms of
$\lambda=\varphi_{r}(\lambda^{(0)},\dots,\lambda^{(r-1)})$: by Definition 2.8,
peeling the ribbon corresponds to switching two entries $\delta_{q}=1$ and
$\delta_{q+r\mu_{t}}=0$ in $\partial(\lambda)$, for a suitable index $q$. The
height $ht_{{\bm{T}}}(t)$ is the number of zeros in $\partial(\lambda)$
strictly between $\delta_{q}$ and $\delta_{q+\mu_{t}}$, but only in positions
congruent to $q\pmod{r}$. This explains step $(4)$ of the algorithm. ∎
###### Example 2.13.
The peeling in Example 2.4, viewed as a peeling of
$\lambda=\varphi_{3}(\lambda^{(0)},\lambda^{(1)},\lambda^{(2)})$, as in
Example 2.10, is
$1011\check{1}0|1100\check{0}11110\,\longrightarrow\,101\check{1}00|110011111\check{0}\,\longrightarrow\,10\check{1}000|11\check{0}0\,\longrightarrow\,\check{1}00000|111\check{0}\,\longrightarrow\,|=\varnothing$
The corresponding numbers of zeros, in intermediate positions with the correct
remainder $\\!\\!\pmod{3}$, are 0, 1, 1, and 1. The contribution to the
character value is therefore $(-1)^{0+1+1+1}=-1$.
## 3\. Main Theorem
Noting that $B_{n}\cong{\mathbb{Z}}_{2}\wr S_{n}$, we state the following
generalization of Theorem 1.1.
###### Theorem 3.1.
For every positive integer $r$ there exists a function
${\operatorname{sign}}_{r}:{\operatorname{Par}}_{r}\to\\{1,-1\\}$ such that,
for every $r$-partite partition
${\bm{\lambda}}=(\lambda^{(0)},\ldots,\lambda^{(r-1)})$ of a positive integer
$n$ and every composition $\mu=(\mu_{1},\ldots,\mu_{t})$ of $n$:
$\psi^{(\lambda^{(0)},\ldots,\lambda^{(r-1)})}_{(\mu,\varnothing,\ldots,\varnothing)}={\operatorname{sign}}_{r}(\lambda)\cdot\chi^{\lambda}_{r\mu},$
where $\psi^{(\lambda^{(0)},\ldots,\lambda^{(r-1)})}$ is the irreducible
${\mathbb{Z}}_{r}\wr S_{n}$-character indexed by
$(\lambda^{(0)},\ldots,\lambda^{(r-1)})$, $\chi^{\lambda}$ is the irreducible
$S_{rn}$-character indexed by
$\lambda:=\varphi_{r}(\lambda^{(0)},\ldots,\lambda^{(r-1)})\in{\operatorname{Par}}_{r}$,
and $r\mu:=(r\mu_{1},\dots,r\mu_{t})$.
Let us start with a sequence of observations and definitions, leading to an
explicit expression for ${\operatorname{sign}}_{r}(\lambda)$ in Definition 3.7
and Lemma 3.9. Then Proposition 3.10 will imply Theorem 3.1.
As remarked before Observation 2.3 above, if $\lambda$ is any partition then
the zeros in the boundary sequence $\partial(\lambda)$ correspond to the parts
of $\lambda$, in reverse order; equivalently, to the rows of diagram of
$\lambda$, ordered from bottom to top. In the sequel it will be convenient to
fix a positive integer $k$ and consider partitions with at most $k$ parts,
namely $\lambda=(\ell_{1},\ldots,\ell_{k})$ where
$\ell_{1}\geq\ldots\geq\ell_{k}\geq 0$. We thus require the boundary sequence
$\partial(\lambda)$ to contain exactly $k$ zeros, by allowing leading zeros.
###### Observation 3.2.
If $\lambda=(\ell_{1},\ldots,\ell_{k})$ where
$\ell_{1}\geq\ldots\geq\ell_{k}\geq 0$, then the position in
$\partial(\lambda)$ of the zero corresponding to $\ell_{i}$ $(1\leq i\leq k)$
is equal to $\ell_{i}+k-i+1$; there are $\ell_{i}$ ones and $k-i$ zeros
preceding it.
###### Definition 3.3.
Let $\lambda=(\ell_{1},\ldots,\ell_{k})$ with
$\ell_{1}\geq\ldots\geq\ell_{k}\geq 0$. For each $1\leq i\leq k$, let $0\leq
a_{i}\leq r-1$ be the remainder obtained upon dividing $\ell_{i}+k-i$ by $r$.
The (length $k$) row-color sequence of $\lambda$ is
$a^{(k)}(\lambda):=(a_{1},\ldots,a_{k})$.
###### Remark 3.4.
The numbers $\ell_{i}+k-i$ are called $\beta$-numbers in [4, 5].
Let ${\operatorname{Par}}_{r}(rn)$ denote the set of all partitions of $rn$
with an empty $r$-core.
###### Lemma 3.5.
If $\lambda=(\ell_{1},\ldots,\ell_{k})$ has an empty $r$-core and the empty
partition $\varnothing$ is represented as a sequence of $k$ zeros, then the
sequence $a^{(k)}(\lambda)$ is a permutation of the sequence
$a^{(k)}(\varnothing)$.
###### Proof.
Assume that
$\lambda=(\ell_{1},\ldots,\ell_{k})\in{\operatorname{Par}}_{r}(rn)$. By
assumption, there is a peeling by ribbons of length $r$ which reduces
$\lambda$ to the empty partition. It suffices to show that the sequence
$a^{(k)}(\lambda)$ is a permutation of the sequence
$a^{(k)}(\lambda^{\prime})$, for any partition
$\lambda^{\prime}\in{\operatorname{Par}}_{r}(r(n-1))$ obtained from $\lambda$
by peeling one ribbon of length $r$.
Indeed, assume that $\partial(\lambda^{\prime})$ is obtained from
$\partial(\lambda)$ by switching the entries $\delta_{q}=1$ and
$\delta_{q+r}=0$. Let $i_{1},\ldots,i_{2}$ $(1\leq i_{1}\leq i_{2}\leq k)$ be
the indices of the rows in the diagram of $\lambda$ corresponding to the zeros
in the interval $\delta_{q},\ldots,\delta_{q+r}$. Note that the order is
reversed; in particular, $i_{1}$ corresponds to $\delta_{q+r}=0$, while
$i_{2}$ corresponds to the first zero after $\delta_{q}=1$. The switch moves a
zero in $\partial(\lambda)$ from position $q+r$ to position $q$, without
moving the other zeros. By Observation 3.2 and Definition 3.3, the row-color
sequence $(a_{1},\ldots,a_{k})$ of $\lambda$ and the row-color sequence
$(a^{\prime}_{1},\ldots,a^{\prime}_{k})$ of $\lambda^{\prime}$ are related by
$a^{\prime}_{i}=\begin{cases}a_{i},&\text{if }i<i_{1}\text{ or }i>i_{2};\\\
a_{i+1},&\text{if }i_{1}\leq i\leq i_{2}-1;\\\ a_{i_{1}},&\text{if
}i=i_{2}.\end{cases}$
The equality $a^{\prime}_{i_{2}}=a_{i_{1}}$ holds since $q-1$ and $q+r-1$ have
the same remainder $\\!\\!\pmod{r}$. Thus the effect of this peeling step on
the row-color sequence is a cyclic shift of the entries
$a_{i_{1}},\ldots,a_{i_{2}}$. In particular, $a^{(k)}(\lambda)$ is a
permutation of $a^{(k)}(\lambda^{\prime})$. ∎
###### Example 3.6.
Let $r=3$, $n=6$, and $\lambda=(5,5,4,3,1)\in{\operatorname{Par}}_{3}(3\cdot
6)$. If $k=5$ then $(\ell_{1}+4,\ldots,\ell_{5}+0)=(9,8,6,4,1)$ and
$a^{(5)}(\lambda)=(0,2,0,1,1)$. The corresponding representation of the empty
partition $\varnothing=(0,0,0,0,0)$ has
$(\ell_{1}+4,\ldots,\ell_{5}+0)=(4,3,2,1,0)$ and
$a^{(5)}(\varnothing)=(1,0,2,1,0)$. Clearly $a^{(5)}(\lambda)$ is a
permutation of $a^{(5)}(\varnothing)$.
###### Definition 3.7.
For $k$, $\lambda$ and $a^{(k)}(\lambda)=(a_{1},\ldots,a_{k})$ as in
Definition 3.3, the $r$-inversion set of $\lambda$ is
${\operatorname{Inv}}_{r}^{(k)}(\lambda):=\\{(i,j)\,:\,i<j,\,a_{i}>a_{j}\\}$
and its $r$-inversion number is
${\operatorname{inv}}_{r}^{(k)}(\lambda):=|{\operatorname{Inv}}_{r}^{(k)}(\lambda)|.$
The $r$-sign of $\lambda$ is
${\operatorname{sign}}_{r}^{(k)}(\lambda):=(-1)^{{\operatorname{inv}}_{r}^{(k)}(\lambda)-{\operatorname{inv}}_{r}^{(k)}(\varnothing)},$
where $\varnothing$ is the empty partition, represented as a sequence of $k$
zeros.
###### Observation 3.8.
${\operatorname{sign}}_{r}^{(k)}(\lambda)=-1$ if and only if the length of
some (equivalently, each) sequence of transpositions transforming
$a^{(k)}(\lambda)$ into $a^{(k)}(\varnothing)$ is odd, where only
transpositions switching two distinct values in the sequence are counted.
###### Lemma 3.9.
The number ${\operatorname{sign}}_{r}^{(k)}(\lambda)$ is independent of $k$,
as long as $k$ is larger or equal to the number of (positive) parts of
$\lambda$. We shall therefore denote it simply by
${\operatorname{sign}}_{r}(\lambda)$.
###### Proof.
If $a^{(k)}(\lambda)=(a_{1},\ldots,a_{k})$ then
$a^{(k+1)}(\lambda)=(a_{1}+1,\ldots,a_{k}+1,0)$, where addition is modulo $r$.
A similar connection holds between $a^{(k)}(\varnothing)$ and
$a^{(k+1)}(\varnothing)$, and the claim thus follows from Observation 3.8. ∎
As noted in the proof of Theorem 2.11, if
$\lambda=\varphi_{r}(\lambda^{(0)},\ldots,\lambda^{(r-1)})\in{\operatorname{Par}}_{r}(rn)$
and $m$ is a positive integer, then peeling a ribbon of length $rm$ from
$\lambda$ is equivalent to peeling a ribbon of length $m$ from one of
$\lambda^{(0)},\ldots,\lambda^{(r-1)}$. It follows that, for every composition
$\mu=(\mu_{1},\ldots,\mu_{t})$ of $n$, there is a natural bijection between
$r\mu$-peelings of $\lambda$ and $\mu$-peelings of its $r$-quotient
$(\lambda^{(0)},\ldots,\lambda^{(r-1)})$. To prove Theorem 3.1, it thus
suffices to show that the signs of matching peelings under this bijection
differ by a $\pm 1$ factor which depends only on $r$ and $\lambda$.
###### Proposition 3.10.
For any partition $\lambda\in{\operatorname{Par}}_{r}(rn)$ and any composition
$\mu$ of $n$, the sign of any $r\mu$-peeling of $\lambda$ and the sign of the
corresponding $\mu$-peeling of its $r$-quotient differ by the multiplicative
factor ${\operatorname{sign}}_{r}(\lambda)$.
###### Proof.
By induction on $n$. Of course, the claim trivially holds for $n=0$.
Assume that $n>0$. If there is no $r\mu$-peeling of $\lambda$ then there is
also no $\mu$-peeling of its $r$-quotient, and the claim holds vacuously. We
can therefore assume that there exists an $r\mu$-peeling of $\lambda$, and
consider one of them. We further consider only the last entry $r\mu_{t}$ of
$r\mu$, which corresponds to a ribbon of length $r\mu_{t}$. Let
$\lambda^{\prime}\in{\operatorname{Par}}_{r}(r(n-\mu_{t}))$ be the partition
obtained by peeling it from $\lambda$.
By assumption, there exists an index $q$ such that
$\partial(\lambda^{\prime})$ is obtained from $\partial(\lambda)$ by switching
the entries $\delta_{q}=1$ and $\delta_{q+r\mu_{t}}=0$. We want to show that
the sign contribution of this step to the $r\mu$-peeling of $\lambda$ and the
sign contribution of this step to the corresponding $\mu$-peeling of its
$r$-quotient differ by the multiplicative factor
${\operatorname{sign}}_{r}(\lambda)/{\operatorname{sign}}_{r}(\lambda^{\prime}).$
Indeed, by Theorem 2.11(4), the effect of the switch on the sign of the
$\mu$-peeling is multiplication by $(-1)^{n_{1}}$, where $n_{1}$ is the number
of zeros strictly between the switched letters, counting only positions which
are congruent to $q\pmod{r}$. On the other hand, by the same theorem with $r$
and $n$ replaced by $1$ and $rn$, respectively, the effect of this switch on
the sign of the $r\mu$-peeling is multiplication by $(-1)^{n_{2}}$, where
$n_{2}$ is the total number of zeros strictly between the switched letters.
Hence, the effect on the ratio of these two signs is multiplication by
$(-1)^{n_{3}}$, where $n_{3}=n_{2}-n_{1}$ is the number of zeros between the
switched letters, in positions which are not congruent to $q\pmod{r}$.
Now let $i_{1},\ldots,i_{2}$ be the indices of the rows corresponding to the
zeros between positions $q$ and $q+r\mu_{t}$ in $\partial(\lambda)$. Note that
the order is reversed; in particular, $i_{1}$ corresponds to
$\delta_{q+r\mu_{t}}=0$, while $i_{2}$ corresponds to the first zero after
$\delta_{q}=1$. Then
$n_{3}=|\\{i\,:\,i_{1}<i\leq i_{2},\,a_{i}\neq a_{i_{1}}\\}|.$
By the same argument as in the proof of Lemma 3.5, the switch (or peeling
step) amounts to a cyclic shift of the entries $a_{i_{1}},\ldots,a_{i_{2}}$ in
the row-color sequence. Thus, by Definition 3.7,
${\operatorname{inv}}_{r}^{(k)}(\lambda)-{\operatorname{inv}}_{r}^{(k)}(\lambda^{\prime})$
has the same parity as $n_{3}$ above. Thus
$(-1)^{n_{3}}=(-1)^{{\operatorname{inv}}_{r}^{(k)}(\lambda)-{\operatorname{inv}}_{r}^{(k)}(\lambda^{\prime})}={\operatorname{sign}}_{r}(\lambda)/{\operatorname{sign}}_{r}(\lambda^{\prime}),$
as required. This completes the induction step.
∎
As remarked above, Proposition 3.10 implies Theorem 3.1.
## 4\. Wreath product with a finite abelian group
As noted by an anonymous referee, the results stated above actually hold for
the wreath product of $S_{n}$ with an arbitrary finite abelian group $G$, not
only a finite cyclic group. In order to justify this claim, let us first state
Stembridge’s extension of the Murnaghan-Nakayama formula in full generality.
Let $G$ be a finite group, $C_{G}$ its set of conjugacy classes, and $I_{G}$
its set of irreducible characters. Denote $r:=|C_{G}|=|I_{G}|$. The conjugacy
classes of $G\wr S_{n}$ are indexed by functions
$\kappa:C_{G}\to{\operatorname{Par}}$ such that the sum of the sizes of all
partitions is $n$; and the irreducible characters of $G\wr S_{n}$ are indexed
by functions $\chi:I_{G}\to{\operatorname{Par}}$ with a similar restriction.
Now fix a bijection from $\\{0,\ldots,r-1\\}$ to $I_{G}$, so that
$I_{G}=\\{\theta_{0},\ldots,\theta_{r-1}\\}$. Then the irreducible characters
$\psi^{\bm{\lambda}}$ of $G\wr S_{n}$ are indexed by $r$-partite partitions
${\bm{\lambda}}$ of $n$, as defined in Section 2.
View the wreath product $G\wr S_{n}$ as the group of $n\times n$ pseudo
permutation matrices in which the nonzero entries are chosen from $G$, and let
$\pi\in G\wr S_{n}$. If $c=(i_{1},\ldots,i_{k})$ is a cycle in the permutation
in $S_{n}$ underlying $\pi$, and $g_{1},\ldots,g_{k}\in G$ are the nonzero
entries in rows $i_{1},\ldots,i_{k}$ of the matrix $\pi$, then the product
$g_{k}\cdots g_{1}\in G$ is well-defined up to a cyclic shift of the indices,
thus up to conjugacy in $G$. Let $z(c)\in C_{G}$ be the corresponding
conjugacy class.
Recall, from Section 2, the notion of an $r$-partite ribbon tableaux
${\bm{T}}$ and the corresponding functions $\ell_{\bm{T}}$, $ht_{\bm{T}}$ and
$f_{\bm{T}}$. Stembridge’s extension of the Murnaghan-Nakayama formula can be
stated as follows.
###### Theorem 4.1.
[8, Theorem 4.3] Let $G$ be a finite group with $|C_{G}|=|I_{G}|=r$,
specifically $I_{G}=\\{\theta_{0},\ldots,\theta_{r-1}\\}$, and let $\pi\in
G\wr S_{n}$. Fix an arbitrary ordering $c=(c_{1},\ldots,c_{t})$ of the
disjoint cycles of (the permutation underlying) $\pi$. Let $\ell(c_{i})$ be
the length of the cycle $c_{i}$, and let $z(c_{i})\in C_{G}$ be the
corresponding conjugacy class, as above. Then, for any $r$-partite partition
${\bm{\lambda}}$ of $n$,
$\psi^{\bm{\lambda}}(\pi)=\sum_{{\bm{T}}\in{\bm{RT}}_{c}({\bm{\lambda}})}\prod_{i=1}^{t}(-1)^{ht_{{\bm{T}}}(i)}\theta_{f_{{\bm{T}}}(i)}(z(c_{i})),$
where ${\bm{RT}}_{c}({\bm{\lambda}})$ is the set of all $r$-partite ribbon
tableau ${\bm{T}}$ of shape ${\bm{\lambda}}$ such that
$\ell_{{\bm{T}}}(i)=\ell(c_{i})$ $(\forall i)$; $ht_{{\bm{T}}}(i)\geq 0$ is
the $i$-th height of ${\bm{T}}$; and $f_{{\bm{T}}}(i)\in\\{0,\ldots,r-1\\}$ is
the $i$-th index of ${\bm{T}}$, as in Theorem 2.2.
Now assume, further, that $G$ is commutative, so that $r=|C_{G}|=|I_{G}|=|G|$
and all irreducible characters are one-dimensional. Labeling the elements of
the group $G=\\{id_{G}=g_{0},g_{1},\ldots,g_{r-1}\\}$, an element of $G\wr
S_{n}$ with all cycles $c_{i}$ satisfying $z(c_{i})=\\{id_{G}\\}$ is of type
$(\mu,\varnothing,\ldots,\varnothing)$ for some partition $\mu$ of $n$, and
then $\theta_{j}(z(c_{i}))=1$ for all $i$ and $j$. We obtain the following
extension of Theorem 3.1.
###### Theorem 4.2.
For every positive integer $r$ there exists a function
${\operatorname{sign}}_{r}:{\operatorname{Par}}_{r}\to\\{1,-1\\}$ such that
for every finite abelian group $G$ of order $r$, every $r$-partite partition
${\bm{\lambda}}=(\lambda^{(0)},\ldots,\lambda^{(r-1)})$ of a positive integer
$n$, and every composition $\mu=(\mu_{1},\ldots,\mu_{t})$ of $n$:
$\psi^{(\lambda^{(0)},\ldots,\lambda^{(r-1)})}_{(\mu,\varnothing,\ldots,\varnothing)}={\operatorname{sign}}_{r}(\lambda)\cdot\chi^{\lambda}_{r\mu},$
where $\psi^{(\lambda^{(0)},\ldots,\lambda^{(r-1)})}$ is the irreducible $G\wr
S_{n}$-character indexed by $(\lambda^{(0)},\ldots,\lambda^{(r-1)})$,
$\chi^{\lambda}$ is the irreducible $S_{rn}$-character indexed by
$\lambda:=\varphi_{r}(\lambda^{(0)},\ldots,\lambda^{(r-1)})\in{\operatorname{Par}}_{r}$,
and $r\mu:=(r\mu_{1},\dots,r\mu_{t})$.
###### Proof.
The proof is exactly the same as for the special case $G={\mathbb{Z}}_{r}$,
since the combinatorics of peelings described in Subsection 2.2 (leading to
Theorem 2.11) and analyzed in Section 3 (leading to Theorem 3.1) is the same.
∎
###### Remark 4.3.
The function ${\operatorname{sign}}_{r}$ depends on the size $r=|G|$ only, and
not on the structure of $G$.
An alternative algebraic proof of Theorem 4.2 was suggested by the anonymous
referee.
## 5\. Alternative descriptions
We conclude with some alternative descriptions of
${\operatorname{sign}}_{r}(\lambda)$.
###### Definition 5.1.
For a partition $\lambda$ with an empty $r$-core, let $k$ be any integer
larger or equal to the number of parts in $\lambda$. Define
$d_{r}(\lambda,\varnothing)$ to be the minimal number of adjacent
transpositions needed to transform the word $a^{(k)}(\lambda)\in[0,r-1]^{k}$
into the word $a^{(k)}(\varnothing)\in[0,r-1]^{k}$, where $\varnothing$ is the
empty partition represented by a sequence of $k$ zeros. Note that
$d_{r}(\lambda,\varnothing)$ is independent of the choice of $k$.
###### Example 5.2.
For $\lambda$ and $k$ as in Example 3.6, $a^{(k)}(\lambda)=(0,2,0,1,1)$ and
$a^{(k)}(\varnothing)=(1,0,2,1,0)$, so that $d_{r}(\lambda,\varnothing)=4$.
Observation 3.8 implies
###### Observation 5.3.
The ${\operatorname{sign}}_{r}$ function in Theorem 3.1 satisfies
${\operatorname{sign}}_{r}(\lambda)=(-1)^{d_{r}(\lambda,\varnothing)}.$
For $r=2$ there is also a surprisingly simple formula, observed in [5].
###### Corollary 5.4.
[5, Prop. 5.4] For every partition $\lambda\vdash 2n$ with an empty $2$-core
${\operatorname{sign}}_{2}(\lambda)=(-1)^{{\operatorname{odd}}(\lambda)/2},$
where ${\operatorname{odd}}(\lambda)$ is the number of odd parts in $\lambda$.
###### Proof.
By induction on $n$. If $n=0$, then
${\operatorname{sign}}_{2}(\varnothing)=1$,
${\operatorname{odd}}(\varnothing)=0$ and equality holds. For evey
$\lambda\in{\operatorname{Par}}_{2}(2n)$ there exists a ribbon $\nu$ of size
$2$ (“domino”) such that
$\lambda\setminus\nu\in{\operatorname{Par}}_{2}(2n-2)$. If $\nu$ is horizontal
then ${\operatorname{odd}}(\lambda)={\operatorname{odd}}(\lambda\setminus\nu)$
and $a(\lambda\setminus\nu)=a(\lambda)$, thus by Observation 5.3,
${\operatorname{sign}}_{2}(\lambda)={\operatorname{sign}}_{2}(\lambda\setminus\nu)$.
If $\nu$ is vertical then
${\operatorname{odd}}(\lambda)={\operatorname{odd}}(\lambda\setminus\nu)\pm 2$
and $a(\lambda)$ is obtained from $a(\lambda\setminus\nu)$ by switching two
adjacent entries, hence by Observation 5.3,
${\operatorname{sign}}_{2}(\lambda)=-{\operatorname{sign}}_{2}(\lambda\setminus\nu)$.
The induction hypothesis completes the proof in both cases. ∎
###### Question 5.5.
Is there a similar formula for other values of $r$?
Acknowledgements. The authors thank the anonymous referees for helpful
comments. In particular, in the original version of this paper the main result
was stated for a finite cyclic group $G$; the observation that the result
holds, with the same proof, for any finite abelian group is due to a referee’s
comment.
## References
* [1] R. M. Adin, A. Postnikov, and Y. Roichman, A Gelfand model for wreath products, Israel J. Math. 179 (2010), 381–402.
* [2] R. M. Adin and Y. Roichman, Standard Young tableaux, in: Handbook of Enumerative Combinatorics, Discrete Math. Appl. (Boca Raton), CRC Press, Boca Raton, FL, 2015, pp. 895–-974.
* [3] D. Bernstein, The computational complexity of rules for the character table of $S_{n}$, J. Symbolic Comput. 37 (2004), 727–-748.
* [4] G. James and A. Kerber, The Representation Theory of the Symmetric Group, Cambridge Univ. Press, 1984.
* [5] F. Lübeck and D. Prasad (with appendix by A. Ayyer), A character relationship between symmetric group and hyperoctahedral group, J. Combinatorial Theory, Series A 179 (2021): 105368.
* [6] G. Lusztig, Left cells in Weyl groups, in: Lie group representations, I (College Park, Md., 1982/1983), Lecture Notes in Math., 1024, Springer, Berlin, 1983, pp. 99–111.
* [7] B. E. Sagan, The Symmetric Group: Representations, Combinatorial Algorithms, and Symmetric Functions, Second edition, Graduate Texts in Mathematics 203, Springer-Verlag, New York, 2001.
* [8] J. Stembridge, On the eigenvalues of representations of reflection groups and wreath products, Pacific J. Math. 140 (1989), 353–396.
| arxiv-papers | 2021-07-25T21:39:26 | 2024-09-04T03:07:17.307099 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Ron M. Adin and Yuval Roichman",
"submitter": "Ron M. Adin",
"url": "https://arxiv.org/abs/2107.11899"
} |
2107.11901 | # A forward-looking matheuristic approach for the multi-period
two-dimensional non-guillotine cutting stock problem
with usable leftovers††thanks: This work has been partially supported by
FAPESP (grants 2013/07375-0, 2016/01860-1, and 2018/24293-0), FAPES (grant
116/2019), and CNPq (grants 146110/2013-7, 306083/2016-7 and 302682/2019-8).
Ernesto G. Birgin Department of Computer Science, Institute of Mathematics and
Statistics, University of São Paulo, Rua do Matão, 1010, Cidade Universitária,
05508-090, São Paulo, SP, Brazil. e-mail: [email protected]. Corresponding
author. Oberlan C. Romão Department of Computing and Electronics, University
Center of Northern Espírito Santo of the Federal University of Espírito Santo,
Rodovia BR 101 Norte, Km 60, Bairro Litorâneo, 29932-540, São Mateus, ES,
Brazil. e-mail: [email protected] Débora P. Ronconi Department of
Production Engineering, Polytechnic School, University of São Paulo, Av.
Luciano Gualberto, 1380, Cidade Universitária, 05508-010, São Paulo SP,
Brazil. e-mail: [email protected]
(January 28, 2022)
###### Abstract
In [E. G. Birgin, O. C. Romão, and D. P. Ronconi, The multi-period two-
dimensional non-guillotine cutting stock problem with usable leftovers,
International Transactions in Operational Research 27(3), 1392–1418, 2020] the
multi-period two-dimensional non-guillotine cutting stock problem with usable
leftovers was introduced. At each decision instant, the problem consists in
determining a cutting pattern for a set of ordered items using a set of
objects that can be purchased or can be leftovers of previous periods; the
goal being the minimization of the overall cost of the objects up to the
considered time horizon. Among solutions with minimum cost, a solution that
maximizes the value of the leftovers at the end of the considered horizon is
sought. A forward-looking matheuristic approach that applies to this problem
is introduced in the present work. At each decision instant, the objects and
the cutting pattern that will be used is determined, taking into account the
impact of this decision in future states of the system. More specifically, for
each potentially used object, an attempt is made to estimate the utilization
rate of its leftovers and thereby determine whether the object should be used
or not. The approach’s performance is compared to the performance of a myopic
technique. Numerical experiments show the efficacy of the proposed approach.
Key words: Two-dimensional cutting stock with usable leftovers, non-guillotine
cutting and packing, multi-period scenario, forward-looking or looking-ahead
approach, matheuristic.
## 1 Introduction
In this paper, we consider the multi-period two-dimensional non-guillotined
cutting stock problem with usable leftovers. In the problem, $P$ periods of
time denoted by $[s-1,s]$ for $s=1,\dots,P$ are considered; period $[s-1,s]$
corresponding to $t_{s-1}\leq t\leq t_{s}$, where $t_{0}<t_{1}<\dots<t_{P}$
are given decision time instants. Small rectangular pieces of varying sizes
(named items) can be ordered at any instant $t$ between $t_{0}$ and $t_{P-1}$.
However, assuming the discrete time convention, if an item is ordered at an
instant $t$ such that $t_{s-1}\leq t\leq t_{s}$ for some
$s\in\\{1,\dots,P-1\\}$, then it is assumed the item was ordered at instant
$t_{s}$. All items ordered at instant $t_{s}$ must be produced between $t_{s}$
and $t_{s+1}$ and delivered at instant $t_{s+1}$. Raw material is available in
the form of large rectangular purchasable pieces (named purchasable objects)
or as usable leftovers of previous periods, i.e. parts of objects purchased at
previous periods that were not used to produce items. (Remains of the cutting
process can be classified as usable leftovers or can be discarded as scrap.
Usable leftovers will be formally defined in Section 2, but roughly speaking
they can not be very old and must satisfy size constraints.) At each instant
$t_{s}$, ordered items are known and the problem consists in selecting objects
to be purchased and existent leftovers to produce all ordered items. The
cutting pattern of each object (leftover or purchased) must also be
determined. The problem is said to be two-dimensional because it involves the
width and the height of items and objects; while it is said to be non-
guillotine because cuts are not restricted to be guillotine cuts. Objects as
well as leftovers can produce new leftovers. The amount of leftovers in stock
is maintained under control with a parameter $\xi\in\\{0,1,\dots,P\\}$ that
determines that parts (leftovers, leftovers of leftovers, etc) of an object
purchased at instant $t_{s}$ can only be used at instants
$t_{s+1},\dots,t_{s+\xi}$. (If $\xi=0$, the problem has no leftovers at all;
while, if $\xi=1$, leftovers can only be used in the period immediately
following the period in which they were generated.) The goal is to minimize
the overall cost of objects purchased to produce all orders from instant
$t_{0}$ to instant $t_{P-1}$ and, among the minimum cost solutions, to choose
one in which the value of the usable leftovers remaining at instant $t_{P}$
(end of the considered time horizon) is maximized.
In the current work, we propose a forward-looking matheuristic to solve
medium- and large-sized instances of the problem described in the paragraph
above. In a training phase, the method attempts to estimate the proportion of
each generated usable leftover that will be effectively used to produce items
ordered in forthcoming periods. With this information, at a given period, a
more expensive object can be purchased if the estimated future use of its
leftovers points to future savings. A subproblem is solved per period. The
decision variables determine the objects the must be purchased, the leftovers
from previous periods that will be used, and their cutting pattern. All
ordered items must be produced; and the goal is to minimize an objective
function that, by discounting the cost of leftovers that are assumed to be
used in the near future to produce ordered items within the considered time
horizon, minimize the effective cost of the raw material required to produced
the period ordered items. The estimation of effective usage of leftovers being
generated, that is required to estimate the actual cost of the raw material,
constitutes the forward-looking ingredient of the method. At the end of each
training cycle, the estimated utilization proportion of each leftover is
compared with its actual utilization proportion, and the estimate is updated.
The updating rule and the stopping criterion ensure that the number of
training cycles is finite.
The proposed method is calibrated with the instances with four periods
considered in Birgin et al., (2020); and then evaluated on a new set of
instances with four, eight, and twelve periods. The performance of the method
is compared with a myopic approach on the new set of thirty instances with up
to twelve periods. For the new (small) instances with four periods, an
additional comparison with CPLEX is also presented. The myopic approach
differs with the forward-looking approach only in the objective function being
minimized at each period. While the forward-looking approach considers the
possible future use of letfovers, the myopic approach greedily minimizes the
cost of the objects necessary to produce the ordered items of the period. The
problem includes a parameter that tells for how many periods, after being
generated, a leftover is available for use. The larger the durability of the
leftovers, the greater the opportunity for economy. Experiments show that the
forward-looking approach outperforms the myopic approach by a large extent and
that, the greater the number of periods or the larger the durability of usable
leftovers, the greater the advantage.
The problem considered in the present work was proposed in Birgin et al.,
(2020), where a mixed integer linear programming model was introduced and
instances with up to four periods were solved using CPLEX. However, no
solution method has yet been proposed to deal with larger instances of the
problem. The single-period version of the problem was considered in Andrade et
al., (2014), where a discussion related to alternative definitions of usable
leftovers was presented. Several papers in the literature, many of them based
on real-world applications, address the one-dimensional cutting stock problem
with usable leftovers; see the pioneers’ works Roodman, (1986); Scheithauer,
(1991) and the more recent works Cherri et al., (2013, 2014); Poldi and
Arenales, (2010); Tomat and Gradišar, (2017); Baykasoglu and Özbel, (2021);
Ali et al., (2021); do Nascimento et al., (2021). On the other hand, only a
few publications tackle the two-dimensional case considered in the present
work.
In all publications dedicated to the one-dimensional problem mentioned in the
previous paragraph, a multi-period scenario is considered and a single
threshold determines whether a cutting pattern leftover is disposed of as
trim-loss or is a usable leftover. In particular, Tomat and Gradišar, (2017)
focuses on determining the optimal amount of usable leftovers that should be
kept in stock in order to make good use of the raw material and at the same
time minimize the cost of stock handling. In Cherri et al., (2013), a
heuristic that prioritizes the use of leftovers in order to control their
stock quantity is presented. A rolling horizon scheme for the same problem is
proposed in Poldi and Arenales, (2010). The subproblem of each period is
solved with a simplex method with column generation and different strategies
are considered in order to obtain integer solutions through rounding. A survey
that reviews published studies up to 2014 can be found in Cherri et al.,
(2014). A recent work (do Nascimento et al.,, 2021) integrates the problem
with the lot-sizing problem. In the problem under consideration, it is
possible to bring forward the production of items with known demand in a
future period. A relax-and-fix approach is proposed that solves the
subproblems with a simplex method with column generation. Other recent works
present practical applications in the marble industry (Baykasoglu and Özbel,,
2021) and in the use of leftover piping in construction (Ali et al.,, 2021).
Exact and non-exact two- and three-stage two-dimensional cutting stock
problems with leftovers are considered in Silva et al., (2010). In the
considered problem, a single item is cut from a raw material object at a time,
through one or two guillotine cuts, generating zero, one, or two “residual
objects”. A MILP model that extends the one-cut model presented in Dyckhoff,
(1981) for the one-dimensional cutting stock problem is introduced; and
numerical experiments solving real-world instances of the furniture industry
and instances from the literature are presented. MILP models are solved with
CPLEX. On the one hand, the goal is minimizing the number of cuts. On the
other hand, several extensions, such as minimizing the number of used raw
material objects (that are all of the same type), minimizing the length of the
cuts, minimizing waste, allowing rotations, and considering multiple type of
objects are also considered. One of the extensions, that points to attributing
a value to the leftovers, opens the possibility of embedding the considered
problem in a multi-period framework, as its was later done by the same authors
in Silva et al., (2014). In Silva et al., (2014), the problem is integrated
with the lot-sizing problem with the aim of minimizing a total cost that
includes material, waste and storage costs. In the problem under
consideration, anticipating the production of items maximizes raw material
utilization while incurring stock costs; and a balance between these
conflicting objectives is sought by minimizing their pricing. Two MILP models
that do not depend on cutting patterns generation and two heuristics based on
the industrial practice are presented. In contrast to the problem considered
in the present work, at each period, two-stage non-exact cutting patterns are
generated. In a brief contribution (Chen et al.,, 2015), a single-period
problem with three-stage cutting patterns is considered in which the leftovers
consist of remnants of the first cutting stage, the objective being to
minimize the difference between the object cost and the value of the usable
leftovers generated. A real-world multi-period three-dimensional cutting
problem related to the supply of steel blocks in the metalworking is
considered in Viegas et al., (2016). Since remnants from one period can be
used to produce items ordered in future periods, the problem considers
leftovers; the objective being to keep stock growth under control. For the
problem at hand, constructive heuristic procedures are proposed.
The rest of this paper is organized as follows. Section 2 provides a formal
description of the multi-period two-dimensional non-guillotine cutting stock
problem with leftovers. Section 3 introduces the proposed matheuristic with a
looking-ahead feature. Section 4 presents numerical experiments. Conclusions
and lines for future research are given in the last section.
## 2 The multi-period two-dimensional non-guillotine cutting stock problem
with leftovers
In this section, the multi-period two-dimensional non-guillotine cutting stock
problem with usable leftovers is described; and its mixed integer linear
programming formulation introduced in Birgin et al., (2020) is presented. The
(single-period) two-dimensional non-guillotine cutting stock problem with
leftovers was introduced in Andrade et al., (2014) and extended to the multi-
period framework in Birgin et al., (2020). One of the main features of the
problem is that, when an object is used to cut items from it, two leftovers
are obtained by performing a couple of guillotine pre-cuts on the object that
separate the leftovers from the cutting area of the object (region from where
the items will be cut); see Figure 1. Given a catalogue of items, we say a
leftover is usable if it can fit at least an item from the catalogue. In this
case, the leftover’s value is given by its area times the cost per unit of
area of the object. Otherwise, the leftover is disposable and has no value at
all. It is worth noting that this definition of leftovers implies that any
part of the cutting area of the object that is not used to produce an item is
considered waste. See Andrade et al., (2016) and Andrade et al., (2014) for
other definitions of leftovers in two-dimensional problems. Andrade et al.,
(2014) includes a detailed description of the single-period version of the
problem, with several examples. Unlike the multi-period model presented in
Birgin et al., (2020), the model introduced in this section considers time
instants $s$ from $p$ to $P$. The possibility of choosing the initial and
final instants of the model gives the necessary flexibility to formulate
subproblems in algorithms of the rolling horizon type as the one that will be
presented later.
Top leftover | Right-hand side
---
leftover
Cutting area
| Top leftover | Right-hand side
---
leftover
Cutting area
(a) | | (b)
Figure 1: Pictures (a) and (b) illustrate the two possible ways in which two
leftovers can be generated from an object by performing a vertical and a
horizontal guillotine pre-cut. In case (a), the vertical guillotine pre-cut is
made first; while, in case (b), the horizontal guillotine pre-cut is made
first.
Let $p$ and $P$ satisfying $p<P$ be the first and the last instant to be
considered, respectively. For each instant $s=p,\dots,P-1$, there are given
$m_{s}$ purchasable objects ${\cal O}_{sj}$ with width $W_{sj}$, height
$H_{sj}$, and cost $c_{sj}$ per unit of area ($j=1,\dots,m_{s}$) and a set of
$n_{s}$ ordered items ${\cal I}_{si}$ with width $w_{si}$ and height $h_{si}$
($i=1,\dots,n_{s}$). A catalogue composed by $d$ items $\bar{\cal I}_{i}$ with
width $\bar{w}_{i}$ and height $\bar{h}_{i}$ ($i=1,\dots,d$) is also given. A
parameter $\xi\in[0,P-p]$ says that leftovers generated within a period
$[s,s+1)$ remain valid up to period $[s+\xi,s+\xi+1)$. By definition, each
object generates two leftovers. This means that the number of objects at
instant $s$ is given by
$\bar{m}_{s}=m_{s}+2\,\hat{m}_{s-1}\mbox{ for }s=p,\dots,P,$ (1)
where
$\hat{m}_{s}=\sum_{\ell=0}^{\min\\{s-p,\xi-1\\}}2^{\ell}m_{s-\ell},\mbox{ for
}s=p,\dots,P-1,$ (2)
stands for the number of objects that, at period $[s,s+1)$, generate
leftovers, $\hat{m}_{p-1}=0$ (i.e. no leftovers coming from previous periods
at the first considered instant $s=p$), and $m_{P}=0$ (i.e. no purchasable
objects at the last considered instant $s=P$). Note that, since, by
definition, there are no purchasable objects at instant $P$, $\bar{m}_{P}$
represents the number of leftovers available at instant $P$. The problem
consists in minimizing the overall cost of the purchasable objects required to
produce the items ordered at instants $p,\dots,P-1$ making use of leftovers;
and, among all solutions with minimum cost, maximizing the value of the usable
leftovers at instant $P$. See Figures 2 and 3. Figure 2 describes a toy
instance of the problem; while Figure 3 exhibits two different feasible
solutions.
Available objectsOrdered itemsInstant $s=0$${\cal O}_{01}$10$\times$8${\cal
O}_{02}$6$\times$6${\cal I}_{01}$3$\times$4${\cal I}_{02}$3$\times$1Instant
$s=1$${\cal O}_{11}$10$\times$8${\cal O}_{12}$6$\times$6${\cal
I}_{11}$4$\times$2${\cal I}_{12}$3$\times$4${\cal I}_{13}$3$\times$1${\cal
I}_{14}$3$\times$1Instant $s=2$${\cal O}_{21}$10$\times$8${\cal
O}_{22}$6$\times$6${\cal I}_{22}$4$\times$2${\cal I}_{21}$4$\times$2${\cal
I}_{23}$3$\times$4
Figure 2: Illustration of a small instance with $p=0$, $P=3$, and $\xi=P-p=3$,
meaning that usable leftovers generated at any period remain usable up to
instant $P$. The picture shows the available purchasable objects and the
ordered items at each instant $s\in\\{0,1,2\\}$. The numbers of available
purchasable objects and ordered items at each instant are given by
$m_{0}=m_{1}=m_{2}=2$ and $n_{0}=2$, $n_{1}=4$ and $n_{2}=3$, respectively.
The cost per unit of area of all the objects is one (i.e.
$c_{01}=c_{02}=c_{11}=c_{12}=c_{21}=c_{22}=1$) and the catalogue with $d=1$
item is composed by an item with $\bar{w}_{1}=3$ and $\bar{h}_{1}=1$.
Instant$s=0$Period $[0,1]$${\cal O}_{01}$${\cal
O}_{02}$3$\times$13$\times$43$\times$13$\times$6Instant$s=1$Period
$[1,2]$${\cal O}_{11}$${\cal
O}_{12}$3$\times$43$\times$13$\times$14$\times$23$\times$16$\times$4Instant$s=2$Period
$[2,3]$${\cal O}_{21}$${\cal
O}_{22}$4$\times$24$\times$23$\times$43$\times$46$\times$2Instant$s=3$Remaining
usableleftovers6$\times$23$\times$13$\times$4
---
(a)
Instant$s=0$Period $[0,1]$${\cal O}_{01}$${\cal
O}_{02}$3$\times$13$\times$43$\times$37$\times$8Instant$s=1$Period
$[1,2]$${\cal O}_{11}$${\cal
O}_{12}$3$\times$44$\times$23$\times$13$\times$17$\times$4Instant$s=2$Period
$[2,3]$${\cal O}_{21}$${\cal
O}_{22}$4$\times$24$\times$23$\times$4Instant$s=3$Remaining
usableleftovers3$\times$3
(b)
Figure 3: Illustration of two solutions that, at each period, may cut ordered
items from purchasable objects or from usable leftovers from previous periods.
(a) Greedy solution obtained by a myopic method that, at each decision
instant, minimizes the cost of the purchasable objects required to cut the
ordered items of that instant, assuming that usable leftovers from previous
periods are free. (b) Solution with minimum total cost of the required
purchasable objects and, in addition, maximum value of the usable leftovers at
instant $P=3$. The cost of the purchased objects in the solution in (a) is
108; while the same cost is 80 in (b).
Purchasable objects ${\cal O}_{sj}$ ($s=p,\dots,P-1$, $j=1,\dots,m_{s}$) have
a given cost $c_{sj}$ per unit of area. The value of an usable leftover is
given by its area times its cost per unit of area; and the cost per unit of
area of a leftover corresponds to the cost per unit of area of the purchasable
object from which the leftover comes from. In order to make this relation, we
associate to each (purchasable or leftover) object ${\cal O}_{sj}$
($s=p,\dots,P$, $j=1,\dots,\bar{m}_{s}$) an expiration date $e_{sj}$ in such a
way that, if ${\cal O}_{sj}$ is a purchasable object, we define $e_{sj}=\xi$;
while if ${\cal O}_{sj}$ is a leftover then we define $e_{sj}$ as the
expiration date of the object from which it comes from reduced by one.
Clearly, $e_{sj}\geq 0$, since objects with null expiration date do not
generate leftovers. Let $j_{1}^{s}\leq j_{2}^{s}\leq\dots\leq
j_{\hat{m}_{s}}^{s}$ be the indices of the $\hat{m}_{s}$ objects that generate
leftovers in the period $[s,s+1)$; and let us define that, at instant $s+1$,
objects ${\cal O}_{s+1,m_{s+1}+2k-1}$ and ${\cal O}_{s+1,m_{s+1}+2k}$
correspond to the “top leftover” and to the “right-hand-side leftover” of
object ${\cal O}_{s,j_{k}^{s}}$, respectively. Thus,
$c_{s+1,m_{s+1}+2k-1}=c_{s+1,m_{s+1}+2k}=c_{s,j_{k}^{s}}$ and
$e_{s+1,m_{s+1}+2k-1}=e_{s+1,m_{s+1}+2k}=e_{s,j_{k}^{s}}-1$. The relevant
costs are the costs $c_{P,j}$ ($j=m_{P}+1,\dots,\bar{m}_{P}$) that correspond
to the value (per unit of area) of the leftovers available at instant $P$,
i.e. at the end of the considered time horizon, that are the leftovers whose
value must be maximized. For a given instant $s$ ($s=p,\dots,P-1$) and the
expiration dates $e_{sj}$ of the $\bar{m}_{s}$ objects available at the
instant, the $\hat{m}_{s}\leq\bar{m}_{s}$ indices $j^{s}_{1},j^{s}_{2},\dots$
of the objects that potentially generate leftovers can be computed as follows.
Start with $k=0$ and, for $j$ from $1$ to $\bar{m}_{s}$, if $e_{sj}>0$ then
increase $k$ by one and set $j_{k}^{s}=j$. Finish by setting $\hat{m}_{s}=k$.
The description of the problem’s variables follows. Variables
$v_{sij}\in\\{0,1\\}$ ($s=p,\dots,P-1$, $j=1,\dots,\bar{m}_{s}$,
$i=1,\dots,n_{s}$) assign items to objects ($v_{sij}=1$ if item ${\cal
I}_{si}$ is assigned to object ${\cal O}_{sj}$; and $v_{sij}=0$ otherwise).
Variables $u_{sj}\in\\{0,1\\}$ ($s=p,\dots,P-1$,$j=1,\dots,m_{s}$) identify
whether at least an item is assigned to object ${\cal O}_{sj}$ or not
($u_{sj}=1$ and $u_{sj}=0$, respectively). Variables $\eta_{sj}\in\\{0,1\\}$
($s=p,\dots,P-1$,$j=1,\dots,\bar{m}_{s}$) determine if the vertical pre-cut
that separates the cutting area from the leftover in object ${\cal O}_{sj}$ is
made before the horizontal pre-cut ($\eta_{sj}=1$) or if the horizontal pre-
cut precedes the vertical pre-cut ($\eta_{sj}=0$). Variables $t_{sj}$ and
$r_{sj}\in\mathbb{R}$ ($s=p,\dots,P-1$, $j=1,\dots,\bar{m}_{s}$) determine the
height of the top leftover and the width of the right-hand-side leftover of
object ${\cal O}_{sj}$, respectively. Variables $\bar{W}_{sj}$ and
$\bar{H}_{sj}\in\mathbb{R}$ ($s=p,\dots,P$, $j=1,\dots,\bar{m}_{s}$) represent
the width and the height of object ${\cal O}_{sj}$. (This is relevant to the
objects that are leftovers of objects purchased at previous periods, since the
dimensions of purchasable objects are constant, i.e. $\bar{W}_{sj}=W_{sj}$ and
$\bar{H}_{sj}=H_{sj}$ for every $s$ whenever $1\leq j\leq m_{s}$.) Variables
$\pi_{sii^{\prime}}$ and $\tau_{sii^{\prime}}\in\\{0,1\\}$ ($s=p,\dots,P-1$,
$i=1,\dots,n_{s}$, $i^{\prime}=i+1,\dots,n_{s}$) are auxiliary variables used
to avoid the overlapping between items. Variables $\gamma_{j}\in\mathbb{R}$
($j=1,\dots,\bar{m}_{P}$) are related to the value of the area of the
leftovers at instant $P$, i.e. at the end of the considered time horizon.
Variables $\theta_{j\ell}\in\\{0,1\\}$ and $\omega_{j\ell}\in\mathbb{R}$
($j=1,\dots,\bar{m}_{P}$, $\ell=1,\dots,L$) are auxiliary variables used to
linearize the computation of these areas (product of the leftovers variable
dimensions), where $L=\lfloor\log_{2}(\hat{W})\rfloor+1$,
$\hat{W}=\max\\{W_{sj}\;|\;s=p,\dots,P-1,j=1,\dots,m_{s}\\}$, and, for further
reference, $\hat{H}=\max\\{H_{sj}\;|\;s=p,\dots,P-1,j=1,\dots,m_{s}\\}$. The
auxiliary variables $\zeta_{ji}\in\\{0,1\\}$ ($j=1,\dots,\bar{m}_{P}$,
$i=1,\dots,d$) are used to nullify the value of the area of a leftover at
instant $P$ if it can not fit any item from the catalogue.
The problem consists in minimizing
$\left(\sum_{s=p}^{P-1}\sum_{j=1}^{m_{s}}c_{sj}W_{sj}H_{sj}\right)\left(\sum_{s=p}^{P-1}\sum_{j=1}^{m_{s}}c_{sj}W_{sj}H_{sj}u_{sj}\right)-\sum_{j=m_{P}+1}^{\bar{m}_{P}}c_{Pj}\gamma_{j}$
(3)
subject to
$\displaystyle\sum_{j=1}^{\bar{m}_{s}}v_{sij}=1,$
$\displaystyle\;s=p,\dots,P-1,\;i=1,\dots,n_{s},$ (4) $\displaystyle
u_{sj}\geq v_{sij},$
$\displaystyle\;s=p,\dots,P-1,\;j=1,\dots,\bar{m}_{s},\;i=1,\dots,n_{s},$ (5)
$\displaystyle u_{sj}\leq\sum_{i=1}^{n_{s}}v_{sij},$
$\displaystyle\;s=p,\dots,P-1,\;j=1,\dots,\bar{m}_{s},$ (6) $0\leq
t_{sj}\leq\bar{H}_{sj}\mbox{ and }0\leq
r_{sj}\leq\bar{W}_{sj},\;j=1,\dots,\bar{m}_{s},$ (7) $\frac{1}{2}w_{si}\leq
x_{si}\leq\bar{W}_{sj}-r_{sj}+(1-v_{sij})\hat{W}-\frac{1}{2}w_{si},\;s=p,\dots,P-1,i=1,\dots,n_{s},j=1,\dots,\bar{m}_{s},$
(8) $\frac{1}{2}h_{si}\leq
y_{si}\leq\bar{H}_{sj}-t_{sj}+(1-v_{sij})\hat{H}-\frac{1}{2}h_{si},\;s=p,\dots,P-1,i=1,\dots,n_{s},j=1,\dots,\bar{m}_{s},$
(9)
$\begin{array}[]{rcccl}0&\leq&\bar{H}_{s+1,\ell_{1}}&\leq&\hat{H}u_{sj},\\\
t_{sj}-(1-u_{sj})\hat{H}&\leq&\bar{H}_{s+1,\ell_{1}}&\leq&t_{sj}+(1-u_{sj})\hat{H},\\\\[5.69054pt]
0&\leq&\bar{W}_{s+1,\ell_{1}}&\leq&\hat{W}u_{sj},\\\
\bar{W}_{sj}-r_{sj}-(1-\eta_{sj})\hat{W}-(1-u_{sj})\hat{W}&\leq&\bar{W}_{s+1,\ell_{1}}&\leq&\bar{W}_{sj}-r_{sj}+(1-\eta_{sj})\hat{W}+(1-u_{sj})\hat{W},\\\
\bar{W}_{sj}-\eta_{sj}\hat{W}-(1-u_{sj})\hat{W}&\leq&\bar{W}_{s+1,\ell_{1}}&\leq&\bar{W}_{sj}+\eta_{sj}\hat{W}+(1-u_{sj})\hat{W},\\\\[5.69054pt]
0&\leq&\bar{W}_{s+1,\ell_{2}}&\leq&\hat{W}u_{sj},\\\
r_{sj}-(1-u_{sj})\hat{W}&\leq&\bar{W}_{s+1,\ell_{2}}&\leq&r_{sj}+(1-u_{sj})\hat{W},\\\\[5.69054pt]
0&\leq&\bar{H}_{s+1,\ell_{2}}&\leq&\hat{H}u_{sj},\\\
\bar{H}_{sj}-(1-\eta_{sj})\hat{H}-(1-u_{sj})\hat{H}&\leq&\bar{H}_{s+1,\ell_{2}}&\leq&\bar{H}_{sj}+(1-\eta_{sj})\hat{H}+(1-u_{sj})\hat{H},\\\
\bar{H}_{sj}-t_{sj}-\eta_{sj}\hat{H}-(1-u_{sj})\hat{H}&\leq&\bar{H}_{s+1,\ell_{2}}&\leq&\bar{H}_{sj}-t_{sj}+\eta_{sj}\hat{H}+(1-u_{sj})\hat{H},\\\
\end{array}$ (10)
for $s=p,\dots,P-1$ and $j=j_{k}^{s}\leq m_{s}$ for $k=1,\dots\hat{m}_{s}$,
with $\ell_{1}=m_{s+1}+2k-1$ and $\ell_{2}=m_{s+1}+2k$,
$\begin{array}[]{rcccl}\bar{H}_{sj}-\hat{H}u_{sj}&\leq&\bar{H}_{s+1,\ell_{1}}&\leq&\bar{H}_{sj}+\hat{H}u_{sj},\\\
t_{sj}-(1-u_{sj})\hat{H}&\leq&\bar{H}_{s+1,\ell_{1}}&\leq&t_{sj}+(1-u_{sj})\hat{H},\\\\[5.69054pt]
\bar{W}_{sj}-\hat{W}u_{sj}&\leq&\bar{W}_{s+1,\ell_{1}}&\leq&\bar{W}_{sj}+\hat{W}u_{sj},\\\
\bar{W}_{sj}-r_{sj}-(1-\eta_{sj})\hat{W}-(1-u_{sj})\hat{W}&\leq&\bar{W}_{s+1,\ell_{1}}&\leq&\bar{W}_{sj}-r_{sj}+(1-\eta_{sj})\hat{W}+(1-u_{sj})\hat{W},\\\
\bar{W}_{sj}-\eta_{sj}\hat{W}-(1-u_{sj})\hat{W}&\leq&\bar{W}_{s+1,\ell_{1}}&\leq&\bar{W}_{sj}+\eta_{sj}\hat{W}+(1-u_{sj})\hat{W},\\\\[5.69054pt]
0&\leq&\bar{W}_{s+1,\ell_{2}}&\leq&\hat{W}u_{sj},\\\
r_{sj}-(1-u_{sj})\hat{W}&\leq&\bar{W}_{s+1,\ell_{2}}&\leq&r_{sj}+(1-u_{sj})\hat{W},\\\\[5.69054pt]
0&\leq&\bar{H}_{s+1,\ell_{2}}&\leq&\hat{H}u_{sj},\\\
\bar{H}_{sj}-(1-\eta_{sj})\hat{H}-(1-u_{sj})\hat{H}&\leq&\bar{H}_{s+1,\ell_{2}}&\leq&\bar{H}_{sj}+(1-\eta_{sj})\hat{H}+(1-u_{sj})\hat{H},\\\
\bar{H}_{sj}-t_{sj}-\eta_{sj}\hat{H}-(1-u_{sj})\hat{H}&\leq&\bar{H}_{s+1,\ell_{2}}&\leq&\bar{H}_{sj}-t_{sj}+\eta_{sj}\hat{H}+(1-u_{sj})\hat{H},\\\
\end{array}$ (11)
for $s=p,\dots,P-1$ and $j=j_{k}^{s}>m_{s}$ for $k=1,\dots\hat{m}_{s}$, with
$\ell_{1}=m_{s+1}+2k-1$ and $\ell_{2}=m_{s+1}+2k$,
$\begin{array}[]{rclcl}x_{si}-x_{si^{\prime}}&\geq&\frac{1}{2}(w_{si}+w_{si^{\prime}})&-&\hat{W}\left[(1-v_{sij})+(1-v_{si^{\prime}j})+\pi_{sii^{\prime}}+\tau_{sii^{\prime}}\right],\\\\[5.69054pt]
-x_{si}+x_{si^{\prime}}&\geq&\frac{1}{2}(w_{si}+w_{si^{\prime}})&-&\hat{W}\left[(1-v_{sij})+(1-v_{si^{\prime}j})+\pi_{sii^{\prime}}+(1-\tau_{sii^{\prime}})\right],\\\\[5.69054pt]
y_{si}-y_{si^{\prime}}&\geq&\frac{1}{2}(h_{si}+h_{si^{\prime}})&-&\hat{H}\left[(1-v_{sij})+(1-v_{si^{\prime}j})+(1-\pi_{sii^{\prime}})+\tau_{sii^{\prime}}\right],\\\\[5.69054pt]
-y_{si}+y_{si^{\prime}}&\geq&\frac{1}{2}(h_{si}+h_{si^{\prime}})&-&\hat{H}\left[(1-v_{sij})+(1-v_{si^{\prime}j})+(1-\pi_{sii^{\prime}})+(1-\tau_{sii^{\prime}})\right],\end{array}$
(12)
for $s=p,\dots,P-1$, $j=1,\dots,\bar{m}_{s}$, $i=1,\dots,n_{s}$,
$i^{\prime}=i+1,\dots,n_{s}$,
$0\leq\omega_{j\ell}\leq\bar{H}_{Pj}\mbox{ and
}\bar{H}_{Pj}-(1-\theta_{j\ell})\hat{H}\leq\omega_{j\ell}\leq\theta_{j\ell}\hat{H}\mbox{
for }j=m_{P}+1,\dots,\bar{m}_{P},\ell=1,\dots,L,$ (13)
$\bar{w}_{i}\leq\bar{W}_{Pj}+\hat{W}(1-\zeta_{ji})\mbox{ and
}\bar{h}_{i}\leq\bar{H}_{Pj}+\hat{H}(1-\zeta_{ji})\mbox{ for
}j=m_{P}+1,\dots,\bar{m}_{P},\;i=1,\dots,d,$ (14)
$0\leq\gamma_{j}\leq\sum_{\ell=1}^{L}2^{\ell-1}\omega_{j\ell}\mbox{ and
}\gamma_{j}\leq\left(\sum_{i=1}^{d}\zeta_{ji}\right)\hat{W}\hat{H}\mbox{ for
}j=m_{P}+1,\dots,\bar{m}_{P},$ (15)
and
$\bar{W}_{Pj}=\sum_{\ell=1}^{L}2^{\ell-1}\theta_{j\ell}\mbox{ for
}j=m_{P}+1,\dots,\bar{m}_{P}.$ (16)
The objective function (3) is given by the cost of the used purchasable
objects multiplied by an strict upper bound on the value of the leftovers at
instant $P$ minus the value of the leftovers at that instant. Assuming
integrality of the constants that define the instance (see (Birgin et al.,,
2020, §3.7)), this composition has the desired effect of minimizing the cost
of the purchased objects and, among solutions with the same cost, maximizing
the value of the leftovers at instant $P$. Constraints (4) say that each item
must be assigned to exactly one object. Constraints (5) and (6) say that an
object ${\cal O}_{sj}$ is used (i.e. $u_{sj}=1$) if and only if at least an
item is allocated to the object. At a first glance, since the cost of the used
objects is being minimized, constrains (6) may appear to be superfluous.
However, forcing $u_{sj}=0$ when no item is assigned to object ${\cal O}_{sj}$
prevents purchasing and cutting an object to which no item is being assigned
in period $s$. Constraints (7) define the height $t_{sj}$ of the top leftover
and the width $r_{sj}$ of the right-hand-side leftover of object ${\cal
O}_{sj}$. Constraints (8,9) assume, without loss of generality, that objects
have its bottom-left corner in the origin of the Cartesian two-dimensional
space. Constraints (8,9) say that if an item ${\cal I}_{si}$ is assigned to an
object ${\cal O}_{sj}$, that has dimensions $\bar{W}_{sj}$ and $\bar{H}_{sj}$,
then the center $(x_{si},y_{si})$ of the item must be placed within the
cutting area of the object that goes from $(0,0)$ to
$(\bar{W}_{sj}-r_{sj},\bar{H}_{sj}-t_{sj})$. Moreover, the constraints say the
center of each item must be far from the borders of the cutting area, so the
whole item can be placed within the object’s cutting area. In constraints
(10), restrictions on the dimensions of the leftovers of purchasable objects
with positive expiration date are given; while in (11) the same is done with
the dimensions of leftovers of objects that are leftovers of previous periods.
The difference is that, in the first case, leftovers of a purchasable object
must have null dimensions if the purchasable object is not used (purchased);
while, in the second case, if an object that is a leftover is not used and its
expiration date is strictly positive, then it must pass to the next instant as
its own top or right-hand-side leftover. Constraints (12) model the non-
overlapping of items assigned to the same object. Constraints (13,14,15,16)
model the value $\gamma_{j}$ of the $j$-th leftover of the last instant $P$,
i.e. object ${\cal O}_{Pj}$. Recall that, in case a leftover can fit at least
an item from the catalogue, its value is given by its area (product of its
variable dimensions) times the value per unit of area of the purchasable
object that generated the leftover. Otherwise, the value of the leftover is
null. (See (Birgin et al.,, 2020, §3.7.1) for details.) In (13,14,15,16), the
index $j$ starts from $m_{P}+1$. This is the same as saying that it starts at
$1$, since $m_{P}=0$ by definition. However, we opted by writing this way
because it simplifies the re-definition of the meaning of variables $\gamma$
in the next section. Note also that variables $\omega$, $\theta$, $\zeta$, and
$\gamma$, differently from all other variables in the model, do not have an
index $s$ that relates them to an instant of the multi-period scenario. This
is because they all refer to the last instant $P$. Note that the area of the
leftovers of the last instant of the considered horizon plays a fundamental
role in the objective function (3); while for all other instants (including
instant $P$) only the (variable) dimensions of the leftovers are required, but
not their area.
## 3 Forward-looking proposed heuristic
The mixed integer linear programming (MILP) problem (3–16) will be named
${\cal M}(p,P)$ from now on. This notation allow us to refer to the single-
period problem ${\cal M}(\kappa,\kappa+1)$ for some
$\kappa\in\\{p,\dots,P-1\\}$. In problem ${\cal M}(\kappa,\kappa+1)$, it is
assumed that (a) all decisions of instants $s=p,\dots,\kappa-1$ have already
been taken; (b) quantities and dimensions of the ordered items and available
objects (that may be purchasable or leftovers from previous periods) of
instant $\kappa$ are known; and (c) the last instant of the considered horizon
is pushed back and artificially considered as if it were $P=\kappa+1$. Thus,
the single-period problem ${\cal M}(\kappa,\kappa+1)$ coincides with the
single-period problem introduced in Andrade et al., (2014). This means that
problem ${\cal M}(\kappa,\kappa+1)$ consists in determining a cutting pattern
to produce all items ordered at instant $\kappa$ minimizing the cost of the
purchased objects and, among solutions with minimum cost, choosing one that
maximizes the value of the leftovers at instant $\kappa+1$. The particularity
of ${\cal M}(\kappa,\kappa+1)$ with respect to the single-period problem
introduced in Andrade et al., (2014) is that in ${\cal M}(\kappa,\kappa+1)$
there are some objects that can be used for free. This is because the
summation in (3) goes from $1$ up to $m_{\kappa}$; meaning that the costs of
objects numbered from $m_{\kappa}+1$ up to $\bar{m}_{\kappa}$, that are the
leftovers of previous periods, are not included in the objective function.
Special attention must also be given to the role of variables $\gamma_{j}$ in
${\cal M}(\kappa,\kappa+1)$. On the one hand, in ${\cal M}(p,P)$, their
indices goes from $1$ (because $m_{P}=0$ by definition) to $\bar{m}_{P}$ and
they represent the areas of the leftovers at instant $P$. On the other hand,
in ${\cal M}(\kappa,\kappa+1)$, since $P$ is redefined as if it were
$\kappa+1$, the indices of variables $\gamma$ go from $m_{\kappa+1}+1$ to
$\bar{m}_{\kappa+1}$; and variables $\gamma$ represent the areas of the
leftovers at instant $\kappa+1$.
If we assume that the available computational capacity is enough to solve
(with an exact commercial solver) instances with no more than a single period,
a heuristic approach to tackle the original multi-period problem must be
considered. At each instant $\kappa$, a decision has to be made. The decision
consists in selecting a set of objects (between the $m_{\kappa}$ purchasable
objects ${\cal O}_{\kappa j}$ for $j=1,\dots,m_{\kappa}$ or leftovers ${\cal
O}_{\kappa j}$ for $j=m_{\kappa}+1,\dots,\bar{m}_{\kappa}$ from previous
periods) and a cutting pattern to produce, along period $[\kappa,\kappa+1)$,
the $n_{\kappa}$ items ordered at instant $\kappa$. The simplest
(matheuristic) approach would be to solve the single-period problem ${\cal
M}(\kappa,\kappa+1)$, for $\kappa=p,\dots,P-1$. Substituting $P$ by $\kappa+1$
in (3), we have that the objective function of problem ${\cal
M}(\kappa,\kappa+1)$ is given by
$\left(\sum_{s=p}^{\kappa}\sum_{j=1}^{m_{s}}c_{sj}W_{sj}H_{sj}\right)\left(\sum_{s=p}^{\kappa}\sum_{j=1}^{m_{s}}c_{sj}W_{sj}H_{sj}u_{sj}\right)-\sum_{j=m_{\kappa+1}+1}^{\bar{m}_{\kappa+1}}c_{\kappa+1,j}\gamma_{j}.$
(17)
Since in problem ${\cal M}(\kappa,\kappa+1)$ it is assumed that all decisions
of instants $s=p,\dots,\kappa-1$ have already been taken, we have that
$u_{sj}$ for $s=p,\dots,\kappa-1$ and $j=1,\dots,\bar{m}_{\kappa}$ are
constant. Thus, minimizing (17) is equivalent to minimizing
$C_{\kappa}\sum_{j=1}^{m_{\kappa}}c_{\kappa j}W_{\kappa j}H_{\kappa
j}u_{\kappa
j}-\sum_{j=m_{\kappa+1}+1}^{\bar{m}_{\kappa+1}}c_{\kappa+1,j}\gamma_{j},$ (18)
where, as in (3),
$C_{\kappa}=\sum_{s=p}^{\kappa}\sum_{j=1}^{m_{s}}c_{sj}W_{sj}H_{sj}$
is a constant. Note that $C_{\kappa}$ corresponds to the total cost of all
purchasable objects existent from the first instant $p$ up to instant
$\kappa$. Therefore, it is a strict upper bound on the value of the leftovers
that could have been generated up to instant $\kappa+1$. Thus, multiplying the
first summation in (18) by $C_{\kappa}$ has the desired effect of making one
unit of this summation to be more relevant that the whole second summation in
(18). It is in this way that the cost of the used purchasable objects is
minimized and, among solutions with minimum cost, a solution that maximizes
the value of the leftovers at the end of the considered horizon, in this case
instant $\kappa+1$, is sought. Note that this interpretation requires the
first summation in (18) to assume integer values only; see Andrade et al.,
(2014) for details.
The main drawback of a myopic/greedy strategy like the one described above is
that the overall cost is not being minimized at all. This strategy was used to
find the solution depicted in Figure 3(a) to the instance described in Figure
2. Its flaw is to ignore the effect in the future of the decisions made at
each instant $\kappa$. Figure 3(b) shows that, by buying a more expensive
object at instant $\kappa=0$, a better solution can be found. In addition,
note that, at each instant $\kappa$, the number of available objects
$m_{\kappa}$ is finite. If we redefine $m_{1}=0$ for the instance in Figure 2
(i.e. no purchasable objects available at instant $\kappa=1$), then the choice
of purchasing the small object ${\cal O}_{02}$ at instant $\kappa=0$ produces
an infeasible solution. This is because the $3\times 6$ leftover of ${\cal
O}_{02}$ is not enough to produce the items ordered at $\kappa=1$ and, since
we redefined $m_{1}=0$, no other object is available at $\kappa=1$. So, the
myopic approach is unable to find a feasible solution to the modified
instance.
Assume that we are at an instant $\kappa$ and that at that instant there are
two different objects (one cheaper and smaller and another more expensive but
larger) that can be used to produce the $n_{\kappa}$ ordered items. Buying the
cheapest object would be the myopic choice. However, assume that buying and
using the more expensive object produces two leftovers that, by being used in
forthcoming periods, produce an overall saving. Quantifying this saving and
using it to decide which object to buy at instant $\kappa$ is the looking-
ahead strategy we are looking for. An optimistic view would consist in
subtracting from the cost of each object the value of its leftovers. We say
this view is optimistic because it assumes that 100% of the object’s leftovers
will be used to produce items (and, thus, savings) in forthcoming periods. In
a more realistic view, each leftover has a different utilization rate that
depends on its dimensions and on the ordered items in the forthcoming periods.
At any instant $\kappa+1$, objects ${\cal O}_{\kappa+1,j}$ with index $j$
between $m_{\kappa+1}+1$ and $m_{\kappa+1}+2m_{\kappa}$ correspond to the
$2m_{\kappa}$ leftovers of the $m_{\kappa}$ purchasable objects that were
available at instant $\kappa$. Therefore, at instant $\kappa$, $\gamma_{2j-1}$
and $\gamma_{2j}$ correspond to the area of the two leftovers of the
purchasable object ${\cal O}_{\kappa j}$ for $j=1,\dots,m_{\kappa}$ (nullified
when the object is not purchased or when the leftover does not fit any item
from the catalog). Thus, if object ${\cal O}_{\kappa j}$ is used, then its
optimistic amortized cost, that assumes that 100% of its leftovers will be
used, is given by
$c_{\kappa j}W_{\kappa j}H_{\kappa j}u_{\kappa j}-c_{\kappa
j}\gamma_{2j-1}-c_{\kappa j}\gamma_{2j}.$ (19)
The value of (19) is null if object ${\cal O}_{\kappa j}$ is not used because
in this case $u_{\kappa j}=\gamma_{2j-1}=\gamma_{2j}=0$. If utilization rates
$\delta_{\kappa,2j-1},\delta_{\kappa,2j}\in[0,1]$ for $j=1,\dots,m_{\kappa}$
were known, then we would be able to compute, at instant $\kappa$, the more
realistic amortized cost
$c_{\kappa j}W_{\kappa j}H_{\kappa j}u_{\kappa j}-c_{\kappa
j}\left(\delta_{\kappa,2j-1}\gamma_{2j-1}+\delta_{\kappa,2j}\gamma_{2j}\right)$
(20)
of using object ${\cal O}_{\kappa j}$ to produce the ordered items. Since we
need the summation of costs to assume integer values, we would approximate
(20) by
$c_{\kappa j}W_{\kappa j}H_{\kappa j}u_{\kappa j}-\lfloor c_{\kappa
j}\left(\delta_{\kappa,2j-1}\gamma_{2j-1}+\delta_{\kappa,2j}\gamma_{2j}\right)\rfloor.$
(21)
However, since $\gamma_{2j-1}$ and $\gamma_{2j}$ ($j=1,\dots,m_{\kappa}$) are
variables of the problem, (21) can not be included in the objective function.
(It is not a linear function of continuous and integer variables.) Thus, we
need new integer variables $\lambda_{j}$ ($j=1,\dots,m_{\kappa}$) and
constraints
$\lambda_{j}\leq c_{\kappa
j}\left(\delta_{\kappa,2j-1}\gamma_{2j-1}+\delta_{\kappa,2j}\gamma_{2j}\right)\mbox{
for }j=1,\dots,m_{\kappa};$ (22)
so we can write the approximation (21) of (20) as
$c_{\kappa j}W_{\kappa j}H_{\kappa j}u_{\kappa j}-\lambda_{j}.$ (23)
We call (23) the amortized cost of object ${\cal O}_{\kappa j}$. Thus,
including estimations of the leftovers utilization rates, the objective
function (18) of problem ${\cal M}(\kappa,\kappa+1)$ can be substituted by
$C_{\kappa}\sum_{j=1}^{m_{\kappa}}\left(c_{\kappa j}W_{\kappa j}H_{\kappa
j}u_{\kappa
j}-\lambda_{j}\right)-\sum_{j=m_{\kappa}+1}^{\bar{m}_{\kappa+1}}c_{\kappa+1,j}\gamma_{j}.$
(24)
We call ${\cal M}(\delta;\kappa,\kappa+1)$, the single-period problem ${\cal
M}(\kappa,\kappa+1)$ in which the objective function is replaced with (24) and
constraints (22) are included. Note that (22) and, in consequence (24),
depends on the unknown constants $\delta_{\kappa,2j-1}$ and
$\delta_{\kappa,2j}$ for $j=1,\dots,m_{\kappa}$.
Let us illustrate the idea of amortized costs with an example. Figure 4
displays the available purchasable objects and the ordered items of a small
instance with $p=0$, $P=3$, and $\xi=P-p=3$, meaning that usable leftovers
generated at any period remain usable up to instant $P$. The picture shows the
available purchasable objects and the ordered items at each instant
$s\in\\{0,1,2\\}$. The numbers of available purchasable objects and ordered
items at each instant are given by $m_{0}=3$, $m_{1}=m_{2}=1$ and $n_{0}=1$,
$n_{1}=3$ and $n_{2}=2$, respectively. The cost per unit of area of all the
objects is one (i.e. $c_{01}=c_{02}=c_{03}=c_{11}=c_{21}=1$) and the catalogue
with $d=2$ item is composed by two items with $\bar{w}_{1}=7$,
$\bar{h}_{1}=4$, $\bar{w}_{2}=6$, and $\bar{h}_{2}=5$.
At instant $s=0$, item ${\cal I}_{01}$ can be assigned to any of the three
available purchasable objects ${\cal O}_{01}$, ${\cal O}_{02}$, or ${\cal
O}_{03}$. Dashed regions in Figure 5(a–c) represent the usable leftovers in
each possible assignment. In case (b) there is only a top usable leftover
simply because $W_{02}=w_{01}$. In case (a) there is also a top usable
leftover only. This is because the right-hand-side leftover has width
$W_{02}-w_{01}<\min\\{\bar{w}_{1},\bar{w}_{2}\\}$. Thus, it can not fit any
item of the catalogue and, therefore, it is not usable. In case (c), the
situation described in case (a) occurs for both, the top and the right-hand-
side leftovers; thus none of them are usable. Since all the three objects have
a unitary cost per unit of area (i.e. $c_{01}=c_{02}=c_{03}=1$), purchasing
objects ${\cal O}_{01}$, ${\cal O}_{02}$, and ${\cal O}_{03}$ costs
$W_{01}\times H_{01}=21\times 17=357$, $W_{02}\times H_{02}=19\times 19=361$,
and $W_{03}\times H_{03}=24\times 13=312$, respectively. The greedy choice
mandates to buy object ${\cal O}_{03}$, that is the cheapest one. However,
assuming that usable leftovers will be 100% used to produce items in
forthcoming periods and reducing the value of the leftovers from the cost of
their respective objects, we obtain, for the configurations depicted in Figure
5, the amortized costs $357-21\times 6=231$ and $361-19\times 8=209$ for
objects ${\cal O}_{01}$ and ${\cal O}_{02}$, respectively. The amortized cost
of object ${\cal O}_{03}$ whose usage generates no usable leftovers coincides
with its actual cost. Thus, the optimistic forward-looking approach would
recommend to purchase object ${\cal O}_{02}$.
Available objectsOrdered itemsInstant $s=0$${\cal O}_{01}$21$\times$17${\cal
O}_{02}$19$\times$19${\cal O}_{03}$24$\times$13${\cal
I}_{01}$19$\times$11Instant $s=1$${\cal O}_{11}$10$\times$167$\times$6${\cal
I}_{11}$7$\times$5${\cal I}_{12}$7$\times$4${\cal I}_{13}$Instant $s=2$${\cal
O}_{21}$10$\times$126$\times$5${\cal I}_{21}$6$\times$5${\cal I}_{22}$
Figure 4: Illustration of a small instance with $p=0$, $P=3$. The figure
displays the available purchasable objects and the ordered items at each
instant $s\in\\{p,\dots,P-1\\}$.
21$\times$1719$\times$1121$\times$6 | | 19$\times$1919$\times$1119$\times$8 | | 24$\times$1319$\times$11
---|---|---|---|---
(a) ${\cal O}_{01}$ | | (b) ${\cal O}_{02}$ | | (c) ${\cal O}_{03}$
Figure 5: Dashed regions represent the usable leftovers in the assignment of
item ${\cal I}_{01}$ to the three purchasable objects available at instant
$s=0$.
If the myopic approach is applied to the instance of Figure 4, then the
solution found is to purchase object ${\cal O}_{03}$ at instant $s=0$ and
objects ${\cal O}_{11}$ and ${\cal O}_{21}$ at instants $s=1$ and $s=2$,
respectively. This solution has an overall cost of $592$ and has no usable
leftovers at instant $s=3$. If the optimistic forward-looking approach, that
assumes that 100% of the usable leftovers will be used in forthcoming periods,
is used, then the solution found is the one illustrated in Figure 6(a). (To
simplify the presentation, unused objects are not being displayed in the
figure.) In this solution, the object with the smallest amortized cost is
chosen at instant $s=0$, i.e. object ${\cal O}_{02}$. At instant $s=1$, object
${\cal O}_{11}$ is purchased and ordered items are produced from the purchased
object and from the leftover of the previous period. At instant $s=2$ no
object is purchased and the ordered items are produced from a leftover of the
leftover of the object bought at instant $s=0$. The overall cost of the
solution is 521 and a leftover with value 70 remains available at instant
$P=3$. (This solution is clearly better than the solution obtained by the
myopic approach.) However, it can be noted that the assumption that 100% of
the leftover of object ${\cal O}_{02}$ would be used in the next periods
turned out to be false. In fact, the leftover of area $152$ was used to
produce items whose areas totalize $102$, i.e. an utilization rate of
$102/152\approx 0.67$. If we consider this utilization rate for object ${\cal
O}_{02}$, then its amortized cost for the configuration depicted in Figure
5(b) becomes $361-102=259$. The amortized cost of object ${\cal O}_{01}$ (for
the configuration in Figure 5(a)) remains the same, i.e. 231, since there is
no new information to update the presumed utilization rate of 100% of its
usable leftover. The amortized cost of object ${\cal O}_{03}$ (for the
configuration in Figure 5(a)) continues being 312 as well. Thus, if the
problem is solved once again, object ${\cal O}_{01}$ is chosen at instant
$s=0$ to produce the ordered items of instant $s=0$. Then, its leftover is
used to produce all ordered items of instant $s=1$; and object ${\cal O}_{21}$
is purchased to produce the items ordered at instant $s=2$. This solution,
depicted at Figure 6(b), has an overall cost of $477$ and it has no usable
leftovers at instant $s=3$. In this solution, the actual utilization rate of
the leftover of object ${\cal O}_{02}$ is $314/357\approx 0.88$; which
increases its amortized cost for the configuration depicted in Figure 5(b)
from 231 to $357-\lfloor(314/357)\times 126\rfloor=247$. Anyway, it continues
to be the cheapest purchasable object at instant $s=0$. Thus, a new cycle
would produce the same solution.
Instant$s=0$Period [0,1]19$\times$1919$\times$1119$\times$8Instant$s=1$Period
[1,2]7$\times$67$\times$57$\times$412$\times$810$\times$719$\times$810$\times$16Instant$s=2$Period
[2,3]6$\times$56$\times$512$\times$810$\times$7Instant$s=3$Remaining
usableleftovers10$\times$7
---
(a)
Instant$s=0$Period [0,1]19$\times$1121$\times$621$\times$17Instant$s=1$Period
[1,2]7$\times$67$\times$57$\times$421$\times$6Instant$s=2$Period
[2,3]6$\times$56$\times$510$\times$12Instant$s=3$Remaining usableleftovers
(b)
Figure 6: Different feasible solutions to the instance of Figure 4. (a)
Solution obtained with the optimistic forward-looking approach in which it is
assumed that 100% of each usable leftover is used to produce items in
forthcoming periods. (b) Solution obtained with an adaptive forward-looking
approach that cycles updating the utilization rate of the leftovers.
The proposed forward-looking matheuristic approach consists in a sequence of
training cycles. In each cycle, the $P-p$ single-period problems ${\cal
M}(\delta,\kappa,\kappa+1)$ for $\kappa=p,\dots,P-1$ are solved with fixed
values of $\delta_{\kappa,2j-1}$ and $\delta_{\kappa,2j}$ for
$\kappa=p,\dots,P-1$ and $j=1,\dots,m_{\kappa}$. In the $0$th cycle,
$\delta^{0}_{\kappa,2j-1}=\delta^{0}_{\kappa,2j}=\delta^{\mathrm{ini}}$ for
all $\kappa$ and $j$, where $\delta^{\mathrm{ini}}\in[0,1]$ is a given
constant. At the end of the $\eta$th cycle, it is possible to compute the
actual fractions $f^{\eta}_{\kappa,2j-1}$ and $f^{\eta}_{\kappa,2j}$ of each
of the two leftover ${\cal O}_{\kappa+1,m_{\kappa+1}+2j-1}$ and ${\cal
O}_{\kappa+1,m_{\kappa+1}+2j}$ of a purchasable object ${\cal O}_{\kappa j}$
that were effectively used to produce items in forthcoming periods for all
$\kappa$ and $j$. Note that here we are talking about items directly produced
from the leftovers ${\cal O}_{\kappa+1,m_{\kappa+1}+2j-1}$ and ${\cal
O}_{\kappa+1,m_{\kappa+1}+2j}$ and also about items produced from leftovers of
these leftovers up to $\xi$ periods after purchasing the purchasable object
${\cal O}_{\kappa j}$. Thus, each $\delta^{\eta}_{\kappa,2j-1}$ and
$\delta^{\eta}_{\kappa,2j}$ can be updated using $f^{\eta}_{\kappa,2j-1}$ and
$f^{\eta}_{\kappa,2j}$. In particular, we define
$\delta^{\eta+1}_{\kappa,2j-1}=(1-\sigma^{\eta})\delta^{\eta}_{\kappa,2j-1}+\sigma^{\eta}f^{\eta}_{\kappa,2j-1}\;\mbox{
and
}\;\delta^{\eta+1}_{\kappa,2j}=(1-\sigma^{\eta})\delta^{\eta}_{\kappa,2j}+\sigma^{\eta}f^{\eta}_{\kappa,2j},$
(25)
where $\sigma\in(0,1)$ is a given constant and $\sigma^{\eta}$ means $\sigma$
to the power of $\eta$. This means that, at the end of each cycle, new
estimations $\delta^{\eta+1}_{\kappa,2j-1}$ and $\delta^{\eta+1}_{\kappa,2j}$
of the utilization rates of the two leftovers of object ${\cal O}_{\kappa j}$
for all $\kappa$ and $j$ are computed as convex combination (parameterized by
$\sigma^{\eta}$) of their previous values $\delta^{\eta}_{\kappa,2j-1}$ and
$\delta^{\eta}_{\kappa,2j}$ and their actual values $f^{\eta}_{\kappa,2j-1}$
and $f^{\eta}_{\kappa,2j}$ in the solution found in the current cycle. Since
consecutive cycles with the same values of $\delta$’s produce the same
solution, it makes sense to use
$\max_{\\{\kappa=p,\dots,P-1,j=1,\dots,m_{\kappa}\\}}\left\\{\left|\delta^{\eta+1}_{\kappa,2j-1}-\delta^{\eta}_{\kappa,2j-1}\right|,\left|\delta^{\eta+1}_{\kappa,2j}-\delta^{\eta}_{\kappa,2j}\right|\right\\}\leq\epsilon,$
(26)
where $\epsilon>0$ is a given constant, as a stopping criterion.
The forward-looking approach considers the utilization rates of the top and
the right-hand-side leftovers of purchasable objects. We say these are first-
order leftovers. In opposition, when a leftover is a leftover of a leftover,
we say it is a high-order leftover. When an item is produced from a first-
order leftover, its area plays a role in the utilization rate of the first-
order leftover itself. On the other hand, when an item is produced from a
high-order leftover, its area plays a role in the utilization rate of the
first-order leftover that is the ancestor of the used high-order leftover.
Therefore, computing the utilization rate of the first-order leftovers
requires to keep track of their successor leftovers or, equivalently, to keep
track of the ancestors of the high-order leftovers. Assume we are in the
$\eta$th cycle of the forward-looking approach and that the current instant is
instant $\kappa$. Before solving the single-period problem ${\cal
M}(\delta,\kappa,\kappa+1)$ we proceed as follows. (The supra-index $\eta$
will be omitted for simplicity.) Let $j^{\kappa}_{1}\leq
j^{\kappa}_{2}\leq\dots\leq j^{\kappa}_{\hat{m}_{\kappa}}$ be the indices of
the $\hat{m}_{\kappa}$ objects that generate leftovers, that correspond to the
indices $j$ of objects ${\cal O}_{\kappa j}$ ($j=1,\dots,\bar{m}_{\kappa}$)
such that $e_{\kappa j}>0$. On the one hand, every $j_{k}\leq m_{\kappa}$ is a
purchasable object. This means that its two leftovers are first-order
leftovers. So, in this case, we initialize the used area of the two leftovers
as
$a_{\kappa+1,m_{\kappa+1}+2k-1}=a_{\kappa+1,m_{\kappa+1}+2k}=0$
and the ancestor (or origin) of the two leftovers as themselves, i.e.
$o_{\kappa+1,m_{\kappa+1}+2k-1}=m_{\kappa+1}+2k-1\;\mbox{ and
}\;o_{\kappa+1,m_{\kappa+1}+2k}=m_{\kappa+1}+2k.$
On the other hand, every $j_{k}>m_{\kappa}$ is a leftover that is generating
high-order leftovers. So, in this case, we simply set the ancestor (or origin)
of the two leftovers as
$o_{\kappa+1,m_{\kappa+1}+2k-1}=o_{\kappa+1,m_{\kappa+1}+2k}=(\kappa,j_{k}).$
(Note that the “ancestor” is a pair that saves the instant and the index of
the first-order leftover that generated the high-order leftover.) After these
initializations, we are ready to solve the single-period problem ${\cal
M}(\delta,\kappa,\kappa+1)$. After solving it, we can also set the area of the
two first-order leftovers as
$A_{\kappa+1,m_{\kappa+1}+2k-1}=\gamma_{m_{\kappa+1}+2k-1}\;\mbox{ and
}\;A_{\kappa+1,m_{\kappa+1}+2k}=\gamma_{m_{\kappa+1}+2k},$
for every $j_{k}\leq m_{\kappa}$. Then, for each item ${\cal I}_{\kappa i}$
($i=1,\dots,n_{\kappa}$), we proceed as follows. Variables $v_{\kappa
ij}\in\\{0,1\\}$ indicate to which object the item was assigned. By (7), only
one of the $v_{\kappa ij}$ is equal to one and all the other are null. Let $j$
be the index such that $v_{\kappa ij}=1$. If $j>m_{\kappa}$, then item ${\cal
I}_{\kappa i}$ was produced from a leftover. So, we add its area, given by
$w_{\kappa i}\times h_{\kappa i}$ to the used area of the ancestor $o_{\kappa
j}$ of the leftover ${\cal O}_{\kappa j}$ (that may be itself or not), i.e.
$a_{o_{\kappa j}}\leftarrow a_{o_{\kappa j}}+w_{\kappa i}\times h_{\kappa i}.$
Note that $o_{\kappa j}$ is a pair of the form $o_{\kappa j}=([o_{\kappa
j}]_{1},[o_{\kappa j}]_{2})$. So, notation $a_{o_{\kappa j}}$ means
$a_{[o_{\kappa j}]_{1},[o_{\kappa j}]_{2}}$. At the end of the current
$\eta$th cycle, we are ready to compute the actual utilization rates of the
first-order leftovers given by
$f^{\eta}_{\kappa+1,j}=\frac{a_{\kappa+1,j}}{A_{\kappa+1,j}}\mbox{ for
}\kappa=p,\dots,P-1\mbox{ and }j=m_{\kappa+1},\dots,2m_{\kappa}.$
Then, the $\delta$’s are updated as in (25). If (26) holds, the method stops.
Otherwise, we update $\eta\leftarrow\eta+1$ and start a new cycle. The method
also stops if in ten consecutive cycles the best solution found so far is not
updated.
## 4 Numerical experiments
In this section, we aim to evaluate the performance of the proposed forward-
looking approach. The single-period models ${\cal M}(\kappa,\kappa+1)$ and
${\cal M}(\delta,\kappa,\kappa+1)$ were implemented in C/C++ using the ILOG
Concert Technology. The myopic and the proposed forward-looking matheuristic
approaches were also implemented in C/C++. Models and code are available at
https://github.com/oberlan/bromro2. Code was compiled with g++ from gcc
version 7.5.0 (GNU compiler collection) with the -O3 option enable. Numerical
experiments were conducted using a machine with Intel(R) Xeon(R) Silver 4114
CPU @ 2.20GHz with 160GB of RAM memory, and Ubuntu Server 18.04 operating
system. Single-period instances within the myopic and the forward-looking
approaches were solved using IBM ILOG CPLEX 12.10.0. A solution is reported as
optimal by CPLEX when
$\text{absolute gap}=\text{best feasible solution}-\text{best lower
bound}\leq\varepsilon_{\mathrm{abs}}$
or
$\text{relative gap}=\frac{|\text{best feasible solution}-\text{best lower
bound}|}{10^{-10}+|\text{best feasible
solution}|}\leq\varepsilon_{\mathrm{rel}},$ (27)
where, by default, $\varepsilon_{\mathrm{abs}}=10^{-6}$ and
$\varepsilon_{\mathrm{rel}}=10^{-4}$, and “best feasible solution” means the
smallest value of the objective function related to a feasible solution
generated by the method. The objective functions (3) and (24) of models ${\cal
M}(\kappa,\kappa+1)$ and ${\cal M}(\delta,\kappa,\kappa+1)$, respectively, for
$\kappa=p,\dots,P-1$, assume large integer values at feasible points. Thus, a
stopping criterion based on a relative error less than or equal to
$\varepsilon_{\mathrm{rel}}=10^{-4}$ has the undesired effect of stopping the
method prematurely. On the other hand, due to the integrality of the objective
function values, an absolute error strictly smaller than $1$ is enough to
prove the optimality of the incumbent solution. Therefore, in the numerical
experiments, we considered $\varepsilon_{\mathrm{abs}}=1-10^{-6}$ and
$\varepsilon_{\mathrm{rel}}=0$. In addition, NodeFileInd and WorkMem
parameters were set to $3$ and $32{,}000$, respectively; so the Branch & Bound
tree is partially transferred to disk if memory is exhausted. All other
parameters of the solver were used with their default values.
### 4.1 Parameters tuning
In a first set of experiments, we aim to analyze the behavior of the forward-
looking approach for variations of its two parameters $\delta_{\mathrm{ini}}$
and $\sigma$. Recall that $\delta_{\mathrm{ini}}\in[0,1]$ corresponds to the
initial value of the leftovers utilization fraction; while $\sigma\in(0,1)$
plays a role in the utilization fraction update rule in (25). In the numerical
experiments of this section, we considered the twenty five instances with four
periods introduced in Birgin et al., (2020), varying their leftovers
“expiration date” parameter $\xi\in\\{1,2,3,4\\}$. The experiments in Birgin
et al., (2020) show that, when applied to these one hundred instances, CPLEX
found an optimal solution in 91 cases. Therefore, we applied the forward-
looking approach with all combinations of $\delta_{\mathrm{ini}}$ and
$\sigma\in\\{0.5,0.55,\dots,1.0\\}$ to these 91 instances and computed the gap
to the known optimal solution computed by CPLEX.
Figure 7 (top) shows the average gap (over the 91 instances) for each
combination of $\delta_{\mathrm{ini}}$ and $\sigma$. The figure shows that
best results are obtained for the combination
$(\delta_{\mathrm{ini}},\sigma)=(0.9,0.9)$. The graphic also shows that, as
desired, small variations in the parameters produce a small variation in the
average results of the method. It should be noted that the number of cycles
(or iterations) $\eta$ that are performed until the satisfaction of the
stopping rule (26) depends on $\delta_{\mathrm{ini}}$ and $\sigma$. Figure 7
(middle and bottom) displays the average number of cycles $\eta$ and the
average elapsed CPU time in seconds, as a function of $\delta_{\mathrm{ini}}$
and $\sigma$. On the one hand, the CPU time has a low dependence on $\sigma$
and, roughly speaking, is an increasing function of $\delta_{\mathrm{ini}}$.
On the other hand, the number of cycles has a low dependence on
$\delta_{\mathrm{ini}}$ and increases as $\sigma$ increases. Note that, when
$\sigma=1$, the rule (25) reduces to, at each cycle, discarding information of
previous cycles and defining the utilization fraction as the actual
utilization fraction of the cycle. In this case, the stopping rule (26) is
satisfied if and only if the utilization rates of all objects are the same for
two consecutive cycles. Figure 7 shows that, actually, this phenomenon occur;
but it produces a premature stopping with lower quality solutions. However,
regardless of the metrics related to computational cost, based on the quality
of the solutions obtained, we selected
$(\delta_{\mathrm{ini}},\sigma)=(0.9,0.9)$ for the rest of the experiments.
Figure 7: Average gap (to optimal solution computed with CPLEX), CPU time (in
seconds), and number of cycles of the forward-looking approach for variations
of its parameters $\delta_{\mathrm{ini}}$ and $\sigma$.
### 4.2 Forward-looking versus myopic approach
In a second set of experiments, we compare the introduced forward-looking
approach with $(\delta_{\mathrm{ini}},\sigma)=(0.9,0.9)$ against the myopic
approach, that only differs with the forward-looking approach in the objective
function that is minimized in each subproblem. In this comparison, a new set
of thirty instances with four, eight, and twelve periods is considered.
Instances were generated with the random generator introduced in Birgin et
al., (2020). In order to allow reproducibility, a table describing each
instance is given in the Appendix. Table 1 shows the number of binary
variables, continuous variables, and constraints of each instance when
$\xi\in\\{1,2,3,4\\}$ and, for the instances with eight or twelve periods,
$\xi=P$. Note that instances with twelve periods and $\xi=P$ have around
$400{,}000$ binary variables, $300{,}000$ continuous variables, and
$4{,}000{,}000$ constraints.
Table 1: Number of binary variables (BV), continuous variables (CV), and
constraints (CO) of the thirty considered instances.
Inst. | $\xi=1$ | $\xi=2$ | $\xi=3$ | $\xi=4$ | $\xi=P$
---|---|---|---|---|---
BV | CV | CO | BV | CV | CO | BV | CV | CO | BV | CV | CO | BV | CV | CO
4 periods | 1 | 369 | 150 | 2,664 | 609 | 294 | 5,688 | 897 | 518 | 8,168 | 1,185 | 838 | 9,352 | Since instances from 1 to 10 have $P=4$ periods, the case $\xi=P$ coincides with the case $\xi=4$.
2 | 270 | 150 | 1,683 | 498 | 310 | 3,787 | 786 | 566 | 5,555 | 1,218 | 1,046 | 7,331
3 | 298 | 176 | 1,854 | 450 | 304 | 3,122 | 626 | 496 | 4,074 | 754 | 656 | 4,634
4 | 397 | 152 | 2,649 | 529 | 240 | 3,805 | 721 | 384 | 5,205 | 1,041 | 704 | 6,453
5 | 487 | 150 | 3,752 | 695 | 254 | 6,932 | 951 | 430 | 9,396 | 1,335 | 910 | 11,076
6 | 290 | 202 | 1,809 | 546 | 402 | 3,845 | 898 | 754 | 5,757 | 1,042 | 914 | 6,349
7 | 572 | 214 | 4,443 | 844 | 358 | 8,667 | 1,164 | 630 | 11,683 | 1,308 | 790 | 12,275
8 | 503 | 154 | 3,328 | 675 | 282 | 5,456 | 979 | 426 | 11,560 | 1,235 | 746 | 12,680
9 | 318 | 196 | 2,044 | 538 | 380 | 3,672 | 706 | 556 | 4,520 | 1,138 | 1,036 | 6,296
10 | 345 | 162 | 2,072 | 525 | 290 | 3,584 | 749 | 434 | 5,784 | 1,069 | 754 | 7,032
8 periods | 11 | 1,028 | 444 | 9,014 | 1,848 | 868 | 19,982 | 3,368 | 1,668 | 40,422 | 5,672 | 2,820 | 70,806 | 28,904 | 21,764 | 265,142
12 | 1,116 | 394 | 9,701 | 1,872 | 754 | 20,881 | 3,040 | 1,378 | 35,801 | 4,848 | 2,338 | 58,953 | 30,096 | 19,874 | 324,841
13 | 593 | 362 | 3,824 | 1,105 | 722 | 8,004 | 1,889 | 1,298 | 14,092 | 3,281 | 2,418 | 22,780 | 20,625 | 16,818 | 113,308
14 | 921 | 374 | 7,804 | 1,609 | 734 | 17,444 | 2,721 | 1,358 | 32,308 | 4,673 | 2,414 | 60,884 | 23,297 | 18,286 | 238,260
15 | 986 | 390 | 8,311 | 1,702 | 742 | 17,911 | 2,982 | 1,430 | 33,255 | 5,334 | 2,710 | 62,487 | 25,910 | 17,558 | 228,343
16 | 974 | 408 | 7,886 | 1,782 | 840 | 19,586 | 2,982 | 1,528 | 36,114 | 5,174 | 2,616 | 69,122 | 31,094 | 26,168 | 257,986
17 | 1,251 | 394 | 10,836 | 2,071 | 714 | 26,772 | 3,455 | 1,386 | 50,388 | 5,631 | 2,282 | 91,972 | 27,359 | 16,362 | 432,452
18 | 839 | 380 | 6,413 | 1,467 | 756 | 13,393 | 2,483 | 1,460 | 23,449 | 3,859 | 2,420 | 36,057 | 18,547 | 15,924 | 130,777
19 | 1,020 | 400 | 8,012 | 1,660 | 720 | 16,656 | 2,780 | 1,296 | 31,432 | 4,620 | 2,320 | 53,288 | 22,956 | 17,488 | 202,888
20 | 1,141 | 414 | 10,206 | 1,825 | 774 | 19,074 | 2,977 | 1,350 | 34,826 | 5,089 | 2,374 | 66,490 | 30,401 | 19,334 | 377,914
12 periods | 21 | 1,184 | 514 | 8,941 | 2,056 | 978 | 19,957 | 3,728 | 1,842 | 42,077 | 6,784 | 3,442 | 82,925 | 343,904 | 246,834 | 3,855,917
22 | 1,559 | 576 | 13,531 | 2,595 | 1,080 | 29,079 | 4,483 | 1,944 | 58,567 | 7,827 | 3,544 | 108,343 | 307,763 | 248,728 | 2,474,167
23 | 1,158 | 530 | 8,965 | 2,066 | 1,050 | 19,405 | 3,794 | 1,994 | 40,397 | 6,626 | 3,594 | 73,149 | 326,178 | 295,370 | 2,276,765
24 | 1,258 | 562 | 9,857 | 2,198 | 1,058 | 21,645 | 3,838 | 1,986 | 40,837 | 7,086 | 3,714 | 81,909 | 370,446 | 314,050 | 2,672,821
25 | 1,443 | 584 | 12,671 | 2,403 | 1,096 | 25,275 | 4,283 | 2,104 | 50,827 | 7,387 | 3,928 | 88,299 | 359,931 | 319,320 | 2,927,211
26 | 1,230 | 524 | 9,706 | 2,218 | 1,028 | 22,226 | 3,970 | 1,892 | 44,954 | 7,202 | 3,588 | 83,226 | 395,682 | 263,684 | 3,072,506
27 | 1,452 | 558 | 11,777 | 2,480 | 1,054 | 26,525 | 4,472 | 2,030 | 56,773 | 7,928 | 3,790 | 108,821 | 482,392 | 405,326 | 4,270,261
28 | 1,587 | 546 | 13,404 | 2,567 | 1,010 | 28,464 | 4,471 | 1,874 | 59,328 | 8,135 | 3,570 | 119,344 | 417,927 | 269,042 | 5,343,952
29 | 1,488 | 656 | 12,636 | 2,596 | 1,224 | 27,628 | 4,588 | 2,264 | 54,436 | 8,300 | 4,152 | 106,004 | 480,652 | 339,576 | 6,202,740
30 | 1,299 | 630 | 10,782 | 2,363 | 1,198 | 24,670 | 4,259 | 2,238 | 49,086 | 7,315 | 4,126 | 82,830 | 435,731 | 336,414 | 4,289,870
Tables 2–6 show the results. The tables show, for the myopic and the forward-
looking approaches, the best objective function value found (i.e. the value of
(3)), the corresponding cost of the purchased objects, the corresponding value
of the leftovers at the final instant of the time horizon, and the CPU time in
seconds. In addition, for the forward-looking approach, tables show the gap
given by
$100\left(\frac{F_{\mathrm{flook}}-F_{\mathrm{myopic}}}{F_{\mathrm{myopic}}}\right)\%,$
(28)
where $F_{\mathrm{flook}}$ is the best objective function value found by the
forward-looking approach and $F_{\mathrm{myopic}}$ is the best objective
function value found by the myopic approach. It is important to notice that,
by definition, the objective function (3) is dominated by the objects’ cost
(which is multiplied by an upper bound on the value of the leftovers at the
last time instant); while the value of the leftovers at the last time instant
plays a “tie-breaking role”. Thus, a tiny gap may represent a situation where
both methods have found a solution with the same cost of the objects but with
a relevant difference in the value of the leftovers at instant $P$. Also note
that Tables 2–6 do not include averages in the columns corresponding to the
leftovers values. This is because, in the considered problem, the main goal is
to find a solution that minimizes the overall cost of the objects and, among
solutions with minimum costs of the objects, a solution that maximizes the
value of the leftovers at instant $P$. Thus, it makes no sense to compare the
value of the leftovers at instant $P$ of solutions with different objects
cost. It would be very easy to construct a solution with high objects cost and
plenty of leftovers at the end of the considered time horizon. Given two
solutions, the one with lower objects cost is better than the other; and in
case the objects cost is identical, the one with the higher value of the
leftovers at instant $P$ is preferable. Solutions must be compared with this
objective in mind; so the gaps must be examined carefully.
Table 2: Myopic approach versus forward-looking approach considering the
scenario with smallest possible use of leftovers, i.e. $\xi=1$.
Inst. | Myopic approach | Forward-looking approach
---|---|---
Best objective | Objects | Leftovers | CPU | Best objective | Objects | Leftovers | CPU | gap (%)
function value | cost | value | time | function value | cost | value | time
4 periods | 1 | 314,108,050 | 9,155 | 0 | 60.1 | 400,703,843 | 11,679 | 2,647 | 732.3 | 27.5688
2 | 187,422,365 | 6,715 | 0 | 30.9 | 187,422,365 | 6,715 | 0 | 122.5 | 0.0000
3 | 340,487,089 | 8,951 | 0 | 3.8 | 340,487,089 | 8,951 | 0 | 237.9 | 0.0000
4 | 309,586,584 | 9,677 | 0 | 1.3 | 309,586,584 | 9,677 | 0 | 677.9 | 0.0000
5 | 444,536,794 | 15,954 | 5,462 | 60.3 | 182,258,424 | 6,541 | 0 | 1,443.5 | -59.0004
6 | 236,240,392 | 6,246 | 2,066 | 0.2 | 148,039,222 | 3,914 | 0 | 124.9 | -37.3353
7 | 607,520,858 | 13,433 | 0 | 15.8 | 607,520,858 | 13,433 | 0 | 916.3 | 0.0000
8 | 241,124,382 | 12,191 | 1,407 | 96.8 | 191,042,687 | 9,659 | 2,674 | 2,260.8 | -20.7701
9 | 226,123,995 | 4,757 | 0 | 0.8 | 226,123,995 | 4,757 | 0 | 221.0 | 0.0000
10 | 354,815,285 | 10,884 | 3,115 | 8.9 | 354,815,285 | 10,884 | 3,115 | 470.3 | 0.0000
Avg. | 326,196,579 | 9,796 | | 27.9 | 294,800,035 | 8,621 | | 720.7 | -8.9537
8 periods | 11 | 1,550,317,180 | 16,165 | 3,310 | 180.3 | 1,482,704,276 | 15,460 | 2,484 | 4,664.5 | -4.3612
12 | 1,625,463,920 | 17,980 | 0 | 103.7 | 1,764,776,484 | 19,521 | 0 | 2,880.4 | 8.5706
13 | 1,102,076,378 | 11,453 | 0 | 0.7 | 1,102,076,378 | 11,453 | 0 | 627.0 | 0.0000
14 | 1,423,459,632 | 16,701 | 0 | 18.7 | 1,360,217,488 | 15,959 | 0 | 2,970.7 | -4.4428
15 | 1,156,701,480 | 15,396 | 0 | 159.0 | 1,169,398,450 | 15,565 | 0 | 3,086.2 | 1.0977
16 | 1,037,649,354 | 12,633 | 0 | 163.6 | 1,299,831,032 | 15,825 | 2,818 | 4,514.8 | 25.2669
17 | 1,236,188,630 | 17,285 | 0 | 124.9 | 1,236,188,630 | 17,285 | 0 | 3,578.7 | 0.0000
18 | 1,271,449,952 | 15,649 | 0 | 61.6 | 1,271,449,952 | 15,649 | 0 | 1,689.7 | 0.0000
19 | 1,489,848,521 | 17,883 | 2,092 | 125.9 | 1,589,990,435 | 19,085 | 0 | 3,001.7 | 6.7216
20 | 1,464,089,337 | 17,855 | 2,808 | 63.7 | 1,555,845,819 | 18,974 | 3,207 | 2,160.4 | 6.2671
Avg. | 1,335,724,438 | 15,683 | | 104.3 | 1,364,070,347 | 16,200 | | 3,001.5 | 3.6503
12 periods | 21 | 2,905,035,501 | 22,879 | 2,645 | 61.3 | 3,012,458,150 | 23,725 | 0 | 2,638.6 | 3.6978
22 | 2,526,326,584 | 22,230 | 1,766 | 181.6 | 2,592,808,909 | 22,815 | 1,766 | 3,926.3 | 2.6316
23 | 2,586,793,620 | 22,189 | 0 | 74.0 | 2,910,185,329 | 24,963 | 1,211 | 2,340.8 | 12.5016
24 | 2,745,092,742 | 23,139 | 2,523 | 73.7 | 2,753,399,715 | 23,209 | 0 | 2,387.0 | 0.3026
25 | 3,911,466,834 | 28,039 | 1,705 | 135.8 | 3,770,293,527 | 27,027 | 0 | 3,244.8 | -3.6092
26 | 3,966,384,615 | 27,042 | 735 | 124.7 | 3,927,662,020 | 26,778 | 1,130 | 3,847.7 | -0.9763
27 | 3,462,474,633 | 26,709 | 0 | 240.9 | 3,711,377,673 | 28,629 | 0 | 2,842.8 | 7.1886
28 | 3,106,309,844 | 28,536 | 4,972 | 159.8 | 2,956,637,816 | 27,161 | 0 | 3,652.1 | -4.8183
29 | 2,682,280,094 | 19,795 | 1,791 | 135.6 | 2,802,335,761 | 20,681 | 1,782 | 2,796.8 | 4.4759
30 | 3,821,604,621 | 24,685 | 3,654 | 182.3 | 3,437,821,791 | 22,206 | 99 | 1,904.0 | -10.0425
Avg. | 3,171,376,909 | 24,524 | | 137.0 | 3,187,498,069 | 24,719 | | 2,958.1 | 1.1352
Avg. | 1,611,099,309 | 16,740 | | 88.4 | 1,621,848,666 | 16,606 | | 2,198.7 | -1.3022
Table 3: Myopic approach versus forward-looking approach considering the
scenario with low use of leftovers, i.e. $\xi=2$.
Inst. | Myopic approach | Forward-looking approach
---|---|---
Best objective | Objects | Leftovers | CPU | Best objective | Objects | Leftovers | CPU | gap (%)
function value | cost | value | time | function value | cost | value | time
4 periods | 1 | 300,655,883 | 8,763 | 2,647 | 0.9 | 277,053,250 | 8,075 | 0 | 994.4 | -7.8504
2 | 183,066,191 | 6,559 | 2,058 | 0.8 | 187,421,443 | 6,715 | 922 | 441.1 | 2.3791
3 | 340,482,337 | 8,951 | 4,752 | 63.1 | 339,152,364 | 8,916 | 3,360 | 535.5 | -0.3906
4 | 309,582,278 | 9,677 | 4,306 | 76.5 | 277,209,196 | 8,665 | 1,484 | 781.3 | -10.4570
5 | 274,293,216 | 9,844 | 0 | 120.1 | 182,257,329 | 6,541 | 1,095 | 2,407.4 | -33.5538
6 | 181,132,639 | 4,789 | 1,708 | 2.4 | 179,167,551 | 4,737 | 0 | 350.0 | -1.0849
7 | 527,061,892 | 11,654 | 1,912 | 133.9 | 527,061,892 | 11,654 | 1,912 | 1,656.1 | 0.0000
8 | 166,697,412 | 8,428 | 0 | 36.9 | 166,697,412 | 8,428 | 0 | 936.7 | 0.0000
9 | 226,123,365 | 4,757 | 630 | 7.3 | 226,122,767 | 4,757 | 1,228 | 429.2 | -0.0003
10 | 284,400,266 | 8,724 | 2,134 | 61.5 | 284,400,266 | 8,724 | 2,134 | 618.2 | 0.0000
Avg. | 279,349,548 | 8,215 | | 50.3 | 264,654,347 | 7,721 | | 915.0 | -5.0958
8 periods | 11 | 1,425,351,269 | 14,862 | 3,703 | 246.3 | 1,200,933,896 | 12,522 | 1,036 | 2,632.4 | -15.7447
12 | 1,492,298,384 | 16,507 | 444 | 301.5 | 1,492,297,743 | 16,507 | 1,085 | 3,898.8 | 0.0000
13 | 1,041,838,902 | 10,827 | 0 | 5.8 | 741,805,859 | 7,709 | 375 | 687.4 | -28.7984
14 | 1,151,398,287 | 13,509 | 801 | 53.7 | 1,151,398,632 | 13,509 | 456 | 3,121.8 | 0.0000
15 | 1,190,883,867 | 15,851 | 1,763 | 137.6 | 1,104,410,088 | 14,700 | 912 | 3,913.4 | -7.2613
16 | 1,037,649,024 | 12,633 | 330 | 161.1 | 1,064,753,959 | 12,963 | 935 | 4,125.3 | 2.6121
17 | 1,137,778,191 | 15,909 | 1,671 | 190.0 | 1,083,926,464 | 15,156 | 344 | 6,128.1 | -4.7331
18 | 1,203,279,118 | 14,810 | 3,762 | 108.9 | 1,025,673,954 | 12,624 | 798 | 3,779.6 | -14.7601
19 | 1,111,449,959 | 13,341 | 2,092 | 193.6 | 1,257,579,545 | 15,095 | 0 | 3,526.3 | 13.1477
20 | 1,282,624,633 | 15,642 | 3,725 | 126.9 | 1,242,694,261 | 15,155 | 584 | 3,393.1 | -3.1132
Avg. | 1,207,455,163 | 14,389 | | 152.5 | 1,136,547,440 | 13,594 | | 3,520.6 | -5.8651
12 periods | 21 | 2,573,632,748 | 20,269 | 3,258 | 137.4 | 2,457,199,628 | 19,352 | 1,220 | 5,564.3 | -4.5241
22 | 2,286,762,128 | 20,122 | 2,562 | 195.5 | 2,380,519,253 | 20,947 | 2,562 | 3,351.6 | 4.1000
23 | 2,324,372,040 | 19,938 | 0 | 232.9 | 2,259,553,182 | 19,382 | 378 | 5,180.9 | -2.7887
24 | 2,704,400,499 | 22,796 | 2,961 | 173.4 | 2,517,790,605 | 21,223 | 0 | 2,540.5 | -6.9002
25 | 3,310,219,229 | 23,729 | 0 | 188.8 | 2,870,233,075 | 20,575 | 0 | 3,798.6 | -13.2918
26 | 3,384,818,287 | 23,077 | 688 | 129.9 | 3,229,489,415 | 22,018 | 735 | 4,707.2 | -4.5890
27 | 2,952,610,016 | 22,776 | 2,296 | 308.0 | 3,214,994,976 | 24,800 | 2,624 | 3,507.9 | 8.8865
28 | 2,991,904,474 | 27,485 | 2,686 | 231.7 | 2,717,695,698 | 24,966 | 3,198 | 5,075.0 | -9.1650
29 | 2,369,810,419 | 17,489 | 1,548 | 245.5 | 2,201,786,731 | 16,249 | 1,516 | 3,951.7 | -7.0902
30 | 3,189,962,135 | 20,605 | 940 | 174.1 | 2,837,294,505 | 18,327 | 0 | 3,256.4 | -11.0555
Avg. | 2,808,849,198 | 21,829 | | 201.7 | 2,668,655,707 | 20,784 | | 4,093.4 | -4.6418
Avg. | 1,431,884,636 | 14,811 | | 134.9 | 1,356,619,165 | 14,033 | | 2,843.0 | -5.2009
Table 4: Myopic approach versus forward-looking approach considering the
scenario with medium use of leftovers, i.e. $\xi=3$.
Inst. | Myopic approach | Forward-looking approach
---|---|---
Best objective | Objects | Leftovers | CPU | Best objective | Objects | Leftovers | CPU | gap (%)
function value | cost | value | time | function value | cost | value | time
4 periods | 1 | 177,005,290 | 5,159 | 0 | 60.5 | 277,052,202 | 8,075 | 1,048 | 743.2 | 56.5220
2 | 183,066,047 | 6,559 | 2,202 | 2.6 | 165,540,141 | 5,931 | 0 | 534.1 | -9.5735
3 | 340,482,702 | 8,951 | 4,387 | 63.4 | 205,638,144 | 5,406 | 690 | 400.9 | -39.6039
4 | 309,582,332 | 9,677 | 4,252 | 121.9 | 187,633,080 | 5,865 | 0 | 950.3 | -39.3915
5 | 274,289,096 | 9,844 | 4,120 | 122.4 | 182,257,427 | 6,541 | 997 | 2,654.2 | -33.5528
6 | 181,132,281 | 4,789 | 2,066 | 2.3 | 92,931,111 | 2,457 | 0 | 215.9 | -48.6943
7 | 352,310,540 | 7,790 | 0 | 135.6 | 352,310,540 | 7,790 | 0 | 1,113.0 | 0.0000
8 | 166,694,832 | 8,428 | 2,580 | 96.5 | 166,694,950 | 8,428 | 2,462 | 1,568.4 | 0.0001
9 | 226,122,641 | 4,757 | 1,354 | 8.8 | 226,122,641 | 4,757 | 1,354 | 387.7 | 0.0000
10 | 178,974,000 | 5,490 | 0 | 65.3 | 178,974,000 | 5,490 | 0 | 684.5 | 0.0000
Avg. | 238,965,976 | 7,144 | | 67.9 | 203,515,424 | 6,074 | | 925.2 | -11.4294
8 periods | 11 | 1,231,334,604 | 12,839 | 2,530 | 150.6 | 1,118,166,238 | 11,659 | 1,816 | 2,543.6 | -9.1907
12 | 1,661,892,542 | 18,383 | 4,190 | 301.7 | 1,459,391,772 | 16,143 | 0 | 4,490.6 | -12.1849
13 | 920,593,767 | 9,567 | 375 | 51.8 | 776,062,690 | 8,065 | 0 | 1,226.3 | -15.6998
14 | 1,019,203,389 | 11,958 | 867 | 50.2 | 1,019,203,408 | 11,958 | 848 | 3,878.2 | 0.0000
15 | 1,190,882,635 | 15,851 | 2,995 | 198.4 | 1,048,738,758 | 13,959 | 912 | 3,914.1 | -11.9360
16 | 1,210,381,894 | 14,736 | 3,674 | 143.9 | 966,517,321 | 11,767 | 525 | 4,190.4 | -20.1477
17 | 1,292,683,743 | 18,075 | 4,107 | 242.6 | 1,083,926,384 | 15,156 | 424 | 4,302.7 | -16.1491
18 | 911,276,358 | 11,216 | 1,210 | 173.6 | 1,025,673,954 | 12,624 | 798 | 4,277.2 | 12.5536
19 | 1,111,449,683 | 13,341 | 2,368 | 206.6 | 1,343,385,248 | 16,125 | 4,627 | 3,499.5 | 20.8678
20 | 1,218,090,995 | 14,855 | 4,150 | 242.6 | 1,045,977,464 | 12,756 | 1,780 | 3,820.2 | -14.1298
Avg. | 1,176,778,961 | 14,082 | | 176.2 | 1,088,704,324 | 13,021 | | 3,614.3 | -6.6017
12 periods | 21 | 2,263,564,302 | 17,827 | 1,196 | 174.9 | 2,177,222,273 | 17,147 | 905 | 4,195.6 | -3.8144
22 | 2,254,372,691 | 19,837 | 3,174 | 225.2 | 2,151,866,309 | 18,935 | 1,766 | 7,007.4 | -4.5470
23 | 2,093,542,769 | 17,958 | 871 | 182.2 | 2,198,114,815 | 18,855 | 1,085 | 4,105.2 | 4.9950
24 | 2,704,399,467 | 22,796 | 3,993 | 192.5 | 2,198,543,471 | 18,532 | 349 | 2,637.3 | -18.7049
25 | 3,374,945,006 | 24,193 | 2,687 | 209.1 | 2,750,262,215 | 19,715 | 0 | 4,346.5 | -18.5094
26 | 2,790,050,551 | 19,022 | 1,299 | 218.3 | 2,658,923,500 | 18,128 | 900 | 3,584.4 | -4.6998
27 | 2,719,263,555 | 20,976 | 2,157 | 312.6 | 2,804,696,495 | 21,635 | 0 | 4,507.4 | 3.1418
28 | 2,947,923,389 | 27,081 | 5,947 | 329.4 | 2,331,585,459 | 21,419 | 1,205 | 4,223.7 | -20.9075
29 | 2,280,785,228 | 16,832 | 1,268 | 247.8 | 2,163,304,424 | 15,965 | 971 | 6,646.0 | -5.1509
30 | 2,677,059,585 | 17,292 | 1,395 | 244.3 | 2,546,550,563 | 16,449 | 1,372 | 3,181.9 | -4.8751
Avg. | 2,610,590,654 | 20,381 | | 233.6 | 2,398,106,952 | 18,678 | | 4,443.5 | -7.3072
Avg. | 1,342,111,864 | 13,869 | | 159.3 | 1,230,108,900 | 12,591 | | 2,994.3 | -8.4461
Table 5: Myopic approach versus forward-looking approach considering the
scenario with high use of leftovers, i.e. $\xi=4$.
Inst. | Myopic approach | Forward-looking approach
---|---|---
Best objective | Objects | Leftovers | CPU | Best objective | Objects | Leftovers | CPU | gap (%)
function value | cost | value | time | function value | cost | value | time
4 periods | 1 | 177,003,277 | 5,159 | 2,013 | 68.2 | 277,048,397 | 8,075 | 4,853 | 1,045.8 | 56.5216
2 | 183,066,038 | 6,559 | 2,211 | 2.6 | 165,538,679 | 5,931 | 1,462 | 508.7 | -9.5743
3 | 340,482,702 | 8,951 | 4,387 | 63.4 | 205,637,388 | 5,406 | 1,446 | 366.0 | -39.6042
4 | 309,582,269 | 9,677 | 4,315 | 122.0 | 309,582,225 | 9,677 | 4,359 | 872.1 | 0.0000
5 | 274,288,961 | 9,844 | 4,255 | 123.0 | 182,257,457 | 6,541 | 967 | 1,686.5 | -33.5528
6 | 181,131,635 | 4,789 | 2,712 | 2.5 | 92,930,797 | 2,457 | 314 | 353.7 | -48.6943
7 | 352,308,306 | 7,790 | 2,234 | 193.9 | 352,308,700 | 7,790 | 1,840 | 1,553.6 | 0.0001
8 | 166,694,901 | 8,428 | 2,511 | 96.9 | 166,694,948 | 8,428 | 2,464 | 1,641.1 | 0.0000
9 | 226,122,426 | 4,757 | 1,569 | 8.9 | 226,122,426 | 4,757 | 1,569 | 470.5 | 0.0000
10 | 178,973,172 | 5,490 | 828 | 65.3 | 178,972,975 | 5,490 | 1,025 | 669.4 | -0.0001
Avg. | 238,965,369 | 7,144 | | 74.7 | 215,709,399 | 6,455 | | 916.7 | -7.4904
8 periods | 11 | 997,133,908 | 10,397 | 774 | 80.5 | 1,007,107,737 | 10,501 | 1,169 | 3,315.6 | 1.0002
12 | 1,555,759,725 | 17,209 | 2,711 | 307.0 | 1,283,103,972 | 14,193 | 0 | 6,345.4 | -17.5256
13 | 1,006,137,497 | 10,456 | 1,559 | 60.9 | 741,805,436 | 7,709 | 798 | 1,203.2 | -26.2720
14 | 1,019,202,506 | 11,958 | 1,750 | 210.5 | 1,019,203,304 | 11,958 | 952 | 4,411.3 | 0.0001
15 | 1,190,882,363 | 15,851 | 3,267 | 185.6 | 1,010,121,118 | 13,445 | 1,732 | 5,956.5 | -15.1788
16 | 1,210,381,894 | 14,736 | 3,674 | 201.3 | 1,037,648,275 | 12,633 | 1,079 | 2,826.9 | -14.2710
17 | 1,137,777,475 | 15,909 | 2,387 | 288.6 | 1,031,360,898 | 14,421 | 180 | 5,989.4 | -9.3530
18 | 1,203,278,753 | 14,810 | 4,127 | 188.2 | 1,025,673,270 | 12,624 | 1,482 | 6,018.4 | -14.7601
19 | 1,111,449,235 | 13,341 | 2,816 | 208.1 | 1,026,389,387 | 12,320 | 2,133 | 5,096.1 | -7.6531
20 | 1,282,623,697 | 15,642 | 4,661 | 308.5 | 1,049,996,019 | 12,805 | 1,176 | 4,192.9 | -18.1369
Avg. | 1,171,462,705 | 14,031 | | 203.9 | 1,023,240,942 | 12,261 | | 4,535.6 | -12.2150
12 periods | 21 | 2,197,791,998 | 17,309 | 968 | 226.6 | 2,243,630,156 | 17,670 | 424 | 3,523.3 | 2.0856
22 | 2,254,372,691 | 19,837 | 3,174 | 199.3 | 1,940,033,795 | 17,071 | 0 | 4,644.8 | -13.9435
23 | 2,061,483,504 | 17,683 | 636 | 223.2 | 2,073,956,989 | 17,790 | 1,211 | 5,502.0 | 0.6051
24 | 2,301,874,270 | 19,403 | 635 | 189.4 | 2,173,748,840 | 18,323 | 265 | 2,756.2 | -5.5661
25 | 2,981,413,301 | 21,372 | 2,071 | 141.9 | 2,779,137,217 | 19,922 | 1,705 | 4,666.2 | -6.7846
26 | 2,929,977,991 | 19,976 | 1,809 | 253.6 | 2,658,922,840 | 18,128 | 1,560 | 4,117.1 | -9.2511
27 | 2,727,819,075 | 21,042 | 2,679 | 364.1 | 2,727,819,151 | 21,042 | 2,603 | 7,119.4 | 0.0000
28 | 2,792,803,602 | 25,656 | 5,934 | 337.3 | 2,421,391,653 | 22,244 | 1,211 | 4,623.5 | -13.2989
29 | 2,491,626,343 | 18,388 | 2,821 | 178.7 | 2,147,856,651 | 15,851 | 1,402 | 5,261.6 | -13.7970
30 | 2,677,058,982 | 17,292 | 1,998 | 245.5 | 2,262,001,370 | 14,611 | 595 | 4,625.8 | -15.5042
Avg. | 2,541,622,176 | 19,796 | | 236.0 | 2,342,849,866 | 18,265 | | 4,684.0 | -7.5455
Avg. | 1,317,350,083 | 13,657 | | 171.5 | 1,193,933,402 | 12,327 | | 3,378.8 | -9.0836
Table 6: Myopic approach versus forward-looking approach considering the
scenario with unrestricted use of leftovers, i.e. $\xi=P$.
Inst. | Myopic approach | Forward-looking approach
---|---|---
Best objective | Objects | Leftovers | CPU | Best objective | Objects | Leftovers | CPU | gap (%)
function value | cost | value | time | function value | cost | value | time
8 periods | 11 | 1,215,891,809 | 12,678 | 4,459 | 189.5 | 909,955,304 | 9,488 | 824 | 4,170.5 | -25.1615
12 | 1,555,758,322 | 17,209 | 4,114 | 306.5 | 1,254,444,657 | 13,876 | 1,247 | 5,958.0 | -19.3676
13 | 773,366,591 | 8,037 | 1,771 | 68.5 | 594,579,167 | 6,179 | 1,287 | 1,887.5 | -23.1181
14 | 1,019,201,343 | 11,958 | 2,913 | 206.2 | 900,474,723 | 10,565 | 1,357 | 4,569.7 | -11.6490
15 | 1,190,882,133 | 15,851 | 3,497 | 156.2 | 1,003,810,128 | 13,361 | 1,802 | 5,501.9 | -15.7087
16 | 1,210,381,894 | 14,736 | 3,674 | 201.4 | 980,726,922 | 11,940 | 798 | 4,128.7 | -18.9738
17 | 1,137,777,262 | 15,909 | 2,600 | 288.4 | 1,025,352,729 | 14,337 | 837 | 6,173.7 | -9.8811
18 | 1,203,277,781 | 14,810 | 5,099 | 188.3 | 925,900,439 | 11,396 | 1,769 | 3,356.6 | -23.0518
19 | 1,111,448,881 | 13,341 | 3,170 | 268.7 | 883,095,903 | 10,600 | 697 | 8,360.2 | -20.5455
20 | 1,190,621,519 | 14,520 | 3,961 | 305.4 | 873,944,862 | 10,658 | 480 | 6,159.4 | -26.5976
Avg. | 1,160,860,754 | 13,905 | | 217.9 | 935,228,483 | 11,240 | | 5,026.6 | -19.4055
12 periods | 21 | 1,983,206,578 | 15,619 | 328 | 173.5 | 1,873,119,997 | 14,752 | 451 | 6,038.4 | -5.5509
22 | 1,813,886,558 | 15,961 | 1,287 | 262.2 | 1,727,516,865 | 15,201 | 780 | 9,290.1 | -4.7616
23 | 1,741,938,045 | 14,942 | 315 | 250.5 | 1,691,575,639 | 14,510 | 161 | 8,961.1 | -2.8912
24 | 2,301,871,943 | 19,403 | 2,962 | 187.4 | 1,969,220,958 | 16,599 | 1,407 | 3,904.1 | -14.4513
25 | 2,883,203,059 | 20,668 | 3,609 | 202.4 | 2,434,989,295 | 17,455 | 660 | 6,673.6 | -15.5457
26 | 2,790,048,502 | 19,022 | 3,348 | 193.4 | 2,290,036,133 | 15,613 | 642 | 8,996.0 | -17.9213
27 | 2,727,820,154 | 21,042 | 1,600 | 247.7 | 2,391,282,662 | 18,446 | 1,440 | 5,000.3 | -12.3372
28 | 2,303,933,956 | 21,165 | 3,284 | 309.0 | 2,039,308,091 | 18,734 | 213 | 11,452.9 | -11.4858
29 | 1,989,452,967 | 14,682 | 2,079 | 160.0 | 1,970,076,007 | 14,539 | 2,110 | 5,093.2 | -0.9740
30 | 2,677,058,826 | 17,292 | 2,154 | 244.8 | 2,153,321,736 | 13,909 | 99 | 5,106.9 | -19.5639
Avg. | 2,321,242,059 | 17,980 | | 223.1 | 2,054,044,738 | 15,976 | | 7,051.6 | -10.5483
Avg. | 1,741,051,406 | 15,942 | | 220.5 | 1,494,636,611 | 13,608 | | 6,039.1 | -14.9769
From what was recalled in the previous paragraph, by the definition of the
problem, to win means to find a solution with strictly lower cost of the
objects or with equal cost of the objects and strictly higher value of the
leftovers at instant $P$. To tie means to find a solution with the same cost
of the objects and the same value of the leftovers at instant $P$. If the
method does not win or does not tie, then it loses. In Tables 2–6, values in
bold correspond to the cases in which the method wins or ties. Table 7
summarizes the results. Each cell of the table is of the form “W/T/L G(%)”,
i.e. for each combination of number of periods $P\in\\{4,8,12\\}$ and
parameter $\xi\in\\{1,2,3,4,P\\}$ (comprising 10 instances), it displays the
number of instances in which the forward-looking strategy wins, ties, and
looses (with respect to the myopic approach), and the average gap given by
(28). Figures in the table shows that, the larger the chance of taking
advantage of leftovers (i.e. the larger $\xi$), the larger the number of
victories and the larger the gap. Clearly, the way to estimate the future
impact of current decisions is heuristic in nature. This fact, associated with
an instance in which there is little chance of using leftovers from previous
periods (small $\xi$) occasionally leads the myopic method to obtain better
results. This is an expected behavior that does not diminish the value of the
proposed method. In the case $\xi=P$, which is the extreme case of the type of
instances for which the method was developed, the forward looking approach
find better solutions in all instances, with an average gap of, approximately,
15%.
Table 7: Summary of the comparison between the myopic and the forward-looking
approaches in the set of thirty instances with 4, 8, and 12 periods and
$\xi\in\\{1,2,3,4,P\\}$.
Periods | $\xi=1$ | $\xi=2$ | $\xi=3$ | $\xi=4$ | $\xi=P$
---|---|---|---|---|---
W/T/L | G(%) | W/T/L | G(%) | W/T/L | G(%) | W/T/L | G(%) | W/T/L | G(%)
4 | 3/6/1 | -8.95 | 6/3/1 | -5.01 | 5/3/2 | -11.43 | 6/1/3 | -7.49 | – | –
8 | 2/3/5 | 3.65 | 7/0/3 | -5.87 | 7/0/3 | -6.60 | 8/0/2 | -12.22 | 10/0/0 | -19.41
12 | 4/0/6 | 1.14 | 8/0/2 | -4.64 | 8/0/2 | -7.31 | 7/0/3 | -7.55 | 10/0/0 | -10.55
Avg. | 9/9/12 | -1.30 | 21/3/6 | -5.20 | 20/3/7 | -8.45 | 21/1/8 | -9.08 | 20/0/0 | -14.98
### 4.3 Assessing the quality of small instances’ solutions
In the previous section, numerical experiments made clear that the forward-
looking approach outperforms the myopic approach; and the greater the
possibility of economy using leftovers (i.e. the larger the parameter $\xi$),
the greater the advantage of the method. Since both methods differ in the
looking-ahead objective function being minimized at each period, it is clear
that this characteristic is well succeeded in that which it is intended to
accomplish. On the other hand, we know nothing about how far from the optimal
solution are the solutions that the method finds. In this section we perform
an experiment comparing the solutions found by the forward-looking approach
with the solutions found with CPLEX.
We consider in this experiment the ten instances with four periods and
$\xi\in\\{1,2,3,4\\}$. These problems, i.e. the corresponding multi-period
models ${\cal M}(p,P)$, were solved with CPLEX, considering a time limit of
two hours. The left-hand side of Table 8 shows the results. The table shows
the ceiling of the best lower bound, the best objective function value found,
the relative gap (27), and the CPU time in seconds. In addition, Since the
value of the objective function (3) mixes the cost of the objects and the
value of the leftovers at instant $P$ and, thus, it is not very informative by
itself, the table shows the cost of the objects and the value of the leftovers
associated with each solution found. The right-hand side of the table gathers,
from Tables 2–5, the results obtained by the forward-looking approach. In the
right-hand side of table, “gap(%)” represents the relative gap between the
solutions found by both methods, computed as
$100\left(\frac{F_{\mathrm{flook}}-F_{\mathrm{cplex}}}{F_{\mathrm{cplex}}}\right)\%,$
(29)
where $F_{\mathrm{flook}}$ is the best objective function value found by the
forward-looking approach and $F_{\mathrm{cplex}}$ is the best objective
function value found by CPLEX. The table shows that, within the imposed CPU
time limit, for $\xi=1,2,3,4$, CPLEX closed the gap in 7, 5, 4, and 0
instances (out of 10) respectively; while the average gap (29) between CPLEX
and the forward-looking approach was 5.8%, 13.4%, -1.1%, and -4.7%. For the
instances with $\xi=1$, the forward-looking approach matched the solution
found by CPLEX in 5 cases of which 4 are known to be optimal; and none
solution was improved. For the instances with $\xi=2$, the forward-looking
approach matched 2 solutions (one of them known to be optimal) and improved
other 2 solutions. For the instances with $\xi=3$, the forward-looking
approach matched 3 solutions (known to be optimal) and improved other 3. For
the instances with $\xi=4$, the forward-looking approach improved 5 solutions
found by CPLEX.
First of all, we should note that in this experiment we are considering
instances with only four periods, which correspond to the smallest instances
being considered in this work. Within this set, the cases in which CPLEX wins
are concentrated in the instances with $\xi=1,2$, which correspond to the
smallest instances and to the instances in which there is little space to
exploit leftovers. It is not expected the proposed method to be advantageous
when the instance is so small that it can be solved optimally using CPLEX. On
the other hand, the numbers show that (a) the proposed method finds solutions
close to the optimal solutions when the optimal solutions are known and that,
(b) even considering instances with as few as four periods, the larger the
$\xi$, the greater the advantage of using the proposed method.
To corroborate the statements of the previous paragraph, we also experimented
running CPLEX in the 20 most difficult instances, with 8 and 12 periods and
$\xi\in\\{4,P\\}$. Table 9 shows the results. In 16 out of the 20 instances
with $\xi=4$, CPLEX was able to find a feasible solution; while it failed to
find a feasible solution in the other 4 instances. Of those 16 instances, the
forward-looking approach found better solutions in 15 instances, with an
average gap of -33.62%. Of the total 20 instances with $\xi=P$, CPLEX found a
feasible solution in only 2 instances; and in these two cases the forward-
looking approach found better solutions, with an average gap of -74.81%.
Table 8: Comparison of the forward-looking approach solutions with the
solutions found by CPLEX (two hours of CPU time limit) in the ten instances
with four periods and $\xi\in\\{1,2,3,4\\}$.
$\xi$ | Inst. | CPLEX | Forward-looking approach
---|---|---|---
Ceiling of best | Best objective | Objects | Leftovers | gap (%) | CPU | Best objective | Objects | Leftovers | CPU | gap (%)
lower bound | function value | cost | value | time | function value | cost | value | time
1 | 1 | 314,108,050 | 314,108,050 | 9,155 | 0 | 0.0000 | 0.2 | 400,703,843 | 11,679 | 2,647 | 732.3 | 27.5688
2 | 183,065,474 | 187,422,365 | 6,715 | 0 | 2.3246 | 7,200.0 | 187,422,365 | 6,715 | 0 | 122.5 | 0.0000
3 | 339,152,904 | 339,152,904 | 8,916 | 2,820 | 0.0000 | 0.3 | 340,487,089 | 8,951 | 0 | 237.9 | 0.3934
4 | 309,586,584 | 309,586,584 | 9,677 | 0 | 0.0000 | 0.2 | 309,586,584 | 9,677 | 0 | 677.9 | 0.0000
5 | 182,258,424 | 182,258,424 | 6,541 | 0 | 0.0000 | 50.6 | 182,258,424 | 6,541 | 0 | 1,443.5 | 0.0000
6 | 148,039,222 | 148,039,222 | 3,914 | 0 | 0.0000 | 0.1 | 148,039,222 | 3,914 | 0 | 124.9 | 0.0000
7 | 580,789,740 | 580,790,380 | 12,842 | 1,912 | 0.0001 | 7,200.0 | 607,520,858 | 13,433 | 0 | 916.3 | 4.6024
8 | 80,065,392 | 186,634,644 | 9,436 | 0 | 57.1005 | 7,200.0 | 191,042,687 | 9,659 | 2,674 | 2,260.8 | 2.3619
9 | 226,123,995 | 226,123,995 | 4,757 | 0 | 0.0000 | 0.2 | 226,123,995 | 4,757 | 0 | 221.0 | 0.0000
10 | 288,510,000 | 288,510,000 | 8,850 | 0 | 0.0000 | 268.4 | 354,815,285 | 10,884 | 3,115 | 470.3 | 22.9820
Avg. | | 276,262,657 | 8,080 | | 5.9425 | 2,192.0 | 294,800,035 | 8,621 | | 720.7 | 5.7909
2 | 1 | 277,053,250 | 277,053,250 | 8,075 | 0 | 0.0000 | 0.3 | 277,053,250 | 8,075 | 0 | 994.4 | 0.0000
2 | 125,208,746 | 125,208,746 | 4,486 | 0 | 0.0000 | 1,942.0 | 187,421,443 | 6,715 | 922 | 441.1 | 49.6872
3 | 205,638,834 | 205,638,834 | 5,406 | 0 | 0.0000 | 0.3 | 339,152,364 | 8,916 | 3,360 | 535.5 | 64.9262
4 | 216,808,300 | 277,209,196 | 8,665 | 1,484 | 21.7889 | 7,200.0 | 277,209,196 | 8,665 | 1,484 | 781.3 | 0.0000
5 | 162,301,312 | 235,866,007 | 8,465 | 2,753 | 31.1892 | 7,200.0 | 182,257,329 | 6,541 | 1,095 | 2,407.4 | -22.7284
6 | 136,049,331 | 136,049,331 | 3,597 | 0 | 0.0000 | 1.8 | 179,167,551 | 4,737 | 0 | 350.0 | 31.6931
7 | 406,039,028 | 491,516,168 | 10,868 | 0 | 17.3905 | 7,200.0 | 527,061,892 | 11,654 | 1,912 | 1,656.1 | 7.2319
8 | 80,062,469 | 186,631,619 | 9,436 | 3,025 | 57.1013 | 7,200.0 | 166,697,412 | 8,428 | 0 | 936.7 | -10.6810
9 | 226,117,517 | 226,122,466 | 4,757 | 1,529 | 0.0022 | 7,200.0 | 226,122,767 | 4,757 | 1,228 | 429.2 | 0.0001
10 | 249,388,985 | 249,388,985 | 7,650 | 1,015 | 0.0000 | 551.8 | 284,400,266 | 8,724 | 2,134 | 618.2 | 14.0388
Avg. | | 241,068,460 | 7,141 | | 12.7472 | 3,849.6 | 264,654,347 | 7,721 | | 915.0 | 13.4168
3 | 1 | 177,005,290 | 177,005,290 | 5,159 | 0 | 0.0000 | 4.5 | 277,052,202 | 8,075 | 1,048 | 743.2 | 56.5226
2 | 111,055,089 | 165,538,722 | 5,931 | 1,419 | 32.9129 | 7,200.0 | 165,540,141 | 5,931 | 0 | 534.1 | 0.0000
3 | 115,486,404 | 205,637,382 | 5,406 | 1,452 | 43.8398 | 7,200.0 | 205,638,144 | 5,406 | 690 | 400.9 | 0.0000
4 | 127,232,184 | 309,582,248 | 9,677 | 4,336 | 58.9020 | 7,200.0 | 187,633,080 | 5,865 | 0 | 950.3 | -39.3924
5 | 73,560,960 | 203,212,152 | 7,293 | 0 | 63.8009 | 7,200.0 | 182,257,427 | 6,541 | 997 | 2,654.2 | -10.3113
6 | 92,931,111 | 92,931,111 | 2,457 | 0 | 0.0000 | 44.0 | 92,931,111 | 2,457 | 0 | 215.9 | 0.0000
7 | 352,310,540 | 352,310,540 | 7,790 | 0 | 0.0000 | 6.3 | 352,310,540 | 7,790 | 0 | 1,113.0 | 0.0000
8 | 36,551,592 | 203,244,701 | 10,276 | 4,303 | 82.0160 | 7,200.0 | 166,694,950 | 8,428 | 2,462 | 1,568.4 | -17.9837
9 | 226,118,625 | 226,122,492 | 4,757 | 1,503 | 0.0017 | 7,200.0 | 226,122,641 | 4,757 | 1,354 | 387.7 | 0.0000
10 | 178,974,000 | 178,974,000 | 5,490 | 0 | 0.0000 | 9.9 | 178,974,000 | 5,490 | 0 | 684.5 | 0.0000
Avg. | | 211,455,864 | 6,424 | | 28.1473 | 4,326.5 | 203,515,424 | 6,074 | | 925.2 | -1.1165
4 | 1 | 176,987,996 | 177,003,339 | 5,159 | 1,951 | 0.0087 | 7,200.0 | 277,048,397 | 8,075 | 4,853 | 1,045.8 | 56.5216
2 | 111,048,262 | 169,836,152 | 6,085 | 2,283 | 34.6145 | 7,200.0 | 165,538,679 | 5,931 | 1,462 | 508.7 | -2.5304
3 | 115,477,259 | 205,637,085 | 5,406 | 1,749 | 43.8441 | 7,200.0 | 205,637,388 | 5,406 | 1,446 | 366.0 | 0.0001
4 | 127,219,757 | 314,860,300 | 9,842 | 4,964 | 59.5949 | 7,200.0 | 309,582,225 | 9,677 | 4,359 | 872.1 | -1.6763
5 | 53,604,707 | 276,768,471 | 9,933 | 4,641 | 80.6319 | 7,200.0 | 182,257,457 | 6,541 | 967 | 1,686.5 | -34.1480
6 | 92,925,615 | 92,930,733 | 2,457 | 378 | 0.0055 | 7,200.0 | 92,930,797 | 2,457 | 314 | 353.7 | 0.0001
7 | 352,266,598 | 406,035,779 | 8,978 | 3,249 | 13.2425 | 7,200.0 | 352,308,700 | 7,790 | 1,840 | 1,553.6 | -13.2321
8 | 36,542,003 | 347,683,703 | 17,579 | 11,338 | 89.4899 | 7,200.0 | 166,694,948 | 8,428 | 2,464 | 1,641.1 | -52.0556
9 | 226,115,028 | 226,122,389 | 4,757 | 1,606 | 0.0033 | 7,200.0 | 226,122,426 | 4,757 | 1,569 | 470.5 | 0.0000
10 | 178,945,728 | 178,972,785 | 5,490 | 1,215 | 0.0151 | 7,200.0 | 178,972,975 | 5,490 | 1,025 | 669.4 | 0.0001
Avg. | | 239,585,074 | 7,569 | | 32.1450 | 7,200.0 | 215,709,399 | 6,455 | | 916.7 | -4.7121
Table 9: Comparison of the forward-looking approach solutions with the
solutions found by CPLEX (two hours of CPU time limit) in the twenty instances
with eight and twelve periods and $\xi\in\\{4,P\\}$.
$\xi$ | Inst. | CPLEX | Forward-looking approach
---|---|---|---
Ceiling of best | Best objective | Objects | Leftovers | gap (%) | CPU | Best objective | Objects | Leftovers | CPU | gap (%)
lower bound | function value | cost | value | time | function value | cost | value | time
4 | 11 | 473,194,584 | 1,693,795,866 | 17,661 | 0 | 72.0631 | 7,200.0 | 1,007,107,737 | 10,501 | 1,169 | 3,315.6 | -40.5414
12 | 436,284,255 | 2,225,925,343 | 24,622 | 1,945 | 80.3999 | 7,200.0 | 1,283,103,972 | 14,193 | 0 | 6,345.4 | -42.3564
13 | 372,869,732 | 612,863,014 | 6,369 | 380 | 39.1594 | 7,200.0 | 741,805,436 | 7,709 | 798 | 1,203.2 | 21.0394
14 | 222,188,546 | 1,658,613,465 | 19,460 | 1,255 | 86.6040 | 7,200.0 | 1,019,203,304 | 11,958 | 952 | 4,411.3 | -38.5509
15 | 262,867,798 | 2,360,960,250 | 31,425 | 0 | 88.8661 | 7,200.0 | 1,010,121,118 | 13,445 | 1,732 | 5,956.5 | -57.2157
16 | 383,736,775 | 2,283,682,476 | 27,803 | 338 | 83.1966 | 7,200.0 | 1,037,648,275 | 12,633 | 1,079 | 2,826.9 | -54.5625
17 | Solution not found | 7,200.0 | 1,031,360,898 | 14,421 | 180 | 5,989.4 | –
18 | 441,237,340 | 1,205,070,336 | 14,832 | 0 | 63.3849 | 7,200.0 | 1,025,673,270 | 12,624 | 1,482 | 6,018.4 | -14.8869
19 | 618,229,016 | 1,814,594,666 | 21,781 | 2,225 | 65.9302 | 7,200.0 | 1,026,389,387 | 12,320 | 2,133 | 5,096.1 | -43.4370
20 | 538,241,436 | 1,151,593,956 | 14,044 | 0 | 53.2612 | 7,200.0 | 1,049,996,019 | 12,805 | 1,176 | 4,192.9 | -8.8224
Avg. | | 1,667,455,486 | 19,777 | | 70.3184 | 7,200.0 | 1,023,240,942 | 12,261 | | 4,535.6 | -31.0371
21 | 997,752,674 | 2,977,411,727 | 23,449 | 1,599 | 66.4893 | 7,200.0 | 2,243,630,156 | 17,670 | 424 | 3,523.3 | -24.6449
22 | 848,244,208 | 3,940,979,158 | 34,678 | 2,152 | 78.4763 | 7,200.0 | 1,940,033,795 | 17,071 | 0 | 4,644.8 | -50.7728
23 | 1,113,793,829 | 2,572,336,398 | 22,065 | 1,302 | 56.7011 | 7,200.0 | 2,073,956,989 | 17,790 | 1,211 | 5,502.0 | -19.3746
24 | 992,737,680 | 3,628,332,840 | 30,584 | 0 | 72.6393 | 7,200.0 | 2,173,748,840 | 18,323 | 265 | 2,756.2 | -40.0896
25 | 664,024,760 | 5,652,018,841 | 40,516 | 3,675 | 88.2515 | 7,200.0 | 2,779,137,217 | 19,922 | 1,705 | 4,666.2 | -50.8293
26 | 930,198,690 | 4,379,714,810 | 29,860 | 690 | 78.7612 | 7,200.0 | 2,658,922,840 | 18,128 | 1,560 | 4,117.1 | -39.2900
27 | Solution not found | 7,200.0 | 2,727,819,151 | 21,042 | 2,603 | 7,119.4 | –
28 | Solution not found | 7,200.0 | 2,421,391,653 | 22,244 | 1,211 | 4,623.5 | –
29 | Solution not found | 7,200.0 | 2,147,856,651 | 15,851 | 1,402 | 5,261.6 | –
| 30 | Solution not found | 7,200.0 | 2,262,001,370 | 14,611 | 595 | 4,625.8 | –
| Avg. | | 3,858,465,629 | 30,192 | | 73.5531 | 7,200.0 | 2,342,849,866 | 18,265 | | 4,684.0 | -37.5002
$P$ | 11 | Solution not found | 7,200.0 | 909,955,304 | 9,488 | 824 | 4,170.5 | –
12 | Solution not found | 7,200.0 | 1,254,444,657 | 13,876 | 1,247 | 5,958.0 | –
13 | 191,248,610 | 2,094,633,046 | 21,768 | 14,522 | 90.8700 | 7,200.0 | 594,579,167 | 6,179 | 1,287 | 1,887.5 | -71.6100
14 | Solution not found | 7,200.0 | 900,474,723 | 10,565 | 1,357 | 4,569.7 | –
15 | Solution not found | 7,200.0 | 1,003,810,128 | 13,361 | 1,802 | 5,501.9 | –
16 | Solution not found | 7,200.0 | 980,726,922 | 11,940 | 798 | 4,128.7 | –
17 | Solution not found | 7,200.0 | 1,025,352,729 | 14,337 | 837 | 6,173.7 | –
18 | 303,165,605 | 4,212,836,468 | 51,852 | 34,828 | 92.8000 | 7,200.0 | 925,900,439 | 11,396 | 1,769 | 3,356.6 | -78.0200
19 | Solution not found | 7,200.0 | 883,095,903 | 10,600 | 697 | 8,360.2 | –
20 | Solution not found | 7,200.0 | 873,944,862 | 10,658 | 480 | 6,159.4 | –
Avg. | | 3,153,734,757 | 36,810 | | 91.8350 | 7,200.0 | 935,228,483 | 11,240 | | 5,026.6 | -74.8150
21 | Solution not found | 7,200.0 | 1,873,119,997 | 14,752 | 451 | 6,038.4 | –
22 | Solution not found | 7,200.0 | 1,727,516,865 | 15,201 | 780 | 9,290.1 | –
23 | Solution not found | 7,200.0 | 1,691,575,639 | 14,510 | 161 | 8,961.1 | –
24 | Solution not found | 7,200.0 | 1,969,220,958 | 16,599 | 1,407 | 3,904.1 | –
25 | Solution not found | 7,200.0 | 2,434,989,295 | 17,455 | 660 | 6,673.6 | –
26 | Solution not found | 7,200.0 | 2,290,036,133 | 15,613 | 642 | 8,996.0 | –
27 | Solution not found | 7,200.0 | 2,391,282,662 | 18,446 | 1,440 | 5,000.3 | –
28 | Solution not found | 7,200.0 | 2,039,308,091 | 18,734 | 213 | 11,452.9 | –
29 | Solution not found | 7,200.0 | 1,970,076,007 | 14,539 | 2,110 | 5,093.2 | –
| 30 | Solution not found | 7,200.0 | 2,153,321,736 | 13,909 | 99 | 5,106.9 | –
| Avg. | | – | – | | – | 7,200.0 | 2,054,044,738 | 15,976 | | 7,051.7 | –
## 5 Concluding remarks
This work contributes to the literature on two-dimensional cutting stock
problems with usable leftovers, which is very limited. A forward-looking
approach for the multi-period two-dimensional non-guillotine cutting stock
problem with usable leftovers, proposed in Birgin et al., (2020), was
introduced, this being the first method reported in the literature to address
this problem. The method solves a sequence of single-period subproblems and
differs with a myopic approach in the objective function being minimized. On
the one hand, the myopic approach greedily minimizes the cost of the raw
material that must be purchased to produce the orders of the period. On the
other and, the forward-looking approach takes into consideration the future
impact of the decisions of the period. This looking-head feature allows the
method to suggest the purchase of some extra raw material whose leftovers are
expected to be used in future periods, resulting in a lower overall cost.
Numerical experiments shown the efficiency and effectiveness of the method. In
summary, the proposed approach greatly improves the solution found with a
commercial solver or with a myopic approach in problems with a reasonable
number of periods in which usable leftovers can be used over several periods
after they have been generated, i.e. a scenario in which leftovers can play a
relevant role.
On the one hand, the proposed method can be applied to instances with a large
number of periods. On the other hand, solving the single-period subproblems
exactly limits the applicability to instances with larger single-period
subproblems. Then, devising a heuristic method for the single-period problem
would have an immediate impact on methods for solving the multi-period
problem. That will be a subject of future work. In another line of research,
the problem introduced in Birgin et al., (2020) and for which a method was
developed in the present work, could be modified to take into account
situations that sometimes arise in practice. For example, the problem could be
modified to allow the anticipated production of items included in future
period orders. In this case, storage costs and production capacity limits for
each period could be considered.
## References
* Ali et al., (2021) Ali, R., Muhammad, S., and Takahashi, R. H. C. (2021). Decision making viva genetic algorithm for the utilization of leftovers. International Journal of Intelligent Systems, 36:1746–1769.
* Andrade et al., (2016) Andrade, R., Birgin, E. G., and Morabito, R. (2016). Two-stage two-dimensional guillotine cutting stock problems with usable leftovers. International Transactions in Operational Research, 23:121–145.
* Andrade et al., (2014) Andrade, R., Birgin, E. G., Morabito, R., and Ronconi, D. P. (2014). MIP models for two-dimensional non-guillotine cutting problems with usable leftovers. Journal of the Operational Research Society, 65:1649–1663.
* Baykasoglu and Özbel, (2021) Baykasoglu, A. and Özbel, B. K. (2021). Modeling and solving a real-world cutting stock problem in the marble industry via mathematical programming and stochastic diffusion search approaches. Computers & Operations Research, 128:105173.
* Birgin et al., (2020) Birgin, E. G., Romão, O. C., and Ronconi, D. P. (2020). The multiperiod two-dimensional non-guillotine cutting stock problem with usable leftovers. International Transactions in Operational Research, 27:1392–1418.
* Chen et al., (2015) Chen, Q. L., Li, L. P., Cui, Y. D., Chen, Y., and Lu, X. Y. (2015). A heuristic for the 3-staged 2d cutting stock problem with usable leftover. In Chan, K. and Yeh, J., editors, Proceedings of the 2015 International Conference on Electrical, Automation and Mechanical Engineering, volume 13 of Advances in Engineering Research, pages 776–779. Atlantis Press.
* Cherri et al., (2013) Cherri, A. C., Arenales, M. N., and Yanasse, H. H. (2013). The usable leftover one‐dimensional cutting stock problem—a priority‐in‐use heuristic. International Transactions in Operational Research, 20:189–199.
* Cherri et al., (2014) Cherri, A. C., Arenales, M. N., and Yanasse, H. H. (2014). The one-dimensional cutting stock problem with usable leftovers – a survey. European Journal of Operational Research, 236:395–402.
* do Nascimento et al., (2021) do Nascimento, D. N., de Araujo, S. A., and Cherri, A. C. (2021). Integrated lot-sizing and one-dimensional cutting stock problem with usable leftovers. Annals of Operations Research, to appear.
* Dyckhoff, (1981) Dyckhoff, H. (1981). A new linear programming approach to the cutting stock problem. Operations Research, 29:1092–1104.
* Poldi and Arenales, (2010) Poldi, K. C. and Arenales, M. N. (2010). O problema de corte de estoque unidimensional multiperíodo. Pesquisa Operacional, 30:153–174.
* Roodman, (1986) Roodman, G. M. (1986). Near-optimal solutions to one-dimensional cutting stock problems. Computers & Operations Research, 13:713–719.
* Scheithauer, (1991) Scheithauer, G. (1991). A note on handling residual lengths. Optimization, 22:461–466.
* Silva et al., (2010) Silva, E., Avelos, F., and Valério de Carvalho, J. M. (2010). An integer programming model for two- and three-stage two-dimensional cutting stock problems. European Journal of Operational Research, 205:699–708.
* Silva et al., (2014) Silva, E., Avelos, F., and Valério de Carvalho, J. M. (2014). Integrating two-dimensional cutting stock and lot-sizing problems. Journal of the Operational Research Society, 65:108–123.
* Tomat and Gradišar, (2017) Tomat, L. and Gradišar, M. (2017). One-dimensional stock cutting: optimization of usable leftovers in consecutive orders. Central European Journal of Operations Research, 25:473––489.
* Viegas et al., (2016) Viegas, J. L., Vieira, S. M., Henriques, E. M. P., and Sousa, J. M. C. (2016). Heuristics for three-dimensional steel cutting with usable leftovers considering large time periods. European Journal of Industrial Engineering, 10:431–454.
## Appendix
Table 10 describes in detail the thirty instances with four, eight, and twelve
periods considered in the present work. Instances were generated with the
random instances generator introduced in Birgin et al., (2020), where
additional twenty five instances with four periods are also described. The
number of binary variables, continuous variables, and constraints of each
instance, for $\xi\in\\{0,1,2,3,4\\}$ is given in Table 1. The random
instances generator is available at https://github.com/oberlan/bromro2.
Table 10: Description of the considered thirty instances with four, eight, and
twelve periods.
Inst. | $P$ | Objects | Items
---|---|---|---
$m^{s}$ | $W_{j}^{s}\times H_{j}^{s}$ | $n^{s}$ | $\tilde{n}^{s}$ | $d$ | $w_{i}^{s}\times h_{i}^{s}$
1 | 4 | 2 | 77 $\times$ 100, 67 $\times$ 77 | 4 | 2 | 2 | 2(6 $\times$ 5), 2(9 $\times$ 6)
2 | 81 $\times$ 36, 95 $\times$ 33 | 6 | 3 | 8 $\times$ 11, 2(15 $\times$ 6), 3(18 $\times$ 14)
2 | 54 $\times$ 74, 78 $\times$ 100 | 10 | 4 | 3(6 $\times$ 8), 3(7 $\times$ 9), 2(17 $\times$ 13), 2(13 $\times$ 8)
1 | 53 $\times$ 68 | 7 | 4 | 3(10 $\times$ 5), 5 $\times$ 6, 18 $\times$ 15, 2(16 $\times$ 14)
2 | 4 | 3 | 49 $\times$ 82, 34 $\times$ 70, 57 $\times$ 76 | 6 | 3 | 2 | 2(7 $\times$ 5), 19 $\times$ 15, 3(17 $\times$ 15)
2 | 39 $\times$ 54, 39 $\times$ 41 | 4 | 3 | 17 $\times$ 20, 2(9 $\times$ 20), 20 $\times$ 17
2 | 38 $\times$ 72, 85 $\times$ 96 | 7 | 4 | 10 $\times$ 10, 3(14 $\times$ 8), 18 $\times$ 20, 2(6 $\times$ 18)
1 | 43 $\times$ 60 | 4 | 2 | 14 $\times$ 8, 3(18 $\times$ 7)
3 | 4 | 1 | 69 $\times$ 44 | 4 | 3 | 1 | 15 $\times$ 6, 14 $\times$ 8, 2(8 $\times$ 11)
2 | 30 $\times$ 79, 39 $\times$ 92 | 6 | 2 | 3(8 $\times$ 17), 3(18 $\times$ 17)
2 | 83 $\times$ 89, 65 $\times$ 91 | 8 | 4 | 13 $\times$ 11, 3(8 $\times$ 5), 2(9 $\times$ 14), 2(18 $\times$ 17)
3 | 96 $\times$ 73, 54 $\times$ 65, 95 $\times$ 55 | 4 | 3 | 14 $\times$ 14, 2(10 $\times$ 15), 12 $\times$ 13
4 | 4 | 2 | 41 $\times$ 97, 85 $\times$ 69 | 4 | 3 | 3 | 14 $\times$ 12, 2(18 $\times$ 8), 19 $\times$ 15
1 | 90 $\times$ 95 | 13 | 5 | 3(14 $\times$ 10), 3(8 $\times$ 10), 2(19 $\times$ 12), 3(17 $\times$ 6), 2(17 $\times$ 9)
1 | 75 $\times$ 76 | 6 | 4 | 18 $\times$ 12, 5 $\times$ 20, 2(15 $\times$ 20), 2(9 $\times$ 11)
2 | 80 $\times$ 35, 85 $\times$ 60 | 5 | 3 | 19 $\times$ 13, 3(16 $\times$ 14), 12 $\times$ 18
5 | 4 | 3 | 91 $\times$ 59, 52 $\times$ 37, 40 $\times$ 66 | 4 | 2 | 1 | 2(6 $\times$ 5), 2(19 $\times$ 14)
1 | 88 $\times$ 90 | 13 | 5 | 2(20 $\times$ 9), 3(7 $\times$ 7), 2(7 $\times$ 15), 3(19 $\times$ 8), 3(11 $\times$ 16)
1 | 83 $\times$ 47 | 10 | 4 | 3(20 $\times$ 8), 2(20 $\times$ 9), 3(14 $\times$ 18), 2(17 $\times$ 17)
1 | 65 $\times$ 94 | 6 | 2 | 3(7 $\times$ 8), 3(17 $\times$ 9)
6 | 4 | 1 | 63 $\times$ 39 | 3 | 2 | 2 | 2(5 $\times$ 8), 12 $\times$ 7
4 | 81 $\times$ 87, 2(38 $\times$ 30), 81 $\times$ 54 | 5 | 2 | 2(14 $\times$ 18), 3(7 $\times$ 19)
3 | 83 $\times$ 91, 47 $\times$ 31, 52 $\times$ 71 | 3 | 3 | 16 $\times$ 6, 16 $\times$ 9, 7 $\times$ 11
3 | 53 $\times$ 56, 44 $\times$ 53, 37 $\times$ 99 | 6 | 4 | 3(11 $\times$ 5), 14 $\times$ 19, 2(6 $\times$ 12)
7 | 4 | 1 | 82 $\times$ 95 | 7 | 5 | 2 | 12 $\times$ 17, 10 $\times$ 5, 9 $\times$ 17, 3(6 $\times$ 18), 12 $\times$ 20
3 | 57 $\times$ 54, 2(33 $\times$ 36) | 8 | 4 | 3(20 $\times$ 17), 2(11 $\times$ 8), 2(15 $\times$ 14), 18 $\times$ 5
2 | 95 $\times$ 67, 99 $\times$ 57 | 9 | 4 | 2(10 $\times$ 17), 5 $\times$ 8, 3(6 $\times$ 6), 3(14 $\times$ 9)
3 | 42 $\times$ 92, 88 $\times$ 100, 85 $\times$ 86 | 11 | 5 | 15 $\times$ 15, 2(16 $\times$ 10), 2(6 $\times$ 5), 3(16 $\times$ 12), 3(12 $\times$ 17)
8 | 4 | 2 | 2(56 $\times$ 33) | 10 | 5 | 1 | 3(13 $\times$ 17), 2(17 $\times$ 7), 17 $\times$ 10, 7 $\times$ 13, 3(15 $\times$ 10)
1 | 70 $\times$ 94 | 8 | 5 | 12 $\times$ 8, 2(9 $\times$ 7), 18 $\times$ 5, 3(14 $\times$ 13), 6 $\times$ 9
2 | 55 $\times$ 40, 60 $\times$ 59 | 4 | 2 | 3(16 $\times$ 9), 11 $\times$ 14
1 | 71 $\times$ 53 | 13 | 5 | 3(16 $\times$ 19), 2(5 $\times$ 5), 2(18 $\times$ 6), 3(11 $\times$ 14), 3(12 $\times$ 18)
9 | 4 | 3 | 66 $\times$ 99, 93 $\times$ 54, 30 $\times$ 74 | 4 | 2 | 2 | 3(5 $\times$ 16), 11 $\times$ 16
1 | 56 $\times$ 93 | 8 | 4 | 3(14 $\times$ 12), 14 $\times$ 10, 3(10 $\times$ 7), 19 $\times$ 10
3 | 67 $\times$ 68, 43 $\times$ 59, 93 $\times$ 74 | 6 | 3 | 2(18 $\times$ 10), 13 $\times$ 17, 3(19 $\times$ 7)
3 | 93 $\times$ 92, 86 $\times$ 53, 43 $\times$ 34 | 2 | 2 | 14 $\times$ 20, 12 $\times$ 9
10 | 4 | 2 | 78 $\times$ 95, 61 $\times$ 90 | 7 | 3 | 3 | 2(9 $\times$ 19), 2(12 $\times$ 6), 3(6 $\times$ 12)
1 | 62 $\times$ 79 | 7 | 4 | 3(20 $\times$ 15), 3(15 $\times$ 7), 16 $\times$ 18
2 | 36 $\times$ 60, 35 $\times$ 96 | 6 | 3 | 2(16 $\times$ 16), 7 $\times$ 17, 3(9 $\times$ 8)
2 | 84 $\times$ 72, 33 $\times$ 98 | 7 | 4 | 2(11 $\times$ 5), 3(7 $\times$ 17), 20 $\times$ 16, 19 $\times$ 12
11 | 8 | 3 | 61 $\times$ 85, 37 $\times$ 95, 84 $\times$ 46 | 4 | 2 | 2 | 16 $\times$ 20, 3(5 $\times$ 6)
3 | 72 $\times$ 55, 62 $\times$ 41, 35 $\times$ 33 | 6 | 3 | 3(8 $\times$ 5), 8 $\times$ 17, 2(14 $\times$ 5)
3 | 90 $\times$ 68, 47 $\times$ 44, 52 $\times$ 63 | 3 | 2 | 2(14 $\times$ 16), 14 $\times$ 17
4 | 2(39 $\times$ 56), 81 $\times$ 81, 61 $\times$ 44 | 10 | 4 | 2(19 $\times$ 19), 3(7 $\times$ 15), 2(16 $\times$ 15), 3(18 $\times$ 9)
2 | 54 $\times$ 97, 40 $\times$ 86 | 7 | 3 | 3(17 $\times$ 7), 13 $\times$ 6, 3(10 $\times$ 6)
4 | 2(33 $\times$ 43), 93 $\times$ 77, 84 $\times$ 70 | 9 | 3 | 3(16 $\times$ 16), 3(10 $\times$ 11), 3(14 $\times$ 11)
3 | 41 $\times$ 74, 86 $\times$ 91, 62 $\times$ 30 | 8 | 3 | 3(19 $\times$ 8), 3(8 $\times$ 9), 2(7 $\times$ 6)
3 | 100 $\times$ 37, 69 $\times$ 65, 83 $\times$ 62 | 7 | 5 | 2(13 $\times$ 18), 7 $\times$ 8, 13 $\times$ 12, 2(12 $\times$ 7), 14 $\times$ 18
Continued on next page
Table 10: – continued from previous page
Inst. | $P$ | Objects | Items
---|---|---|---
$m^{s}$ | $W_{j}^{s}\times H_{j}^{s}$ | $n^{s}$ | $\tilde{n}^{s}$ | $d$ | $w_{i}^{s}\times h_{i}^{s}$
12 | 8 | 3 | 68 $\times$ 37, 70 $\times$ 43, 97 $\times$ 52 | 7 | 5 | 3 | 20 $\times$ 14, 14 $\times$ 10, 20 $\times$ 15, 3(17 $\times$ 19), 7 $\times$ 13
3 | 88 $\times$ 39, 89 $\times$ 35, 55 $\times$ 79 | 8 | 4 | 3(7 $\times$ 17), 3(15 $\times$ 11), 10 $\times$ 12, 20 $\times$ 10
2 | 66 $\times$ 77, 58 $\times$ 88 | 11 | 5 | 18 $\times$ 9, 3(10 $\times$ 20), 2(18 $\times$ 5), 2(7 $\times$ 12), 3(14 $\times$ 15)
2 | 95 $\times$ 69, 85 $\times$ 97 | 8 | 4 | 2(20 $\times$ 14), 14 $\times$ 18, 3(8 $\times$ 17), 2(14 $\times$ 15)
2 | 30 $\times$ 84, 65 $\times$ 56 | 6 | 3 | 3(5 $\times$ 20), 2(12 $\times$ 13), 14 $\times$ 9
3 | 75 $\times$ 63, 42 $\times$ 55, 73 $\times$ 89 | 5 | 3 | 5 $\times$ 9, 2(17 $\times$ 15), 2(11 $\times$ 9)
3 | 90 $\times$ 57, 67 $\times$ 52, 76 $\times$ 86 | 10 | 4 | 3(20 $\times$ 15), 13 $\times$ 19, 3(16 $\times$ 5), 3(19 $\times$ 5)
2 | 46 $\times$ 91, 88 $\times$ 56 | 10 | 5 | 2(10 $\times$ 18), 14 $\times$ 9, 3(11 $\times$ 17), 3(17 $\times$ 9), 9 $\times$ 8
13 | 8 | 2 | 58 $\times$ 43, 39 $\times$ 51 | 5 | 3 | 4 | 10 $\times$ 18, 3(9 $\times$ 9), 12 $\times$ 8
3 | 94 $\times$ 47, 97 $\times$ 39, 85 $\times$ 70 | 6 | 3 | 8 $\times$ 8, 3(17 $\times$ 6), 2(15 $\times$ 6)
2 | 84 $\times$ 72, 85 $\times$ 77 | 6 | 3 | 13 $\times$ 18, 3(17 $\times$ 6), 2(5 $\times$ 13)
3 | 83 $\times$ 81, 55 $\times$ 67, 81 $\times$ 86 | 7 | 4 | 12 $\times$ 12, 3(13 $\times$ 5), 15 $\times$ 11, 2(5 $\times$ 9)
3 | 51 $\times$ 61, 97 $\times$ 53, 41 $\times$ 46 | 2 | 2 | 18 $\times$ 14, 6 $\times$ 8
2 | 62 $\times$ 45, 60 $\times$ 75 | 3 | 2 | 2(6 $\times$ 19), 6 $\times$ 16
3 | 44 $\times$ 91, 70 $\times$ 99, 30 $\times$ 51 | 3 | 2 | 10 $\times$ 9, 2(11 $\times$ 7)
3 | 96 $\times$ 85, 41 $\times$ 59, 98 $\times$ 73 | 5 | 2 | 3(18 $\times$ 9), 2(20 $\times$ 8)
14 | 8 | 3 | 33 $\times$ 32, 57 $\times$ 91, 62 $\times$ 84 | 4 | 2 | 1 | 3(12 $\times$ 13), 10 $\times$ 10
2 | 91 $\times$ 83, 81 $\times$ 68 | 4 | 3 | 2(16 $\times$ 18), 16 $\times$ 7, 15 $\times$ 8
2 | 70 $\times$ 35, 39 $\times$ 72 | 7 | 4 | 8 $\times$ 19, 2(10 $\times$ 10), 3(6 $\times$ 16), 10 $\times$ 6
2 | 78 $\times$ 92, 51 $\times$ 93 | 5 | 3 | 20 $\times$ 14, 3(15 $\times$ 8), 16 $\times$ 17
3 | 50 $\times$ 70, 71 $\times$ 81, 33 $\times$ 47 | 10 | 5 | 2(18 $\times$ 5), 13 $\times$ 15, 2(15 $\times$ 5), 3(17 $\times$ 5), 2(15 $\times$ 17)
3 | 57 $\times$ 50, 34 $\times$ 86, 94 $\times$ 45 | 8 | 4 | 3(19 $\times$ 16), 3(18 $\times$ 12), 14 $\times$ 14, 14 $\times$ 17
3 | 68 $\times$ 94, 50 $\times$ 68, 48 $\times$ 53 | 11 | 5 | 3(5 $\times$ 5), 3(8 $\times$ 16), 3(14 $\times$ 12), 16 $\times$ 20, 11 $\times$ 6
2 | 61 $\times$ 64, 73 $\times$ 89 | 6 | 3 | 3(7 $\times$ 6), 2(12 $\times$ 15), 16 $\times$ 5
15 | 8 | 2 | 85 $\times$ 40, 55 $\times$ 36 | 5 | 2 | 4 | 3(17 $\times$ 13), 2(8 $\times$ 11)
3 | 59 $\times$ 53, 92 $\times$ 88, 51 $\times$ 58 | 10 | 5 | 2(18 $\times$ 12), 3(7 $\times$ 18), 3(11 $\times$ 17), 13 $\times$ 10, 8 $\times$ 11
3 | 98 $\times$ 82, 2(44 $\times$ 49) | 6 | 4 | 16 $\times$ 6, 3(18 $\times$ 17), 19 $\times$ 19, 19 $\times$ 16
2 | 51 $\times$ 89, 32 $\times$ 70 | 4 | 2 | 3(10 $\times$ 17), 13 $\times$ 20
4 | 35 $\times$ 51, 38 $\times$ 80, 2(31 $\times$ 49) | 7 | 3 | 3(18 $\times$ 14), 2(15 $\times$ 8), 2(13 $\times$ 5)
3 | 67 $\times$ 77, 37 $\times$ 55, 39 $\times$ 78 | 8 | 3 | 2(17 $\times$ 6), 3(10 $\times$ 6), 3(16 $\times$ 17)
2 | 88 $\times$ 70, 54 $\times$ 83 | 11 | 5 | 8 $\times$ 20, 2(11 $\times$ 11), 3(11 $\times$ 16), 2(15 $\times$ 10), 3(20 $\times$ 17)
2 | 57 $\times$ 83, 45 $\times$ 66 | 6 | 4 | 14 $\times$ 14, 3(16 $\times$ 10), 14 $\times$ 20, 10 $\times$ 7
16 | 8 | 5 | 31 $\times$ 98, 2(51 $\times$ 39), 2(30 $\times$ 64) | 5 | 3 | 2 | 2(20 $\times$ 20), 2(20 $\times$ 17), 18 $\times$ 14
2 | 86 $\times$ 87, 82 $\times$ 98 | 4 | 2 | 3(7 $\times$ 9), 13 $\times$ 5
3 | 68 $\times$ 97, 65 $\times$ 65, 78 $\times$ 34 | 10 | 5 | 2(17 $\times$ 13), 3(16 $\times$ 12), 12 $\times$ 11, 6 $\times$ 17, 3(7 $\times$ 5)
2 | 54 $\times$ 85, 53 $\times$ 59 | 4 | 2 | 12 $\times$ 6, 3(7 $\times$ 11)
2 | 43 $\times$ 64, 35 $\times$ 85 | 9 | 3 | 3(14 $\times$ 9), 3(16 $\times$ 17), 3(15 $\times$ 18)
3 | 82 $\times$ 99, 38 $\times$ 98, 52 $\times$ 53 | 13 | 5 | 3(7 $\times$ 5), 3(9 $\times$ 10), 3(15 $\times$ 7), 13 $\times$ 10, 3(6 $\times$ 6)
4 | 66 $\times$ 47, 3(35 $\times$ 41) | 6 | 3 | 20 $\times$ 7, 2(19 $\times$ 12), 3(20 $\times$ 18)
2 | 73 $\times$ 50, 38 $\times$ 84 | 3 | 2 | 14 $\times$ 19, 2(17 $\times$ 11)
17 | 8 | 2 | 81 $\times$ 37, 33 $\times$ 64 | 6 | 3 | 2 | 2(9 $\times$ 15), 19 $\times$ 18, 3(11 $\times$ 14)
3 | 34 $\times$ 83, 59 $\times$ 86, 72 $\times$ 44 | 5 | 3 | 20 $\times$ 15, 14 $\times$ 10, 3(18 $\times$ 14)
2 | 55 $\times$ 91, 32 $\times$ 43 | 8 | 4 | 17 $\times$ 7, 2(14 $\times$ 20), 2(8 $\times$ 7), 3(8 $\times$ 18)
2 | 41 $\times$ 96, 41 $\times$ 86 | 7 | 5 | 2(9 $\times$ 9), 18 $\times$ 7, 15 $\times$ 16, 17 $\times$ 18, 2(8 $\times$ 15)
2 | 80 $\times$ 86, 74 $\times$ 59 | 11 | 4 | 3(14 $\times$ 14), 3(6 $\times$ 20), 3(19 $\times$ 8), 2(11 $\times$ 12)
4 | 85 $\times$ 39, 85 $\times$ 63, 2(51 $\times$ 35) | 10 | 4 | 2(20 $\times$ 16), 3(14 $\times$ 10), 2(18 $\times$ 20), 3(8 $\times$ 17)
2 | 78 $\times$ 53, 62 $\times$ 93 | 9 | 5 | 3(20 $\times$ 16), 2(11 $\times$ 5), 2(15 $\times$ 12), 14 $\times$ 14, 9 $\times$ 14
2 | 56 $\times$ 66, 52 $\times$ 85 | 15 | 5 | 3(6 $\times$ 8), 3(8 $\times$ 5), 3(11 $\times$ 17), 3(12 $\times$ 16), 3(20 $\times$ 6)
Continued on next page
Table 10: – continued from previous page
Inst. | $P$ | Objects | Items
---|---|---|---
$m^{s}$ | $W_{j}^{s}\times H_{j}^{s}$ | $n^{s}$ | $\tilde{n}^{s}$ | $d$ | $w_{i}^{s}\times h_{i}^{s}$
18 | 8 | 2 | 45 $\times$ 83, 97 $\times$ 52 | 7 | 3 | 2 | 15 $\times$ 15, 3(11 $\times$ 13), 3(18 $\times$ 13)
2 | 89 $\times$ 87, 88 $\times$ 45 | 8 | 5 | 2(18 $\times$ 9), 6 $\times$ 7, 2(12 $\times$ 8), 8 $\times$ 19, 2(18 $\times$ 6)
3 | 2(65 $\times$ 33), 92 $\times$ 72 | 8 | 3 | 3(19 $\times$ 20), 2(15 $\times$ 14), 3(9 $\times$ 14)
3 | 76 $\times$ 40, 54 $\times$ 71, 43 $\times$ 78 | 9 | 4 | 3(7 $\times$ 8), 5 $\times$ 17, 3(6 $\times$ 11), 2(17 $\times$ 15)
2 | 72 $\times$ 74, 89 $\times$ 73 | 5 | 2 | 3(11 $\times$ 7), 2(20 $\times$ 16)
4 | 59 $\times$ 38, 2(44 $\times$ 32), 46 $\times$ 47 | 7 | 4 | 6 $\times$ 17, 2(18 $\times$ 16), 2(8 $\times$ 15), 2(18 $\times$ 11)
3 | 56 $\times$ 41, 100 $\times$ 45, 40 $\times$ 92 | 2 | 2 | 13 $\times$ 20, 18 $\times$ 13
2 | 73 $\times$ 77, 83 $\times$ 54 | 6 | 3 | 2(5 $\times$ 7), 2(16 $\times$ 18), 2(10 $\times$ 9)
19 | 8 | 2 | 78 $\times$ 86, 72 $\times$ 67 | 10 | 5 | 2 | 3(15 $\times$ 5), 3(6 $\times$ 6), 18 $\times$ 10, 2(8 $\times$ 10), 14 $\times$ 19
3 | 53 $\times$ 67, 37 $\times$ 80, 67 $\times$ 56 | 8 | 4 | 2(17 $\times$ 5), 2(20 $\times$ 15), 2(15 $\times$ 13), 2(15 $\times$ 9)
3 | 57 $\times$ 85, 52 $\times$ 50, 75 $\times$ 37 | 6 | 3 | 2(17 $\times$ 9), 2(9 $\times$ 9), 2(12 $\times$ 14)
3 | 64 $\times$ 44, 45 $\times$ 96, 75 $\times$ 52 | 10 | 5 | 3(18 $\times$ 20), 2(13 $\times$ 9), 8 $\times$ 9, 9 $\times$ 7, 3(14 $\times$ 14)
2 | 56 $\times$ 93, 53 $\times$ 49 | 9 | 4 | 3(16 $\times$ 10), 3(10 $\times$ 14), 12 $\times$ 17, 2(6 $\times$ 15)
2 | 51 $\times$ 89, 65 $\times$ 72 | 5 | 3 | 16 $\times$ 14, 18 $\times$ 8, 3(16 $\times$ 5)
2 | 92 $\times$ 64, 81 $\times$ 95 | 6 | 3 | 3(19 $\times$ 7), 2(6 $\times$ 14), 17 $\times$ 16
3 | 62 $\times$ 52, 32 $\times$ 97, 95 $\times$ 35 | 8 | 4 | 3(7 $\times$ 16), 2(10 $\times$ 14), 11 $\times$ 12, 2(13 $\times$ 8)
20 | 8 | 3 | 75 $\times$ 82, 69 $\times$ 79, 76 $\times$ 64 | 5 | 3 | 3 | 2(14 $\times$ 10), 2(15 $\times$ 13), 14 $\times$ 12
2 | 49 $\times$ 68, 61 $\times$ 79 | 12 | 5 | 3(11 $\times$ 18), 2(6 $\times$ 12), 2(7 $\times$ 7), 3(5 $\times$ 12), 2(13 $\times$ 18)
3 | 92 $\times$ 41, 74 $\times$ 51, 78 $\times$ 93 | 7 | 4 | 10 $\times$ 5, 2(13 $\times$ 6), 2(8 $\times$ 10), 2(5 $\times$ 13)
3 | 61 $\times$ 85, 45 $\times$ 51, 34 $\times$ 50 | 7 | 3 | 3(8 $\times$ 19), 14 $\times$ 10, 3(9 $\times$ 11)
2 | 41 $\times$ 50, 63 $\times$ 84 | 6 | 3 | 2(13 $\times$ 20), 2(18 $\times$ 12), 2(10 $\times$ 5)
2 | 81 $\times$ 43, 53 $\times$ 45 | 6 | 4 | 2(7 $\times$ 14), 13 $\times$ 7, 2(9 $\times$ 11), 19 $\times$ 17
3 | 35 $\times$ 82, 2(33 $\times$ 34) | 7 | 5 | 6 $\times$ 14, 2(17 $\times$ 19), 19 $\times$ 10, 2(15 $\times$ 9), 11 $\times$ 11
3 | 92 $\times$ 52, 83 $\times$ 65, 70 $\times$ 70 | 13 | 5 | 3(13 $\times$ 18), 2(16 $\times$ 6), 3(12 $\times$ 8), 3(5 $\times$ 18), 2(19 $\times$ 11)
21 | 12 | 2 | 65 $\times$ 50, 93 $\times$ 92 | 5 | 2 | 2 | 2(7 $\times$ 8), 3(12 $\times$ 10)
2 | 90 $\times$ 68, 57 $\times$ 69 | 7 | 4 | 2(13 $\times$ 6), 3(19 $\times$ 14), 6 $\times$ 11, 6 $\times$ 5
3 | 78 $\times$ 71, 56 $\times$ 70, 62 $\times$ 100 | 6 | 3 | 19 $\times$ 15, 2(8 $\times$ 17), 3(15 $\times$ 19)
2 | 50 $\times$ 84, 30 $\times$ 49 | 7 | 4 | 2(7 $\times$ 7), 14 $\times$ 17, 3(14 $\times$ 13), 8 $\times$ 16
2 | 73 $\times$ 99, 44 $\times$ 72 | 4 | 2 | 7 $\times$ 13, 3(8 $\times$ 7)
3 | 48 $\times$ 50, 70 $\times$ 79, 100 $\times$ 52 | 10 | 5 | 17 $\times$ 16, 2(13 $\times$ 17), 2(5 $\times$ 10), 2(16 $\times$ 12), 3(6 $\times$ 15)
3 | 36 $\times$ 93, 36 $\times$ 77, 92 $\times$ 90 | 4 | 2 | 2(13 $\times$ 15), 2(9 $\times$ 18)
3 | 74 $\times$ 65, 47 $\times$ 70, 100 $\times$ 34 | 4 | 2 | 3(15 $\times$ 18), 11 $\times$ 9
2 | 50 $\times$ 81, 70 $\times$ 87 | 5 | 3 | 16 $\times$ 10, 2(16 $\times$ 17), 2(10 $\times$ 13)
2 | 52 $\times$ 86, 46 $\times$ 48 | 9 | 5 | 11 $\times$ 14, 2(19 $\times$ 8), 7 $\times$ 14, 2(15 $\times$ 6), 3(15 $\times$ 19)
2 | 93 $\times$ 47, 31 $\times$ 89 | 5 | 3 | 13 $\times$ 16, 15 $\times$ 18, 3(18 $\times$ 7)
2 | 81 $\times$ 92, 37 $\times$ 80 | 11 | 5 | 3(9 $\times$ 14), 2(16 $\times$ 8), 2(5 $\times$ 19), 15 $\times$ 7, 3(14 $\times$ 17)
22 | 12 | 2 | 73 $\times$ 35, 72 $\times$ 91 | 5 | 4 | 2 | 6 $\times$ 5, 7 $\times$ 8, 16 $\times$ 12, 2(11 $\times$ 8)
2 | 39 $\times$ 63, 54 $\times$ 63 | 8 | 3 | 2(13 $\times$ 13), 3(7 $\times$ 19), 3(11 $\times$ 7)
3 | 96 $\times$ 44, 63 $\times$ 56, 54 $\times$ 53 | 5 | 4 | 8 $\times$ 20, 15 $\times$ 11, 18 $\times$ 8, 2(14 $\times$ 9)
2 | 45 $\times$ 82, 69 $\times$ 37 | 12 | 5 | 3(17 $\times$ 17), 3(19 $\times$ 11), 13 $\times$ 11, 3(9 $\times$ 11), 2(7 $\times$ 14)
3 | 72 $\times$ 62, 63 $\times$ 36, 37 $\times$ 97 | 5 | 4 | 18 $\times$ 13, 19 $\times$ 15, 2(18 $\times$ 19), 15 $\times$ 14
2 | 39 $\times$ 37, 84 $\times$ 42 | 6 | 2 | 3(17 $\times$ 6), 3(10 $\times$ 5)
3 | 2(31 $\times$ 38), 98 $\times$ 38 | 13 | 5 | 2(8 $\times$ 18), 3(8 $\times$ 16), 3(6 $\times$ 13), 2(16 $\times$ 7), 3(8 $\times$ 7)
3 | 99 $\times$ 67, 94 $\times$ 93, 65 $\times$ 87 | 12 | 5 | 3(14 $\times$ 6), 20 $\times$ 19, 2(20 $\times$ 14), 3(17 $\times$ 17), 3(12 $\times$ 14)
2 | 78 $\times$ 66, 42 $\times$ 95 | 6 | 3 | 3(9 $\times$ 5), 18 $\times$ 13, 2(6 $\times$ 5)
2 | 78 $\times$ 50, 84 $\times$ 44 | 6 | 3 | 3(13 $\times$ 13), 12 $\times$ 9, 2(15 $\times$ 16)
3 | 76 $\times$ 51, 70 $\times$ 88, 76 $\times$ 57 | 6 | 2 | 3(15 $\times$ 12), 3(7 $\times$ 12)
3 | 71 $\times$ 40, 44 $\times$ 52, 55 $\times$ 58 | 6 | 3 | 5 $\times$ 18, 3(12 $\times$ 6), 2(6 $\times$ 17)
Continued on next page
Table 10: – continued from previous page
Inst. | $P$ | Objects | Items
---|---|---|---
$m^{s}$ | $W_{j}^{s}\times H_{j}^{s}$ | $n^{s}$ | $\tilde{n}^{s}$ | $d$ | $w_{i}^{s}\times h_{i}^{s}$
23 | 12 | 3 | 100 $\times$ 62, 68 $\times$ 83, 86 $\times$ 66 | 4 | 2 | 2 | 3(5 $\times$ 11), 20 $\times$ 15
2 | 82 $\times$ 51, 65 $\times$ 68 | 8 | 5 | 2(8 $\times$ 19), 2(20 $\times$ 18), 19 $\times$ 11, 14 $\times$ 7, 2(19 $\times$ 5)
2 | 66 $\times$ 60, 60 $\times$ 63 | 4 | 2 | 12 $\times$ 5, 3(17 $\times$ 14)
3 | 81 $\times$ 52, 32 $\times$ 97, 97 $\times$ 46 | 7 | 3 | 2(20 $\times$ 10), 3(11 $\times$ 10), 2(13 $\times$ 18)
2 | 34 $\times$ 57, 39 $\times$ 95 | 6 | 4 | 2(13 $\times$ 18), 2(13 $\times$ 15), 6 $\times$ 12, 20 $\times$ 17
2 | 38 $\times$ 92, 33 $\times$ 95 | 6 | 4 | 2(19 $\times$ 9), 11 $\times$ 17, 2(17 $\times$ 9), 17 $\times$ 17
3 | 77 $\times$ 44, 37 $\times$ 100, 50 $\times$ 37 | 9 | 3 | 3(9 $\times$ 16), 3(5 $\times$ 20), 3(19 $\times$ 9)
3 | 86 $\times$ 62, 92 $\times$ 99, 72 $\times$ 43 | 5 | 2 | 2(19 $\times$ 5), 3(15 $\times$ 17)
2 | 58 $\times$ 34, 57 $\times$ 88 | 7 | 4 | 10 $\times$ 17, 6 $\times$ 15, 2(5 $\times$ 12), 3(10 $\times$ 10)
3 | 2(51 $\times$ 45), 50 $\times$ 53 | 9 | 5 | 3(19 $\times$ 6), 9 $\times$ 16, 5 $\times$ 8, 3(20 $\times$ 20), 15 $\times$ 10
3 | 98 $\times$ 92, 84 $\times$ 46, 35 $\times$ 45 | 6 | 3 | 11 $\times$ 20, 2(12 $\times$ 15), 3(15 $\times$ 6)
2 | 37 $\times$ 35, 41 $\times$ 54 | 2 | 2 | 14 $\times$ 6, 14 $\times$ 9
24 | 12 | 3 | 69 $\times$ 73, 63 $\times$ 95, 62 $\times$ 94 | 8 | 4 | 2 | 19 $\times$ 20, 3(13 $\times$ 12), 14 $\times$ 7, 3(14 $\times$ 19)
2 | 69 $\times$ 32, 39 $\times$ 59 | 8 | 4 | 8 $\times$ 9, 2(10 $\times$ 8), 3(18 $\times$ 14), 2(10 $\times$ 19)
3 | 97 $\times$ 33, 78 $\times$ 42, 56 $\times$ 30 | 7 | 3 | 17 $\times$ 14, 3(15 $\times$ 10), 3(20 $\times$ 12)
3 | 87 $\times$ 55, 36 $\times$ 76, 33 $\times$ 56 | 4 | 2 | 3(10 $\times$ 6), 15 $\times$ 20
3 | 100 $\times$ 84, 2(36 $\times$ 41) | 10 | 5 | 15 $\times$ 18, 3(8 $\times$ 8), 2(13 $\times$ 16), 20 $\times$ 15, 3(15 $\times$ 17)
3 | 85 $\times$ 67, 92 $\times$ 35, 46 $\times$ 98 | 5 | 3 | 8 $\times$ 19, 19 $\times$ 6, 3(19 $\times$ 19)
2 | 52 $\times$ 75, 56 $\times$ 60 | 10 | 4 | 3(14 $\times$ 18), 3(8 $\times$ 6), 5 $\times$ 15, 3(9 $\times$ 17)
3 | 35 $\times$ 53, 67 $\times$ 54, 62 $\times$ 93 | 4 | 2 | 11 $\times$ 7, 3(9 $\times$ 7)
2 | 97 $\times$ 66, 69 $\times$ 39 | 4 | 3 | 7 $\times$ 18, 8 $\times$ 8, 2(19 $\times$ 17)
2 | 83 $\times$ 38, 54 $\times$ 66 | 7 | 3 | 2(18 $\times$ 7), 3(20 $\times$ 13), 2(19 $\times$ 17)
2 | 87 $\times$ 51, 33 $\times$ 55 | 4 | 2 | 2(9 $\times$ 20), 2(15 $\times$ 7)
3 | 68 $\times$ 68, 39 $\times$ 87, 82 $\times$ 78 | 6 | 3 | 19 $\times$ 14, 2(5 $\times$ 18), 3(13 $\times$ 8)
25 | 12 | 3 | 86 $\times$ 45, 57 $\times$ 40, 64 $\times$ 87 | 9 | 4 | 1 | 15 $\times$ 11, 3(14 $\times$ 20), 3(9 $\times$ 16), 2(15 $\times$ 7)
2 | 70 $\times$ 31, 95 $\times$ 99 | 8 | 5 | 7 $\times$ 6, 2(12 $\times$ 20), 19 $\times$ 8, 3(15 $\times$ 8), 7 $\times$ 18
3 | 49 $\times$ 36, 83 $\times$ 98, 35 $\times$ 51 | 4 | 2 | 2(10 $\times$ 16), 2(20 $\times$ 12)
4 | 61 $\times$ 63, 97 $\times$ 89, 2(34 $\times$ 40) | 12 | 5 | 20 $\times$ 15, 3(14 $\times$ 18), 3(16 $\times$ 15), 3(9 $\times$ 6), 2(8 $\times$ 16)
3 | 33 $\times$ 65, 68 $\times$ 56, 90 $\times$ 82 | 10 | 4 | 3(12 $\times$ 11), 3(20 $\times$ 13), 12 $\times$ 20, 3(6 $\times$ 13)
2 | 83 $\times$ 83, 79 $\times$ 81 | 5 | 3 | 3(15 $\times$ 19), 11 $\times$ 14, 11 $\times$ 15
2 | 51 $\times$ 77, 33 $\times$ 95 | 6 | 3 | 2(5 $\times$ 5), 2(7 $\times$ 12), 2(8 $\times$ 14)
2 | 32 $\times$ 35, 99 $\times$ 81 | 6 | 3 | 2(17 $\times$ 17), 3(14 $\times$ 7), 7 $\times$ 13
3 | 47 $\times$ 58, 72 $\times$ 81, 83 $\times$ 51 | 2 | 2 | 14 $\times$ 6, 5 $\times$ 17
3 | 42 $\times$ 99, 75 $\times$ 47, 57 $\times$ 87 | 10 | 5 | 2(6 $\times$ 20), 2(15 $\times$ 6), 3(17 $\times$ 14), 19 $\times$ 14, 2(19 $\times$ 12)
2 | 66 $\times$ 59, 54 $\times$ 86 | 4 | 2 | 5 $\times$ 18, 3(5 $\times$ 20)
3 | 55 $\times$ 58, 99 $\times$ 45, 67 $\times$ 73 | 6 | 3 | 2(11 $\times$ 15), 3(20 $\times$ 13), 13 $\times$ 19
26 | 12 | 2 | 51 $\times$ 42, 79 $\times$ 85 | 5 | 4 | 5 | 6 $\times$ 13, 8 $\times$ 15, 2(16 $\times$ 7), 15 $\times$ 15
3 | 95 $\times$ 82, 100 $\times$ 90, 54 $\times$ 75 | 3 | 2 | 2(18 $\times$ 5), 7 $\times$ 17
2 | 85 $\times$ 35, 69 $\times$ 83 | 4 | 2 | 7 $\times$ 19, 3(17 $\times$ 13)
2 | 90 $\times$ 100, 81 $\times$ 96 | 11 | 5 | 2(13 $\times$ 12), 2(12 $\times$ 19), 2(20 $\times$ 17), 2(16 $\times$ 19), 3(14 $\times$ 6)
3 | 79 $\times$ 91, 51 $\times$ 40, 85 $\times$ 79 | 8 | 5 | 13 $\times$ 15, 19 $\times$ 7, 2(14 $\times$ 15), 2(6 $\times$ 19), 2(20 $\times$ 7)
3 | 78 $\times$ 59, 85 $\times$ 31, 85 $\times$ 56 | 10 | 5 | 2(17 $\times$ 11), 3(10 $\times$ 9), 5 $\times$ 19, 3(15 $\times$ 11), 18 $\times$ 12
2 | 81 $\times$ 76, 66 $\times$ 70 | 5 | 3 | 2(12 $\times$ 6), 2(19 $\times$ 16), 11 $\times$ 20
2 | 80 $\times$ 52, 74 $\times$ 68 | 3 | 3 | 14 $\times$ 6, 14 $\times$ 17, 13 $\times$ 14
3 | 83 $\times$ 95, 45 $\times$ 48, 95 $\times$ 63 | 5 | 3 | 7 $\times$ 10, 3(19 $\times$ 8), 18 $\times$ 16
2 | 79 $\times$ 82, 79 $\times$ 36 | 7 | 3 | 2(17 $\times$ 19), 2(13 $\times$ 11), 3(6 $\times$ 10)
3 | 32 $\times$ 85, 45 $\times$ 97, 78 $\times$ 86 | 8 | 4 | 2(14 $\times$ 18), 3(17 $\times$ 19), 2(12 $\times$ 15), 7 $\times$ 13
2 | 45 $\times$ 42, 36 $\times$ 71 | 7 | 3 | 9 $\times$ 15, 3(14 $\times$ 8), 3(19 $\times$ 10)
Continued on next page
Table 10: – continued from previous page
Inst. | $P$ | Objects | Items
---|---|---|---
$m^{s}$ | $W_{j}^{s}\times H_{j}^{s}$ | $n^{s}$ | $\tilde{n}^{s}$ | $d$ | $w_{i}^{s}\times h_{i}^{s}$
27 | 12 | 5 | 47 $\times$ 71, 71 $\times$ 96, 3(32 $\times$ 51) | 10 | 4 | 1 | 16 $\times$ 9, 3(19 $\times$ 13), 3(17 $\times$ 12), 3(18 $\times$ 17)
2 | 62 $\times$ 65, 38 $\times$ 91 | 3 | 2 | 2(20 $\times$ 18), 11 $\times$ 5
2 | 100 $\times$ 62, 69 $\times$ 62 | 7 | 3 | 18 $\times$ 5, 3(13 $\times$ 19), 3(17 $\times$ 15)
2 | 61 $\times$ 47, 84 $\times$ 91 | 11 | 5 | 6 $\times$ 6, 3(20 $\times$ 5), 15 $\times$ 12, 3(17 $\times$ 18), 3(7 $\times$ 15)
3 | 90 $\times$ 82, 42 $\times$ 52, 91 $\times$ 35 | 12 | 5 | 3(13 $\times$ 13), 5 $\times$ 18, 3(8 $\times$ 8), 2(9 $\times$ 15), 3(10 $\times$ 18)
2 | 93 $\times$ 96, 95 $\times$ 54 | 11 | 5 | 2(8 $\times$ 15), 2(16 $\times$ 15), 15 $\times$ 13, 3(11 $\times$ 5), 3(10 $\times$ 5)
2 | 67 $\times$ 97, 72 $\times$ 65 | 5 | 2 | 3(9 $\times$ 18), 2(14 $\times$ 14)
2 | 43 $\times$ 81, 58 $\times$ 100 | 5 | 4 | 2(11 $\times$ 6), 18 $\times$ 17, 9 $\times$ 7, 8 $\times$ 13
3 | 37 $\times$ 58, 48 $\times$ 40, 54 $\times$ 93 | 4 | 2 | 16 $\times$ 20, 3(10 $\times$ 13)
3 | 63 $\times$ 69, 71 $\times$ 52, 50 $\times$ 36 | 4 | 2 | 2(15 $\times$ 17), 2(19 $\times$ 19)
2 | 89 $\times$ 50, 94 $\times$ 56 | 8 | 4 | 3(5 $\times$ 5), 14 $\times$ 11, 13 $\times$ 11, 3(5 $\times$ 20)
2 | 91 $\times$ 67, 57 $\times$ 72 | 7 | 4 | 15 $\times$ 17, 18 $\times$ 16, 2(7 $\times$ 18), 3(13 $\times$ 19)
28 | 12 | 2 | 93 $\times$ 73, 38 $\times$ 66 | 9 | 4 | 3 | 3(15 $\times$ 13), 13 $\times$ 11, 3(15 $\times$ 5), 2(8 $\times$ 15)
3 | 94 $\times$ 36, 53 $\times$ 41, 100 $\times$ 64 | 5 | 2 | 2(20 $\times$ 16), 3(6 $\times$ 12)
2 | 69 $\times$ 98, 92 $\times$ 99 | 8 | 3 | 2(17 $\times$ 19), 3(8 $\times$ 10), 3(8 $\times$ 17)
3 | 75 $\times$ 42, 36 $\times$ 41, 66 $\times$ 47 | 3 | 2 | 2(19 $\times$ 12), 14 $\times$ 17
3 | 2(35 $\times$ 40), 59 $\times$ 64 | 9 | 5 | 19 $\times$ 11, 17 $\times$ 11, 6 $\times$ 20, 3(18 $\times$ 17), 3(11 $\times$ 6)
2 | 71 $\times$ 51, 53 $\times$ 31 | 6 | 2 | 3(19 $\times$ 14), 3(15 $\times$ 15)
2 | 73 $\times$ 55, 71 $\times$ 61 | 6 | 3 | 2(14 $\times$ 18), 2(5 $\times$ 19), 2(15 $\times$ 16)
2 | 93 $\times$ 34, 35 $\times$ 74 | 5 | 3 | 2(12 $\times$ 17), 9 $\times$ 15, 2(19 $\times$ 9)
3 | 99 $\times$ 49, 2(37 $\times$ 69) | 14 | 5 | 3(14 $\times$ 5), 2(7 $\times$ 5), 3(15 $\times$ 15), 3(19 $\times$ 18), 3(9 $\times$ 19)
2 | 65 $\times$ 81, 31 $\times$ 61 | 12 | 4 | 3(11 $\times$ 13), 3(7 $\times$ 8), 3(6 $\times$ 15), 3(6 $\times$ 9)
2 | 79 $\times$ 48, 75 $\times$ 73 | 4 | 2 | 20 $\times$ 19, 3(12 $\times$ 7)
2 | 89 $\times$ 72, 58 $\times$ 91 | 12 | 5 | 2(15 $\times$ 14), 2(10 $\times$ 17), 2(7 $\times$ 18), 3(11 $\times$ 20), 3(15 $\times$ 18)
29 | 12 | 3 | 70 $\times$ 66, 90 $\times$ 86, 36 $\times$ 44 | 7 | 5 | 1 | 12 $\times$ 20, 2(8 $\times$ 20), 15 $\times$ 16, 2(9 $\times$ 6), 12 $\times$ 9
3 | 75 $\times$ 85, 47 $\times$ 59, 32 $\times$ 38 | 6 | 4 | 14 $\times$ 19, 8 $\times$ 11, 7 $\times$ 10, 3(6 $\times$ 5)
3 | 99 $\times$ 44, 45 $\times$ 83, 65 $\times$ 95 | 5 | 3 | 10 $\times$ 6, 15 $\times$ 20, 3(16 $\times$ 10)
3 | 86 $\times$ 72, 48 $\times$ 81, 72 $\times$ 42 | 4 | 4 | 9 $\times$ 12, 10 $\times$ 12, 11 $\times$ 14, 7 $\times$ 14
2 | 99 $\times$ 35, 48 $\times$ 43 | 6 | 3 | 5 $\times$ 5, 2(10 $\times$ 11), 3(6 $\times$ 10)
3 | 39 $\times$ 43, 72 $\times$ 55, 52 $\times$ 60 | 6 | 4 | 2(18 $\times$ 12), 2(11 $\times$ 6), 5 $\times$ 15, 9 $\times$ 13
2 | 30 $\times$ 34, 81 $\times$ 84 | 4 | 2 | 17 $\times$ 10, 3(6 $\times$ 7)
3 | 81 $\times$ 48, 46 $\times$ 32, 38 $\times$ 36 | 9 | 5 | 9 $\times$ 15, 11 $\times$ 9, 3(5 $\times$ 18), 2(13 $\times$ 12), 2(13 $\times$ 6)
3 | 89 $\times$ 65, 99 $\times$ 66, 46 $\times$ 66 | 6 | 5 | 5 $\times$ 9, 2(8 $\times$ 16), 11 $\times$ 5, 6 $\times$ 16, 10 $\times$ 11
3 | 40 $\times$ 92, 46 $\times$ 49, 70 $\times$ 67 | 8 | 4 | 19 $\times$ 15, 20 $\times$ 15, 3(8 $\times$ 17), 3(12 $\times$ 10)
3 | 76 $\times$ 42, 66 $\times$ 90, 85 $\times$ 60 | 10 | 4 | 2(9 $\times$ 9), 3(11 $\times$ 14), 3(20 $\times$ 9), 2(14 $\times$ 14)
5 | 91 $\times$ 86, 2(46 $\times$ 39), 2(41 $\times$ 41) | 11 | 4 | 3(16 $\times$ 20), 2(19 $\times$ 16), 3(6 $\times$ 7), 3(20 $\times$ 15)
30 | 12 | 3 | 34 $\times$ 50, 34 $\times$ 38, 98 $\times$ 33 | 3 | 2 | 2 | 2(6 $\times$ 7), 16 $\times$ 8
3 | 49 $\times$ 78, 53 $\times$ 70, 84 $\times$ 100 | 2 | 2 | 8 $\times$ 19, 9 $\times$ 14
3 | 79 $\times$ 96, 69 $\times$ 43, 76 $\times$ 73 | 8 | 5 | 20 $\times$ 5, 3(5 $\times$ 7), 17 $\times$ 10, 2(12 $\times$ 12), 5 $\times$ 13
2 | 50 $\times$ 98, 60 $\times$ 59 | 9 | 4 | 2(5 $\times$ 8), 3(20 $\times$ 13), 2(18 $\times$ 16), 2(13 $\times$ 15)
3 | 36 $\times$ 100, 90 $\times$ 41, 73 $\times$ 97 | 5 | 4 | 8 $\times$ 15, 16 $\times$ 19, 2(17 $\times$ 11), 7 $\times$ 7
3 | 82 $\times$ 96, 51 $\times$ 40, 55 $\times$ 47 | 6 | 3 | 3(9 $\times$ 8), 20 $\times$ 18, 2(10 $\times$ 9)
3 | 50 $\times$ 78, 77 $\times$ 35, 66 $\times$ 79 | 4 | 2 | 3(9 $\times$ 7), 11 $\times$ 10
2 | 44 $\times$ 45, 76 $\times$ 54 | 11 | 5 | 8 $\times$ 17, 3(11 $\times$ 7), 3(8 $\times$ 20), 12 $\times$ 14, 3(14 $\times$ 11)
3 | 62 $\times$ 71, 93 $\times$ 67, 90 $\times$ 93 | 4 | 2 | 15 $\times$ 13, 3(15 $\times$ 15)
3 | 89 $\times$ 62, 75 $\times$ 86, 63 $\times$ 40 | 3 | 2 | 17 $\times$ 9, 2(8 $\times$ 18)
3 | 38 $\times$ 59, 59 $\times$ 71, 100 $\times$ 51 | 4 | 2 | 15 $\times$ 13, 3(10 $\times$ 5)
5 | 35 $\times$ 99, 2(46 $\times$ 94), 2(61 $\times$ 51) | 10 | 4 | 3(19 $\times$ 16), 4(15 $\times$ 20), 3(18 $\times$ 17)
| arxiv-papers | 2021-07-25T22:22:05 | 2024-09-04T03:07:17.327400 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "E. G. Birgin, O. C Rom\\~ao, and D. P. Ronconi",
"submitter": "Ernesto G. Birgin",
"url": "https://arxiv.org/abs/2107.11901"
} |
2107.11906 | # H-Transformer-1D: Fast One-Dimensional Hierarchical Attention for Sequences
Zhenhai Zhu
Google Research
[email protected]
&Radu Soricut
Google Research
[email protected]
###### Abstract
We describe an efficient hierarchical method to compute attention in the
Transformer architecture. The proposed attention mechanism exploits a matrix
structure similar to the Hierarchical Matrix (H-Matrix) developed by the
numerical analysis community, and has linear run time and memory complexity.
We perform extensive experiments to show that the inductive bias embodied by
our hierarchical attention is effective in capturing the hierarchical
structure in the sequences typical for natural language and vision tasks. Our
method is superior to alternative sub-quadratic proposals by over +6 points on
average on the Long Range Arena benchmark. It also sets a new SOTA test
perplexity on One-Billion Word dataset with 5x fewer model parameters than
that of the previous-best Transformer-based models.
## 1 Introduction
Linearly combining information using content-based weights, a method
generically known as attention, is a key building block in many deep neural
networks such as recurrent neural networks (RNN) Luong et al. (2015),
convolutional neural networks (CNN) Bello et al. (2019) and graph
convolutional networks (GCN) Velickovic et al. (2018). One particular type of
such attention, called multi-head scaled dot-product attention, is one of the
main components of the Transformer architecture proposed by Vaswani et al.
(2017), which has been shown to push the state-of-the-art (SOTA) performance
for various understanding and generation tasks. These include standard natural
language processing (NLP) tasks such as machine translation, document
classification, entailment, summarization and question answering Zaheer et al.
(2020); Dai et al. (2019); Baevski and Auli (2019), as well as music
generation Huang et al. (2018), image generation Parmar et al. (2018); Chen et
al. (2020) and genomics Zaheer et al. (2020); Choromanski et al. (2020). The
Transformer is also the backbone architecture for models such as BERT Devlin
et al. (2019) (and its numerous relatives) and GPT3 Brown et al. (2020), which
have delivered impressive performance across many NLP tasks. However, the
standard attention mechanism of the Transformer has a run time and memory
usage that scales quadratically with sequence length. Therefore, this
quadratic complexity has become a critical bottleneck in processing long
sequences (over 1,000 tokens), and has since motivated many new attention
algorithms, see Tay et al. (2020d) for a survey of such work.
In this paper, we draw inspiration from two branches in numerical analysis:
Hierarchical Matrix (H-Matrix) Hackbusch (1999, 2000) and Multigrid method
Briggs et al. (2000). We propose a hierarchical attention that has linear
complexity in run time and memory, and only utilizes dense linear algebra
operations optimized for GPUs or TPUs.
We hypothesize that the inductive bias embodied by the proposed hierarchical
structure for the attention matrix is effective in capturing the hierarchical
structure in the sequences typically seen in many natural language processing
and computer vision tasks. The main benchmark we use in this paper is the Long
Range Arena (LRA) benchmark Tay et al. (2020c), which has been specifically
designed to evaluate and compare various sub-quadratic attention algorithms.
Our new hierarchical attention mechanism achieves best average performance to-
date on the LRA benchmark by more than 6 points over the previous-best BigBird
algorithm Zaheer et al. (2020), while pushing SOTA performance higher in 4 of
the 5 successful tasks. Furthermore, using this new attention, a Transformer-
based language model trained on the One-Billion Word dataset Chelba et al.
(2014) sets a new SOTA performance record by reducing the test perplexity by
$1.55$ points comparing to the previous-best Transformer-XL Dai et al. (2019)
with 5x more parameters. Overall, these empirical results both validate the
soundness of our approximation method for computing attention weights, as well
as the the appropriateness of the inductive bias present in the proposed
hierarchical attention.
## 2 Related Works
It is well established in the NLP literature that the embeddings of nearby
tokens tend to be more similar than the distant ones Manning and Schütze
(1999). This leads to the intuition that token similarity and hence the
attention should decrease with the sequence distance between a query token and
a key token111Eq. (11) and (12) offer a simple illustration of this
intuition.. This motivates the sliding-window local attention Parmar et al.
(2018); Ramachandran et al. (2019); Qiu et al. (2019) which amounts to
truncating off-diagonal entries in the attention matrix beyond a user-
specified sequence distance. A second approach is to keep $O(1)$ number of
nonzeros per row in the attention matrix. The nonzero entry selection is
either content-based Kitaev et al. (2020); Roy et al. (2020); Tay et al.
(2020b); Zhou et al. (2020), hand-crafted Beltagy et al. (2020); Brown et al.
(2020); Child et al. (2019); Ho et al. (2019) or simply random Zaheer et al.
(2020). It is also well known in the NLP literature that long-range contextual
information is necessary for many NLP tasks Khandelwal et al. (2018); Liu and
Lapata (2019). So a set of global tokens are also considered. This adds $O(1)$
number of dense rows and columns to the attention matrix Zaheer et al. (2020);
Ainslie et al. (2020); Beltagy et al. (2020). A third approach is to
approximate the attention matrix with a low-rank factored form Choromanski et
al. (2020); Wang et al. (2020); Tay et al. (2020a).
The first two approaches are based on the premise that one needs to explicitly
zero out entries in the attention matrix in order to reduce the quadratic
complexity. Decades of research by the scientific computing and numerical
analysis community has resulted in more sophisticated algorithms to sparsify
matrices. A small set of samples of these algorithms and their engineering
applications include Fast Multipole Method Greengard and Rokhlin (1987);
Greengard (1994); Nabors et al. (1994); Shi et al. (1998), Pre-corrected FFT
Phillips and White (1997); Zhu et al. (2005), Hierarchical Singular Value
Decomposition (SVD) Kapur and Long (1997) and Hierarchical Matrix (H-Matrix)
Hackbusch (1999, 2000); Zhu and White (2005). These are generally called
Multilevel Methods Brandt and Lubrecht (1990). The hierarchical attention
proposed in this paper is inspired by these Multilevel Methods in general and
the H-Matrix in particular. The hierarchical matrix structure allows a linear
complexity in both constructing and applying the attention matrix.
## 3 Definition and Notation
Given matrices $Q$, $K$ and $V$, with rows representing sequences of token
embedding or feature vectors for query, key and value respectively, the output
weighted by the scaled dot-product attention in the Transformer Vaswani et al.
(2017) is defined as
$\displaystyle Z$ $\displaystyle=$
$\displaystyle\mathbf{softmax}(\frac{QK^{T}}{\sqrt{d}})V$ (1)
where $Z,Q,K,V\in R^{L\times d}$, $L$ is the length of the sequences, and $d$
is the embedding or feature size. In a more compact matrix form, Eq. (1) can
be written as
$\displaystyle Z=D^{-1}AV$ (2)
where
$\displaystyle A$ $\displaystyle=$ $\displaystyle e^{S}$ (3) $\displaystyle
S_{i,j}$ $\displaystyle=$ $\displaystyle\frac{Q_{i}K_{j}^{T}}{\sqrt{d}}$ (4)
$\displaystyle D$ $\displaystyle=$
$\displaystyle\mathbf{diag}\\{A\cdot\mathbf{1}_{L}\\}$ (5)
$\displaystyle\mathbf{}{1}_{L}$ $\displaystyle=$
$\displaystyle[1,1,...,1]^{T}.$ (6)
Here, $A,S\in R^{L\times L}$, $\mathbf{1}_{L}\in R^{L}$ is a vector with all
ones, and $S_{i,j}$ represents the unnormalized cosine similarity between
query embedding $Q_{i}$ (the $i$-th row in $Q$) and key embedding $K_{j}$ (the
$j$-th row in $K$).
For the sake of clarity, we focus on the single-head attention in the
exposition of the proposed algorithm. Extension to the multi-head case is
straightforward since each attention head is computed independently Vaswani et
al. (2017).
Computing the similarity matrix $S$ in Eq. (4) and the attention matrix $A$ in
Eq. (3) takes $O(L^{2}d)$ time and $O(L^{2})$ memory. Similarly, computing
$AV$ in Eq. (2) takes $O(L^{2}d)$ time, and computing $A\cdot\mathbf{1}_{L}$
in Eq. (5) takes $O(L^{2})$ time. The $O(L^{2}d)$ and $O(L^{2})$ complexities
are the bottlenecks for applying the attention mechanism over very long
sequences.
## 4 Introduction on H-Matrix and Multigrid Method
### 4.1 H-Matrix
The singular-value decomposition of the attention matrix $A$ in Eq. (3) is
$A=U\Sigma V^{T}$ (7)
where $\Sigma=\mathbf{diag}\\{\sigma_{1},\sigma_{2},...,\sigma_{L}\\}$ and
$\sigma_{i}$ is the $i$-th singular value. The numerical rank of matrix $A$ is
$r$ if $\sum_{i=r+1}^{L}\sigma_{i}<\epsilon$ for a given tolerance $\epsilon$
Trefethen and Bau (1997). The standard rank-$r$ approximation to matrix $A$ is
$A\approx\hat{U}\hat{\Sigma}\hat{V}^{T}=\hat{U}\tilde{V}^{T}$ (8)
where $\hat{\Sigma}=\mathbf{diag}\\{\sigma_{1},\sigma_{2},...,\sigma_{r}\\}$,
$\hat{U},\hat{V}\in R^{L\times r}$ have the first $r$ columns of $U$ and $V$,
and $\tilde{V}=\hat{V}\hat{\Sigma}$. This is the low-rank approximation used
in Choromanski et al. (2020); Wang et al. (2020); Tay et al. (2020a). This
approximation compresses $L^{2}$ entries in $A$ to $2rL$ entries in $\hat{U}$
and $\tilde{V}^{T}$. So the compression rate is $\frac{L}{2r}$.
The H-Matrix generalizes this low-rank approximation by using matrix block
hierarchy. Consider a two-level H-Matrix with $4\times 4$ and $2\times 2$
block partition at level-0 and level-1, respectively. Matrix $A$ is
partitioned as
$A=\left[\begin{array}[]{@{}c|c@{}}\begin{array}[]{c|c}A^{(0)}_{11}&A^{(0)}_{12}\\\
\hline\cr A^{(0)}_{21}&A^{(0)}_{22}\end{array}&A^{(1)}_{12}\\\ \hline\cr
A^{(1)}_{21}&\begin{array}[]{c|c}A^{(0)}_{33}&A^{(0)}_{34}\\\ \hline\cr
A^{(0)}_{43}&A^{(0)}_{44}\end{array}\end{array}\right].$ (9)
The low-rank approximation in Eq. (8) is applied to the off-diagonal blocks at
each level. For example,
$\displaystyle
A^{(l)}_{12}\approx\hat{U}^{(l)}_{12}(\tilde{V}^{(l)}_{12})^{T}$ (10)
where $l=0,1$. To give a concrete example, suppose each entry in matrix $A$
has the analytical form
$\displaystyle A_{i,j}=e^{S_{i,j}}$ (11) $\displaystyle
S_{i,j}=2e^{-(i-j)^{2}}-1$ (12)
where $i,j={0,1,2,...,15}$ 222Matrix $A$ in Eq.(11) is a symmetric Toeplitz
matrix Golub and Loan (1996) and hence only has 16 unique entries. But we
ignore this fact and treat $A$ as a general matrix here.. With the block
hierarchy defined in Eq. (9), the size of the matrix block at level-1 and
level-0 is $8\times 8$ and $4\times 4$, respectively. For tolerance
$\epsilon=10^{-3}$, one can verify that the numerical rank map of matrix $A$
is
$\left[\begin{array}[]{@{}c|c@{}}\begin{array}[]{c|c}4&2\\\ \hline\cr
2&4\end{array}&2\\\ \hline\cr 2&\begin{array}[]{c|c}4&2\\\ \hline\cr
2&4\end{array}\end{array}\right]$ (13)
where the number in each block is the numerical rank of the corresponding
block in Eq. (9). Note that matrix $A$ still has full numerical rank of 16 at
a looser tolerance $10^{-1}$. So the standard low-rank approximation is
ineffective in this case. But even this simple two-level H-matrix already
offers a compression rate of $\frac{4}{3}$ since storing an H-matrix with the
rank map in Eq. (13) takes $192$ entries 333Each one of four diagonal blocks
at level-0 takes 16 entries. Each one of four off-diagonal blocks at level-0
takes 16 entries. Each one of two off-diagonal blocks at level-1 takes 32
entries.. In addition, one can verify that no entry $A_{i,j}$ in Eq. (11) is
very small, since $S_{i,j}\in[-1,1]$ in Eq. (12). Therefore, truncating off-
diagonal entries of matrix $A$, as proposed in Parmar et al. (2018), would
produce a poor approximation. In practice, the number of levels is adapted to
the underlining governing equations that result in matrix $A$ and it can
easily be over 10 Kapur and Long (1997); Hackbusch (2000); Zhu and White
(2005). In turn, this can substantially increase the compression rate. In
general, the computation complexity of the H-Matrix is either $O(L)$ or
$O(L\log L)$, depending on the underlining physics Hackbusch (1999, 2000).
### 4.2 Elements of the Multigrid Method
Multigrid Method is a multi-level nested iterative method for solving large-
scale sparse matrices resulting from discretized partial-differential
equations (PDEs) Briggs et al. (2000); Trottenberg et al. (2000). At its core
are two simple but powerfully complementary ideas: relaxation and correction.
Our proposed hierarchical attention only uses the correction scheme as a
building block since there is no sparse matrix to relax on.
The correction scheme has two components: restriction or coarsening, and
interpolation or prolongation. Consider a vector $\bar{v}^{h}$ of scalar
values defined on a set of $N$ grids with uniform interval $h$. The simplest
coarsening is to take the average of the scalar values on each pair of grids,
i.e.,
$\bar{v}^{2h}_{j}=\frac{1}{2}(\bar{v}^{h}_{2j}+\bar{v}^{h}_{2j+1})$ (14)
where $j=0,1,2,...N/2-1$. The superscript in Eq. (14) indicates that the grid
interval at these two levels is $h$ and $2h$, respectively. The simplest
interpolation is to duplicate the value on each coarse grid to values on a
pair of fine grids, i.e.,
$\bar{v}^{h}_{2j}=\bar{v}^{2h}_{j},\;\;\;\;\;\bar{v}^{h}_{2j+1}=\bar{v}^{2h}_{j}$
(15)
where $j=0,1,2,...N/2-1$.
## 5 Intuition for Hierarchical Attention
The hierarchical low-rank structure like Eq. (13) turns out to be pervasive in
many if not all physics phenomena. Much of the theoretical analysis by
(Greengard and Rokhlin, 1987; Hackbusch, 1999) is concerned with quantifying
such aspects. The key insight into these Multilevel Methods can be summarized
as follows: _perform no approximation for near interactions, and apply
progressively lower-precision approximation for progressively longer distance
interactions_. The simple case shown in Eq. (9)-(13) is a good example. To
satisfy the tolerance of $10^{-3}$, we need full rank (no approximation) for
the diagonal blocks (near interactions), higher precision approximation
(rank-2 vs full-rank of 4) for the $4\times 4$ off-diagonal blocks at level-0
(mid-distance) and lower precision approximation (rank-2 vs full-rank of 8)
for the $8\times 8$ off-diagonal blocks at level-1 (long-distance).
In this section, we present some intuition to answer two important questions:
1) Does the hierarchical low-rank structure hold for the attention matrix $A$
in Eq. (3)? 2) What is the algorithm to efficiently compute the hierarchical
low-rank structure? We only give an informal exposition of the hierarchical
attention. The formal mathematical derivation is deferred to the Appendix.
### 5.1 Hierarchical Structure As Inductive Bias
The error analysis in Greengard and Rokhlin (1987); Hackbusch (1999) offers
little direct insight since the attention matrix $A$ in Eq. (3) is data
dependent by definition and hence its analytical form like Eq. (11) and (12)
is generally unknown. So gathering empirical evidences seems the only viable
path to answer the first question listed above.
The ablation studies by Khandelwal et al. (2018) examine the effect of context
words on a language model. Within the context range of about 200 tokens, word
order is only relevant within the 20 most recent tokens or about a sentence.
In the long-range context, order has almost no effect on performance,
suggesting that the model maintains a high-level, rough semantic
representation of faraway words. The observation is succinctly summarized by
the title of the paper ”sharp nearby, fuzzy far away”. Remarkably, this is in
spirit very close to the key insight into the Multilevel Methods.
A few recent attention-related studies have explored this direction with some
success, such as word-level and sentence-level attentions in Miculicich et al.
(2018); Abreu et al. (2019), and sentence-level and paragraph-level attentions
in Liu and Lapata (2019). Even though the proposed hierarchical attention in
these studies only has two levels, as opposed to ten or more levels typically
used by the Multilevel Methods, the reported positive results are quite
suggestive.
We therefore hypothesize that the same hierarchical low-rank structure as
shown in Eq (13) might also hold for the attention matrix in many NLP tasks.
And we treat it as the inductive bias in the hierarchical attention mechanism
proposed in this paper. As pointed out in Goyal and Bengio (2020), inductive
biases encourage the learning algorithm to prioritise solutions with certain
properties. Hence good benchmark performance delivered by a Transformer-based
model with proposed hierarchical attention can be regarded as a positive
evidence to support the hierarchical low-rank structure hypothesis.
### 5.2 Informal Exposition of Hierarchical Attention
In the standard definition of attention in Eq. (3) and (4), there is no
preference given to any keys based on the sequence distance between a query
and keys. The observation in Khandelwal et al. (2018) clearly suggests that a
distance-dependent attention mechanism should be a better alternative.
We will take three steps to informally explain the hierarchical attention
mechanism. First, the attention matrix blocks for nearby, mid-distance and
long-distance attention are separated in section 5.2.1. This is the first step
toward the distance-dependent attention mentioned above. Second, a token
hierarchy is established in section 5.2.2. Third, the hierarchical attention
is constructed in section 5.2.3
#### 5.2.1 Attention Partition
Consider a 16-word sentence in Fig. 1. The sentence is partitioned at three
segment granularity. This induces a three-level partition of the attention
matrix $A$ for the original sequence:
$A=A^{(2)}+A^{(1)}+A^{(0)}$ (16)
where
$A^{(2)}=\left[\begin{array}[]{c|c}0&A^{(2)}_{12}\\\ \hline\cr
A^{(2)}_{21}&0\end{array}\right]$ (17)
$A^{(1)}=\left[\begin{array}[]{c|c|c|c}&A^{(1)}_{12}&&\\\ \hline\cr
A^{(1)}_{21}&&A^{(1)}_{23}&\\\ \hline\cr&A^{(1)}_{32}&&A^{(1)}_{34}\\\
\hline\cr&&A^{(1)}_{43}&\end{array}\right]$ (18)
$A^{(0)}=\left[\begin{array}[]{c|c|c|c|c}A^{(0)}_{11}&A^{(0)}_{12}&&&\\\
\hline\cr A^{(0)}_{21}&A^{(0)}_{22}&A^{(0)}_{23}&&\\\
\hline\cr&\ddots&\ddots&\ddots&\\\
\hline\cr&&&A^{(0)}_{87}&A^{(0)}_{88}\end{array}\right].$ (19)
Note that the nonzero entries in $A^{(0)}$, $A^{(1)}$ and $A^{(2)}$ are the
same as the corresponding entries of matrix $A$ in Eq. (3). Matrix block size
of $A^{(0)}_{ij}$, $A^{(1)}_{ij}$ and $A^{(2)}_{ij}$ is $2\times 2$, $4\times
4$ and $8\times 8$, respectively. Following the key insight into Multilevel
Methods, we perform no approximation to any level-0 matrix block
$A^{(0)}_{ij}$ and apply a low-rank approximation to off-diagonal matrix
blocks in $A^{(1)}$ and $A^{(2)}$. If we set the numerical rank of all these
blocks to 2, then we can assemble the three rank maps into a single rank map
as 444We omit some of implementation details to handle the overlapping entries
between adjacent levels.
$\left[\begin{array}[]{@{}c|c@{}}\begin{array}[]{@{}c|c@{}}\begin{array}[]{c|c}2&2\\\
\hline\cr 2&2\end{array}&2\\\ \hline\cr 2&\begin{array}[]{c|c}2&2\\\ \hline\cr
2&2\end{array}\end{array}&2\\\ \hline\cr
2&\begin{array}[]{@{}c|c@{}}\begin{array}[]{c|c}2&2\\\ \hline\cr
2&2\end{array}&2\\\ \hline\cr 2&\begin{array}[]{c|c}2&2\\\ \hline\cr
2&2\end{array}\end{array}\end{array}\right].$ (20)
The hierarchical structure embodied by the predetermined rank map in Eq. (20)
represents the inductive bias for the attention matrix $A$ in Eq. (16). But
this construction step is inefficient because we need to form the original
attention matrix and then perform SVD to discover the low-rank approximation.
Figure 1: Token sequence partitions in three segment granularity.
#### 5.2.2 Token Hierarchy
To illustrate the notion of token hierarchy, consider the same 16-word
sentence in Fig. 2. A simple 3-level binary-tree hierarchy can be set up by
following the simple coarsening defined in Eq. (14): 1) At level-0, each one
of the 16 words is mapped to its word embedding; 2) At level-1, each token
(parent node) corresponds to a pair of adjacent words at level-0 (child
nodes), which are shown inside each box. The embedding of each parent token is
simply the average of its child token embeddings; 3) At level-2, each token
(parent node) corresponds to one pair of adjacent tokens at level-1 (child
nodes) or 4 adjacent words at level-0 (grand child nodes), which are shown
inside each box. The embedding of each parent token is simply the average of
its child token embeddings.
In general, the height of the binary tree is $O(log_{2}(L)$ and the total
number of tree nodes is $O(2L)$, where $L$ is the sequence length. We only
need word embeddings for the leaf nodes since the embeddings of all other tree
nodes can be recursively computed. The formal definition and notations of the
recursion for query and key are detailed in section 6.1.
#### 5.2.3 Informal Construction of Hierarchical Attention
It is clear from Fig. 2 that the embeddings of higher level tokens represent a
coarser level representation of a larger chunk of the text. The tokens at
different levels can be understood as multi-scale snapshots of the original
token sequence at level-0. Hence this token hierarchy naturally induces a set
of multi-scale attention matrices. Let $\tilde{A}^{(i)}$ be the attention
matrix induced by the tokens at level-$i$. It is clear from Fig. 2 that the
size of $\tilde{A}^{(0)}$, $\tilde{A}^{(1)}$ and $\tilde{A}^{(2)}$ is
$16\times 16$, $8\times 8$ and $4\times 4$, respectively. This multi-scale
viewpoint does not directly lead to a useful algorithm since matrix
$\tilde{A}^{(0)}$ contains all the information and there is little additional
information from $\tilde{A}^{(1)}$ and $\tilde{A}^{(2)}$.
A key step to arrive at the hierarchical attention is to apply the contextual
sliding window at each hierarchy level. The tokens at each level are
partitioned into segments of size 2 in Fig. 2. One way to implement the local
attention is to allow each query token segment to attend only two adjacent key
token segments, one to its left and another to its right. At level-0, each
query token segment also attends to the collocated key token segment. The
token segment partition and local attention lead to a tri-diagonal block
sparse matrix structure for $\tilde{A}^{(0)}$ and bi-diagonal block sparse
matrix structure for $\tilde{A}^{(1)}$ and $\tilde{A}^{(2)}$. Their sparsity
patterns are
$\tilde{A}^{(0)}\propto\left[\begin{array}[]{c|c|c|c|c|c|c|c}2&2&&&&&&\\\
\hline\cr 2&2&2&&&&&\\\ \hline\cr&2&2&2&&&&\\\ \hline\cr&&2&2&2&&&\\\
\hline\cr&&&2&2&2&&\\\ \hline\cr&&&&2&2&2&\\\ \hline\cr&&&&&2&2&2\\\
\hline\cr&&&&&&2&2\end{array}\right]$ (21)
$\tilde{A}^{(1)}\propto\left[\begin{array}[]{c|c|c|c}&2&&\\\ \hline\cr
2&&2&\\\ \hline\cr&2&&2\\\ \hline\cr&&2&\end{array}\right]$ (22)
$\tilde{A}^{(2)}\propto\left[\begin{array}[]{c|c}&2\\\ \hline\cr
2&\end{array}\right]$ (23)
where the 2 in the nonzero blocks indicates that these are dense blocks of
size $2\times 2$.
It is clear that $\tilde{A}^{(0)}$ is identical to $A^{(0)}$ in Eq. (19). The
efficiency gain comes from $\tilde{A}^{(2)}$ and $\tilde{A}^{(1)}$. Each
nonzero entry in $\tilde{A}^{(2)}$ and $\tilde{A}^{(1)}$ captures the
aggregated or coarse attention between two disjoint chunk of four and two
tokens, respectively. Progressively larger token chunks lead to progressively
lower-precision approximation to the original attention blocks. This is
precisely the intention of the rank map in Eq. (20). We can now see that
$\tilde{A}^{(2)}$ and $\tilde{A}^{(1)}$ provide an efficient way to
approximate $A^{(2)}$ in Eq. (17) and $A^{(1)}$ in Eq. (18), respectively.
Figure 2: A three-level token hierarchy. Dashed boxes represent segmentation
and solid boxes represents tokens.
## 6 Key Components in Hierarchical Attention
### 6.1 Constructing Hierarchical Attention
The simple example in Fig. 2 can be easily generalized. Eq. (14) is used to
coarsen or merge rows in matrices $Q$, $K$ and $V$ in Eq. (1). For sequence
length $L=2^{M+1}$, the coarsening establishes a binary tree of depth $M$ for
$Q$, $K$ and $V$, respectively. Each tree node represents a matrix row and
there are $2^{M+1-l}$ nodes or rows at level-$l$. To facilitate the
discussion, we define a few hierarchy related notations here. Let
$\tilde{Q}^{(l)}$, $\tilde{K}^{(l)}$ and $\tilde{V}^{(l)}$ be coarsened
versions of $Q$, $K$ and $V$ at level-$l$ in the binary tree. We note that
$l=0$ is a special case, which is defined as
$\tilde{Q}^{(0)}=Q,\;\;\tilde{K}^{(0)}=K,\;\;\tilde{V}^{(0)}=V.$ (24)
Following Eq. (14), the recursion to coarsen $Q$, $K$ and $V$ is:
$\displaystyle\tilde{Q}^{(l+1)}_{j}$ $\displaystyle=$
$\displaystyle\frac{1}{2}(\tilde{Q}^{(l)}_{2j}+\tilde{Q}^{(l)}_{2j+1})$ (25)
$\displaystyle\tilde{K}^{(l+1)}_{j}$ $\displaystyle=$
$\displaystyle\frac{1}{2}(\tilde{K}^{(l)}_{2j}+\tilde{K}^{(l)}_{2j+1})$ (26)
$\displaystyle\tilde{V}^{(l+1)}_{j}$ $\displaystyle=$
$\displaystyle(\tilde{V}^{(l)}_{2j}+\tilde{V}^{(l)}_{2j+1})$ (27)
where $l=0,1,...,M-2$ and $j=0,1,2,...,2^{M-l}$. It should be noted that the
coarsening of $V$ in Eq. (27) does not have the averaging factor
$\frac{1}{2}$. We defer more details on coarsening to Appendix Section A.1.
Now we are ready to compute the nonzero entries in Eq. (21), (22) and (23) and
construct hierarchical attention matrix $\tilde{A}^{(l)}$. Substituting Eq.
(25) and (26) into (4) and then into (3), we obtain
$\tilde{A}^{(l)}_{ij}=e^{\tilde{S}^{(l)}_{ij}}=e^{\frac{\tilde{Q}^{(l)}_{i}(\tilde{K}^{(l)}_{j})^{T}}{\sqrt{d}}}$
(28)
Again, we note that $l=0$ is a special case because
$\tilde{A}^{(0)}_{ij}=A_{ij}$.
### 6.2 Applying Hierarchical Attention
The hierarchical matrix structure in Eq. (17), (18) and (19) naturally leads
to a hierarchical approach to the matrix-matrix multiplication in Eq. (2) and
the matrix-vector multiplication in Eq. (5). We use the matrix-matrix
multiplication as an example since matrix-vector multiplication is just a
special case of the matrix-matrix multiplication.
In view of Eq. (17), (18) and (19), we write the matrix-matrix multiplication
in Eq. (2) as
$\displaystyle
Y=AV=Y^{(0)}+P^{(0)}\left(\tilde{Y}^{(1)}+P^{(1)}\tilde{Y}^{(2)}\right)$ (29)
where
$Y^{(0)}=A^{(0)}V^{(0)},\;\tilde{Y}^{(l)}=\tilde{A}^{(l)}\tilde{V}^{(l)},\;l=1,2$
(30)
We defer the detailed derivation of Eq. (29) to Appendix Section A.5 and A.6.
## 7 Algorithm And Computational Complexity
To facilitate the description and the complexity analysis of the algorithm, we
define a few more hierarchy-related notations. In addition to sequence length
$L$, number of hierarchy levels $M$ and embedding or feature size $d$ in Eq.
(1), the new notations include: 1) $N_{r}$ : numerical rank of the off-
diagonal blocks (for instance, 2 in Eq. (20)). This is also the diagonal block
size at level-0; 2) $N_{b}^{(l)}$: number of blocks at level-$l$. Note that
$L$ and $d$ are usually data-dependent hyper-parameters, while $N_{r}$ is the
only model hyper-parameter responsible for our method’s inductive bias. In
turn, $N_{b}^{(l)}$ and $M$ are derived parameters, computed as:
$\displaystyle N_{b}^{(0)}$ $\displaystyle=$
$\displaystyle\frac{L}{N_{r}},\;\;N_{b}^{(l+1)}=\frac{N_{b}^{(l)}}{2}$ (31)
$\displaystyle M$ $\displaystyle=$ $\displaystyle\log_{2}(N_{b}^{(0)}).$ (32)
It is easy to verify that
$\sum_{l=0}^{M-1}N_{b}^{(l)}=\sum_{l=0}^{M-1}\frac{N_{b}^{(0)}}{2^{l}}\approx
2N_{b}^{(0)}.$ (33)
It is important to note that only the diagonal blocks at level-0 and the
super-diagonal and sub-diagonal blocks at level-$l$ are needed in applying the
hierarchical attention matrix. This is clearly shown in Eq. (21)- (23). This
means that only $N_{b}^{(l)}-1$ super-diagonal and sub-diagonal blocks are
computed at level-$l$. This is crucial to the overall linear complexity in run
time and memory.
We should also note that all matrix blocks in coarse attention matrix
$\tilde{A}^{(l)}$ have the same size $N_{r}\times N_{r}$. This is due to the
rank map in Eq. (20). This is crucial for efficiency reason since the single-
instruction-multiple-data (SIMD) programming style supported by the dense
linear algebra libraries for GPU and TPU encourages uniform tensor shapes.
We summarize the main steps to construct and apply the hierarchical attention
in Algorithm 1.
Input: $Q$(query), $K$(key), $V$(value)
Output: $Z$
Algorithm 1 H-Transformer-1D
Coarsen $Q$ using Eq. (25) and coarsen $K$ using Eq. (26)
Compute diagonal blocks in $\tilde{A}^{(0)}$ and super-diagonal and sub-
diagonal blocks in $\tilde{A}^{(l)}$ using Eq. (28)
Coarsen $V$ using Eq. (27)
Compute $Y=AV$ in Eq. (2) using Eq. (29)
Compute $D$ in Eq. (5) using Eq. (29)
Compute $Z=D^{-1}Y$
The computational cost for Algorithm 1 has two parts:
1. 1.
Computing the hierarchical attention matrix:
1. (a)
diagonal blocks at level-$0$: $dN_{r}^{2}N_{b}^{(0)}$
2. (b)
Super- and sub-diagonal blocks at level-$l$: $4dN_{r}^{2}(N_{b}^{(l)}-1)$
3. (c)
total: $5dLN_{r}=O(dL)$
2. 2.
Computing matrix-matrix (MM) multiplication in Eq. (2) and matrix-vector (MV)
multiplication in Eq. (5):
1. (a)
MM: $5dLN_{r}$
2. (b)
MV: $5LN_{r}$
3. (c)
total: $5(d+1)LN_{r}=O(dL)$
So the overall run time complexity of the hierarchical attention algorithm is
$O(dL)$. Likewise, the memory complexity can be shown to be $O(dL)$ as well.
We defer the detailed analysis to appendix Section A.5 and A.6.
## 8 Experiments And Results
We have implemented the proposed hierarchical attention using Jax, an open
source library 555https://github.com/google/jax for automatic gradient
computation and linear algebra operations on GPUs and TPUs. All numerical
operations in our algorithm use the Numpy native linear algebra functions
supported by Jax. In all our experiments in this section, we use the standard
Transformer architecture described in Vaswani et al. (2017) as the backbone
for our H-Transformer-1D model. Unless specified otherwise, the model
parameters are: number of layers is 6, number of heads is 8, word embedding
size is 512 and the feed-forward module (FFN) size is 2048. We follow the API
for the standard multihead scaled dot-product attention implementation
666https://github.com/google/flax/blob/master/flax/nn so that we can perform a
simple drop-in replacement of the standard multihead attention with our
hierarchical attention implementation. This allows for an easy and fair
comparison.
### 8.1 Long-Range Arena
The open-source Long-Range Arena (LRA) benchmark 777https://github.com/google-
research/long-range-arena has been proposed as a standard way to probe and
quantify the capabilities of various xformer (long-range Transformer)
architectures Tay et al. (2020c). In our case, it also serves to highlight the
effectiveness of the inductive bias inspired by the H-Matrix method, as well
as the capability of our hierarchical attention to handle long sequences.
The LRA has several desirable qualities that made us focus on it as a primary
evaluation benchmark: generality (restricted to encoder-only tasks to
accommodate most proposals); simplicity (no pretraining, no data augmentation
allowed); difficulty (large headroom with existing approaches); long-input
focus (so that modeling improvements in this area are visible); diverse (6
tasks, covering math, language, image, and spatial modeling); and lightweight
(so that modeling improvements are measurable independently of the ability to
train and run high-capacity models).
The tasks that comprise LRA are: ListOps (sequences of arithmetical
expressions of lengths of up to 2K that tests the ability to reason
hierarchically while handling long context); Text (byte/character-level text
classification at document level, which both simulates longer input sequences
– max length 4K – and increases the difficulty level); Retrieval
(byte/character-level document retrieval, which simulates the ability to model
document similarity as a score between two independently-encoded long input
sequences – max length 4K + 4K = 8K); Image (image classification based on the
CIFAR-10 dataset, where an NxN image is flattened to a sequence of length N2
pixels); Pathfinder (long-range spatial dependency task, with images
consisting of two small circles and dash-line paths that either connect the
two circles or not – image dimensions of 32x32 for a pixel sequence of length
1,024); Path-X (same as Pathfinder, but for image dimensions of 128x128 for a
total pixel sequence of length 16,384). The default Transformer model
parameters such as number of layers and number of heads etc are pre-determined
by the benchmark configuration for each task.
The results obtained by our H-Transformer-1D model on the LRA benchmark are
given in Table 1. Overall, the H-Transformer-1D model achieves 61.41 average
accuracy, a +6.4 points improvement over the previous-best average performance
from BigBird Zaheer et al. (2020). We want to highlight ListOps, Text and
Retrieval because they all involve long sequences and H-Transformer-1D model
improves SOTA performance by relatively large margins. These should be strong
evidences to support our hypothesis in section 5.1 and validate the inductive
bias due to the hierarchical attention.
Model | ListOps | Text | Retrieval | Image | Pathfinder | Path-X | Avg
---|---|---|---|---|---|---|---
Chance | 10.00 | 50.00 | 50.00 | 10.00 | 50.00 | 50.00 | 44.00
Transformer | 36.37 | 64.27 | 57.46 | 42.44 | 71.40 | FAIL | 54.39
Local Attention | 15.82 | 52.98 | 53.39 | 41.46 | 66.63 | FAIL | 46.06
Sparse Trans. | 17.07 | 63.58 | 59.59 | 44.24 | 71.71 | FAIL | 51.24
Longformer | 35.63 | 62.85 | 56.89 | 42.22 | 69.71 | FAIL | 53.46
Linformer | 35.70 | 53.94 | 52.27 | 38.56 | 76.34 | FAIL | 51.36
Reformer | 37.27 | 56.10 | 53.40 | 38.07 | 68.50 | FAIL | 50.67
Sinkhorn Trans. | 33.67 | 61.20 | 53.83 | 41.23 | 67.45 | FAIL | 51.39
Synthesizer | 36.99 | 61.68 | 54.67 | 41.61 | 69.45 | FAIL | 52.88
BigBird | 36.05 | 64.02 | 59.29 | 40.83 | 74.87 | FAIL | 55.01
Linear Trans. | 16.13 | 65.90 | 53.09 | 42.34 | 75.30 | FAIL | 50.55
Performer | 18.01 | 65.40 | 53.82 | 42.77 | 77.05 | FAIL | 51.41
H-Transformer-1D | 49.53 | 78.69 | 63.99 | 46.05 | 68.78 | FAIL | 61.41
Table 1: Experimental results on long-range arena benchmark. Best model is in boldface and second best is underlined. All models do not learn anything on Path-X task, contrary to the Pathfinder task and this is denoted by FAIL. Path-X is not counted toward the Average score as it has no impact on relative performance. Model | perplexity | parameters
---|---|---
Dai et al. (2019) | 21.8 | 800M
Baevski and Auli (2019) | 23.02 | 1000M
Dai et al. (2019) | 23.5 | 465M
Baevski and Auli (2019) | 23.91 | 465M
Shazeer et al. (2018) | 24.0 | 4900M
Transformer baseline | 30.04 | 53M
Transformer baseline | 24.8 | 144M
H-Transformer-1D $N_{r}=16$ | 23.95 | 53M
H-Transformer-1D $N_{r}=16$ | 20.25 | 144M
Table 2: Experimental results on one-billion word benchmark. We compare
previous SOTA results obtained with models of size 465M-4900M parameters
against the performance of the quadratic attention baseline and the
H-Transformer-1D models.
### 8.2 Language Models Trained on One-Billion Words
We have used Flax, an open-source library 888https://github.com/google/flax to
train neural networks, as the code base for the model training. Our
H-Transformer-1D model uses the standard Transformer decoder implementation in
Flax as the backbone. Only the attention is replaced with our hierarchical
attention. We trained both the Transformer baseline and H-Transformer-1D on
the One-Billion Word benchmark Chelba et al. (2014). We tried different
$N_{r}$ (numerical rank) in our H-Transformer-1D model. These represent
different inductive bias. We found that H-Transformer-1D with $N_{r}=16$
generated text with quality comparable to that of the baseline Transformer.
For both Transformer baseline and H-Transformer-1D, we also tried two sets of
model parameters: 1) embedding size is 512 and feed-forward module size is
2048 and hence the parameter count is 53M; 2) embedding size is 1024 and feed-
forward module size is 4096 and hence the parameter count is 144M. The test
perplexity results of these four models and various SOTA models are shown in
table 2.
H-Transformer-1D delivers the lowest perplexity to-date while using $5\times$
smaller model capacity than that of the previous SOTA model Transformer-XL Dai
et al. (2019). This is another strong evidence to support our hypothesis in
section 5.1 and validate the inductive bias due to the hierarchical attention.
## 9 Conclusions and Future Work
We have proposed a new Transformer attention using the inductive bias inspired
by the H-Matrix. The new algorithm has linear complexity in run time and
memory usage and is fully compatible with dense linear algebra libraries on
GPU and TPU. The effectiveness of this new attention is demonstrated by the
empirical evidences from long-range arena benchmark and One-Billion word
language modeling. Future work include applying the new attention to music and
genomics, developing proper inductive bias for cross-attention and extending
to 2D images.
## References
* Abreu et al. (2019) Jader Abreu, Luis Fred, David Macêdo, and C. Zanchettin. 2019. Hierarchical attentional hybrid neural networks for document classification. _ArXiv_ , abs/1901.06610.
* Ainslie et al. (2020) Joshua Ainslie, S. Ontañón, C. Alberti, V. Cvicek, Zachary Kenneth Fisher, Philip Pham, Anirudh Ravula, S. Sanghai, Qifan Wang, and L. Yang. 2020\. Etc: Encoding long and structured inputs in transformers. In _EMNLP_.
* Baevski and Auli (2019) Alexei Baevski and M. Auli. 2019. Adaptive input representations for neural language modeling. _ArXiv_ , abs/1809.10853.
* Bello et al. (2019) I. Bello, Barret Zoph, Ashish Vaswani, Jonathon Shlens, and Quoc V. Le. 2019. Attention augmented convolutional networks. _2019 IEEE/CVF International Conference on Computer Vision (ICCV)_ , pages 3285–3294.
* Beltagy et al. (2020) Iz Beltagy, Matthew E. Peters, and Arman Cohan. 2020. Longformer: The long-document transformer. _ArXiv_ , abs/2004.05150.
* Brandt and Lubrecht (1990) A. Brandt and A. A. Lubrecht. 1990. Multilevel matrix multiplication and fast solution of integral equations. 90:348–370.
* Briggs et al. (2000) W.L. Briggs, V.E. Henson, and S.F. McCormick. 2000. _A Multigrid Tutorial_. SIAM.
* Brown et al. (2020) Tom B. Brown, Benjamin Pickman Mann, Nick Ryder, Melanie Subbiah, Jean Kaplan, Prafulla Dhariwal, Arvind Neelakantan, Pranav Shyam, Girish Sastry, Amanda Askell, Sandhini Agarwal, Ariel Herbert-Voss, G. Krüger, Tom Henighan, Rewon Child, Aditya Ramesh, Daniel M. Ziegler, Jeffrey Wu, Clemens Winter, Christopher Hesse, Mark Chen, Eric J Sigler, Mateusz Litwin, Scott Gray, Benjamin Chess, Jack Clark, Christopher Berner, Sam McCandlish, Alec Radford, Ilya Sutskever, and Dario Amodei. 2020. Language models are few-shot learners. _ArXiv_ , abs/2005.14165.
* Chelba et al. (2014) Ciprian Chelba, Tomas Mikolov, M. Schuster, Qi Ge, T. Brants, Phillipp Koehn, and T. Robinson. 2014. One billion word benchmark for measuring progress in statistical language modeling. _ArXiv_ , abs/1312.3005.
* Chen et al. (2020) Mark Chen, Alec Radford, Rewon Child, Jeffrey Wu, Heewoo Jun, David Luan, and Ilya Sutskever. 2020. Generative pretraining from pixels. _Proceedings of the 37th International Conference on Machine Learning_ , PMLR 119.
* Child et al. (2019) R. Child, Scott Gray, A. Radford, and Ilya Sutskever. 2019. Generating long sequences with sparse transformers. _ArXiv_ , abs/1904.10509.
* Choromanski et al. (2020) Krzysztof Choromanski, Valerii Likhosherstov, David Dohan, Xingyou Song, Jared Davis, Tamás Sarlós, David Belanger, Lucy J. Colwell, and Adrian Weller. 2020. Masked language modeling for proteins via linearly scalable long-context transformers. _ArXiv_ , abs/2006.03555.
* Dai et al. (2019) Zihang Dai, Z. Yang, Yiming Yang, J. Carbonell, Quoc V. Le, and R. Salakhutdinov. 2019. Transformer-xl: Attentive language models beyond a fixed-length context. In _ACL_.
* Devlin et al. (2019) J. Devlin, Ming-Wei Chang, Kenton Lee, and Kristina Toutanova. 2019. Bert: Pre-training of deep bidirectional transformers for language understanding. In _NAACL-HLT_.
* Golub and Loan (1996) G.H. Golub and C.F. Van Loan. 1996. _Matrix Computation_. The John Hopkins University Press, Baltimore.
* Goyal and Bengio (2020) Anirudh Goyal and Yoshua Bengio. 2020. Inductive biases for deep learning of higher-level cognition. _ArXiv_ , abs/2011.15091.
* Greengard (1994) L Greengard. 1994. Fast algorithms for classical physics. _Science_ , 265:909–914.
* Greengard and Rokhlin (1987) L Greengard and V Rokhlin. 1987. A fast algorithm for particle simulations. 73:325–348.
* Hackbusch (1999) W. Hackbusch. 1999. A sparse matrix arithmetic based on h-matrices. part I: Introduction to H-matrices. _Computing_ , 62:89–108.
* Hackbusch (2000) W. Hackbusch. 2000. A sparse matrix arithmetic based on H-matrices. part II: Application to multi-dimensional problems. _Computing_ , 64:21–47.
* Ho et al. (2019) Jonathan Ho, Nal Kalchbrenner, Dirk Weissenborn, and Tim Salimans. 2019. Axial attention in multidimensional transformers. _ArXiv_ , abs/1912.12180.
* Huang et al. (2018) Cheng-Zhi Anna Huang, Ashish Vaswani, Jakob Uszkoreit, Noam Shazeer, Ian Simon, Curtis Hawthorne, Andrew M. Dai, Matthew D. Hoffman, Monica Dinculescu, and Douglas Eck. 2018. Music transformer. _arXiv: Learning_.
* Kapur and Long (1997) S. Kapur and D.E. Long. 1997. IES3: A fast integral equation solver for efficient 3-dimensional extraction. _International Conference on Computer Aided-Design_ , pages 448–455.
* Khandelwal et al. (2018) Urvashi Khandelwal, He He, Peng Qi, and Dan Jurafsky. 2018. Sharp nearby, fuzzy far away: How neural language models use context. _ArXiv_ , abs/1805.04623.
* Kitaev et al. (2020) Nikita Kitaev, Lukasz Kaiser, and Anselm Levskaya. 2020. Reformer: The efficient transformer. _ArXiv_ , abs/2001.04451.
* Liu and Lapata (2019) Yang Liu and Mirella Lapata. 2019. Hierarchical transformers for multi-document summarization. In _ACL_.
* Luong et al. (2015) Thang Luong, Hieu Pham, and Christopher D. Manning. 2015. Effective approaches to attention-based neural machine translation. _ArXiv_ , abs/1508.04025.
* Manning and Schütze (1999) Chris Manning and Hinrich Schütze. 1999. _Foundations of Statistical Natural Language Processing_. MIT Press, Cambridge, MA.
* Miculicich et al. (2018) Lesly Miculicich, Dhananjay Ram, Nikolaos Pappas, and James Henderson. 2018. Document-level neural machine translation with hierarchical attention networks. In _EMNLP_.
* Nabors et al. (1994) K. Nabors, T. Korsmeyer, and J. White. 1994. Multipole accelerated preconditioned iterative methods for three-dimensional potential integral equations of the first kind. _SIAM J. Sci. and Stat. Comp._
* Parmar et al. (2018) Niki Parmar, Ashish Vaswani, Jakob Uszkoreit, Lukasz Kaiser, Noam Shazeer, Alexander Ku, and Dustin Tran. 2018. Image transformer. _ArXiv_ , abs/1802.05751.
* Phillips and White (1997) Joel R. Phillips and J. K. White. 1997. A precorrected-FFT method for electrostatic analysis of complicated 3D structures. _IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems_ , pages 1059–1072.
* Qiu et al. (2019) Jiezhong Qiu, Hao Ma, Omer Levy, Scott Yih, Sinong Wang, and Jie Tang. 2019. Blockwise self-attention for long document understanding. _ArXiv_ , abs/1911.02972.
* Ramachandran et al. (2019) Prajit Ramachandran, Niki Parmar, Ashish Vaswani, Irwan Bello, Anselm Levskaya, and Jonathon Shlens. 2019. Stand-alone self-attention in vision models. _ArXiv_ , abs/1906.05909.
* Roy et al. (2020) Aurko Roy, M. Saffar, Ashish Vaswani, and David Grangier. 2020. Efficient content-based sparse attention with routing transformers. _ArXiv_ , abs/2003.05997.
* Shazeer et al. (2018) Noam Shazeer, Youlong Cheng, Niki Parmar, Dustin Tran, Ashish Vaswani, Penporn Koanantakool, P. Hawkins, H. Lee, Mingsheng Hong, C. Young, Ryan Sepassi, and Blake A. Hechtman. 2018. Mesh-tensorflow: Deep learning for supercomputers. In _NeurIPS_.
* Shi et al. (1998) W. Shi, J. Liu, N. Kakani, and T. Yu. 1998. A fast hierarchical algorithm for 3-d capacitance extraction. _ACM/IEEE Design Automation Conference_.
* Tay et al. (2020a) Yi Tay, Dara Bahri, Donald Metzler, D. Juan, Zhe Zhao, and Che Zheng. 2020a. Synthesizer: Rethinking self-attention in transformer models. _ArXiv_ , abs/2005.00743.
* Tay et al. (2020b) Yi Tay, Dara Bahri, L. Yang, Donald Metzler, and D. Juan. 2020b. Sparse sinkhorn attention. In _ICML_.
* Tay et al. (2020c) Yi Tay, M. Dehghani, Samira Abnar, Y. Shen, Dara Bahri, Philip Pham, J. Rao, Liu Yang, Sebastian Ruder, and Donald Metzler. 2020c. Long range arena: A benchmark for efficient transformers. _ArXiv_ , abs/2011.04006.
* Tay et al. (2020d) Yi Tay, M. Dehghani, Dara Bahri, and Donald Metzler. 2020d. Efficient transformers: A survey. _ArXiv_ , abs/2009.06732.
* Trefethen and Bau (1997) L.N. Trefethen and D. Bau. 1997. _Numerical linear algebra_. SIAM, Philadelphia.
* Trottenberg et al. (2000) Ulrich Trottenberg, Cornelius W. Oosterlee, and Anton Schuller. 2000. _Multigrid_. Academic Press.
* Vaswani et al. (2017) Ashish Vaswani, Noam Shazeer, Niki Parmar, Jakob Uszkoreit, Llion Jones, Aidan N. Gomez, Lukasz Kaiser, and Illia Polosukhin. 2017. Attention is all you need. _ArXiv_ , abs/1706.03762.
* Velickovic et al. (2018) Petar Velickovic, Guillem Cucurull, Arantxa Casanova, Adriana Romero, Pietro Liò, and Yoshua Bengio. 2018. Graph attention networks. _ArXiv_ , abs/1710.10903.
* Wang et al. (2020) Sinong Wang, Belinda Z. Li, Madian Khabsa, Han Fang, and Hao Ma. 2020. Linformer: Self-attention with linear complexity. _ArXiv_ , abs/2006.04768.
* Zaheer et al. (2020) Manzil Zaheer, Guru Guruganesh, Kumar Avinava Dubey, Joshua Ainslie, Chris Alberti, Santiago Ontañón, Philip Pham, Anirudh Ravula, Qifan Wang, Li Yang, and Amr Ahmed. 2020. Big bird: Transformers for longer sequences.
* Zhou et al. (2020) Hao-Yi Zhou, Shanghang Zhang, Jieqi Peng, Shuai Zhang, Jianxin Li, Hui Xiong, and Wancai Zhang. 2020. Informer: Beyond efficient transformer for long sequence time-series forecasting. _ArXiv_ , abs/2012.07436.
* Zhu et al. (2005) Zhenhai Zhu, Ben Song, and J. K. White. 2005. Algorithms in FastImp: A fast and wideband impedance extraction program for complicated 3D geometries. _IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems_.
* Zhu and White (2005) Zhenhai Zhu and J. K. White. 2005. Fastsies: a fast stochastic integral equation solver for modeling the rough surface effect. _International Conference on Computer Aided-Design_ , pages 675–682.
## Appendix A Appendix
### A.1 Restriction or Coarsening Matrices
For sequence length $L=2^{M+1}$, the coarsening establishes a binary tree of
depth $M$ for $Q$, $K$ and $V$, respectively. The root of the binary tree at
level-$(M-1)$ has two nodes which correspond to the two matrix rows coarsened
from four matrix rows at level-$(M-2)$. The piecewise constant restriction
matrix at level-$(M-2)$ is
$R^{(M-2)}=\left[\begin{array}[]{cccc}1&1&0&0\\\
0&0&1&1\end{array}\right]_{2\times 4}.$ (34)
Likewise, the piecewise constant restriction matrix at level-$(M-3)$ is
$\displaystyle R^{(M-3)}$ $\displaystyle=$
$\displaystyle\left[\begin{array}[]{cccc|cccc}1&1&0&0&0&0&0&0\\\
0&0&1&1&0&0&0&0\\\ \hline\cr 0&0&0&0&1&1&0&0\\\
0&0&0&0&0&0&1&1\end{array}\right]_{4\times 8}$ (39) $\displaystyle=$
$\displaystyle\left[\begin{array}[]{c|c}R^{(M-2)}&0\\\ \hline\cr
0&R^{(M-2)}\end{array}\right].$ (42)
In general, the restriction matrices follow the recursion
$R^{(l-1)}=\left[\begin{array}[]{c|c}R^{(l)}&0\\\ \hline\cr
0&R^{(l)}\end{array}\right]$ (43)
which starts from $R^{(M-2)}$ of size $2\times 4$ and goes backward to
$R^{(0)}$ of size $\frac{L}{2}\times L$.
### A.2 Interpolation Matrices
Given $Y^{(l)}$ at level-$l$, the interpolated $Y^{(l-1)}$ at level-$(l-1)$
can be written as
$Y^{(l-1)}=P^{(l)}Y^{(l)}$ (44)
where $l=1,2,...,M-1$, sparse matrix $P^{(l)}$ has size $L^{(l-1)}\times
L^{(l)}$, and $L^{(l)}=2^{M-l}$ is the node count at level-$l$ of the binary
tree.
This recursion also follows the binary tree hierarchy. The four matrix rows at
level-$(M-2)$ are interpolated from the two matrix rows at level-$(M-1)$.
Specifically, the piecewise constant interpolation matrix at level-$(M-1)$ is
$P^{(M-1)}=\left[\begin{array}[]{cc}1&0\\\ 1&0\\\ 0&1\\\
0&1\end{array}\right]_{4\times 2}.$ (45)
Likewise, the piecewise constant interpolation matrix at level-$(M-2)$ is
$\displaystyle P^{(M-2)}$ $\displaystyle=$
$\displaystyle\left[\begin{array}[]{cc|cc}1&0&0&0\\\ 1&0&0&0\\\ 0&1&0&0\\\
0&1&0&0\\\ \hline\cr 0&0&1&0\\\ 0&0&1&0\\\ 0&0&0&1\\\
0&0&0&1\end{array}\right]_{8\times 4}$ (54) $\displaystyle=$
$\displaystyle\left[\begin{array}[]{c|c}P^{(M-1)}&0\\\ \hline\cr
0&P^{(M-1)}\end{array}\right].$ (57)
In general, the interpolation matrices follow the recursion
$P^{(l-1)}=\left[\begin{array}[]{c|c}P^{(l)}&0\\\ \hline\cr
0&P^{(l)}\end{array}\right]$ (58)
which starts from $P^{(M-1)}$ of size $4\times 2$ and goes backward to
$P^{(0)}$ of size $L\times\frac{L}{2}$. In view of Eq. (34) and (45), it is
obvious that
$P^{(M-1)}=(R^{(M-2)})^{T}.$ (59)
In view of the recursions in Eq. (43) and (58), it is easy to prove by
induction that
$P^{(l)}=(R^{(l-1)})^{T}.$ (60)
### A.3 Expansion Matrices
For the purpose of factored low-rank approximation for the off-diagonal
attention matrix blocks, we design a series of so-called expansion matrices.
The first two expansion matrices in this series are
$\displaystyle T^{(M-1)}$ $\displaystyle=$ $\displaystyle
P^{(M-1)}=\left[\begin{array}[]{cc}1&0\\\ 1&0\\\ 0&1\\\
0&1\end{array}\right]_{4\times 2}$ (65) $\displaystyle=$
$\displaystyle\left[\begin{array}[]{cc}\mathbf{1}_{2}&0\\\
0&\mathbf{1}_{2}\end{array}\right]$ (68)
and
$\displaystyle T^{(M-2)}$ $\displaystyle=$ $\displaystyle
P^{(M-2)}P^{(M-1)}=\left[\begin{array}[]{c|c}1&0\\\ 1&0\\\ 1&0\\\ 1&0\\\
\hline\cr 0&1\\\ 0&1\\\ 0&1\\\ 0&1\end{array}\right]_{8\times 2}$ (77)
$\displaystyle=$ $\displaystyle\left[\begin{array}[]{cc}\mathbf{1}_{4}&0\\\
0&\mathbf{1}_{4}\end{array}\right]$ (80)
where $\mathbf{1}_{N}$ is a length-$N$ vector of ones. The general form of
matrix $T^{(l)}$ is defined as
$T^{(l)}=\Pi_{i=l}^{M-1}P^{(i)}$ (81)
where $l=1,2,...,M-1$. In view of Eq. (68), (81) and (58), it is easy to prove
by induction that
$T^{(l)}=\left[\begin{array}[]{cc}\mathbf{1}_{2^{M-l}}&0\\\
0&\mathbf{1}_{2^{M-l}}\end{array}\right]$ (82)
and it has size $2^{M-l+1}\times 2$. Further more, in view of Eq. (81) and
(60), we have
$(T^{(l)})^{T}=\Pi_{i=M-1}^{l}R^{(i-1)}.$ (83)
### A.4 Low-Rank Factored Form
Matrix $T^{(l)}$ plays a pivotal role in constructing the low-rank
approximation to the off-diagonal attention matrix blocks. Let the $ij$-th
block in the coarsened attention matrix at level-1 be
$\tilde{A}^{(1)}_{ij}=\left[\begin{array}[]{cc}a_{11}&a_{12}\\\
a_{21}&a_{22}\end{array}\right]$ (84)
where $a_{ij}$ is the entry resulted from the inner product between a row in
$\tilde{Q}^{(1)}$ and $\tilde{K}^{(1)}$. The rank-2 approximation to the
corresponding $ij$-th block in the original attention matrix $A$ at level-$1$
can be written as
$\displaystyle A^{(1)}_{ij}\approx
T^{(M-1)}\tilde{A}^{(1)}_{ij}(T^{(M-1)})^{T}$ (85) $\displaystyle=$
$\displaystyle\left[\begin{array}[]{cc}1&0\\\ 1&0\\\ 0&1\\\
0&1\end{array}\right]\left[\begin{array}[]{cc}a_{11}&a_{12}\\\
a_{21}&a_{22}\end{array}\right]\left[\begin{array}[]{cccc}1&1&0&0\\\
0&0&1&1\end{array}\right]$ (94) $\displaystyle=$
$\displaystyle\left[\begin{array}[]{cc|cc}a_{11}&a_{11}&a_{12}&a_{12}\\\
a_{11}&a_{11}&a_{12}&a_{12}\\\ \hline\cr a_{21}&a_{21}&a_{22}&a_{22}\\\
a_{21}&a_{21}&a_{22}&a_{22}\end{array}\right].$ (99)
It is clear that the resulting $4\times 4$ matrix $A^{(1)}_{ij}$ is
essentially the piecewise constant interpolation of the $2\times 2$ matrix
$\tilde{A}^{(1)}_{ij}$ along row and column direction. And since both
$T^{(M-1)}$ and $\tilde{A}^{(1)}_{ij}$ have full rank 2, $A^{(1)}_{ij}$
necessarily has rank 2. One can also view $a_{ij}$ as being similar to the
average value at the $ij$-th cluster center in the K-mean method. The role of
matrix $T^{(M-1)}$ is to expand from these $2\times 2$ clusters to the
$4\times 4$ grid and hence the name expansion matrix.
Since we maintain the same numerical rank 2 for all super- and sub-diagonal
attention matrix blocks, the rank-2 approximation to the $ij$-th block in the
original attention matrix $A$ at level-$l$ is
$\displaystyle A^{(l)}_{ij}$ $\displaystyle\approx$ $\displaystyle
T^{(M-l)}\tilde{A}^{(l)}_{ij}(T^{(M-l)})^{T}$ (100) $\displaystyle=$
$\displaystyle\Pi_{i=M-l}^{M-1}P^{(i)}\tilde{A}^{(l)}_{ij}\Pi_{i=M-1}^{M-l}R^{(i-1)}$
where the last equality is due to Eq. (81) and (83).
We note that matrix $T^{(l)}$ has full column rank 2 by design and this can be
easily shown from Eq. (82). We have used this fact to construct the rank-2
approximation in Eq. (100).
### A.5 Construct Hierarchical Attention Matrix
To see how Eq. (100) can be used, consider a simple three-level partition of
the attention matrix $A$ for sequence length $L=16$
$A=\left[\begin{array}[]{c|c}A^{(2)}_{11}&A^{(2)}_{12}\\\ \hline\cr
A^{(2)}_{21}&A^{(2)}_{22}\end{array}\right]$ (101)
$A^{(2)}_{11}=\left[\begin{array}[]{@{}c|c@{}}\begin{array}[]{c|c}A^{(0)}_{11}&A^{(0)}_{12}\\\
\hline\cr A^{(0)}_{21}&A^{(0)}_{22}\end{array}&A^{(1)}_{12}\\\ \hline\cr
A^{(1)}_{21}&\begin{array}[]{c|c}A^{(0)}_{33}&A^{(0)}_{34}\\\ \hline\cr
A^{(0)}_{43}&A^{(0)}_{44}\end{array}\end{array}\right]$ (102)
$A^{(2)}_{22}=\left[\begin{array}[]{@{}c|c@{}}\begin{array}[]{c|c}A^{(0)}_{55}&A^{(0)}_{56}\\\
\hline\cr A^{(0)}_{65}&A^{(0)}_{66}\end{array}&A^{(1)}_{34}\\\ \hline\cr
A^{(1)}_{43}&\begin{array}[]{c|c}A^{(0)}_{77}&A^{(0)}_{78}\\\ \hline\cr
A^{(0)}_{87}&A^{(0)}_{88}\end{array}\end{array}\right]$ (103)
where the size of level-0, level-1 and level-2 matrix blocks is $2\times 2$,
$4\times 4$ and $8\times 8$, respectively. Note that the number of levels is
$M=log_{2}(L/2)=3$. We use this simple three-level example to illustrate the
key steps in both constructing and applying the hierarchical attention matrix.
In view of Eq. (100), we have
$A\approx\left[\begin{array}[]{c|c}\tilde{A}^{(2)}_{11}&T^{(1)}\tilde{A}^{(2)}_{12}(T^{(1)})^{T}\\\
\hline\cr
T^{(1)}\tilde{A}^{(2)}_{21}(T^{(1)})^{T}&\tilde{A}^{(2)}_{22}\end{array}\right]$
(104)
$\tilde{A}^{(2)}_{11}=\left[\begin{array}[]{@{}c|c@{}}\begin{array}[]{c|c}A^{(0)}_{11}&A^{(0)}_{12}\\\
\hline\cr
A^{(0)}_{21}&A^{(0)}_{22}\end{array}&T^{(2)}\tilde{A}^{(1)}_{12}(T^{(2)})^{T}\\\
\hline\cr
T^{(2)}\tilde{A}^{(1)}_{21}(T^{(2)})^{T}&\begin{array}[]{c|c}A^{(0)}_{33}&A^{(0)}_{34}\\\
\hline\cr A^{(0)}_{43}&A^{(0)}_{44}\end{array}\end{array}\right]$ (105)
$\tilde{A}^{(2)}_{22}=\left[\begin{array}[]{@{}c|c@{}}\begin{array}[]{c|c}A^{(0)}_{55}&A^{(0)}_{56}\\\
\hline\cr
A^{(0)}_{65}&A^{(0)}_{66}\end{array}&T^{(2)}\tilde{A}^{(1)}_{34}(T^{(2)})^{T}\\\
\hline\cr
T^{(2)}\tilde{A}^{(1)}_{43}(T^{(2)})^{T}&\begin{array}[]{c|c}A^{(0)}_{77}&A^{(0)}_{78}\\\
\hline\cr A^{(0)}_{87}&A^{(0)}_{88}\end{array}\end{array}\right].$ (106)
We note that matrices $T^{(l)},l=1,2$ are never explicitly formed and are only
implicitly used, as shown in next section. So only the diagonal blocks at
level-0 and super- and sub-diagonal blocks of the coarsened matrix $\tilde{A}$
at level-$l$ need to be explicitly computed. By design, all these blocks have
the same size $2\times 2$ if we set the numerical rank to $N_{r}=2$. The total
number of super- and sub-diagonal blocks in the binary tree hierarchy is upper
bounded by twice the number of super- and sub-diagonal blocks at level-0,
which is $2N_{b}^{(0)}$. Hence the total number of entries is
$5N_{b}^{(0)}N_{r}^{2}=5LN_{r}=O(LN_{r})$. Each entry is equal to the inner
product between $\tilde{Q}^{(l)}_{i}$ and $\tilde{K}^{(l)}_{j}$ and hence the
run time cost per entry is $O(d)$, where $d$ is the embedding size. So the
final total run time cost is $O(Ld)$ and memory foot print is $O(L)$. Here we
leave out $N_{r}$ since it is a constant model hyper parameter.
### A.6 Apply Hierarchical Attention Matrix
Computing matrix-matrix product $AV$ follows the hierarchical structure of
matrix $A$ in Eq. (104), (105) and (106). We first partition matrix $V$
according to the three-level binary tree established by the coarsening
process, i.e.,
$V=\left[\begin{array}[]{c}V^{(0)}_{1}\\\ V^{(0)}_{2}\\\ \hline\cr\vdots\\\
\hline\cr V^{(0)}_{7}\\\
V^{(0)}_{8}\end{array}\right]=\left[\begin{array}[]{c}V^{(1)}_{1}\\\
V^{(1)}_{2}\\\ \hline\cr V^{(1)}_{3}\\\
V^{(1)}_{4}\end{array}\right]=\left[\begin{array}[]{c}V^{(2)}_{1}\\\
V^{(2)}_{2}\end{array}\right].$ (107)
Note that these are partitions of the same matrix $V$ at 3 different levels.
For sequence length $L=16$, matrix $V$ has size $16\times d$, and the size of
the partitioned blocks $V^{(0)}_{i}$, $V^{(1)}_{j}$ and $V^{(2)}_{k}$ are
$2\times d$, $4\times d$ and $8\times d$, respectively. In the derivation to
come, we may exchange partitions at different levels. For instance, in view of
Eq. (107), we have
$V^{(2)}_{1}=\left[\begin{array}[]{c}V^{(1)}_{1}\\\
V^{(1)}_{2}\end{array}\right].$ (108)
So we may replace $V^{(2)}_{1}$ with the right-hand side in Eq. (108).
In view of Eq. (101) and (107), matrix-matrix product $AV$ can be written as
$\displaystyle Y$ $\displaystyle=$ $\displaystyle
AV=\left[\begin{array}[]{c}A^{(2)}_{11}V^{(2)}_{1}\\\
A^{(2)}_{22}V^{(2)}_{2}\end{array}\right]+\left[\begin{array}[]{c}A^{(2)}_{12}V^{(2)}_{2}\\\
A^{(2)}_{21}V^{(2)}_{1}\end{array}\right]$ (113) $\displaystyle=$
$\displaystyle\left[\begin{array}[]{c}A^{(2)}_{11}V^{(2)}_{1}\\\
A^{(2)}_{22}V^{(2)}_{2}\end{array}\right]+Y^{(2)}.$ (116)
In view of Eq. (104), we have
$\displaystyle Y^{(2)}$ $\displaystyle=$
$\displaystyle\left[\begin{array}[]{c}A^{(2)}_{12}V^{(2)}_{2}\\\
A^{(2)}_{21}V^{(2)}_{1}\end{array}\right]$ (119) $\displaystyle\approx$
$\displaystyle\left[\begin{array}[]{c}T^{(1)}\tilde{A}^{(2)}_{12}(T^{(1)})^{T}V^{(2)}_{2}\\\
T^{(1)}\tilde{A}^{(2)}_{21}(T^{(1)})^{T}V^{(2)}_{1}\end{array}\right]$ (122)
$\displaystyle=$
$\displaystyle\left[\begin{array}[]{c}P^{(1)}P^{(2)}\tilde{A}^{(2)}_{12}R^{(1)}R^{(0)}V^{(2)}_{2}\\\
P^{(1)}P^{(2)}\tilde{A}^{(2)}_{21}R^{(1)}R^{(0)}V^{(2)}_{1}\end{array}\right]$
(125) $\displaystyle=$ $\displaystyle
P^{(0)}P^{(1)}\left[\begin{array}[]{c}\tilde{A}^{(2)}_{12}\tilde{V}^{(2)}_{2}\\\
\tilde{A}^{(2)}_{21}\tilde{V}^{(2)}_{1}\end{array}\right]$ (128)
$\displaystyle=$ $\displaystyle
P^{(0)}P^{(1)}\left[\begin{array}[]{c}\tilde{Y}^{(2)}_{1}\\\
\tilde{Y}^{(2)}_{2}\end{array}\right]$ (131)
where
$\left[\begin{array}[]{c}\tilde{V}^{(2)}_{1}\\\
\tilde{V}^{(2)}_{2}\end{array}\right]=\left[\begin{array}[]{c}R^{(1)}R^{(0)}V^{(2)}_{1}\\\
R^{(1)}R^{(0)}V^{(2)}_{2}\end{array}\right].$ (132)
The third equality in Eq. (131) is due to Eq. (81) and (83) where $l=1$. The
fourth equality in Eq. (131) is due to Eq. (58).
In view of Eq. (105), we have
$\displaystyle A^{(2)}_{11}V^{(2)}_{1}\approx\tilde{A}^{(2)}_{11}V^{(2)}_{1}$
(139) $\displaystyle=$
$\displaystyle\left[\begin{array}[]{@{}c|c@{}}\begin{array}[]{c|c}A^{(0)}_{11}&A^{(0)}_{12}\\\
\hline\cr
A^{(0)}_{21}&A^{(0)}_{22}\end{array}&T^{(2)}\tilde{A}^{(1)}_{12}(T^{(2)})^{T}\\\
\hline\cr
T^{(2)}\tilde{A}^{(1)}_{21}(T^{(2)})^{T}&\begin{array}[]{c|c}A^{(0)}_{33}&A^{(0)}_{34}\\\
\hline\cr A^{(0)}_{43}&A^{(0)}_{44}\end{array}\end{array}\right]V^{(2)}_{1}$
$\displaystyle=$ $\displaystyle\left[\begin{array}[]{c}Y^{(0)}_{1}\\\
Y^{(0)}_{2}\\\ Y^{(0)}_{3}\\\ Y^{(0)}_{4}\end{array}\right]+Y^{(1)}_{1}$ (144)
where
$\displaystyle Y^{(1)}_{1}$ $\displaystyle=$
$\displaystyle\left[\begin{array}[]{c}T^{(2)}\tilde{A}^{(1)}_{12}(T^{(2)})^{T}V^{(1)}_{2}\\\
T^{(2)}\tilde{A}^{(1)}_{21}(T^{(2)})^{T}V^{(1)}_{1}\end{array}\right]$ (147)
$\displaystyle=$
$\displaystyle\left[\begin{array}[]{c}P^{(2)}\tilde{A}^{(1)}_{12}R^{(1)}V^{(1)}_{2}\\\
P^{(2)}\tilde{A}^{(1)}_{21}R^{(1)}V^{(1)}_{1}\end{array}\right]$ (150)
$\displaystyle=$ $\displaystyle
P^{(1)}\left[\begin{array}[]{c}\tilde{A}^{(1)}_{12}\tilde{V}^{(1)}_{2}\\\
\tilde{A}^{(1)}_{21}\tilde{V}^{(1)}_{1}\end{array}\right]$ (153)
$\displaystyle=$ $\displaystyle
P^{(1)}\left[\begin{array}[]{c}\tilde{Y}^{(1)}_{1}\\\
\tilde{Y}^{(1)}_{2}\end{array}\right]$ (156)
and
$\left[\begin{array}[]{c}\tilde{V}^{(1)}_{1}\\\
\tilde{V}^{(1)}_{2}\end{array}\right]=\left[\begin{array}[]{c}R^{(1)}V^{(1)}_{1}\\\
R^{(1)}V^{(1)}_{2}\end{array}\right].$ (157)
The second equality in Eq. (156) is due to Eq. (81) and (83) where $l=2$. The
third equality in Eq. (156) is due to Eq. (58).
In view of Eq.(106), we have
$\displaystyle A^{(2)}_{22}V^{(2)}_{2}\approx\tilde{A}^{(2)}_{22}V^{(2)}_{2}$
(164) $\displaystyle=$
$\displaystyle\left[\begin{array}[]{@{}c|c@{}}\begin{array}[]{c|c}A^{(0)}_{55}&A^{(0)}_{56}\\\
\hline\cr
A^{(0)}_{65}&A^{(0)}_{66}\end{array}&T^{(1)}\tilde{A}^{(1)}_{34}(T^{(1)})^{T}\\\
\hline\cr
T^{(1)}\tilde{A}^{(1)}_{43}(T^{(1)})^{T}&\begin{array}[]{c|c}A^{(0)}_{77}&A^{(0)}_{78}\\\
\hline\cr A^{(0)}_{87}&A^{(0)}_{88}\end{array}\end{array}\right]V^{(2)}_{2}$
$\displaystyle=$ $\displaystyle\left[\begin{array}[]{c}Y^{(0)}_{5}\\\
Y^{(0)}_{6}\\\ Y^{(0)}_{7}\\\ Y^{(0)}_{8}\end{array}\right]+Y^{(1)}_{2}$ (169)
where
$\displaystyle Y^{(1)}_{2}$ $\displaystyle=$
$\displaystyle\left[\begin{array}[]{c}P^{(2)}\tilde{A}^{(1)}_{34}R^{(1)}V^{(1)}_{4}\\\
P^{(2)}\tilde{A}^{(1)}_{43}R^{(1)}V^{(1)}_{3}\end{array}\right]$ (172)
$\displaystyle=$ $\displaystyle
P^{(1)}\left[\begin{array}[]{c}\tilde{A}^{(1)}_{34}\tilde{V}^{(1)}_{4}\\\
\tilde{A}^{(1)}_{43}\tilde{V}^{(1)}_{3}\end{array}\right]$ (175)
$\displaystyle=$ $\displaystyle
P^{(1)}\left[\begin{array}[]{c}\tilde{Y}^{(1)}_{3}\\\
\tilde{Y}^{(1)}_{4}\end{array}\right]$ (178)
and
$\left[\begin{array}[]{c}\tilde{V}^{(1)}_{3}\\\
\tilde{V}^{(1)}_{4}\end{array}\right]=\left[\begin{array}[]{c}R^{(1)}V^{(1)}_{3}\\\
R^{(1)}V^{(1)}_{4}\end{array}\right].$ (179)
Substituting Eq. (131), (144) and (169) into (116), we obtain the final result
for the matrix-matrix product
$\displaystyle Y=AV\approx
Y^{(0)}+P^{(0)}\left(\tilde{Y}^{(1)}+P^{(1)}\tilde{Y}^{(2)}\right)$ (180)
where
$\displaystyle Y^{(0)}$ $\displaystyle=$
$\displaystyle\left[\begin{array}[]{c}A^{(0)}_{11}V^{(0)}_{1}+A^{(0)}_{12}V^{(0)}_{2}\\\
A^{(0)}_{21}V^{(0)}_{1}+A^{(0)}_{22}V^{(0)}_{2}\\\ \vdots\\\
A^{(0)}_{87}V^{(0)}_{7}+A^{(0)}_{88}V^{(0)}_{8}\end{array}\right]$ (185)
$\displaystyle\tilde{Y}^{(1)}$ $\displaystyle=$
$\displaystyle\left[\begin{array}[]{c}\tilde{Y}^{(1)}_{1}\\\
\tilde{Y}^{(1)}_{2}\\\ \tilde{Y}^{(1)}_{3}\\\
\tilde{Y}^{(1)}_{4}\end{array}\right]=\left[\begin{array}[]{c}\tilde{A}^{(1)}_{12}\tilde{V}^{(1)}_{2}\\\
\tilde{A}^{(1)}_{21}\tilde{V}^{(1)}_{1}\\\
\tilde{A}^{(1)}_{34}\tilde{V}^{(1)}_{4}\\\
\tilde{A}^{(1)}_{43}\tilde{V}^{(1)}_{3}\end{array}\right]$ (194)
$\displaystyle\tilde{Y}^{(2)}$ $\displaystyle=$
$\displaystyle\left[\begin{array}[]{c}\tilde{Y}^{(2)}_{1}\\\
\tilde{Y}^{(2)}_{2}\end{array}\right]=\left[\begin{array}[]{c}\tilde{A}^{(2)}_{12}\tilde{V}^{(2)}_{2}\\\
\tilde{A}^{(2)}_{21}\tilde{V}^{(2)}_{1}\end{array}\right]$ (199)
To summarize, matrix-matrix product computation includes the following steps:
1. 1.
Compute $\tilde{V}^{(1)}$ in Eq. (157) and (179), and compute
$\tilde{V}^{(2)}$ in Eq. (132);
2. 2.
Compute $Y^{(0)}$ in Eq. (185), $\tilde{Y}^{(1)}$ in Eq. (194) and
$\tilde{Y}^{(2)}$ in Eq. (199);
3. 3.
Interpolate and cumulative sum in Eq. (180);
Note that all operations in step-2 are dense matrix-matrix product, well
suited for dense linear algebra libraries optimized for GPU and TPU. The total
number of super- and sub-diagonal blocks is upper bounded by twice the number
of super- and sub-diagonal blocks at level-0, which is $2N_{b}^{(0)}$. The run
time of each dense matrix-matrix product is $O(N_{r}^{2}d)$. So the total run
time is $5N_{b}^{(0)}N_{r}^{2}d=5LN_{r}d=O(Ld)$. Here we leave out $N_{r}$
since it is a constant model hyper-parameter.
The coarsening in step-1 and interpolation in step-3 all use sparse matrices
with fixed sparsity patterns. Hence matrices $P^{(l)}$ and $R^{(l)}$ are never
explicitly formed and applying them can be easily done with standard library
functions. Take Jax Numpy library as an example, coarsening can be done with
sum() along row axis and interpolation can be done with repeat() along row
axis. For this reason, step-1 and step-3 only have dense matrix operations as
well.
The formulation of the matrix-matrix product for the general level-$M$ case is
$\displaystyle Y$ $\displaystyle=$ $\displaystyle
AV=Y^{(0)}+P^{(0)}(\tilde{Y}^{(1)}+P^{(1)}(\tilde{Y}^{(2)}$ (200)
$\displaystyle+$ $\displaystyle
P^{(2)}(\cdots+P^{(M-2)}\tilde{Y}^{(M-1)})\cdots)).$
This formulation is a direct consequence of the nested attention matrix
structure and can be derived similarly as Eq. (180).
| arxiv-papers | 2021-07-25T23:07:03 | 2024-09-04T03:07:17.355926 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Zhenhai Zhu and Radu Soricut",
"submitter": "Zhenhai Zhu",
"url": "https://arxiv.org/abs/2107.11906"
} |
2107.11909 | # Depolarization of MgH Solar Lines by Collisions with Hydrogen Atoms
Saleh Qutub Astronomy & Space Science Dept, Faculty of Science, King Abdulaziz
University, Jeddah, Saudi Arabia Yulia Kalugina Department of Optics and
Spectroscopy, Tomsk State University, 36 Lenin av., Tomsk 634050, Russia
Institute of Spectroscopy, Russian Academy of Sciences, Fizicheskaya St. 5,
108840 Troitsk, Moscow, Russia Moncef Derouich Astronomy & Space Science
Dept, Faculty of Science, King Abdulaziz University, Jeddah, Saudi Arabia
(Received 2021 February 15; Revised 2021 May 27; Accepted 2021 May 27)
###### Abstract
Interpretations of the very rich second solar spectrum of the MgH molecule
face serious problems owing to the complete lack of any information about
rates of collisions between the MgH and hydrogen atoms. This work seeks to
begin the process of filling this lacuna by providing, for the first time,
quantum excitation, depolarization, and polarization transfer collisional
rates of the MgH ground state $X^{2}\Sigma$. To achieve the goals of this
work, potential energy surfaces are calculated and then are included in the
Schrödinger equation to obtain the probabilities of collisions and, thus, all
collisional rates. Our rates are obtained for temperatures ranging from
$T\\!\\!=$2000 K to $T\\!\\!=$15,000 K. Sophisticated genetic programming
methods are adopted in order to fit all depolarization rates with useful
analytical functions of two variables: the total molecular angular momentum
and temperatures. We study the solar implications of our results, and we find
that the $X^{2}\Sigma$ state of MgH is partially depolarized by isotropic
collisions with neutral hydrogen in its ground state ${}^{2}S$. Our findings
show the limits of applicability of the widely used approximation in which the
lower-level polarization is neglected. _Unified Astronomy Thesaurus concepts_
: Solar physics (1476); Solar atmosphere (1477); Solar magnetic fields (1503)
## 1 Introduction
Linear polarization, formed by scattering of anisotropic radiation and
measured by observing the limb of the Sun, is called second solar spectrum
(SSS). Numerical simulations of the SSS, stimulated by current and future
spectropolarimetric projects, have opened new windows especially into the
field of the quiet Sun’s magnetism (e.g., Bellot Rubio & Orozco Suárez 2019).
The preparation of these projects and their scientific exploitation require
collisional molecular data to be included in the coupled set of the radiative
transfer equations and the statistical equilibrium equations (SEEs) for
modeling the formation of the SSS.
The interest of molecular spectral lines observed in the SSS is twofold:
first, they are in general optically thin lines, which facilitates modeling
the formation of their polarization since the radiative transfer problem is
less complicated when the line is optically thin. Second, each molecular
multiplet contains numerous lines with different magnetic sensitivities (i.e.,
with sufficiently different Landé g-factors) in a narrow spectral window that
allows a multiline determination of the magnetic field, a technique known as
the “differential Hanle effect” (e.g., Berdyugina & Fluri 2004; Asensio Ramos
& Trujillo Bueno 2005; Bommier et al. 2006).
Nevertheless, different analyses (e.g., Berdyugina & Fluri 2004; Asensio Ramos
& Trujillo Bueno 2005; Bommier et al. 2006) have obtained a value of $\sim$
7-15 G for the photospheric turbulent magnetic field, which is clearly
different from the value obtained by analyzing observations of the line
polarization of Sr I $\lambda$4607 Å ($\sim$ 40 G; e.g., Derouich et al.
2006). This difference seems to be due to the fact that collisions were
usually neglected in the case of molecules as the molecular collisional rates
are completely unknown. Therefore, a better understanding of the SSS of
molecules, and consequently a more accurate determination of the solar
magnetic field, requires a precise determination of molecular collisional
depolarization and transfer of polarization rates.
In particular, the scattering polarization of MgH is one of the most prominent
features of the SSS (e.g., Mohan Rao & Rangarajan 1999; Gandorfer 2000;
Faurobert & Arnaud 2003; Asensio Ramos & Trujillo Bueno 2005; Bommier et al.
2006; Milić & Faurobert 2012). MgH polarized lines must be analyzed in a
comprehensive way to uncover important mysteries of the SSS and to address
controversies surrounding Hanle effect diagnostics of turbulent magnetic
fields at subtelescopic scales (e.g., Bellot Rubio & Orozco Suárez 2019).
Interpretation of the MgH polarized lines is difficult and incomplete because
the Hanle effect and the effect of isotropic collisions are mixed in the same
observable (the polarization state; Mohan Rao & Rangarajan 1999; Asensio Ramos
& Trujillo Bueno 2005; Bommier et al. 2006).
Our intention in this work is to provide new (de-)excitation, depolarization,
and polarization transfer rates for the MgH molecule in its ground state
$X^{2}\Sigma$ owing to collisions with the hydrogen atom, H. These rates are
very important in SSS studies. Computations of quantum collisional rates occur
in two steps: (1) determination of potential energy surfaces (PESs) for
interaction of MgH and H, and (2) study of the collisional dynamics by solving
the Schrödinger equation with these PESs. Reliable PESs for the interaction
between H(${}^{2}S$) and MgH($X^{2}\Sigma^{+}$) were obtained by Ben Abdallah
et al. (2009). A thorough theoretical investigation of interaction potentials
was carried out there, and the surfaces were represented in terms of Legendre
polynomials. As a confirmation of the result of Ben Abdallah et al. (2009), we
have performed additional calculation of the PESs of the MgH-H system with
higher resolution. As we show below, our PESs are in very good agreement with
those of Ben Abdallah et al. (2009). Nevertheless, our PESs are more accurate
for radial separation larger than 9 $a_{0}$.
The treatment of the collision dynamics was made possible thanks to the
MOLSCAT code (Hutson & Green 1994). The infinite-order-sudden (IOS)
approximation is adopted to compute (de-)excitation, depolarization, and
polarization transfer cross sections for kinetic energies ranging from 50 to
37000 cm-1 and for the first 70 rotational levels. This allows us to calculate
the corresponding rates for temperatures between 2000 and 15,000 K 111The data
can be found at 10.5281/zenodo.4694455. Sophisticated genetic programming (GP)
codes are used to infer analytical expressions depending on the temperature
and total molecular angular momentum by fitting our collisional data (see
Derouich et al. 2015). From the GP expressions, one can obtain depolarization
collisional rates with accuracy better than 5%. We study in some detail the
solar implications of our results.
## 2 Theoretical Background
We study the effects of isotropic collision of the MgH in the
${}^{2}\Sigma^{+}$ state with the hydrogen atom in its ground state ${}^{2}S$.
We describe the MgH levels in Hund’s limiting case (b). Molecular quantum
numbers are denoted by $j$ and $N$, where $j$ is the total angular momentum
and $N$ is the rotational angular momentum related to $j$ by
$\vec{j}\\!=\\!\vec{N}\\!+\\!\vec{S}_{MgH}$ where $S_{MgH}\\!=\\!1/2$ is the
spin of MgH. Therefore, $j\\!=\\!N\\!\pm\\!1/2$. The spin of the hydrogen is
$S_{H}\\!=\\!1/2$; thus, the collision results in producing a singlet state
${}^{1}A^{\prime}$ with total spin $S_{tot}\\!=\\!0$ and a triplet state
${}^{3}A^{\prime}$ with $S_{tot}\\!=\\!1$.
The SSS of MgH molecule is quantified by using the density matrix formalism
expressed on the basis of irreducible tensor operators (ITOs), which has been
introduced by Fano (1957) and then adopted in solar physics by many authors
(e.g., Sahal-Bréchot 1977; Trujillo Bueno 2001; Landi Degl’Innocenti &
Landolfi 2004). In the ITOs basis, the density matrix elements are denoted by
$\rho_{q}^{k}(j)$ with a tensorial order $0\\!\leqslant\\!k\\!\leqslant\\!2j$
and a coherence number $-k\\!\leqslant\\!q\\!\leqslant\\!k$. The state of the
radiation emitted by the MgH molecule can be obtained by knowing the
$\rho_{q}^{k}(j)$. In fact, intensity, circular polarization, and linear
polarization are associated with the $\rho_{q}^{k}(j)$ elements of order $k$ =
0, $k$ odd integer (i.e. $k$=1, 3, 5, etc.), and $k$ even integer (i.e. $k$=2,
4, 6, etc.), respectively. The contribution of collisions to the evolution of
the density matrix $\rho$ is given by the following rate equations:
$\displaystyle\Big{(}\frac{d\;^{j}\rho_{q}^{k}}{dt}\Big{)}_{coll}$
$\displaystyle\\!=\\!$ $\displaystyle-D^{k}(j,T)\;^{j}\rho_{q}^{k}$
$\displaystyle\\!-^{j}\rho_{q}^{k}\\!\sum_{j^{\prime}\neq
j}\\!\sqrt{\frac{2j^{\prime}+1}{2j+1}}D^{0}(j\\!\to\\!j^{\prime},T)$
$\displaystyle\\!+\\!\sum_{j^{\prime}\neq
j}\\!D^{k}(j^{\prime}\\!\to\\!j,T)\;^{j^{\prime}}\rho_{q}^{k}\,.$
$D^{k}(j,T)$ are the depolarization rates of the $j$-level due to purely
elastic collisions, and $D^{k}(j\\!\to\\!j^{\prime},T)$ are the rates of
polarization transfer between the $j$ and $j^{\prime}$ levels.
Note that apart from the multiplicity factor
$\sqrt{(2j^{\prime}\\!+\\!1)/(2j\\!+\\!1)}$, the $C^{k}(j,j^{\prime})$ denoted
by Landi Degl’Innocenti & Landolfi (2004) become the collisional transfer
rates $D^{k}(j^{\prime}\\!\to\\!j)$ defined here and in Sahal-Bréchot (1977)
and adopted by Derouich et al. papers (e.g. Derouich et al. 2003 and Derouich
2006). One can refer to Derouich & Ben Abdallah 2009 for more details about
the origin of the multiplicity factor
$\sqrt{(2j^{\prime}\\!+\\!1)/(2j\\!+\\!1)}$. We emphasize that, after plugging
in all collisional rates, the final collisional rate equations,
$({d\;^{j}\rho_{q}^{k}}/{dt})_{coll}$, become exactly the same in both
conventions. The collisional rates are obtained through integration of cross-
sections $\sigma^{k}$ over Maxwellian distribution of relative velocities
(e.g. Derouich 2006). In addition,
$\displaystyle
D^{k}(j,T)\\!=\\!D^{0}(j\\!\to\\!j,T)\\!-\\!D^{k}(j\\!\to\\!j,T),$ (2)
which implies that $D^{0}(j)\\!=\\!0$.
We use the approach of Corey & Alexander (1985) and Corey et al. (1986) to
obtain expressions for the polarization transfer cross-sections
$\sigma^{k}(j\\!\to\\!j^{\prime},E)$ and depolarization cross-sections
$\sigma^{k}(j,E)$. In addition, the IOS approximation is adopted which can be
well justified especially for sufficiently high temperatures (see e.g. Lique
et al. 2007). In these conditions, the $\sigma^{k}$ adopted in this work are
given, for example, by Eq. (1) of Qutub et al. (2020). The total collisional
rates averaged over spin can then be calculated via the relation (Corey &
Alexander 1985):
$\displaystyle D^{k}(j\to j^{\prime},T)$ $\displaystyle=$
$\displaystyle\frac{1}{4}\,\big{[}3\,D^{k}(j\to
j^{\prime},T;\;^{3}A^{\prime})$ (3) $\displaystyle+D^{k}(j\to
j^{\prime},T;\;^{1}A^{\prime})\big{]}\,.$
## 3 Potential Energy Surfaces
Figure 1: Two-dimensional PES for ${}^{1}A^{\prime}$ state (left panel) and
${}^{3}A^{\prime}$ state (right panel). Energy is in cm-1.
We adopt the coordinate system of Jacobi ($R$, $r_{MgH}$, $\theta$) for the
calculation of PESs. Here $R$ represents the distance from the center of mass
of the MgH molecule to the H atom, and $\theta$ is the rotation angle of the H
atom around the MgH. The MgH molecule is assumed to be rigid with Mg-H
distance frozen at its equilibrium value $r_{MgH}$ = 3.2692 $a_{0}$ (Rosen
1970).
Accurate ab initio computations of the PESs for the ${}^{1}A^{\prime}$ and
${}^{3}A^{\prime}$ states are performed in the internally contracted
multireference configuration interaction level of theory (Werner & Knowles
1988). Partial size consistency is corrected by following the Davidson (+Q)
correction (Davidson & Silver 1977). The remaining correction is made by
subtracting the energy at $R$=100 $a_{0}$. The five lowest orbitals of the Mg
atom were kept frozen. The active space consists of four electrons distributed
in six active orbitals. The augmented correlation-consistent triple zeta (V5Z)
basis set (Dunning 1989) for Mg and the VQZ basis set for the H atoms were
used. All the PESs are obtained using the MOLPRO package (e.g., Werner et al.
2010).
For the ${}^{1}A^{\prime}$ state the $R$ values were varied from 1.75 to 50
$a_{0}$, giving 55 grid points. For the ${}^{3}A^{\prime}$ state the $R$
values were varied from 2.0 to 50 $a_{0}$, with a total of 61 grid points. We
used a variable step in angle $\theta$ in order to cover the complex behavior
of both PESs. The total number of ab initio points is 3300 for the singlet
state and 3294 for the triplet state. We checked the energy convergence for
more problematic regions (0∘–20∘ and 150∘–180∘) by taking different starting
points for ab initio calculations. For ${}^{1}A^{\prime}$ and
${}^{3}A^{\prime}$ potentials, the 2D spline was employed. This allows us to
avoid fitting errors.
The resulting PESs for the ${}^{1}A^{\prime}$ and ${}^{3}A^{\prime}$
electronic states are shown in Figure 1. For the singlet state, there are two
minima on the PES associated with the formation of HMgH and MgHH molecules.
The HMgH arrangement corresponds to the minimal structure with
$\theta\\!=\\!180^{\circ}$ and $R\\!=\\!3.36$ $a_{0}$ and has the well depth
$E\\!=\\!-25531.5$ cm-1. The minimum compares well with the
$E\\!=\\!-25561.55$ cm-1 at $R\\!=\\!3.40$ $a_{0}$ obtained by Ben Abdallah et
al. (2009). The MgHH minimal structure corresponds to $\theta\\!=\\!0^{\circ}$
and $R=$4.59 $a_{0}$ and has a well depth $E\\!=\\!-18791.2$ cm-1 (compared to
$E\\!=\\!-19642.06$ cm-1 at $R\\!=\\!4.60$ $a_{0}$ of Ben Abdallah et al.
2009). The minimum for the triplet state occurs at $R\\!=\\!3.45$ $a_{0}$,
$\theta\\!=\\!26^{\circ}\\!\\!.99$ and has an energy $E\\!=\\!-6531.3$ cm-1
(compared to $E\\!=\\!-6758.80$ cm-1 at $R$=3.2 $a_{0}$ and
$\theta\\!=\\!33^{\circ}$ of Ben Abdallah et al. 2009).
## 4 Results and Discussions
### 4.1 Depolarization Rates
Figure 2: Variation with $j$ (left panel) and with $T$ (right panel) of the
collisional depolarization rates for $k\\!=\\!1$ (open circles) and
$k\\!=\\!2$ (open triangles). The dashed and solid curves show the GP fit
values obtained using Equations (4) and (5), respectively.
In Figure 2, we show the variation of collisional depolarization rates for the
orientation, $k\\!=\\!1$ (open circles), and the alignment, $k\\!=\\!2$ (open
triangles), as a function of $j$ at $T\\!=\\!6000$ K in the left panel and as
a function of $T$ for the level $N_{j}\\!=\\!5_{5.5}$ in the right panel. As
one would expect, the collisional depolarization rates increase with
temperature (roughly $D^{1},D^{2}\\!\propto\\!T^{0.34}$ for the given level)
and decrease with increasing $j$ (roughly $D^{1}\\!\propto\\!j^{-0.78}$ and
$D^{2}\\!\propto\\!j^{-0.70}$ for the given temperature) as the energy
separation between rotational levels decreases with increasing $j$.
It is clear from Figure 2 that the depolarization rates with tensorial order
$k\\!=\\!2$ are larger than those with tensorial order $k\\!=\\!1$. Using GP
fitting techniques, we obtain the following relations, which represent the
depolarization rates in the temperature range 2000 – 15,000 K and for total
angular momentum up to 50.5 with error less than 5%:222Separate fits for the
singlet and triplet contributions are also available from the authors upon
request.
$\displaystyle\frac{D^{1}(j,T)}{n_{\rm
H}\\!\\!\times\\!\\!10^{-10}}\\!\\!=\\!\\!\frac{0.0004582j^{3.9722}T^{0.41185}\\!\\!-\\!0.0005562j^{3.9806}T^{0.398}\\!\\!+\\!1.23653j^{0.19053}T^{0.000014}\\!\\!-\\!10.4679j^{0.02168}\\!\\!+\\!9.2281}{15.5575\frac{j^{4.52315}}{T^{0.518178}}\\!\\!-\\!1.58\\!\times\\!10^{-8}j^{6.79}T^{0.326}\\!\\!-\\!7.2\\!\times\\!10^{-16}j^{4.786}T^{2.69}\\!\\!-\\!15.2825\frac{j^{4.5256}}{T^{0.51576}}\\!\\!-\\!\frac{0.024}{T^{0.36}}},$
(4) $\displaystyle\frac{D^{2}(j,T)}{n_{\rm
H}\\!\\!\times\\!\\!10^{-10}}\\!\\!=\\!\\!\frac{0.0005\\!+\\!15.5158\frac{T^{0.00011}}{j^{1.5021}}\\!\\!+\\!\frac{0.048}{j^{3.26}T^{0.014}}\\!\\!-\\!\frac{15.56}{j^{1.50455}}}{7\\!\times\\!10^{-10}j^{2.634}T^{0.49}\\!\\!+\\!0.046\frac{j^{1.045}}{T^{0.84}}\\!\\!+\\!4.516\\!\times\\!10^{-7}\frac{T^{1.1984}}{j^{0.0017}}\\!\\!+\\!\frac{0.0352}{j^{0.079}T^{0.22}}\\!\\!-\\!6.544\\!\times\\!10^{-7}T^{1.161}}.$
(5)
The dashed and solid curves in Figure 2 represent the GP fit values calculated
using Equations (4) and (5), respectively, which are in very good agreement
with the directly calculated rates.
### 4.2 (De-)excitation and Transfer of Polarization Rates
Figure 3: Variation of the collisional transfer rates for $k\\!=\\!0$,
$k\\!=\\!1$, and $k=2$ as functions of $j$ (left panel) for
$j^{\prime}\\!-\\!j\\!=\\!1$ and $T\\!=\\!6000$ K and as functions of
$j^{\prime}\\!-\\!j$ for the level $N_{j}\\!=\\!5_{5.5}$ and $T\\!=\\!6000$ K.
The dotted, dashed, and solid curves in the left panel show the GP fit values
obtained using Equations (4.2)–(8), respectively.
We now turn our attention to the (de-)excitation and polarization transfer
rates. In Figure 3, we show the variation with $j$ in the left panel (for
$j^{\prime}\\!-\\!j\\!=\\!1$ and $T\\!=\\!6000$ K) and with
$j^{\prime}\\!-\\!j$ in the right panel (for $N_{j}\\!=\\!5.5$ and
$T\\!=\\!6000$ K) of the upward transfer of population $k\\!=\\!0$ and of
polarization $k\\!=\\!1,2$ collisional rates. Note that the collisional
(de-)excitations rates, $C(j\\!\to\\!j^{\prime})$, are related to the rates of
transfer of population due to collisions, $D^{0}(j\\!\to\\!j^{\prime})$, via
the relation
$C(j\\!\to\\!j^{\prime})\\!=\\!\sqrt{(2j^{\prime}\\!+\\!1)/(2j\\!+\\!1)}\,D^{0}(j\\!\to\\!j^{\prime})$
(e.g. Derouich 2006). One can see from the left panel of Figure 3 that the
transfer rates increase with increasing $j$ as the energy difference between
levels decreases with $j$: $D^{0}(j\\!\to\\!j\\!+\\!1)\\!\propto\\!j^{-0.11}$,
$D^{1}(j\\!\to\\!j\\!+\\!1)\\!\propto\\!j^{-0.18}$, and
$D^{2}(j\\!\to\\!j\\!+\\!1)\\!\propto\\!j^{-0.37}$ roughly upto $j\\!=\\!15$
for the case at hand. For the same reason the collisional transfer rates
decrease with increasing $|j^{\prime}\\!-\\!j|$ (see the right panel of Figure
3): roughly
$D^{0}(j\\!\to\\!j^{\prime})\\!\propto\\!|j^{\prime}\\!-\\!j|^{-1.1}$,
$D^{1}(j\\!\to\\!j^{\prime})\\!\propto\\!|j^{\prime}\\!-\\!j|^{-1.2}$, and
$D^{2}(j\\!\to\\!j^{\prime})\\!\propto\\!|j^{\prime}\\!-\\!j|^{-1.3}$ for the
given case. As one would expect, the collisional transfer rate with
$|j^{\prime}\\!-\\!j|\\!=\\!1$ are dominant, as can be seen from the right
panel of Figure 3. Therefore, by using GP fitting techniques, we obtain the
following relations, which represent the collisional transfer rates with
$j^{\prime}\\!-\\!j\\!=\\!1$ in the temperature range 2000 – 15,000 K and for
total angular momenta up to 50.5 with maximum error less than 1%:333Separate
fits for the singlet and triplet collisional transfer rates, in addition to
fits for the collisional transfer rates with $j^{\prime}\\!-\\!j\\!>\\!1$, are
available from the authors upon request.
$\displaystyle\frac{D^{0}(j\\!\to\\!j\\!+\\!1,T)}{n_{\rm
H}\\!\\!\times\\!\\!10^{-10}}\\!=\\!\frac{1.82599342j^{0.72733479}T^{0.000035887}\\!\\!+\\!2.23672898\frac{j^{0.72827942}}{T^{0.000028873}}\\!\\!+\\!2129.2\frac{j^{0.3877}}{T^{1.9607}}\\!\\!-\\!4.06270986j^{0.727856143}\\!\\!-\\!6.4\\!\times\\!10^{-6}}{3.42\\!\times\\!10^{-9}j^{0.389}T^{0.662}\\!\\!+\\!0.02708\frac{j^{0.19}}{T^{0.9462}}\\!\\!+\\!\frac{825}{j^{0.252}T^{2.1375}}\\!\\!+\\!6680\frac{j^{0.402}}{T^{2.311}}\\!\\!-\\!\frac{0.0563}{T^{1.051}}},$
(6) $\displaystyle\frac{D^{1}(j\\!\to\\!j\\!+\\!1,T)}{n_{\rm
H}\\!\\!\times\\!\\!10^{-10}}\\!=\\!\frac{317.35\frac{j^{2.2305}}{T^{0.855}}\\!\\!+\\!2.118\frac{j^{1.2645}}{T^{0.03446}}\\!\\!+\\!3.347\\!\times\\!10^{-9}j^{2.1953}T^{1.812}\\!\\!-\\!2.619j^{0.2628}\\!\\!+\\!1.8358}{1.56\\!\times\\!10^{-11}j^{1.414}T^{2.2627}\\!\\!+\\!1.35\\!\times\\!10^{-10}j^{2.2524}T^{1.9059}\\!\\!+\\!21\frac{j^{1.2844}}{T^{0.4977}}\\!\\!+\\!959.7\frac{j^{2.2353}}{T^{1.19094}}\\!\\!-\\!\frac{332109}{T^{2.31}}},$
(7) $\displaystyle\frac{D^{2}(j\\!\to\\!j\\!+\\!1,T)}{n_{\rm
H}\\!\\!\times\\!\\!10^{-10}}\\!=\\!\frac{3.16488j^{3.08986}T^{0.000001128}\\!\\!+\\!3.18179j^{0.000029}T^{0.00000074}\\!\\!-\\!3.16486j^{3.08986}\\!\\!-\\!0.002713\frac{j^{2.8955}}{T^{0.4695}}\\!\\!-\\!3.18176}{1.52\\!\times\\!10^{-15}j^{2.849}T^{2.206}\\!\\!+\\!0.002613\frac{j^{2.8175}}{T^{0.5484}}\\!\\!+\\!0.00521\frac{j^{1.076}}{T^{0.503}}\\!\\!+\\!4.6\\!\times\\!10^{-17}j^{1.066}T^{2.677}\\!\\!-\\!\frac{0.21}{T^{1.165}}}.$
(8)
The dotted, dashed, and solid curves in the left panel of Figure 3 represent
the GP transfer rates calculated using Equations (4.2)–(8), respectively,
which agree extremely well with the original rates. The GP analytical
functions given in Equations (4.2)–(8) can be implemented in the numerical
codes calculating the theoretical polarization to generate the rates for any
$j$ and $T$ values.
We remark that the collisional transfer rates have similar behavior with
temperature to the collisional depolarization rates. Downward collisional
transfer rates exhibit a similar behavior with $j$ and $T$ to the upward
transfer rates. In fact, for isotropic collisions, which is the case under
consideration, one has (e.g., Derouich et al. 2007)
$\displaystyle
D^{k}(j_{u}\\!\to\\!j_{\ell},T)\\!=\\!\frac{2j_{\ell}\\!+\\!1}{2j_{u}\\!+\\!1}\exp\\!\left(\\!\frac{\Delta
E_{j_{u},j_{\ell}}}{k_{\rm B}T}\\!\right)\\!D^{k}(j_{\ell}\\!\to\\!j_{u},T),$
with $\Delta E_{j_{u},j_{\ell}}$ being the energy difference between the upper
$j_{u}$ and lower $j_{\ell}$ levels and $k_{\rm B}$ being the Boltzmann
constant.
It is interesting to note that for the MgH molecule and other molecules such
as the CN (Qutub et al. 2020), collisional depolarization rates are
significantly smaller than collisional transfer rates. It is also worth noting
that the collisional depolarization and transfer rates are larger for the MgH
molecule as compared to those of the CN molecule. This is due to the MgH
molecule being more asymmetric than the CN molecule.
### 4.3 On the Accuracy of the Collisional Rates
There are no experimental or other theoretical values of depolarization and
polarization transfer rates associated with MgH solar lines to compare with.
In addition, as far as we know, neither experimental nor theoretical work is
being currently performed to provide detailed collisional data that would
enable a quantitative analysis of the MgH polarization. This work is a first
step toward complete determination of the MgH depolarization and polarization
transfer rates.
We use up-to-date quantum methods to calculate new PESs and to solve the
collision dynamics allowing the calculation of the rate coefficients. The IOS
approximation (e.g., Goldflam et al. 1977; Parker & Pack 1978) used in this
work is known to be sufficiently precise for solar temperatures (e.g.,
Derouich & Ben Abdallah 2009). Our quantum collisional rates should be
sufficiently accurate for solar applications.
### 4.4 Solar Implications
Table 1: Comparison of the Inverse Lifetime $\frac{1}{t_{life}}$=$B_{\ell
u}I(\lambda)$ of the MgH $X^{2}\Sigma$ State to Its Linear Depolarization
Rates $D^{2}$
$\lambda_{u\ell}\,(\mbox{\AA})$ | $N_{\ell}$ | $j_{\ell}$ | $I(\lambda_{u\ell})\,(10^{-5}{\rm erg}$ | $A_{u\ell}$ | $B_{\ell u}I(\lambda_{u\ell})$ | $\omega_{L}|g_{j_{\ell}}|\,(10^{7}{\rm s}^{-1})$ | $D^{2}(N_{\ell}j_{\ell},T\\!=\\!5778~{}\rm{K})\ (10^{5}{\rm s}^{-1})$
---|---|---|---|---|---|---|---
| | | ${\rm cm}^{-2}\,{\rm s}^{-1}{\rm sr}^{-1}{\rm Hz}^{-1})$ | $(10^{7}{\rm s}^{-1})$ | $(10^{5}{\rm s}^{-1})$ | ${\rm B}\\!=\\!10{\rm G}$ | ${\rm B}\\!=\\!100{\rm G}$ | $n_{\rm H}\\!=\\!10^{15}{\rm cm}^{-3}$ | $n_{\rm H}\\!=\\!10^{16}{\rm cm}^{-3}$
$5170.574$ | 12 | 11.5 | $2.84645$ | $1.99441$ | $1.97524$ | $0.70353$ | $7.03530$ | $0.52392$ | $5.23920$
$5171.012$ | 12 | 12.5 | $2.75250$ | $1.99977$ | $1.91567$ | $0.70353$ | $7.03530$ | $0.49026$ | $4.90260$
$5174.895$ | 10 | 9.5 | $2.89082$ | $1.98276$ | $1.99932$ | $0.83753$ | $8.37530$ | $0.60793$ | $6.07930$
$5175.419$ | 10 | 10.5 | $2.91406$ | $1.98974$ | $2.02310$ | $0.83753$ | $8.37530$ | $0.56288$ | $5.62880$
$5176.816$ | 9 | 8.5 | $2.88194$ | $1.97566$ | $1.98825$ | $0.92569$ | $9.25690$ | $0.65950$ | $6.59500$
$5178.503$ | 8 | 7.5 | $2.94770$ | $1.97052$ | $2.03031$ | $1.03460$ | $10.34600$ | $0.71797$ | $7.17970$
$5179.994$ | 7 | 6.5 | $1.54633$ | $1.95580$ | $1.05803$ | $1.17255$ | $11.72550$ | $0.78215$ | $7.82150$
$5180.593$ | 7 | 7.5 | $2.87995$ | $1.96892$ | $1.98444$ | $1.17255$ | $11.72550$ | $0.71797$ | $7.17970$
$5181.307$ | 6 | 5.5 | $2.31560$ | $1.93665$ | $1.57007$ | $1.35294$ | $13.52940$ | $0.84786$ | $8.47860$
$5181.930$ | 6 | 6.5 | $2.60932$ | $1.95880$ | $1.79011$ | $1.35294$ | $13.52940$ | $0.78215$ | $7.82150$
Note. Also compared is $B_{\ell u}I(\lambda)$ with the values
$(\omega_{L}|g_{j_{\ell}}|)^{-1}$ that estimate the Hanle depolarization.
Let us briefly highlight the importance of our collisional rates for solar
spectropolarimetry. Rotational levels of the electronic ground state of the
solar MgH molecule, $X^{2}\Sigma$, can be polarized owing to the anisotropy of
the incident radiation. This polarization could either be transferred to the
MgH upper electronic states via radiative absorption, hence contributing to
polarization of the emitted radiation, or get destroyed by isotropic
collisions. This is usually quantified by solving the full set of coupled SEEs
governing the population and polarization of different atomic or molecular
levels taking into account all the intervening processes. However, this goes
beyond the scope of this work.
Nevertheless, for the purpose of exploring the possible effect of collisions
on the MgH ground-state depolarization, it is sufficient to compare the
radiative transfer rates due to absorption for the rotational levels of the
MgH electronic ground state, $B_{\ell u}I(\lambda_{u\ell})$ (which determine
lifetimes of the levels of the electronic ground state, $t_{\rm
life}^{-1}\\!=\\!B_{\ell u}I(\lambda_{u\ell})$), with the corresponding
collisional depolarization rates, $D^{k}(j_{\ell})$. Here $I(\lambda_{u\ell})$
denotes the intensity of light of wavelength $\lambda_{u\ell}$ at the center
of the solar disk incident on the MgH molecules, and $B_{\ell
u}\\!=\\!(g_{u}/g_{\ell})(c^{2}/2h\nu_{u\ell}^{3})A_{u\ell}$ is the Einstein
absorption coefficient, with $A_{u\ell}$ being the Einstein coefficient for
spontaneous emission, $g_{u}$ and $g_{\ell}$ the multiplicity of upper and
lower levels, $h$ Planck’s constant, and $c$ the speed of light. For
concreteness, we contrast the collisional linear depolarization rates of the
state $X^{2}\Sigma$, $D^{2}(j_{\ell})$, calculated at the effective
photospheric temperature, $T\\!=\\!5778$ K, and for the typical photospheric
density of hydrogen: $n_{H}\\!=\\!10^{15}\\!-\\!10^{16}$ cm-3, with the
corresponding radiative absorption rates, $B_{\ell u}I(\lambda_{u\ell})$, for
some representative lines of the $A^{2}\Pi-X^{2}\Sigma$ system of MgH.
We display the values of both $D^{2}(j_{\ell})$ and $B_{\ell
u}I(\lambda_{u\ell})$ for selected lines in Table 1. The values of the core
relative intensity of the selected lines were obtained from Delbouille et al.
(1972), and the corresponding values of the absolute continuum were determined
by interpolation from the data of Allen (1976). The values of the Einstein
$A_{u\ell}$ coefficients were taken from Bommier et al. (2006).
From Table 1, one can see that for both $n_{H}\\!=\\!10^{15}{\rm cm}^{-3}$ and
$10^{16}{\rm cm}^{-3}$, $D^{2}(j_{\ell})$ is comparable to $B_{\ell
u}I(\lambda)$. This implies that the $X^{2}\Sigma$ sate of MgH cannot be
completely depolarized by collisions. Hence, one has to take into account the
lower-level polarization when solving the SEEs to calculate the polarization
of observed lines. This is an important result since previously the lower-
level polarization was neglected by assuming that it is completely depolarized
by collisions (Mohan Rao & Rangarajan 1999; Asensio Ramos & Trujillo Bueno
2005; Bommier et al. 2006).
We also consider the Hanle effect due to turbulent magnetic field on the
polarization of the MgH ground state, $X^{2}\Sigma$. The Hanle effect is
important only if $t_{\rm life}$ of the considered level [$t_{\rm
life}\\!=\\!(B_{\ell u}I(\lambda_{u\ell})^{-1}$ for the ground state] is
comparable to $(\omega_{L}|g_{j}|)^{-1}$, where
$\omega_{L}\\!=\\!8.79\\!\times\\!10^{6}$ B is Larmor angular frequency, with
B being the magnetic field strength in gauss.
In Table 1, we display values of $\omega_{L}|g_{j_{\ell}}|$ calculated at
${\rm B}\\!=\\!10\;{\rm G}$ and ${\rm B}\\!=\\!100\;{\rm G}$. One can see that
$\omega_{L}|g_{j_{\ell}}|\\!\gg\\!B_{\ell u}I$ in all cases, which implies
that for typical values of the photospheric turbulent magnetic field $\sim
10-100$ G the saturation regime of the Hanle effect on linear polarization of
MgH $X^{2}\Sigma$ is reached.
## 5 Conclusion
We provide (de-)excitation, depolarization, and polarization transfer rates of
the MgH $X^{2}\Sigma$ state by collisions with neutral hydrogen in its
${}^{2}S$ ground state. These rates are important for precise interpretation
of MgH blue lines in the SSS. A detailed discussion of the results is
presented and general trends of the collisional rates are given so as to gain
some understanding about the completely unknown role of collisions on the
polarization of other molecules. We obtain useful variation laws of the
depolarization rates with the temperature and the total angular momentum.
Important solar implications of our findings are pointed out.
## Acknowledgements
This research work was funded by the Institutional Fund Projects under grant
No. (IFPHI-179-130-2020). Therefore, authors gratefully acknowledge technical
and financial support from the Ministry of Education and King Abdulaziz
University, DSR, Jeddah, Saudi Arabia.
## References
* (1) Allen, C. W., 1976, Astrophysical Quantities, (3rd ed., London: Athlone)
* (2) Asensio Ramos, A. & Trujillo Bueno, J., 2005, ApJL, 635, 109
* (3) Bellot Rubio, L. & Orozco Suárez, D., 2019, LRSP, 16, 1
* (4) Ben Abdallah, D., Najar, F., Jaidane, N., et al., 2009, CPL, 473, 39
* (5) Berdyugina, S. V. & Fluri, D. M., 2004, A&A, 417, 775
* (6) Bommier, V., Landi Degl’Innocenti, E., Feautrier, N., & Guillaume, M., 2006, A&A, 458, 625
* (7) Corey, G. C., & Alexander, M. H., 1985, JChPh, 83, 5060
* (8) Corey, G. C., Alexander, M. H., & Dagdigian, P. J., 1986, JChPh, 84, 1547
* (9) Davidson, E. R. & Silver, D. W., 1977, CPL, 52, 403
* (10) Delbouille, L., Neven, L., & Roland, G., 1972, BASS2000 Solar Survey Archive, http://bass2000.obspm.fr/solar_spect.php
* (11) Derouich, M., 2006, A&A, 449, 1
* (12) Derouich, M., & Ben Abdallah, D., 2009, in ASP Conf. Ser. 405, Solar Polarization 5: In Honor of Jan Stenflo, ed. Berdyugina, S. V, Nagendra, K. N., & Ramelli, R. (San Francisco, CA: ASP), 355
* (13) Derouich, M., Bommier, V., Malherbe, J. M., & Landi Degl’Innocenti, E., 2006, A&A 457, 1047
* (14) Derouich, M., Radi, A., & Barklem, P. S., 2015, A&A, 584, A64
* (15) Derouich, M., Sahal-Bréchot, S., & Barklem, P. S., 2003, A&A, 409, 369
* (16) Derouich, M., Trujillo Bueno, J., & Manso Sainz, R., 2007, A&A, 472, 269
* (17) Dunning, T. H., 1989, JChPh, 90, 1007
* (18) Fano, U., 1957, RvMP, 29, 74
* (19) Faurobert, M. & Arnaud, J., 2003, A&A, 412, 555
* (20) Gandorfer, A., 2000, The Second Sol. Spectrum: A High Spectral Resolution Polarimetric Survey of Scattering Polarization at the Solar Limb in Graphical Representation, Vol. II: 3910 Å to 4630 Å (Zürich: vdf Hochschulverlag)
* (21) Goldflam, R., Kouri, D. J., & Green, S., 1977, JChPh, 67, 4149
* (22) Hutson, J. M. & Green, S., MOLSCAT Computer Code, Version 14, https://github.com/molscat/molscat
* (23) Landi Degl’Innocenti, E. & Landolfi, M. 2004, Polarization in Spectral Lines (Dordrecht: Kluwer)
* (24) Lique, F., Spielfiedel, A. & Feautrier, N., 2007, JPhB, 40, 787
* (25) Milić, I. & Faurobert, M., 2012, A&A, 547, 7
* (26) Mohan Rao, D., & Rangarajan, K. E., 1999, ApJL, 524, 139
* (27) Parker, G. A., & Pack, R. T., 1978, JChPh, 68, 1585
* (28) Qutub, S., Derouich, M., Kalugina, Y. N., Asiri, H. & Lique, F., 2020, MNRAS, 491, 1213
* (29) Rosen, B., 1970, International Tables of Selected Constants, (Oxford: Pergamon)
* (30) Sahal-Bréchot, S., 1977, ApJ, 213, 887
* (31) Trujillo Bueno, J., 2001, Advanced Solar Polarimetry–Theory, Observation, and Instrumentation–20TH NSO/Sac Summer Workshop (San Francisco, CA: ASP), 161
* (32) Werner, H.-J. & Knowles, P. J., 1988, JChPh, 89, 5803
* (33) Werner, H.-J., Knowles, P. J., Knizia, G., et al., MOLPRO Quantum Chemistry Software, Version 2010.1, a Package of ab initio Programs, http://www.molpro.net
| arxiv-papers | 2021-07-25T23:21:38 | 2024-09-04T03:07:17.370083 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Saleh Qutub, Yulia Kalugina and Moncef Derouich",
"submitter": "Saleh Qutub",
"url": "https://arxiv.org/abs/2107.11909"
} |
2107.11911 | Xiangyu Zhang and Peter I. Frazier
Restless Bandits with Many Arms
Restless Bandits with Many Arms:
Beating the Central Limit Theorem
Xiangyu Zhang Department of Operations Research and Information Engineering,
Cornell University, Ithaca, NY 14850, [email protected] Peter I. Frazier
Department of Operations Research and Information Engineering, Cornell
University, Ithaca, NY 14850, [email protected]
We consider finite-horizon restless bandits with multiple pulls per period,
which play an important role in recommender systems, active learning, revenue
management, and many other areas. While an optimal policy can be computed, in
principle, using dynamic programming, the computation required scales
exponentially in the number of arms $N$. Thus, there is substantial value in
understanding the performance of index policies and other policies that can be
computed efficiently for large $N$. We study the growth of the optimality gap,
i.e., the loss in expected performance compared to an optimal policy, for such
policies in a classical asymptotic regime proposed by Whittle in which $N$
grows while holding constant the fraction of arms that can be pulled per
period. Intuition from the Central Limit Theorem and the tightest previous
theoretical bounds suggest that this optimality gap should grow like
$O(\sqrt{N})$. Surprisingly, we show that it is possible to outperform this
bound. We characterize a non-degeneracy condition and a wide class of novel
practically-computable policies, called fluid-priority policies, in which the
optimality gap is $O(1)$. These include most widely-used index policies. When
this non-degeneracy condition does not hold, we show that fluid-priority
policies nevertheless have an optimality gap that is $O(\sqrt{N})$,
significantly generalizing the class of policies for which convergence rates
are known. We demonstrate that fluid-priority policies offer state-of-the-art
performance on a collection of restless bandit problems in numerical
experiments.
restless bandit, Markov decision process, index policies
## 1 Introduction
We study a stochastic control problem called the finite-horizon restless
bandit. In this problem, a decision maker controls $N$ Markov processes
(colloquially called “arms”) with known transition kernels and state-dependent
rewards. The arms produce rewards and evolve independently but are coupled
through a constraint (the “budget” constraint) on the number that can be
activated (colloquially, “pulled”) in each period. Subject to this constraint,
the decision-maker seeks to maximize the expected total reward.
This problem arises in various fields. For example, when pursing an active
learning approach to classifying images with crowd workers
(chen2013optimistic), each image is an arm, asking a worker to label that
image corresponds to pulling that arm, and the arm’s state is the resulting
Bayesian posterior distribution on the corresponding image’s class given past
noisy labels. A limited supply of crowd workers imposes constraints on the
number of arms that can be pulled per period. In dynamic assortment
optimization (brown2020index), a sales manager selects products to display for
sale subject to limited display space. Each product generates revenue at an
unknown rate, which can be learned from the revenue it generates when it is
displayed. Each arm is a product, pulling an arm corresponds to displaying
that product, and the arm’s state is the Bayesian posterior distribution on
the product’s revenue-generation rate. Problems in target search by unmanned
aerial vehicles (le2006multi, nino2011sensor), online advertising
(gupta2011thompson, scott2010modern, chakrabarti2009mortal), network
communication (liu2009myopic, al2012multi), and sensor management
(hero2011sensor, nino2011sensor, evans2005networked, nino2011sensor) also fit
into our framework.
We study a regime in which the number of arms grows large and the per-period
budgets grow proportionally. This regime was first studied in whittle1980multi
and has been of longstanding theoretical interest. Moreover, it is practically
important in many settings. In examples above, crowdsourced labeling is most
challenging when there are many images to label, and selecting products for
display is most challenging when many products are available.
Despite its importance, this regime presents substantial algorithmic
difficulties. While, in principle, one can compute the optimal policy for
restless bandit problems via stochastic dynamic programming, the state of this
dynamic program includes the state of each arm and so its dimension grows
linearly with $N$. Because of the curse of dimensionality
(powell2007approximate), solving this dynamic program requires computation
exponential in $N$.
As a result, there has been substantial interest (e.g., whittle1980multi,
weber1990index, zayas2019asymptotically, hu2017asymptotically, brown2020index)
in developing approximate policies whose performance is provably close to
optimal but require computation that does not grow with $N$. Despite, however,
substantial interest and effort focusing on this regime, current understanding
is limited in several important ways.
First, simulation studies show much better performance for large $N$ in some
problems than the best existing theoretical results. Indeed, the tightest
existing upper bound on the optimality gap (the difference in performance
between the optimal policy and an approximate policy) for such policies is
$O(\sqrt{N})$, shown by brown2020index (zayas2019asymptotically provides a
policy with a slightly weaker bound of $O(\sqrt{N}\log N)$). Surprisingly,
however, simulation studies by brown2020index suggest that the true optimality
gap in some problems actually does not grow at all with the number of arms and
remains constant at $O(1)$. The proof techniques used by brown2020index and
zayas2019asymptotically, however, rely heavily on the Central Limit Theorem
(CLT), and do not offer a path toward showing a bound tighter than
$O(\sqrt{N})$.
Second, existing theoretical results showing bounds on the optimality gap are
restricted to specific policies ($o(N)$, $O(\sqrt{N}\log N)$ and
$O(\sqrt{N})$, respectively in hu2017asymptotically, zayas2019asymptotically,
brown2020index). At the same time, one would expect a very wide class of
policies would achieve $o(N)$ and $O(\sqrt{N})$ optimality gaps.
Our work fills these two gaps: we propose a broad class of policies, called
fluid-priority policies, which generalize the essential characteristics of
policies proposed by brown2020index and hu2017asymptotically. Addressing the
inconsistency between simulation studies and past theoretical results, we
characterize a sufficient condition, which we call “non-degeneracy”, under
which any fluid-priority policy achieves an $O(1)$ optimality gap, strictly
better than all previous results. The simulation study consistent with an
$O(1)$ optimality gap in brown2020index satisfies this non-degeneracy
condition. We also address the current literature’s lack of generality by
providing general easy-to-verify sufficient conditions ensuring $o(N)$ and
$O(\sqrt{N})$ optimality gaps. All fluid-priority policies satisfy these
conditions and thus always achieve an $O(\sqrt{N})$ optimality gap. The
policies proposed by hu2017asymptotically and brown2020index also satisfy the
sufficient conditions for an $O(\sqrt{N})$ optimality gap and thus our results
generalize those in this previous work.
To achieve such strong performance, fluid-priority policies generalize well-
known index policies by classifying an arm’s state into active, neutral and
inactive categories. This classification is based on a solution to a linear
programming (LP) relaxation that has been important in past analyses of the
restless multi-armed bandit problem (whittle1980multi, bertsimas2000restless,
hawkins2003langrangian). To be called a fluid-priority policy, it should first
pull as many arms as possible in active states, up to the budget constraint on
the number of arms that can be pulled in this period. Then, if budget remains,
it should should pull arms in neutral states in proportions determined by the
solution of the relaxed problem. Finally, only if budget remains, it should
pull arms in inactive states. There exist many fluid-priority policies because
they may prioritize arms in different orders within active, neutral and
inactive categories.
Understanding that fluid-priority policies all have good asymptotic
performance brings several benefits. First, it provides a unified
understanding of the convergence properties of existing methods, like those
proposed in hu2017asymptotically and brown2020index. Second, it can serve as a
guideline when developing new policies: it is reasonable to restrict policy
development to those within the fluid-priority class. Third, it creates an
opportunity for focused simulation-based search to create policies with
excellent empirical performance and provably state-of-the-art asymptotic
performance. Fluid-priority policies are parameterized by the priority order
over active categories, neutral categories, and inactive categories. (Also, if
there are multiple optimal solutions to the relaxed problem, they are
additionally parameterized by the choice of solution.) While still large in
problems whose arms have many states, one can perform a focused simulation-
based search over this class to find policies with good performance in a
specific problem of interest. In problems where the number of single-arm
states is small enough, it is even possible to search exhaustively over all
fluid-priority policies. In one numerical experiment, we use this strategy to
develop a new fluid-priority policy that significantly outperforms the
existing state of the art.
We demonstrate and illustrate these contributions via numerical experiments.
Our first experiment is a Bayesian multi-armed bandit problem with Bernoulli
rewards. We first verify numerically that this problem is non-degenerate. We
then use simulation to calculate expected performance under a fluid priority
policy similar to the policies in hu2017asymptotically and brown2020index and
observe that the optimality gap stays constant. In contrast, we show that the
widely used UCB (agrawal1995sample) and Thompson Sampling
(agrawal2012analysis) policies have $\Omega(N)$ optimality gaps and
significantly underperform by our fluid-priority policy. Our second experiment
is an active learning problem in which one seeks to allocate crowdsourcing
effort to accurately classify items, previously studied by chen2013optimistic.
We iterate over all possible fluid-priority policies and choose the one with
best performance. We find that this fluid priority policy significantly
outperforms two previously proposed policies: the Knowledge Gradient
(frazier2008knowledge) and Optimistic Knowledge Gradient (chen2013optimistic)
policies. Finally, we verify numerically that our non-degeneracy condition
holds for dynamic assortment problem studied in brown2020index, thus
explaining why their simulation study shows an $O(1)$ optimality gap.
Below, we summarize our contribution after first reviewing the literature.
### 1.1 Literature Review
Here we review in more detail the three streams of literature most related to
our work.
#### Frequentist Bandits:
The most well-known stream of related work uses frequentist analysis and
focuses on problems in which we have uncertainty about an arm’s underlying
state. In such problems, arms are characterized by some underlying but unknown
distribution over rewards. This is typically assumed fixed
(lai1985asymptotically, auer2002finite), but can change in some recent
analysis (besbes2014stochastic, zhou2020regime). This literature designs
strategies that minimize worst-case expected regret, i.e., the expected
difference in total reward compared with a policy that knows arms’ underlying
characteristics.
This work is quite different from ours for two reasons. First, it studies a
different model using a different performance measure. The model we study
assumes that all arms have a fully observed state that evolves stochastically
according to Markov processes with known transition kernels and known state-
dependent rewards. To apply our model to systems whose arms have unknown
reward distributions (which can either be static or vary with a stochastically
varying and observable state), one first proposes a Bayesian prior probability
distribution over the parameters of these distributions. Then, the Bayesian
posterior (which is fully observable) is computed and included as part of the
arm’s state. Rather than worst-case expected regret, we maximize average case
expected reward where initial arm characteristics are drawn at random from the
prior. This follows the practice used in partially observable Markov Decision
Processes of studying average case reward under a prior belief state. The
model we study can also be profitably applied to dynamic systems without
uncertainty about arms, such as the allocation of airplanes to maintenance
bays (cho2015maintenance).
Second, this difference in model and performance measure creates significant
differences in achievable performance. As we show, policies exist whose
average case optimality gap is $O(1)$ in the number of arms $N$. In
frequentist bandits, however, the (worst-case) regret grows linearly with $N$
in the simplest case in which arms’ characteristics do not change over time
(lai1985asymptotically). There is some work that imposes constraints on the
relationships between arms, which allows regret to be $o(N)$, such as work on
linear bandits (goldenshluger2013linear), but these models are quite different
from the one we consider.
Rather than focusing on $N$, most of this literature focuses on the regime
where the horizon $T$ increases to infinity with the number of arms $N$ fixed.
lai1985asymptotically bounds the regret below by a factor proportional to
$\log(T)$. Celebrated algorithms such as upper confidence bound (UCB)
(auer2002finite) and Thompson Sampling (agrawal2012analysis) are proved to
achieve this lower bound asymptotically. This stream of work relies on the
fact that a long horizon permits a large number of pulls per arm, which
distinguish the “best” arm from others with high probability. In our setting,
however, where the number of arms is large enough to permit only a small
number of pulls per arm and the horizon remains fixed, asymptotic guarantees
focusing on large $T$ may not be relevant. Thus, although there is a large
literature demonstrating that variants of UCB (auer2002finite), Thompson
sampling (agrawal2012analysis), epsilon greedy (sutton1999reinforcement), and
other related algorithms have provably small regret in the large $T$ setting,
these results do not imply good performance in the large $N$ setting that we
study. Indeed, in our simulation study, we show that the optimality gap grows
linearly with $N$ under UCB and Thompson sampling in the Bayesian finite-
horizon multi-armed bandit with Bernoulli reward.
#### Fixed $N$ and $T$:
A second and more relevant stream of work (guha2007approximation,
guha2008sequential, guha2013approximate, guha2010approximation,
farias2011irrevocable, bertsimas2000restless) considers the same model that we
consider here and focuses on average-case performance, but considers a regime
with a fixed horizon and a fixed number of arms. This work often solves a
linear relaxation of the original problem, constructs a policy based on the
solution, and then proves that this policy provides a constant-factor
approximation to the optimal one. For example, farias2011irrevocable shows
that the heuristic they propose achieves an 8-approximation of the optimal
policy. Nevertheless, the optimality gap of such policies may scale linearly
with $N$, as a constant factor approximation does not preclude this
possibility.
#### Large $N$, fixed $T$:
The third and most closely related stream considers the same model as the one
we consider here and the same asymptotic regime, where the number of arms and
the budget per period increase proportionally to infinity while holding the
horizon fixed. The regime was first studied by whittle1980multi in the
infinite-horizon discounted reward setting. whittle1980multi introduced a
time-homogeneous Lagrangian relaxation of the budget constraints and proposed
the so-called “Whittle index” policy when arms are “indexable”, and
conjectured the Whittle index achieves an $o(N)$ optimality gap when this
indexability condition holds. However, weber1990index later showed that even
under indexability, the optimality gap under the Whittle index policy grows
linearly in $N$ for some problems. Though intuitively promising, the Whittle
index policy suffers from the difficulty of verifying the indexibility
condition, the inability to use the policy if indexability does not hold, and,
in some problems, from weak empirical performance. Nevertheless, as a
pioneering work in restless bandits, the Whittle index inspired a stream of
follow-up work, in both the infinite-horizon (bertsimas2000restless,
glazebrook2006some, dayanik2008index) and finite-horizon cases. As it is the
focus of our work, we now discuss the finite-horizon case in detail.
Following Whittle’s earlier work, later literature (e.g.,
hu2017asymptotically, zayas2019asymptotically, brown2020index) studies the
finite-horizon restless bandit using Lagrangian relaxations. Unlike Whittle’s
work, these use time-dependent Lagrange multipliers because of the non-
stationary nature of finite-horizon problems. This technique yields promising
performance guarantees and empirical results without the need for an
indexability condition. hu2017asymptotically studies the binary-action bandit
problem and proposes an index policy achieving an $o(N)$ optimality gap.
zayas2019asymptotically studies the multi-action bandit problem and proposes a
policy achieving an $O(\sqrt{N}\log N)$ optimality gap. brown2020index studies
the same setting as hu2017asymptotically and proposes policies with an
$O(\sqrt{N})$ optimality gap. However, simulation experiments (brown2020index)
suggest, surprisingly, that the optimality gap might not grow with $N$. Our
work proposes a novel policy class, the class of fluid-priority policies, and
shows that policies proposed by hu2017asymptotically, brown2020index are
special cases in this class. Furthermore, we show that any policy in this
class achieves an $O(\sqrt{N})$ optimality gap in all circumstances and
achieves an $O(1)$ optimality gap when a non-degeneracy condition holds.
Specially, for the setting discussed above in which simulation experiments
from brown2020index suggest the optimality gap is $O(1)$, we show the non-
degeneracy condition holds.
### 1.2 Summary of Contributions and Outline
There are three main contributions in our work.
Main Contribution: Our main contribution is to propose a novel and general
class of policies, fluid-priority policies, and show that they have strong
theoretical performance guarantees. We show theoretically that any fluid-
priority policy achieves an $O(\sqrt{N})$ optimality gap in all circumstances
and achieves an $O(1)$ optimality gap under a non-degeneracy condition.
Secondary Contributions: Building on our main result, we provide three
secondary contributions.
1. 1.
We establish $o(N)$ and $O(\sqrt{N})$ optimality gaps for classes of policies
broader than fluid priority policies. The sufficient conditions used by our
proof are easy to verify and general enough to apply to policies proposed in
hu2017asymptotically, brown2020index.
2. 2.
We propose an algorithm for verifying whether non-degeneracy condition holds.
If so, this algorithm searches over optimal occupation measures to find one
that is non-degenerate.
3. 3.
We demonstrate the value of fluid policies through numerical experiments and
additional theory.
* •
By searching numerically over fluid priority policies, we identify a novel
fluid priority policy that outperforms a previously proposed state-of-the-art
policy designed specifically for crowdsourced labeling.
* •
We show that the dynamic assortment problem previously studied by
brown2020index satisfies the non-degeneracy condition, and thus our
theoretical results explain the hitherto poorly understood performance of
Lagrangian index policies in this setting.
* •
We show theoretically that the widely-used UCB and Thompson sampling
algorithms for finite-horizon Bernoulli bandits have strictly worse asymptotic
expected performance (in the worst case over problem instances) than fluid
priority policies for problems with many arms. We then demonstrate numerically
that fluid priority policies have substantially better empirical performance
in a collection of such problems.
Organization of This Paper: The rest of the paper is organized as follows. §2
defines the restless bandit problem as a MDP. §3 introduces notation and
provides background on an existing linear programming relaxation used in our
later novel theoretical results. This relaxation provides an upper bound on
the problem’s optimal performance. Based on the upper bound, §4 describes
sufficient conditions to achieve an $O(N)$ optimality gap and §5 describes
sufficient conditions to achieve an $O(\sqrt{N})$ optimality gap.
§LABEL:sect-6 proposes the class of fluid-priority policies and proves that
they achieve an $O(\sqrt{N})$ optimality gap. §LABEL:se-7 proves that fluid-
priority policies achieve an $O(1)$ optimality gap when the non-degeneracy
condition is met. §LABEL:numeric provides numerical studies and
§LABEL:conclusion concludes our work.
## 2 System Model
This section formulates our decision-making problem as a Markov Decision
Process (MDP).
Model: There are $N$ arms, each of which shares the same finite state space
$S$. We use $s_{i,t}$ to indicate the state of arm $i$ at time $t$. At each
period $t$ for each arm $i$, the decision-maker chooses whether to pull the
arm ($a_{i,t}=1$) or leave it idle ($a_{i,t}=0$). We define $A=\\{0,1\\}$ to
be the space of available actions in which $a_{i,t}$ takes values. These
actions must respect a so-called “budget constraint” in which the number of
arms pulled at period $t$ is $B_{t}=\lfloor\alpha_{t}N\rfloor$, where
$0\leq\alpha_{t}\leq 1$ is a pre-specified budget ratio.
Based on the action applied, each arm’s state transitions stochastically to
time $t+1$ according to a known transition kernel
$P_{t}=\\{p_{t}(s,a,s^{\prime})\\}_{s,s^{\prime}\in S,a\in A}$ where
$p_{t}(s,a,s^{\prime})=\mathbb{P}(s_{t+1,i}=s^{\prime}|s_{t,i}=s,a_{t,i}=a)$.
All arms share the same transition kernel, and any arm’s transition is
conditionally independent from others given its own state and action. (Arm-
specific transition kernels can be modeled by defining static arm “types” and
extending the state space to specify the arm’s type.) At time period $t$, each
state-action pair is associated with a reward, given by a known reward
function $r_{t}:S\times A\rightarrow\mathbb{R}$. The decision-maker aims to
maximize the total reward collected from all $N$ arms over a finite horizon
subject to the budget constraint.
To complete the formal definition of our problem involving $N$ arms, we
introduce some additional notation. We use $\mathbb{S}=S^{N}$ to denote the
$N$-fold Cartesian product of the state space $S$ and define
$\mathbb{A}=A^{N}$ similarly. All $N$ arms together form an MDP with state
space $\mathbb{S}$ and action space $\mathbb{A}$. We call this the “joint MDP”
to distinguish it from MDPs that we reference later involving a single arm.
The state in this joint MDP at time $t$ is
$\mathbf{s}_{t}=(s_{t,1},s_{t,2},...,s_{t,N})\in\mathbb{S}$, which indicates
that arm $i$ has state $s_{t,i}$. The action is
$\mathbf{a}_{t}=(a_{t,1},a_{t,2},...,a_{t,N})\in\mathbb{A}$, which indicates
that action $a_{t,i}$ is applied to arm $i$.
The reward function of the joint MDP,
$R_{t}:\mathbb{S}\times\mathbb{A}\rightarrow\mathbb{R}$, is the sum of the
single-arm rewards defined above,
$R_{t}(\mathbf{s}_{t},\mathbf{a}_{t})=\sum_{i=1}^{N}r_{t}(s_{t,i},a_{t,i}).$
For element $\mathbf{a}=(a_{1},a_{2},...,a_{N})$ in $\mathbb{A}$, we use
$|\mathbf{a}|=\sum_{i=1}^{N}a_{i}$ to indicate the $L^{1}$-norm of
$\mathbf{a}$, i.e, the number of pulled arms. We write our budget constraint
at time $t$ as $|\mathbf{a}_{t}|=B_{t}$.
The transition kernel for the joint MDP is the product of each arm’s
transition kernel,
$\displaystyle\mathbb{P}[\mathbf{s}_{t+1}|\mathbf{s}_{t},\mathbf{a}_{t}]=\prod_{i=1}^{N}p_{t}(s_{t,i},a_{t,i},s_{t+1,i}).$
We assume all arms start from the same initial state $s^{*}$. Our analysis can
be easily generalized to the case where arms start from different states.
A policy $\pi$ is a function that maps the current state
$\mathbf{s}_{t}\in\mathbb{S}$ and time $t$ to an action
$\mathbf{a}_{t}\in\mathbb{A}$. The objective of the policy is to maximize the
expected total reward, subject to the budget constraint specified above.
This objective can be written as,
$\begin{split}&\max_{\pi}\
\mathbb{E}_{\pi}\sum_{t=1}^{T}R_{t}(\mathbf{s}_{t},\mathbf{a}_{t})\\\
&\text{subject to:}\ |\mathbf{a}_{t}|=\lfloor\alpha_{t}N\rfloor,\ \forall
t\in[T],\end{split}$ (1)
where $\mathbb{E}_{\pi}$ indicates the expectation taken under policy $\pi$.
We define the value function of a policy $\pi$ as
$V_{N}(\pi)=\mathbb{E}_{\pi}\sum_{t=1}^{T}R_{t}(\mathbf{s}_{t},\mathbf{a}_{t})$.
We measure a policy’s performance by comparing its value with that of an
optimal policy solving (1). Let $V_{N}^{*}=\sup_{\pi}V_{N}(\pi)$ be the value
of an optimal policy. Then the optimality gap of the policy $\pi$ is defined
as
$\displaystyle V_{N}^{*}-V_{N}(\pi).$
Maximizing the value function across policies is equivalent to minimizing the
optimality gap. We are interested in finding policies with small optimality
gaps when $N$ is large.
Applications: The above model has many applications. In the most direct
application, each arm corresponds to a physical process that evolves
stochastically and independently of the other physical processes according to
a known transition kernel. Examples include network communication
(liu2009myopic, al2012multi) and machine maintenance (glazebrook2006some,
abbou2019group, cho2015maintenance). For example, in maintenance of military
aircraft with low radar visibility (so-called “stealth” aircraft)
(cho2015maintenance), each aircraft is treated as an arm. Radar visibility
(the state of the arm) increases stochastically according to a known
transition kernel each time the aircraft flies as small particles in the air
damage the aircraft’s paint and underlying metal surface. This damage can be
repaired (the arm can be pulled) by pausing an aircraft’s flights and
performing maintenance. Our objective is allocate limited maintenance
resources to maximize an objective combining flights flown and number of
aircraft with low radar visibility.
In addition, there are many applications in which information evolves over
time. In such settings, we often have several independent unknown quantities,
each arm corresponds to one of these quantities, and an arm’s state represents
the information that we have about this quantity. Examples include autonomous
target tracking (le2006multi, hero2011sensor), where each target is treated as
an arm, and its state is whether it is tracked by a sensor and some physical
feature affecting the motion of the target. Based on its state, the target
moves to a new location, and our objective is to track as many targets for as
long as possible.
In perhaps the most famous restless bandit, each arm corresponds to a slot
machine. Each slot machine generates payoffs according to a distribution from
a parametric family (e.g., Bernoulli). The parameter governing an arm’s
rewards (for Bernoulli arms, the payoff probability) is drawn at random from a
Bayesian prior distribution and is unobserved. The state of the arm is the
Bayesian posterior distribution on its parameter, given all observed payoffs
from the arm. When we pull an arm, we earn a reward (whose distribution is
given by marginalizing over the posterior on the arm’s uncertain parameter)
and the new state is determined by Bayes’ rule and the observed reward. If an
arm’s underlying parameter changes over time, then this causes the posterior
to change even if the arm is not pulled, making the problem restless. A common
point of confusion arises from the fact that this problem has a similar
motivation to the more widely studied non-Bayesian stochastic bandit
(lai1985asymptotically, auer2002finite), but uses a different formulation. For
a tutorial on Bayesian formulations of multi-armed bandits, some of which are
restless, see mahajan2008multi.
## 3 Background: Preliminary Results and Notation
In this section, we define a linear programming relaxation that bounds
$\hat{V}_{N}^{*}$ for $V_{N}^{*}$. Although this bound is standard in the
literature and is not part of our contribution, we include it to provide a
self-contained presentation and to establish notation used later.
Linear Programming Relaxation: Similar to wu2015algorithms,
farias2011irrevocable, guha2008sequential, we introduce this relaxation of
Problem (1):
$\begin{split}&\hat{V}_{N}^{*}:=\max_{\pi}\
\mathbb{E}_{\pi}\sum_{t=1}^{T}R_{t}(\mathbf{s}_{t},\mathbf{a}_{t})\\\
&\text{subject to }\mathbb{E}_{\pi}|\mathbf{a}_{t}|=\alpha_{t}N,\ \forall
t\in[T].\end{split}$ (2)
This relaxes problem (1)’s almost sure cardinality constraints (on both the
initial occupation measure and the number of pulls) to constraints on the
expected cardinality. As we will see soon, solving relaxation (2) is
equivalent to solving a linear program whose number of decision variables does
not depend on $N$ (see Lemma 3.1 and the linear program (4)). For simplicity
of presentation, we assume that $\alpha_{t}$ are rational and we restrict
attention and limits taken below over $N$ causing $\alpha_{t}N$ to be integral
for all $t\in[T]$. Our results essentially generalize to irrational
$\alpha_{t}$ and non-integral $\alpha_{t}N$ as discussed briefly in Appendix
LABEL:al:2-1.
The value of this relaxed problem, $\hat{V}_{N}^{*}$, is an upper bound on
$V_{N}^{*}$. We use this upper bound extensively later to bound the optimality
gap of the policies we study. Moreover, the policies we study in §LABEL:sect-6
heavily leverage this relaxation in their definition. They benefit from the
fact that the relaxation yields a low-dimensional problem whose number of
decision variables and constraints do not scale with $N$. This allows the
relaxation’s solution to be computed and used to define practical policies,
even when $N$ is large.
The following lemma formally states this bound and also observes (via
Fenchel’s duality theorem, and the separability of a dualized version of
Problem (2)) that $\hat{V}_{N}^{*}$ is determined by the solution to a single-
armed problem $\hat{V}^{*}_{1}$. Its proof can be found in the appendix.
###### Lemma 3.1
$V_{N}^{*}\leq\hat{V}_{N}^{*}=N\hat{V}_{1}^{*}.$
The quantity $\hat{V}_{1}^{*}$ is given by,
$\begin{split}&\max_{\pi}\ \mathbb{E}_{\pi}\sum_{t=1}^{T}r_{t}(s_{t},a_{t})\\\
&\text{subject to }\mathbb{E}_{\pi}|{a}_{t}|=\alpha_{t},\ \forall
t\in[T].\end{split}$ (3)
Later analysis and computation is supported by the following equivalent
version of Problem (3). Defining the occupation measure,
$x_{t}(s,a):=\mathbb{P}[s_{t}=s,a_{t}=a]$, Problem (3) is equivalent to
$\begin{split}&\max\ \sum_{s\in S,a\in A}\sum_{t=1}^{T}r_{t}(s,a)x_{t}(s,a)\\\
&\text{subject to }\\\ &\quad\quad\quad\sum_{a\in A}x_{t}(s,a)=\sum_{a\in
A}\sum_{s^{\prime}\in S}x_{t-1}(s^{\prime},a)p_{t-1}(s^{\prime},a,s),\text{
$\forall s\in S,2\leq t\leq T$, }\\\ &\quad\quad\quad\sum_{s\in
S}x_{t}(s,1)=\alpha_{t},\ \text{$t\in[T]$, }\\\ &\quad\quad\quad\sum_{a\in
A}x_{1}(s^{*},a)=1,\\\ &\quad\quad\quad\sum_{a\in A}\sum_{s\in
S}x_{1}(s,a)=1,\text{ $\forall s\in S$,}\\\ &\quad\quad\quad x_{t}(s,a)\geq
0,\text{ $\forall s\in S,a\in A,t\in[T]$.}\end{split}$ (4)
The first constraint of Problem (4) ensures that flows are balanced; the
second ensures that the budget constraint is met; and the third follows from
the initial occupation measure. We let $x_{t}(s,a)$ denote the entries in an
optimal occupation measure, i.e., one that solves Problem (4). Then, we can
compute,
$\displaystyle\hat{V}^{*}_{1}=\sum_{s\in S,a\in
A}\sum_{t=1}^{T}r_{t}(s,a)x_{t}(s,a).$ (5)
The class of policies we analyze depend on solving Problem (4) computationally
using a linear programming solver. As noted above, this is possible, even when
$N$ is large, because the dimensionality of Problem (4) does not depend on the
number of arms $N$.
Additional Notation: Here we introduce some additional notation used in the
following sections. Given the optimal occupation measure, we use
$z_{t}(s):=\sum_{a\in A}x_{t}(s,a)$ to denote the probability that an arm is
in state $s$ at time $t$ under this measure. We use $z_{t}$ and $x_{t}$ to
refer to the corresponding vector (or matrix), i.e., $z_{t}:=(z_{t}(s),s\in
S)$ or $x_{t}:=(x_{t}(s,a):s\in S,a\in A)$.
In the joint MDP with $N$ arms, we let $X^{N}_{t}(s,a)$ be the number of arms
in state $s$ for which we take action $a$ at time $t$. We let $Z^{N}_{t}(s)$
be the number of arms in state $s$ at time $t$. We use $Z_{t}^{N},X_{t}^{N}$
to refer to the vectors $(Z_{t}^{N}(s):s\in S)$ and matrix
$(X_{t}^{N}(s,a):s\in S,a\in A)$. Using this notation, a policy $\pi$ of the
joint MDP is a map from $Z^{N}_{t}$ to $X^{N}_{t}$.
§5 will study deviations between the realization of $(Z_{t}^{N},X_{t}^{N})$
and $(Nz_{t},Nx_{t})$, and how these deviations impact the joint MDP’s reward.
To support this analysis, we define diffusion statistics $\tilde{Z}^{N}_{t}$
and $\tilde{X}^{N}_{t}$ as
$\tilde{Z}^{N}_{t}=\frac{Z_{t}^{N}-Nz_{t}}{\sqrt{N}},\quad\tilde{X}^{N}_{t}=\frac{X_{t}^{N}-Nx_{t}}{\sqrt{N}}.$
Using this notation, a policy $\pi$ of the joint MDP naturally induces a class
of maps $\tilde{\pi}_{t,N}$ indexed by $t$ and $N$, from diffusion
$\tilde{Z}^{N}_{t}$ to diffusion $\tilde{X}^{N}_{t}$, such that
$\displaystyle\pi(t,Z_{t}^{N})=X_{t}^{N}\Longleftrightarrow\tilde{\pi}_{t,N}(\tilde{Z}_{t}^{N})=\tilde{X}_{t}^{N}.$
(6)
## 4 Sufficient Conditions for Achieving an $o(N)$ Optimality Gap
This section establishes the first of our contributions: general sufficient
conditions for an $o(N)$ optimality gap. This result allows us to directly
verify that the policy in zayas2019asymptotically has an $o(N)$ optimality
gap. We build on the results here in the next section, where we give stronger
conditions sufficient for an $O(\sqrt{N})$ gap and apply it to the policies in
hu2017asymptotically and brown2020index. This is in preparation for our main
contribution in §LABEL:sect-6, a class of policies with an $O(1)$ gap.
The main idea in this section is, essentially, that as long as the number of
arms we pull in each state, $X^{N}_{t}$, is approximately proportional to the
optimal occupation measure $x_{t}$ (a property we formalize and give the name
“fluid consistency”), the number of arms in the next period $Z_{t+1}^{N}$ in
each state will be approximately proportional to $z_{t+1}$. This will cause
the reward of the joint MDP to scale proportionally with $\hat{V}^{*}_{1}$.
While random fluctuations cause proportionality to hold only approximately,
their resulting loss in reward is $o(N)$.
We begin by formally defining the notion of fluid consistency.
###### Definition 4.1
Under a policy $\pi$, if $\pi(t,Z_{t}^{N})/N\to x_{t}$ for all $t\in[T]$ and
sequences $(Z_{t}^{N}:N)$ satisfying $Z_{t}^{N}/N\rightarrow z_{t}$, then we
say the policy $\pi$ is fluid consistent.
Based on this definition, we have the following lemma, whose proof can be
found in the appendix.
###### Lemma 4.2
If a policy $\pi$ is fluid consistent, then
$\displaystyle\frac{Z_{t}^{N}}{N}\rightarrow
z_{t},\frac{X_{t}^{N}}{N}\rightarrow x_{t},$
almost surely for any $t\in[T]$ as $N\to\infty$.
Using Lemma 4.2, we now show the main result of this section: that fluid
consistency implies the optimality gap is $o(N)$.
###### Theorem 4.3
If a policy $\pi$ is fluid consistent, then $V_{N}^{*}-V_{N}(\pi)=o(N)$.
###### Proof 4.4
Proof of Theorem 4.3 Because the policy $\pi$ is fluid consistent, Lemma 4.2
shows
$\displaystyle\frac{Z_{t}^{N}}{N}\rightarrow
z_{t},\frac{X_{t}^{N}}{N}\rightarrow x_{t}.$
The total reward of the joint MDP, divided by $N$, is
$\displaystyle\frac{1}{N}\mathbb{E}_{\pi}\sum_{t=1}^{T}R_{t}(\mathbf{s}_{t},\mathbf{a}_{t})$
$\displaystyle=\frac{1}{N}\mathbb{E}_{\pi}\sum_{t=1}^{T}\sum_{s\in S,a\in
A}r_{t}(s,a)X_{t}^{N}(s,a)$
$\displaystyle=\mathbb{E}_{\pi}\sum_{t=1}^{T}\sum_{s\in S,a\in
A}r_{t}(s,a)\frac{X_{t}^{N}(s,a)}{N}$
$\displaystyle\rightarrow\mathbb{E}_{\pi}\sum_{t=1}^{T}\sum_{s\in S,a\in
A}r_{t}(s,a)x_{t}(s,a)$
as $N\to\infty$, where we leverage the dominated convergence theorem, the fact
that rewards are bounded, and $0\leq X_{t}^{N}(s,a)\leq N$. Thus, we have
shown that $V_{N}^{*}-V_{N}(\pi)=o(N)$. $\Box$
One can show that the the policies in hu2017asymptotically,
zayas2019asymptotically, brown2020index are all fluid consistent and thus have
$o(N)$ optimality gaps. We show this for zayas2019asymptotically in Appendix
LABEL:other-policies. Below, we show that hu2017asymptotically, brown2020index
meet a stronger condition and thus have $O(\sqrt{N})$ optimality gaps.
## 5 Sufficient Conditions for Achieving an $O(\sqrt{N})$ Optimality Gap
This section establishes our second contribution: a substantially more general
result than in the literature showing sufficient conditions for an
$O(\sqrt{N})$ optimality gap. Using this result, we directly verify that
policies in hu2017asymptotically and brown2020index have $O(\sqrt{N})$
optimality gaps. This section also provides stepping stones towards our main
contribution, described in §LABEL:sect-6.
The main idea in this section is that, as long as the diffusion statistic
$\tilde{X}_{t}^{N}$ is bounded by $O(1)$, then $\tilde{Z}_{t+1}^{N}$ will also
be bounded by $O(1)$. Thus, the deviation between the reward of the joint MDP
and the relaxation’s upper bound $\hat{V}_{N}^{*}$ will be bounded by
$\sqrt{N}\cdot O(1)=O(\sqrt{N})$.
Recall Equation (6), that a policy $\pi$ naturally induces a class of maps
$\tilde{\pi}_{t,N}$. Using this idea, we say a policy $\pi$ is “diffusion
regular” if all induced maps $\tilde{\pi}_{t,N}$ keep the diffusion
$\tilde{X}_{t}^{N}$ bounded by $O(1)$. We define this formally here.
###### Definition 5.1
A policy $\pi$ is called diffusion regular if its induced maps
$\tilde{\pi}_{t,N}$ satisfy the following conditions, where $|\cdot|$
indicates the $L^{1}$-norm in Euclidean space.
1. 1.
There exists $C_{1}>0$ s.t.
$|\tilde{\pi}_{t,N}(\theta_{1})-\tilde{\pi}_{t,N}(\theta_{2})|\leq
C_{1}|\theta_{1}-\theta_{2}|$ for all $t$, $N$, $\theta_{1}$ and $\theta_{2}$.
2. 2.
There exists $C_{2}>0$ s.t. $|\tilde{\pi}_{t,N}(0)|\leq C_{2}$ for all $t$ and
$N$.
3. 3.
There exists a map $\tilde{\pi}_{t,\infty}$ s.t.
$\tilde{\pi}_{t,N}(\theta)\rightarrow\tilde{\pi}_{t,\infty}(\theta)$ as
$N\rightarrow\infty$ for all $\theta$.
We briefly note the following fact, useful when proving subsequent results.
Its proof is found in the appendix.
###### Lemma 5.2
If a policy is diffusion regular then it is also fluid consistent.
We now show that if a policy $\pi$ is diffusion regular, the diffusion
statistics $\tilde{X}_{t}^{\infty}$ and $\tilde{Z}_{t}^{\infty}$ converge in
distribution (Lemma 5.3) and their second moments are uniformly bounded (Lemma
5.4). Proofs of Lemma 5.3 and Lemma 5.4 are in the Appendix.
###### Lemma 5.3
If a policy $\pi$ is diffusion regular, then for any $t\in[T]$, there exists
sub-Gaussian random vectors $(\tilde{Z}_{t}^{\infty},\tilde{X}_{t}^{\infty})$
such that
$(\tilde{Z}_{t}^{N},\tilde{X}_{t}^{N})\rightarrow(\tilde{Z}_{t}^{\infty},\tilde{X}_{t}^{\infty})$
in distribution as $N\rightarrow\infty$.
###### Lemma 5.4
If a policy $\pi$ is diffusion regular, then there exists a constant $C$ such
that $\mathbb{E}_{\pi}[||\tilde{Z}_{t}^{N}||_{2}^{2}]\leq C$ and
$\mathbb{E}_{\pi}[||\tilde{X}_{t}^{N}||_{2}^{2}]\leq C$ for all $t\in[T]$ and
$N$, where $||\cdot||_{2}$ indicates the $L^{2}$ norm.
Based on Lemma 5.3 and 5.4, we can prove the following theorem.
###### Theorem 5.5
If a policy $\pi$ is diffusion regular, then
$V_{N}^{*}-V_{N}(\pi)=O(\sqrt{N})$.
| arxiv-papers | 2021-07-25T23:27:12 | 2024-09-04T03:07:17.381994 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Xiangyu Zhang, Peter I. Frazier",
"submitter": "Xiangyu Zhang",
"url": "https://arxiv.org/abs/2107.11911"
} |
2107.11915 | # Topological censorship in spacetimes compatible with $\Lambda>0$
Martin [email protected] Black Hole Initiative, Harvard
University, Cambridge, MA Eric [email protected] Rutgers
University, New Brunswick, NJ
###### Abstract
Currently available topological censorship theorems are meant for
gravitationally isolated black hole spacetimes with cosmological constant
$\Lambda=0$ or $\Lambda<0$. Here, we prove a topological censorship theorem
that is compatible with $\Lambda>0$ and which can be applied to whole
universes containing possibly multiple collections of black holes. The main
assumption in the theorem is that distinct black hole collections eventually
become isolated from one another at late times, and the conclusion is that the
regions near the various black hole collections have trivial fundamental
group, in spite of there possibly being nontrivial topology in the universe.
## 1 Introduction
Topological censorship theorems show, under various physically motivated
assumptions, that the topology of the region exterior to a black hole (Domain
of Outer Communication, ‘DOC’) is restricted in various respects. The DOC is
defined in terms of the conformal boundary, $\mathcal{J}$, which is associated
to the spacetime. The causal character of $\mathcal{J}$ is taken to be
timelike, null, or spacelike depending on whether these spacetimes model
solutions to the Einstein field equations
$\textbf{G}\equiv\textbf{Ric}-\frac{1}{2}\textbf{g}\>R=\textbf{T}-\Lambda\>\textbf{g}$
with cosmological constant $\Lambda<0,=0,>0$, respectively. So far,
topological censorship theorems have been proven in the context of $\Lambda=0$
and $\Lambda<0$. In view of the currently preferred $\Lambda$CDM model which
supports a cosmological constant $\Lambda>0$ [17], we shall seek to extend
these theorems to this setting.
Typical topological censorship theorems are based on the following
assumptions:
* -
the null energy condition, i.e. $\textbf{Ric}(\textbf{n},\textbf{n})\geq 0$
for all null vectors n (various averaged versions would also suffice),
* -
global hyperbolicity of the DOC,
* -
a topological assumption concerning $\mathcal{J}$.
In the asymptotically flat setting ($\Lambda=0$), the conformal boundary is a
disjoint union, $\mathcal{J}=\mathcal{J}^{+}\cup\mathcal{J}^{-}$, of null
hypersurfaces $\mathcal{J}^{+}$ and $\mathcal{J}^{-}$. Both of these are
topologically $\mathbb{R}\times S^{2}$, the DOC is defined as
$\text{DOC}=I^{+}(\mathcal{J}^{-})\cap I^{-}(\mathcal{J}^{+})$, and the main
theorem in this setting is that the DOC is simply connected [3, 6, 7, 8, 12].
In the more complicated case of timelike conformal boundaries, one obtains
topological restrictions on the DOC involving the genus $g$ of the surface ‘at
infinity’, and the genus $g_{i}$ of the horizon components [11]. See also [4]
and [9].
Here, motivated by black hole solutions with $\Lambda>0$ (eg. Kerr-de Sitter)
and today’s preferred cosmological models, we prove a topological censorship
theorem for spacetimes compatible with $\Lambda>0$ with the following
features.
* •
Although the theorem is intended to cover spacetimes that admit a future (and
or past) spacelike conformal boundary $\mathcal{J}$, the theorem is not
actually stated in terms of $\mathcal{J}$. The event horizon (which is
normally defined by the boundary of the timelike past of $\mathcal{J}$) is
replaced with a suitable Cauchy horizon.
* •
The theorem applies to spacetimes containing multiple black hole collections,
rather than an isolated system like an asymptotically flat spacetime.
* •
The geometric set up is that the black holes eventually become isolated from
one another, and by this it is meant that the intersection between the event
horizon and a suitable spacelike hypersurface has multiple connected
components, cf. [5] for such set-ups. One can think of this assumption as a
consequence of certain PDE conjectures like Weak Cosmic Censorship and Final
State, which say that generic gravitational collapse leads to a number of
distinct black holes regions that eventually become isolated from one another.
* •
The main assumption of our theorem is that at _late times_ , the black holes
become so isolated that light from the region near one black hole does not
reach the event horizon of another black hole. This assumption is supported by
the presence of a positive cosmological constant as shown in Figure 2.
* •
The conclusion is that a local form of topological censorship holds. Namely,
the regions in the vicinity of the black holes have trivial fundamental group
but the region between the black holes may contain nontrivial topology. In
essence this shows that the topology of the universe may be nontrivial but the
topology near black holes is trivial.
### 1.1 Examples
The following examples show what one can and cannot prove with regards to
topological censorship in the asymptotically de Sitter setting.
Example 1: de Sitter $\mathbb{R}P^{3}$ quotient. This example shows that in
the de Sitter setting, it is possible to have a simply connected $\mathcal{J}$
and yet the DOC is _not_ simply connected.
Consider the de Sitter spacetime $(M,g)$ where $M=I\times S^{3}$ and
$g=\cos^{-2}(t)(-dt^{2}+d\omega^{2})$; here $I=(-\frac{\pi}{2},\frac{\pi}{2})$
and $d\omega^{2}$ is the usual round metric on $S^{3}$. The conformal
spacelike boundaries correspond to $\mathcal{J}^{+}=\\{t=+\frac{\pi}{2}\\}$
and $\mathcal{J}^{-}=\\{t=-\frac{\pi}{2}\\}$; each are topologically $S^{3}$.
By quotienting out each $S^{3}$ by the antipodal identification map, one
obtains real projective space $\mathbb{R}P^{3}=S^{3}/\sim$ and applying this
identification to each $\\{t\\}\times S^{3}$ for each $t\in\mathbb{R}$ yields
a new spacetime $(M_{1},g_{1})$ where $M_{1}=I\times\mathbb{R}P^{3}$ and
$g_{1}$ is just the metric induced by $g$. This spacetime inherits the
conformal structure from the de Sitter spacetime and so its conformal
spacelike boundaries are given by
$\mathcal{J}^{\pm}_{1}=\mathcal{J}^{\pm}/\sim$.
Now consider the spacetime $(M_{2},g_{2})$ where $M_{2}=I^{+}(B^{-})\cap
I^{-}(B^{+})$ where $B^{\pm}$ are two (small) open balls embedded in
$\mathcal{J}^{\pm}_{1}$ centered around the same point. See Figure 1. The
spacelike conformal boundaries for $(M_{2},g_{2})$ are given by
$\mathcal{J}_{2}^{\pm}=B^{\pm}$. The spacetime $(M_{2},g_{2})$ is globally
hyperbolic, satisfies the null energy condition, has simply connected
spacelike conformal boundaries, and yet the DOC (which equals $M_{2}$) is not
simply connected since its Cauchy surfaces are topologically
$\mathbb{R}P^{3}$.
Figure 1: Left: The sphere $S^{3}$; the equator $E$ is topologically $S^{2}$.
Identifying antipdoal points on $S^{3}$ yields $\mathbb{R}P^{3}$; the equator
under this identification becomes an $\mathbb{R}P^{2}$. Right: The de Sitter
$\mathbb{R}P^{3}$ quotient. $B^{\pm}$ are two small open balls in
$\mathcal{J}_{1}^{\pm}$. The spacetime $M_{2}=I^{+}(B^{-})\cap I^{-}(B^{+})$
is not simply connected and yet $\mathcal{J}_{2}^{\pm}=B^{\pm}$ are simply
connected.
Example 2: Schwarzschild-de Sitter spacetime. Our late time assumption that we
consider in our main theorem is motivated by the late time asymptotics in the
Schwarzschild-de Sitter spacetime.
Fix $m>0$ and $\Lambda>0$ such that $9\Lambda m^{2}<1$. Let
$f(r)=1-\frac{2m}{r}-\frac{\Lambda}{3}r^{2}$. Then $f(r)$ has two positive
roots $r_{1}<r_{2}$. Let $(M,g)$ denote the spacetime
$M\,=\,\mathbb{R}\times(r_{1},r_{2})\times S^{2}\>\>\>\>\text{ and
}\>\>\>\>g\,=\,-f(r)dt^{2}+\frac{1}{f(r)}dr^{2}+r^{2}d\Omega^{2}$
where $d\Omega^{2}$ is the usual round metric on $S^{2}$. The roots $r_{1}$
and $r_{2}$ correspond to coordinate singularities known as the _event
horizon_ and _cosmological horizon_ , respectively. Let $(M_{*},g_{*})$ denote
the maximal analytic extension of $(M,g)$. A Penrose diagram for
$(M_{*},g_{*})$ is given in Figure 2. For a construction of the maximal
analytic extension, see section 6.3 in [2]. Note that $(M_{*},g_{*})$ is
globally hyperbolic with Cauchy surfaces topologically $\mathbb{R}\times
S^{2}$. In $(M_{*},g_{*})$ there are countably infinite black hole regions
which are separated by countably infinite connected components of the
spacelike conformal boundary
$\mathcal{J}^{\pm}=\bigsqcup_{\alpha\in\mathbb{Z}}\mathcal{J}^{\pm}_{\alpha}$
which satisfy $\mathcal{J}^{-}_{\alpha}\subset
I^{-}(\mathcal{J}^{+}_{\alpha})$ and $\mathcal{J}^{-}_{\alpha}$ is disjoint
from $I^{-}(\mathcal{J}^{+}_{\beta})$ for all $\beta\neq\alpha$. Moreover
$\mathcal{J}^{\pm}_{\alpha}$ is topologically $\mathbb{R}\times S^{2}$ for
each $\alpha$.
Let’s focus on a specific $\alpha$ and consider the
$\text{DOC}_{\alpha}=I^{+}(\mathcal{J}^{-}_{\alpha})\cap
I^{-}(\mathcal{J}^{+}_{\alpha})$. The Cauchy surfaces for
$\text{DOC}_{\alpha}$ are also topologically $\mathbb{R}\times S^{2}$. A
particular _late time_ Cauchy surface is shown in Figure 2. The spheres
$\Gamma_{1}$ and $\Gamma_{2}$ can be thought of spheres surrounding two
distinct black holes in the spacetime. Note that for this particular late time
Cauchy surface, the future lightcones of $\Gamma_{1}$ and $\Gamma_{2}$ do not
intersect. This property - that the future lightcones of $\Gamma_{1}$ and
$\Gamma_{2}$ do not intersect - motivates our “late time assumption” which
appears in our theorem.
$\mathcal{J}^{+}_{\alpha}$$\mathcal{J}^{-}_{\alpha}$$\mathcal{J}^{+}_{\alpha+1}$$\mathcal{J}^{-}_{\alpha+1}$$\mathcal{J}^{+}_{\alpha}$$\mathcal{J}^{-}_{\alpha}$$\Gamma_{1}$$\Gamma_{2}$
Figure 2: Left: The maximal analytic extension $(M_{*},g_{*})$ of the
Schwarzschild-de Sitter spacetime. The horizontal line in the middle
represents a Cauchy surface which has topology $\mathbb{R}\times S^{2}$.
Right: The $\text{DOC}_{\alpha}$. A particular late time Cauchy surface is
shown. Note that the two spheres $\Gamma_{1}$ and $\Gamma_{2}$ do not have
intersecting future lightcones. This will play a role in our late time
assumption in our theorem.
Example 3: Schwarzschild-de Sitter geon. Our theorem proves that the regions
near black holes are topologically trivial while still allowing for nontrivial
topology in the universe as a whole. This example demonstrates one scenario
where the topology around a black hole is trivial even though the universe as
a whole contains nontrivial topology.
The Schwarzschild $\mathbb{R}P^{3}$ geon described in [6] arises from the
following construction: Fix $m>0$. Let $(M_{*},g_{*})$ denote the maximal
analytic extension of the Schwarzschild spacetime. In Kruskal coordinates, we
have
$M_{*}\,=\,D\times S^{2}\>\>\>\>\text{ and
}\>\>\>\>g_{*}\,=\,\frac{32m^{3}}{r}e^{-r/2m}(-dT^{2}+dX^{2})+r^{2}d\Omega^{2}$
where $D=\\{(T,X)\mid X\in\mathbb{R}\text{ and }T^{2}-X^{2}<1\\}$ and $r$ is a
function of $X^{2}-T^{2}$. The _Schwarzschild $\mathbb{R}P^{3}$ geon_ is the
spacetime $(M,g)$ obtained by making the following identifications: $X\sim-X$
and $p\sim-p$ for $p\in S^{2}$. One can imagine that one is “folding over”
$(M_{*},g_{*})$ to yield $(M,g)$. In Figure 3, we fold from left to right. We
note that $(M,g)$ has only one asymptotic end (as oppose to two in
$(M_{*},g_{*})$), the minimal horizon (denoted by $P$ in Figure 3) in the
$t=0$ slice is topologically $\mathbb{R}P^{2}$ (as oppose to $S^{2}$ in
$(M_{*},g_{*})$), and the $t=0$ slice is topologically
$\mathbb{R}P^{3}\setminus\\{\text{pt}\\}$ (as oppose to $\mathbb{R}\times
S^{2}$ in $(M_{*},g_{*})$).
Now let $(M_{*},g_{*})$ denote the maximal analytic extension of the
Schwarzschild-de Sitter spacetime. This spacetime has a similar symmetry as in
the maximal analytic extension of the Schwarzschild spacetime. But this time
we “fold over” at the intersection of the cosmological horizons as oppose to
the event horizons. The resulting spacetime $(M,g)$ is the _Schwarzschild-de
Sitter $\mathbb{R}P^{3}$ geon_ which is illustrated in Figure 3 where we have
folded from right to left. A Cauchy surface $E=E_{1}\cup E_{2}$ for
$\text{DOC}_{0}$ is shown in Figure 3. Note that $\Gamma$ separates $E$ so
that $\Gamma=E_{1}\cap E_{2}$. Analogous to the $t=0$ slice in the
Schwarzschild $\mathbb{R}P^{3}$ geon, $E$ is topologically
$\mathbb{R}P^{3}\setminus\\{\text{pt}\\}$. But notice that $E_{1}$ is
topologically trivial, while $E_{2}$ is topologically nontrivial since it
contains the surface $P$ which is topologically $\mathbb{R}P^{2}$. This shows
that the region near the black hole (e.g. $E_{1}$) is topologically trivial
even though when can have nontrivial topology in the universe far away from
the black hole (e.g. $E_{2}$).
Lastly, in Figure 3, we have
$\mathcal{J}_{0}^{\pm}\approx\mathbb{R}P^{3}\setminus\\{\text{pt}\\}$ while
$\mathcal{J}^{\pm}_{-n}\approx\mathbb{R}\times S^{2}$ for all $n=1,2,\dotsc$.
So we see that the nontrivial topology of $\text{DOC}_{0}$ coincides with the
nontrivial topology of $\mathcal{J}_{0}^{\pm}$. However, by removing the
$\mathbb{R}P^{2}$ equator from $\mathcal{J}_{0}^{\pm}$ and letting
$\text{DOC}_{0}^{\prime}$ denote the corresponding domain of outer
communication, we see that $\text{DOC}_{0}^{\prime}=\text{DOC}_{0}$. But the
spacelike conformal boundaries for $\text{DOC}_{0}^{\prime}$ are topologically
$\mathbb{R}\times S^{2}$ and hence simply connected. This is analogous to
example 1 since again we have a topologically nontrivial domain of outer
communications but a topologically trivial spacelike conformal boundary.
$\mathcal{J}^{+}$$\mathcal{J}^{-}$$P\approx\mathbb{R}P^{2}$$E_{1}$$E_{2}$$\mathcal{J}^{+}_{0}$$\mathcal{J}^{-}_{0}$$\mathcal{J}^{+}_{-1}$$\mathcal{J}^{-}_{-1}$$\Gamma$$P\approx\mathbb{R}P^{2}$
Figure 3: Left: The Schwarzschild $\mathbb{R}P^{3}$ geon. The horizontal line
in the middle is a Cauchy surface with nontrivial topology due to the surface
$P$. Right: The Schwarzschild-de Sitter $\mathbb{R}P^{3}$ geon. The Cauchy
surface $E=E_{1}\cup E_{2}$ (which is separated by $\Gamma=E_{1}\cap E_{2}$)
for $\text{DOC}_{0}$ is topologically nontrivial. The region $E_{1}$ near the
black hole _is_ topologically trivial; the nontrivial topology in $E$ occurs
at the surface $P$ in $E_{2}$ which is far away from the black hole.
### 1.2 The Theorem
Let $(M,g)$ be a spacetime. For our theorem to apply to multiple black holes,
we make the following three assumptions on $M$. For the sake of simplicity, we
assume all objects are smooth. Figure 4 shows a picture of the general set up
we have in mind.
* (1)
There is a spacelike Cauchy surface $V$ for $M$.
* (2)
Let $\mathcal{I}$ be an indexed set. For each $i\in\mathcal{I}$, there is an
embedded surface $\Sigma_{i}$ which separates $V$. Let $B_{i}^{\prime}$ and
$E_{i}^{\prime}$ form a separation for $V\setminus\Sigma_{i}$. Set
$B_{i}=B^{\prime}_{i}\sqcup\Sigma$ and $E_{i}=E_{i}^{\prime}\sqcup\Sigma_{i}$.
Hence
$V\,=\,B_{i}\cup E_{i}\>\>\>\>\text{ and }\>\>\>\>\Sigma_{i}\,=\,B_{i}\cap
E_{i}.$
We assume $\Sigma_{i}$ is a closed set so that $B_{i}$ and $E_{i}$ are closed
sets. We assume each $B_{i}$ is diffeomorphic to
$\Sigma_{i}\times[0,\epsilon)$ and represents a collars worth of the black
hole region; $\Sigma_{i}$ represents the boundary of the black hole region. We
make no assumptions on the connectedness nor compactness of $\Sigma_{i}$.
* (3)
For each $i\in\mathcal{I}$, there is a smooth embedded 2-sphere
$\Gamma_{i}\subset E_{i}\setminus\Sigma_{i}$ which separates
$E_{i}\setminus\Sigma_{i}$. Let $E_{1,i}^{\prime}$ and $E_{2,i}^{\prime}$ form
a separation for $E_{i}\setminus(\Sigma_{i}\sqcup\Gamma_{i})$. Set
$E_{1,i}=E_{1,i}^{\prime}\sqcup\Gamma_{i}\sqcup\Sigma_{i}$ and
$E_{2,i}=E_{2,i}^{\prime}\sqcup\Gamma_{i}$. Hence
$E_{i}\,=\,E_{1,i}\cup E_{2,i}\>\>\>\>\textnormal{ and
}\>\>\>\>\Gamma_{i}\,=\,E_{1,i}\cap E_{2,i}.$
We assume $E_{1,i}$ is connected. We call $E_{1,i}$ and $E_{2,i}$ as the
_inward_ and _outward_ directions of $\Gamma_{i}$, respectively. We assume
each $\Gamma_{i}$ is inner trapped with respect to $V$. Lastly, the
_cosmological core_ is defined by $C=\bigcap_{i}E_{2,i}$.
_Remark._ One should think of $\Gamma_{i}$ as “enveloping” the black hole
region $B_{i}$. For example, one can imagine that $\Gamma_{i}$ is a large
2-sphere surrounding one specific black hole, or alternatively, one can
imagine that $\Gamma_{i}$ surrounds an entire galaxy. It should be noted that
$E_{2,i}$ contains all the other black hole regions $B_{j}$ for $j\neq i$. The
definition of the cosmological core is motivated by recognizing that
$C=\bigcap_{i}E_{2,i}$ is what is left over after removing the regions
$E_{1,i}$ from $V$.
$\Sigma_{i}$$\Gamma_{i}$$B_{i}$$E_{1,i}$$E_{2,i}$$\text{Cauchy
surface}\,=\,V\,=\,B_{i}\cup E_{i}\,=\,B_{i}\cup\left(E_{1,i}\cup
E_{2,i}\right)$ Figure 4: The set-up for our main theorem. Note that $E_{2,i}$
contains all the other black hole regions $B_{j}$ for $j\neq i$. The
conclusion of our theorem is that the regions $E_{1,i}$ near the black hole
are simply connected. Figure 5: Although the regions $E_{1,i}$ near the black
hole are simply connected, there can still exist nontrivial topology in the
cosmological core $C$ as indicated in this figure above. An example of this
occurs in the Schwarzschild-de Sitter geon illustrated in Figure 3.
The late time assumption in our theorem is provided in the following
definition.
###### Definition 1.
_Let $(M,g)$ be a spacetime. Let $H^{+}(S)$ denote the future Cauchy horizon
of a closed an achronal set $S$. We say $(M,g)$ has _settled down at late
time_ if it satisfies assumptions (1) - (3) above and that for all $i$, each
inward normal future directed null geodesic $\gamma$ emanating from
$\Gamma_{i}$ is either future complete or crosses $H^{+}(E_{i})$ (i.e.
intersects it transversely). _
_Remarks._
* -
Imagine two black holes $\Sigma_{1}$ and $\Sigma_{2}$ orbiting around each
other on a collision course. Let $\Gamma_{1}$ and $\Gamma_{2}$ denote two-
spheres surrounding $\Sigma_{1}$ and $\Sigma_{2}$. In this case, one can
believe that an inward normal future directed null geodesic from $\Gamma_{1}$
intersects $H^{+}(E_{2})$ and becomes future incomplete without ever crossing
$H^{+}(E_{1})$. Hence Definition 1 would not hold in this case. This is why we
include “at late time” in our definition.
* -
Spacetimes that are asymptotically de Sitter are expected to settle down at
late time since the cosmological constant does not allow for communication
between black holes far into the future. This is depicted in the picture on
the right in Figure 2. In this case it is clear that any null geodesic from
$\Gamma_{1}$ will never meet the event horizon on the right.
* -
Our late time assumption can probably be relaxed in various ways. Perhaps a
more reasonable assumption than the one given in Definition 1 is that each
inward normal future null geodesic $\gamma$ emanating from $\Gamma_{i}$ is
either future complete or crosses $H^{+}(E_{i})$ or meets a timelike cylinder
formed by the integral curves of a timelike vector field starting on
$\Gamma_{i}$. This would leave open the possibility that $\gamma$ does not
cross $H^{+}(E_{i})$ and is incomplete in the future perhaps by crossing
another event horizon $H^{+}(E_{j})$ for $j\neq i$, but in order for $\gamma$
to get to $H^{+}(E_{j})$, it must have met the timelike cylinder formed from
$\Gamma_{i}$. This setting would be more in the spirit of [4] (see also
Section 3.3.4 in [2]).
The main theorem of our paper is:
###### Theorem 2.
Assume $(M,g)$ is a spacetime which has settled down at late time and
satisfies the null energy condition. Let $N=|\mathcal{I}|$ (i.e. $N$ is the
cardinality of the black hole regions).
* _(a)_
Suppose $N\geq 2$. Then, for each $i$, $\pi_{1}(E_{1,i})$ is trivial and
$\Sigma_{i}$ is a finite disjoint union of $S^{2}$s. Moreover
$\pi_{1}(V)=\pi_{1}(C)$.
* _(b)_
Suppose $N=1$. Consequently, we drop the subscript $i$. In this case the
following hold.
* _(i)_
$\pi_{1}(E_{1})$ is finite.
* _(ii)_
If $E_{2}$ is noncompact, then $\pi_{1}(E_{1})$ is trivial and $\Sigma$ is a
finite disjoint union of $S^{2}$s.
* _(iii)_
If $\pi_{1}(E_{2})$ is nontrivial, then $\pi_{1}(E_{1})$ is trivial and
$\Sigma$ is a finite disjoint union of $S^{2}$s.
* _(iv)_
If $\pi_{1}(E_{1})$ is nontrivial, then $E_{2}$ is diffeomorphic to the
$3$-disc.
_Remarks._
* -
The proof of Theorem 2 is given in section 2.3. It combines the compactness
argument of Lemma 5 applied to suitable covering spacetime. Both the
compactness lemma and the covering construction are inspired from [1], but the
details of the set-up and proof differ.
* -
The case $N\geq 2$ is more physically reasonable since we observe multiple
black holes from all galaxies throughout the universe. Note that in this case
we get the desired conclusion that the regions $E_{1,i}$ near each black hole
region are topologically trivial. Moreover, we find that the fundamental group
of the spacetime is determined by the fundamental group of the cosmological
core: $\pi_{1}(V)=\pi_{1}(C)$. An example of a situation like this was given
by the Schwarzschild-de Sitter $\mathbb{R}P^{3}$ geon in example 3 of the
previous section. See Figure 3. However, one should recognize that this
example really belongs to the case $N=1$.
* -
Physically, the case $N=1$ represents the scenario when we can envelop all the
black holes in the universe with exactly one (very large) 2-sphere $\Gamma$.
Mathematically, it also applies to spacetimes with just one black hole as in
Figure 3. We do not obtain as many nice conclusions in this case. We note
though that if $E_{2}$ is noncompact, then $\pi_{1}(E_{1})$ is trivial. Case
(iv) is interesting since we do not know of any spacetime examples which
satisfy the hypothesis of case (iv). Determining whether or not such examples
exist is an open question.
Acknowledgements. Martin Lesourd thanks the John Templeton and Gordon Betty
Moore foundations for their support of the Black Hole Initiative. Eric Ling
thanks the Harold H. Martin Postdoctoral Fellowship. Finally, both authors
would like to express their thanks to Greg Galloway, with whom we discussed
examples which greatly improved our understanding.
## 2 Compactness Lemma
### 2.1 Causal theory preliminaries
We state some results from causal theory used in our set-up. Standard
references for these results are [2, 13, 16, 18].
A _spacetime_ is a pair $(M,g)$ where $M$ is a smooth four-dimensional
manifold which is Hausdorff, connected, and second-countable, and $g$ is a
smooth Lorentzian metric on $M$ such that $(M,g)$ is time-oriented.
Our definition of timelike, null, and causal curves will follow [16]. Let
$S\subset M$. The _timelike future_ of $S$, denoted by $I^{+}(S)$, is the set
of points $p\in M$ such that there is a future directed timelike curve
$\gamma\colon[a,b]\to M$ such that $\gamma(a)\in S$ and $\gamma(b)=p$. The
_causal future_ of $S$, denoted by $J^{+}(S)$, is the set of points $p\in M$
such that is a future directed causal curve $\gamma\colon[a,b]\to M$ such that
$\gamma(a)\in S$ and $\gamma(b)=p$. From Corollary 14.5 in [16], we have
###### Proposition 3.
If $q\in J^{+}(p)\setminus I^{+}(p)$, then there is a future directed null
geodesic from $p$ to $q$ without conjugate points.
A set $S\subset M$ is _achronal_ provided $I^{+}(S)\cap S=\emptyset$. The
_edge_ of a closed and achronal set $S$, denoted by $\text{edge}(S)$, is the
set of points $p\in S$ such that every neighborhood $U$ of $p$ contains points
$x\in I^{-}(p)$ and $y\in I^{+}(p)$ and a timelike curve $\gamma\subset U$
from $x$ to $y$ such that $\gamma\cap S=\emptyset$.
Let $S\subset M$ be a closed and achronal set. The _future domain of
dependence_ of $S$, denoted by $D^{+}(S)$, is the set of points $p\in M$ such
that every past inextendible timelike curve from $p$ intersects $S$. Note that
$D^{+}(S)$ is a closed set [16, Lemma 14.51]. The _future Cauchy horizon_ of
$S$, denoted by $H^{+}(S)$, is the set of points $p\in D^{+}(S)$ such that
$I^{+}(p)\cap D^{+}(S)=\emptyset$. Alternatively, we have
$H^{+}(S)=D^{+}S\setminus I^{-}\big{(}D^{+}S)$.333To avoid clutter of
parentheses, we will often abbreviate $D^{+}(S)$ by $D^{+}S$. Likewise with
$I^{+}$ and $H^{+}$.
### 2.2 Proof of the compactness lemma
In this section we prove the compactness lemma from [1]. Our set-up is
slightly different than that of [1], but the proofs are nearly identical. We
include the proofs for the sake of completeness.
Recall that if $N$ is a three-dimensional connected Riemannian manifold, we
say that a smooth embedded surface $S$ _separates_ $N$ provided $N\setminus S$
is disconnected and $S$ is two-sided (i.e. it admits a smooth global normal
vector field).
Consider a spacetime $(M,g)$ with the following three properties.
* (1)
There is a smooth spacelike Cauchy hypersurface $V$ for $M$.
* (2)
There exists a smooth embedded surface $\Sigma\subset V$ which separates $V$.
Let $B^{\prime}$ and $E^{\prime}$ form a separation for $V\setminus\Sigma$.
Set $B=B^{\prime}\sqcup\Sigma$ and $E=E^{\prime}\sqcup\Sigma$. Hence
$V\,=\,B\cup E\>\>\>\>\text{ and }\>\>\>\>\Sigma\,=\,B\cap E.$
We assume that $\Sigma$ is a closed set so that $B$ and $E$ are closed sets.
* (3)
There exists a smooth embedded 2-sphere $\Gamma\subset E\setminus\Sigma$ which
separates $E\setminus\Sigma$. Let $E_{1}^{\prime}$ and $E_{2}^{\prime}$ form a
separation for $E\setminus(\Sigma\sqcup\Gamma)$. Set
$E_{1}=E_{1}^{\prime}\sqcup\Gamma\sqcup\Sigma$ and
$E_{2}=E_{2}^{\prime}\sqcup\Gamma$. Hence
$E\,=\,E_{1}\cup E_{2}\>\>\>\>\text{ and }\>\>\>\>E\,=\,E_{1}\cap E_{2}.$
We assume $E_{1}$ is connected. We call $E_{1}$ and $E_{2}$ the _inward_ and
_outward_ directions of $\Gamma$.
###### Definition 4.
* $\bullet$
A spacetime $(M,g)$ _has settled down at late time_ if it satisfies properties
(1) - (3) above and such that each inward pointing future inextendible null
normal geodesic starting on $\Gamma$ is either future complete or crosses
$H^{+}(E)$ (i.e. intersects it transversely).
* $\bullet$
Suppose $(M,g)$ has settled down at late time. We say $\Gamma$ is _inner
trapped_ if $\theta=\operatorname{div}_{\Gamma}k<0$; here $k=u+\nu$ where $u$
is the future directed unit normal on $V$ and $\nu$ is the inward unit normal
on $\Gamma$ pointing into $E_{1}$. Note that $k$ is a smooth future directed
inward pointing null normal vector field along $\Gamma$.
_Remarks._
* -
Figure 4 is a good picture for our set-up provided one removes the subscript
$i$.
* -
In the proof of Theorem 2 we will apply the compactness lemma separately to
each $i$.
* -
We make no assumptions on the connectedness nor compactness of $\Sigma$. In
general $\Sigma$ may consist of several (possibly infinite) connected
components.
* -
The proof of our theorem carries over if we assume $\Sigma$ is just $C^{1}$
and $\Gamma$ is just $C^{2}$. We could lower the regularity of $\Sigma$ to
$C^{0}$ provided we also make the “good cut” assumption made in [1].
* -
$\partial B\,=\,\text{edge}(B)\,=\,\Sigma\,=\,\text{edge}(E)\,=\,\partial E.$
* -
$\partial E_{1}\,=\,\text{edge}(E_{1})\,=\,\Sigma\sqcup\Gamma$.
The goal of this section is to prove the following.
###### Lemma 5 (Compactness lemma).
Suppose $(M,g)$ has settled down at late time and $\Gamma$ is inner trapped.
If $(M,g)$ satisfies the null energy condition, then $E_{1}$ is compact.
Lemma 5 implies that $\Sigma$ can only have a finite number of components. Its
proof is established through a series of propositions.
###### Proposition 6.
$H^{+}(E)\,\subset\,J^{+}(\Sigma)\setminus I^{+}(\Sigma).$
###### Proof.
We will establish the following inclusions.
$H^{+}(E)\,\subset\,\partial
I^{+}(B)\setminus\text{int}_{V}(B)\,\subset\,J^{+}(\Sigma)\setminus
I^{+}(\Sigma).$
The left inclusion: Let $p\in H^{+}(E)$. Then $p\notin\text{int}_{V}(B)$
otherwise achronality of $V$ would be violated. Since $\Sigma\subset\partial
I^{+}(B)$, we may assume $p\notin\Sigma$. Let $U$ be any open set about $p$.
Let $x\in I^{+}(p)\cap U$. Then there is a past inextendible timelike curve
from $x$ which does not intersect $E$. Since $V$ is a Cauchy surface, it must
intersect $B$. Therefore $U$ contains a point in $I^{+}(B)$. Let $y\in
I^{-}(p)\cap U$. Seeking a contradiction, suppose there is a timelike curve
$\gamma$ from $B$ to $y$. Then $\gamma$ must start on $\Sigma$ otherwise we
would have $p\notin D^{+}(E)$. Therefore $p\in I^{+}(\Sigma)$. But
$\Sigma=\text{edge}(E)$, so we can find a past inextendible timelike curve
from $p$ which doesn’t meet $E$. This contradicts $p\in D^{+}(E)$. Therefore
$y\notin I^{+}(B)$. Thus $p\in\partial I^{+}(B)$.
The right inclusion: Let $p\in\partial I^{+}(B)\setminus\text{int}_{V}(B)$. If
$p\in\Sigma$, then we are done. So assume $p\notin\Sigma$. Therefore $p\notin
B$. Since $(M,g)$ is globally hyperbolic and hence causally simple, we have
$\partial I^{+}(B)=J^{+}(B)\setminus I^{+}(B)$. Thus there exists a point
$q\neq p$ with $q\in B$ and a causal curve $\gamma$ from $q$ to $p$. If
$q\in\text{int}_{V}(B)$, then we can find a timelike curve $\lambda$ from a
point $q^{\prime}\in\text{int}_{V}(B)$ to a point on $\gamma$. Hence the push
up lemma implies $p\in I^{+}(q^{\prime})$, but this contradicts $p\notin
I^{+}(B)$. Thus $q\in\Sigma$, and so $p\in J^{+}(\Sigma)\setminus
I^{+}(\Sigma)$. ∎
###### Corollary 7.
Each point $p\in H^{+}(E)$ lies on a null geodesic $\gamma\subset H^{+}(E)$
starting from a point in $\Sigma$.
###### Proof.
Apply Proposition 3. ∎
###### Definition 8.
_We define $W$ as the closed and achronal set given by_
$W\,=\,(\partial I^{+}E_{2}\setminus\emph{int}_{V}E_{2})\cap D^{+}(E).$
###### Proposition 9.
Suppose $(M,g)$ has settled down at late time and $\Gamma$ is inner trapped.
If $(M,g)$ satisfies the null energy condition, then $W$ is compact and meets
$H^{+}(E)$.
###### Proof.
Put $H=H^{+}(E)$. For each $x\in\Gamma$, define
$\mu_{x}(t)=\exp_{x}\big{(}tk(x)\big{)}$. Recall $k$ is a smooth future
directed inward pointing null normal vector field along $\Gamma$. Let
$\Phi\colon\text{dom}(\Phi)\subset\Gamma\times[0,\infty)\to M$ be given by
$\Phi(x,t)=\mu_{x}(t)$ where $\text{dom}(\Phi)$ is the maximal open set in
$\Gamma\times[0,\infty)$ where $\exp$ is defined. Define
$\Gamma_{H}=\\{x\in\Gamma\mid\mu_{x}\cap H\neq\emptyset\\}$. A priori
$\Gamma_{H}$ may be empty; it’s only at the end of this proof can we conclude
that $\Gamma_{H}$ is nonempty when we prove that $W$ meets $H^{+}(E)$.
Define $s\colon\Gamma_{H}\to\mathbb{R}$ by $s(x)=$ the parameter value on
$\mu_{x}$ where $\mu_{x}$ meets $H$. We show $s$ is well-defined. If
$x\in\Gamma_{H}$, then there exists a $t>0$ such that $\mu_{x}(t)\in H$. We
know $\mu_{x}(t)\notin\Sigma$ otherwise we could violate the achronality of
$V$ via the push up lemma. Since $H$ is ruled by null geodesics by Corollary
7, we can find a point $y\in H\setminus\Sigma$ and a null geodesic on $H$ from
$y$ to $\mu_{x}(t)$. Since $\mu_{x}$ must cross $H$ (by our late time
assumption), there exists an $\epsilon>0$ such that
$\mu_{x}(t+\epsilon^{\prime})\notin H$ for all $0<\epsilon^{\prime}<\epsilon$.
By choosing $\epsilon^{\prime}$ small enough and $y$ sufficiently close to
$\mu_{x}(t)$, we can find a timelike curve from $y$ to
$\mu_{x}(t+\epsilon^{\prime})$. Therefore, if there exists a time $t_{0}>t$
such that $\mu_{x}(t_{0})\in H$, then we can find a future directed timelike
curve from $y$ to $\mu_{x}(t_{0})$ by the push up lemma, but this contradicts
the achronality of $H$. Thus $s$ is well-defined.
Now we show $s$ is continuous. Let $x_{n}$ be a sequence of points in
$\Gamma_{H}$ which converges to $x\in\Gamma_{H}$. For each $x_{n}$, there
exists a $t_{n}$ such that $\mu_{x_{n}}(t_{n})\in H$ (i.e. $s(x_{n})=t_{n}$).
Since $x\in\Gamma_{H}$, there exists a $t$ such that $\mu_{x}(t)\in H$. Fix
$\epsilon>0$. Then $\mu_{x}(t+\epsilon)\notin D^{+}(E)$ and since $D^{+}(E)$
is closed, there is an open set $U$ about $\mu_{x}(t+\epsilon)$ such that
$U\cap D^{+}(E)=\emptyset$. Let $V=\Phi^{-1}(U)$. Then $\pi(V)$ is open where
$\pi\colon\Gamma\times[0,\infty)\to\Gamma$ is the projection map. Hence, there
is an $N$ such that $x_{n}\in\pi(V)$ for all $n>N$. Consequently
$\mu_{x_{n}}(t+\epsilon)\in U$ for all $n>N$. Since $U\cap
D^{+}(E)=\emptyset$, it follows that $t_{n}\leq t+\epsilon$. Similarly,
$\mu_{x}(t-\epsilon)\in\text{int}D^{+}(E)$ for all sufficiently small
$\epsilon>0$. Therefore a similar argument shows there exists an $N^{\prime}$
such that $t_{n}\leq t-\epsilon$ for all $n>N^{\prime}$. Thus $t_{n}\to t$,
and so $s$ is continuous.
For each $x\in\Gamma$, let $r(x)=2/|\theta(x)|$ where
$\theta(x)=\operatorname{div}_{\Gamma}k(x)$. Since $\Gamma$ is compact, we
define $s_{0}=\max_{x\in\Gamma}\\{r(x)\\}$. Note that if $\mu_{x}$ extends to
$s_{0}$, then $\mu_{x}|_{[0,s_{0}]}$ contains a null focal point of $\Gamma$
[16, Prop. 10.43]. Let $\Gamma_{0}=s^{-1}\big{(}[0,s_{0}]\big{)}$. We define
the function $\hat{s}\colon\Gamma\to\mathbb{R}$ by $\hat{s}(x)=s(x)$ if
$x\in\Gamma_{0}$ and $s(x)=s_{0}$ if $x\notin\Gamma_{0}$. Continuity of $s$
implies $\partial_{\Gamma}\Gamma_{0}\subset s^{-1}(s_{0})$ and this implies
$\hat{s}$ is continuous. Let $A=\big{\\{}(x,t)\in\Gamma\times[0,\infty)\mid
t\in\big{[}0,\hat{s}(x)\big{]}\big{\\}}$. Continuity of $\hat{s}$ and
compactness of $\Gamma$ implies $A$ is compact. Note that
$A\subset\text{dom}(\Phi)$ by our late time assumption and hence $\Phi(A)$
makes sense.
Now we show $W\subset\Phi(A)$ from which compactness of $W$ follows since $W$
is closed. Fix $x\in W$. Then $x\in\partial I^{+}(E_{2})$ implies $x\in
J^{+}(E_{2})\setminus I^{+}(E_{2})$. Therefore the push up lemma implies $x\in
J^{+}(\Gamma)$. Hence $x\in J^{+}(\Gamma)\setminus I^{+}(\Gamma)$, and so
there is a null geodesic $\mu$ from a point $x_{0}\in\Gamma$ to $x=\mu(t)$ for
some $t\geq 0$. If $t>\hat{s}(x_{0})$, then either $\mu$ leaves $D^{+}(E)$ by
crossing $H$ or leaves $\partial I^{+}(E_{2})$ by encountering a null focal
point [16, Prop. 10.48]. In either case we would have $\mu(t)\notin W$ which
is a contradiction. Therefore $t\leq\hat{s}(x_{0})$ which implies
$(x_{0},t)\in A$ which implies $x=\Phi(x_{0},t)\in\Phi(A)$. Hence
$W\subset\Phi(A)$.
Lastly we show $W$ meets $H$. If $W$ does meet $H$, then it meets $H$
transversely by our late time assumption. This implies that
$\text{edge}(W)=\Gamma\sqcup(H\cap W)$. Seeking a contradiction, suppose $W$
does not meet $H$. Then $\text{edge}(W)=\Gamma$. Let $X$ be a past directed
smooth timelike vector field on $M$. For each $p\in W$, the integral curve of
$X$ passing through $p$ meets $E$ in a unique point $\tau(p)$. Note
$\tau(p)\notin\text{int}_{V}E_{2}$ otherwise there would be a timelike curve
from $E_{2}$ to $W$. This defines a flow map $\tau\colon W\to E_{1}$ which is
injective since integral curves do not intersect. Set
$W^{\prime}=W\setminus\Gamma$. Since $W^{\prime}$ is a $C^{0}$ hypersurface
[16, Prop. 14.25], we have $\tau|_{W^{\prime}}$ is an open map by Brower’s
invariance of domain theorem. Since $\tau$ is just the identity on $\Gamma$,
we have $\tau$ is an open map too. Hence $\tau(W)$ is open. Also, $\tau(W)$ is
closed by compactness of $W$. Since $E_{1}$ is connected, we have
$\tau(W)=E_{1}$. Therefore there is a timelike curve from a point on $\Sigma$
to a point $q\in W$. But since $\Sigma=\text{edge}(E)$, we can find a past
inextendible timelike curve from $q$ which does not meet $E$. This is a
contradiction. ∎
_Remark._ Set $\Gamma=\Gamma_{1}$ in Figure 2. In this case $W$ meets the
event horizon as in the conclusion of Proposition 9. Now imagine that $\Gamma$
lies past the cosmological horizon (which is _the opposite_ of what is shown
in Figure 2), then the future light cone of $\Gamma$ does not intersect the
event horizon. Proposition 9 implies that $\Gamma$ cannot be inner trapped in
this case.
###### Proposition 10.
$H^{+}(E_{1})\,\subset\,H^{+}(E)\cup W.$
###### Proof.
Again set $H=H^{+}(E)$. We have
$H^{+}(E_{1})\,\subset\,D^{+}(E_{1})\,\subset\,D^{+}(E)\,=\,\partial(D^{+}E)\cup\text{int}(D^{+}E)\,=\,H\cup
E\cup\text{int}(D^{+}E).$
The last equality follows from [16, Lemma 10.52]. Fix $p\in H^{+}(E_{1})$. If
$p\in H$, then we are done. If $p\in E$, then $p\in E_{1}$ by achronality.
Hence $p\in\text{edge}(E_{1})=\Sigma\cup\Gamma\subset H\cup W$. Lastly,
suppose $p\in\text{int}(D^{+}E)$. Then $p\notin E_{2}$ since
$E_{2}\subset\partial D^{+}(E)$. Let $U\subset\text{int}(D^{+}E)$ be any open
set around $p$. We have $p\notin I^{+}(E_{2})$ otherwise we could find a
timelike curve from $\text{int}_{V}E_{2}$ to $p$ which contradicts $p\in
H^{+}(E_{1})$. Thus $U$ contains a point not in $I^{+}(E_{2})$. Let $q\in
I^{+}(p)\cap U$. Then $q\in D^{+}(E)\setminus D^{+}(E_{1})$ which implies
$q\in I^{+}(E_{2})$. Thus $U$ contains a point in $I^{+}(E_{2})$. Therefore
$p\in\partial I^{+}(E_{2})$. Hence $p\in W$. ∎
###### Definition 11.
_Let $H^{+}_{\Gamma}(E_{1})$ be the connected component of $H^{+}(E_{1})$
containing $\Gamma$. _
###### Proposition 12.
Suppose $(M,g)$ has settled down at late time and $\Gamma$ is inner trapped.
If $(M,g)$ satisfies the null energy condition, then $H_{\Gamma}^{+}(E_{1})$
is compact.
###### Proof.
Again set $H=H^{+}(E)$. Let $H_{0}$ be the union of components of $H$ which
meet $W$ which is nonempty by Proposition 9. Let $\Sigma_{0}=\Sigma\cap
H_{0}$. By Proposition 10, we have $H^{+}_{\Gamma}(E_{1})\subset W\cup H$, but
since $W\cup H_{0}$ is connected, we have $H^{+}_{\Gamma}(E_{1})\subset W\cup
H_{0}$. We will show that there is in fact a compact subset
$H_{0}^{\prime}\subset H_{0}$ such that $H^{+}_{\Gamma}(E_{1})\subset W\cup
H_{0}^{\prime}$. Then compactness will follow since $H^{+}_{\Gamma}(E_{1})$ is
closed.
By Corollary 7, $H_{0}$ is ruled by null geodesics emanating from
$\Sigma_{0}$. Claim: Each such null geodesic meets $W$ exactly once. To prove
the claim, let $n$ be a smooth outward pointing unit normal vector field along
$\Sigma_{0}$ (outward pointing is with respect to $E_{1}$). Let $\ell=u+n$
where $u$ is the future directed unit normal to the Cauchy surface $V$. Define
$\eta_{x}(t)=\exp_{x}t\ell(x)$. Let
$\Phi\colon\text{dom}(\Phi)\subset\Sigma_{0}\times[0,\infty)\to M$ be given by
$\Phi(x,t)=\eta_{x}(t)$ where $\text{dom}(\Phi)$ is the maximal open set in
$\Sigma_{0}\times[0,\infty)$ where $\exp$ is defined. Let
$\pi\colon\Sigma_{0}\times[0,\infty)\to\Sigma_{0}$ denote the projection map.
Set $A=\Phi^{-1}(W\cap H_{0})$. Then $A$ is compact since $W$ is compact and
$H_{0}$ is closed. Therefore $\pi(A)$ is compact and hence closed. Now we
prove $\pi(A)$ is open. Fix $x\in\pi(A)$. Then there is a $t>0$ such that
$\eta_{x}(t)\in W$. Since $W$ meets $H_{0}$ transversely, there exists an
$\epsilon>0$ such that $\eta_{x}(t+\epsilon^{\prime})\in I^{+}(E_{2})$ for all
$0<\epsilon^{\prime}\leq\epsilon$. Let $U\subset I^{+}(E_{2})$ be an open set
about $\eta_{x}(t+\epsilon)$. Let $V=\Phi^{-1}(U)$. Then
$\pi(V)\subset\Sigma_{0}$ is open about $x$. For every $y\in\pi(V)$, there
exists a $t_{y}$ such that $\eta_{y}(t_{y})\in U$. Since $\eta_{y}(0)\notin
I^{+}(E_{2})$ and $\eta_{y}(t_{y})\in I^{+}(E_{2})$, there exists a
$t_{y}^{\prime}\in(0,t_{y})$ such that $\eta_{y}(t_{y}^{\prime})\in\partial
I^{+}(E_{2})$. Since $\eta_{y}\subset H\subset D^{+}(E)$, we have
$\eta_{y}(t_{y}^{\prime})\in W$. Thus $\pi(V)\subset\pi(A)$ and so $\pi(A)$ is
open. Since $\pi(A)$ is both open and closed, we have $\pi(A)$ maps onto each
connected component of $\Sigma_{0}$. Thus $\pi(A)=\Sigma_{0}$. Therefore we
have proved that each null geodesic on $H_{0}$ meets $W$. Now suppose $\eta$
is a null geodesic on $H_{0}$ which meets $W$ at $\eta(t_{1})$ and
$\eta(t_{2})$ with $t_{2}>t_{1}$. Since $W$ meets $H$ transversally, we can
find a broken null geodesic from a point on $\Gamma$ to $\eta(t_{2})$ where
the break point occurs at $\eta(t_{1})$. By the push up lemma, we can find a
timelike curve from $\Gamma$ to $\eta(t_{2})$ which implies $\eta(t_{2})\in
I^{+}(E_{2})$. This is a contradiction. Therefore the claim is proved.
Define $s\colon\Sigma_{0}\to\mathbb{R}$ by $s(x)=$ the parameter value on
$\eta_{x}$ where $\eta_{x}$ meets $W$. By the above claim, we have $s$ is
well-defined. Moreover $s$ is continuous by an analogous argument as used in
the proof of Proposition 9. Define
$C=\big{\\{}(x,t)\in\Sigma_{0}\times[0,\infty)\mid t\in[0,s(x)]\big{\\}}$.
Then $C$ is compact which follows from continuity of $s$ and compactness of
$\Sigma_{0}$; note that $\Sigma_{0}$ is compact follows from
$\Sigma_{0}=\pi(A)$. Then $H_{0}^{\prime}=\Phi(C)$ is compact. Now observe
that $H_{0}\setminus H_{0}^{\prime}\subset I^{+}(E_{2})$. Hence
$H^{+}(E_{1})\cap(H_{0}\setminus H_{0}^{\prime})=\emptyset$. Thus
$H^{+}_{\Gamma}(E_{1})\subset W\cup H_{0}^{\prime}$. ∎
_Proof of Lemma _5_ (Compactness Lemma)_.
Using the notation from the proof of Proposition 12, note that
$\text{edge}\big{(}H^{+}_{\Gamma}(E_{1})\big{)}=\Sigma_{0}\sqcup\Gamma$. Let
$H^{+}_{\Gamma}(E_{1})^{\prime}$ denote
$H^{+}_{\Gamma}(E_{1})\setminus(\Sigma_{0}\sqcup\Gamma)$ which is a $C^{0}$
hypersurface [16, Prop. 14.25].
Let $X$ be a past directed smooth timelike vector field on $M$. For each $p\in
H^{+}_{\Gamma}(E_{1})$, the integral curve of $X$ passing through $p$ meets
$E_{1}$ in a unique point $\tau(p)$. This defines a flow map $\tau\colon
H^{+}_{\Gamma}(E_{1})\to E_{1}$. Since integral curves do not intersect,
$\tau$ is injective. Therefore $\tau$ restricted to
$H^{+}_{\Gamma}(E_{1})^{\prime}$ is an open map by Brower’s invariance of
domain theorem. Since $\tau$ is just the identity on $\Sigma_{0}\sqcup\Gamma$,
we have $\tau$ is an open map too. Hence the image of $\tau$ is open in
$E_{1}$. Also the image of $\tau$ is closed in $E_{1}$ by compactness of
$H^{+}_{\Gamma}(E_{1})$. Since $E_{1}$ is connected, the image of $\tau$ is
all of $E_{1}$. Thus $E_{1}$ is compact. ∎
_Remark._ From the compactness lemma, it follows that $\Sigma_{0}=\Sigma$ and
$H_{0}=H$. To see this, note that the proof of the compactness lemma shows
$\text{edge}(H^{+}_{\Gamma}(E_{1})\big{)}=\text{edge}(E_{1})$. Hence
$\Sigma_{0}\sqcup\Gamma=\Sigma\sqcup\Gamma$. Therefore $\Sigma_{0}=\Sigma$ and
$H_{0}=H$.
### 2.3 Proof of Theorem 2
To prove Theorem 2 we will apply the compactness lemma in a suitably
constructed covering spacetime. The covering argument uses similar ideas to
that in [1], but in [1] there is a single $\Gamma$ (no subscript $i$) and the
subset $E_{2}$ is assumed to be noncompact; we cover this case in Theorem
2(b)(ii). Although this makes the contradiction with the compactness lemma
immediate, it blurs some interesting cases that we will cover here. By
splitting Theorem 2 into the subcases $N=1$ and $N\geq 2$, we can remove the
assumption in [1] that $E_{2}$ is noncompact for $N\geq 2$. As for $N=1$, we
allow for $E_{2}$ to be compact and obtain additional conclusions in that
setting. From a physical perspective, the case $N=1$ could correspond to the
situation when one envelops all the black holes in the universe within a (very
large) sphere $\Gamma$. From a more mathematical perspective, the case $N=1$
corresponds to the case when there is a single black hole in an otherwise
empty universe (e.g. a single black hole in an asymptotically flat spacetime).
_Proof of Theorem _2(a)__.
Fix $i\in\mathcal{I}$. We first show $E_{1,i}$ is compact. This follows from
an application of the compactness lemma (Lemma 5) with
$V,\,\Sigma_{i},\,\Gamma_{i},\,B_{i},\,E_{i},\,E_{1,i},E_{2,i}\,\text{ playing
the roles of }\,V,\,\Sigma,\,\Gamma,\,B,\,E,\,E_{1},\,E_{2},$
respectively. If $E_{1,i}$ is simply connected, then [15, Lemma 4.9] implies
that $\Sigma_{i}$ is a finite disjoint union of $S^{2}$s. Then
$\pi_{1}(V)=\pi_{1}(C)$ by the Seifert-Van Kampen theorem. Thus it suffices to
show that $E_{1,i}$ is simply connected for each $i$; we show this next.
Set $F_{i}=B_{i}\cup E_{1,i}$ so that $V=F_{i}\cup E_{2,i}$. Let
$\widetilde{F}_{i}$ denote its universal cover with covering map
$p\colon\widetilde{F}_{i}\to F_{i}$. Set $\widetilde{B}_{i}=p^{-1}(B_{i})$ and
likewise with $\widetilde{E}_{1,i}$, $\widetilde{\Sigma}_{i}$, and
$\widetilde{\Gamma}_{i}$. Since $E_{1,i}$ is a deformation retract of $F_{i}$,
it follows that $\widetilde{E}_{1,i}$ is the universal cover of $E_{1,i}$ with
covering map given by the restriction of $p$. Since $\Gamma_{i}$ is simply
connected, $\widetilde{\Gamma}_{i}$ is the disjoint union of $n$ copies of
$\Gamma_{i}$ where $n$ is the number of sheets (possibly infinite) in the
covering space $\widetilde{E}_{1,i}$. We write this as
$\widetilde{\Gamma}_{i}=\bigsqcup_{\alpha\in A}\Gamma_{i}^{\alpha}$ where $A$
is an indexed set with cardinality $n=|A|$. Take $n$ copies of $E_{2,i}$, call
them $E_{2,i}^{\alpha}$ for $\alpha\in A$, and glue each copy onto
$\widetilde{F}_{i}$ by identifying the boundary of $E_{2,i}^{\alpha}$ with the
boundary component $\Gamma^{\alpha}_{i}$ in the same way they’re attached in
the base space $V$. Let $\widetilde{V}$ denote the resulting Riemannian
manifold. Then $\widetilde{V}$ is a covering of $V$, and abusing notation, we
still call this covering map $p\colon\widetilde{V}\to V$. Set
$\widetilde{E}_{2,i}=p^{-1}(E_{2,i})=\bigsqcup_{\alpha\in A}E_{2,i}^{\alpha}$.
Using covering space theory (as outlined in [1]) or the Bernal-Sanchez
splitting result (as outlined in [10]), there is a covering spacetime
$\widetilde{M}$ of $M$ with a localy isometry covering map
$P\colon\widetilde{M}\to M$ such that $\widetilde{V}$ is a Cauchy surface for
$\widetilde{M}$ and $P|_{\widetilde{V}}=p$.
Now we wish to apply the compactness lemma to the covering spacetime. However,
the sets $\widetilde{E}_{1,i}$ and $\widetilde{E}_{2,i}$ do _not_ play the
roles of $E_{1}$ and $E_{2}$. These will be played by $D_{1}$ and $D_{2}$
which we define now. Let $\Gamma_{i}^{\bar{\alpha}}$ denote a single component
of $\widetilde{\Gamma}_{i}=\bigsqcup_{\alpha\in A}\Gamma_{i}^{\alpha}$. Then
$\Gamma_{i}^{\bar{\alpha}}$ separates
$\widetilde{E}_{i}\setminus\widetilde{\Sigma}_{i}$ where
$\widetilde{E}_{i}=p^{-1}(E_{i})$. Let $D_{1}^{\prime}$ and $D_{2}^{\prime}$
form a separation for
$\widetilde{E}_{i}\setminus(\widetilde{\Sigma}\sqcup\Gamma_{i}^{\bar{\alpha}})$.
Set
$D_{1}=D_{1}^{\prime}\sqcup\Gamma_{i}^{\bar{\alpha}}\sqcup\widetilde{\Sigma}_{i}$
and $D_{2}=D_{2}^{\prime}\sqcup\Gamma_{i}^{\bar{\alpha}}$. Note that $D_{2}$
is topologically $E_{2,i}$. $D_{1}$ is connected since $E_{1,i}$ is connected
which implies $\widetilde{E}_{1,i}$ is connected which implies $D_{1}$ is
connected. We will apply the compactness lemma with
$\widetilde{V},\,\widetilde{\Sigma}_{i},\,\Gamma_{i}^{\bar{\alpha}},\,\widetilde{B}_{i},\,\widetilde{E}_{i},\,D_{1},D_{2}\,\text{
playing the roles of }\,V,\,\Sigma,\,\Gamma,\,B,\,E,\,E_{1},\,E_{2},$
respectively. $\Gamma_{i}^{\bar{\alpha}}$ is inner trapped since the covering
map $P\colon\widetilde{M}\to M$ is a local isometry. Now we show that the late
time assumption in Definition 4 holds: Let $\gamma$ be an inward pointing
future inextendible null normal geodesic starting on
$\Gamma^{\bar{\alpha}}_{i}$ which is not future complete. Then $P\circ\gamma$
is not future complete. Therefore $P\circ\gamma$ crosses $H^{+}(E_{i})$.
Therefore $\gamma$ crosses $H^{+}(\widetilde{E}_{i})$.
Thus we can apply the compactness lemma. Seeking a contradiction, assume
$E_{1,i}$ is not simply connected. Then $n\geq 2$. Therefore $D_{1}$ contains
at least one copy of $E_{2,i}$ attached to some
$\Gamma_{i}^{\alpha}\neq\Gamma_{i}^{\bar{\alpha}}$. Since we’re assuming
$N\geq 2$, there is an index $j\in\mathcal{I}$ such that $j\neq i$, and so
$E_{2,i}$ contains a copy of $B_{j}$. Therefore $D_{1}$ contains a copy of
$B_{j}$. Since $B_{j}$ is topologically $\Sigma_{j}\times[0,\epsilon)$, we
have $D_{1}$ is not compact which contradicts the compactness lemma. ∎
_Proof of Theorem _2(b)__.
* (i)
Consider the cover $\widetilde{V}$ of $V$ constructed in the proof of part
(a), but now we don’t need the subscript $i$. This construction yields the
sets
$\widetilde{V},\,\widetilde{\Sigma},\,\Gamma^{\bar{\alpha}},\,\widetilde{B},\,\widetilde{E},\,D_{1},D_{2}\,\text{
which play the roles of }\,V,\,\Sigma,\,\Gamma,\,B,\,E,\,E_{1},\,E_{2},$
in the compactness lemma. If $\pi_{1}(E_{1})$ was infinite, then $D_{1}$ would
be noncompact since it contains $\widetilde{E}_{1}$. This contradicts the
compactness lemma. That $\Sigma$ is a finite disjoint union of $S^{2}$s
follows in the same way as in the proof of part (a).
* (ii)
Again consider the cover $\widetilde{V}$ of $V$ constructed in the proof of
part (a). Let $n$ denote the cardinality of $\pi_{1}(E_{1})$. If
$\pi_{1}(E_{1})$ is not trivial, then $n\geq 2$. Therefore $D_{1}$ contains at
least one copy of $E_{2}$ attached to some
$\Gamma^{\alpha}\neq\Gamma^{\bar{\alpha}}$. If $E_{2}$ is noncompact, then
$D_{1}$ is noncompact which contradicts the compactness lemma.
* (iii)
Assume $\pi_{1}(E_{2})$ is nontrivial. Let $F=B\cup E_{1}$. Then
$\Gamma=\partial F=\partial E_{2}$. Let $\widetilde{F}$ and
$\widetilde{E}_{2}$ denote the universal covers of $F$ and $E_{2}$ with
covering maps $p_{1}\colon\widetilde{F}\to F$ and
$p_{2}\colon\widetilde{E}_{2}\to E_{2}$. Let $n$ and $m$ denote the number of
sheets in $\widetilde{F}$ and $\widetilde{E}_{2}$, respectively. Since
$\Gamma$ is simply connected, we have $p_{1}^{-1}(\partial
F)=\bigsqcup_{\alpha\in A}\Gamma^{\alpha}$ and $p_{2}^{-1}(\partial
E_{2})=\bigsqcup_{\beta\in B}\Gamma^{\beta}$ where $A$ and $B$ are indexed
sets with cardinalities $n$ and $m$, respectively. For each $\alpha\in A$, let
$\widetilde{E}_{2}^{\alpha}$ denote a copy of $\widetilde{E}_{2}$. Let
$\bigsqcup_{\alpha,\beta}\Gamma^{\beta}_{\alpha}$ denote the boundary of
$\bigsqcup_{\alpha}\widetilde{E}_{2}^{\alpha}$. Let
$\Gamma^{\overline{\beta}}$ denote a single component of
$\bigsqcup_{\beta}\Gamma^{\beta}$. Let $\Gamma^{\overline{\beta}}_{\alpha}$
denote the same component within
$\bigsqcup_{\alpha,\beta}\Gamma^{\beta}_{\alpha}$. Glue $\widetilde{F}$ and
$\bigsqcup_{\alpha}\widetilde{E}_{2}^{\alpha}$ together by attaching
$\Gamma^{\overline{\beta}}_{\alpha}$ to $\Gamma^{\alpha}$ in the same way
they’re attached in the base space $V$; we do this gluing for each $\alpha\in
A$. The resulting space is a manifold with boundary. Now for each $\alpha$ and
for each $\beta\neq\overline{\beta}$, we glue $F$ to $\Gamma^{\beta}_{\alpha}$
along $\Gamma=\partial F$. The resulting space is a Riemannian manifold
$\widetilde{V}$ (without boundary). Let $\Gamma^{\bar{\alpha}}$ denote a
single component of $\bigsqcup_{\alpha}\Gamma^{\alpha}$. Let
$p\colon\widetilde{V}\to V$ denote the covering map (hence $p_{1}$ and $p_{2}$
are restrictions of $p$ to $\widetilde{F}$ and $\widetilde{E}_{2}$,
respectively). Set $\widetilde{\Sigma}=p^{-1}(\Sigma)$ and likewise with
$\widetilde{B}$ and $\widetilde{E}$. Let $D_{2}$ denote
$\widetilde{E}_{2}^{\bar{\alpha}}$ (which is attached to
$\Gamma^{\bar{\alpha}}$). Let $D_{1}$ denote $(\widetilde{V}\setminus
D_{2})\sqcup\Gamma^{\bar{\alpha}}$. Then we apply the compactness lemma with
$\widetilde{V},\,\widetilde{\Sigma},\,\Gamma^{\bar{\alpha}},\,\widetilde{B},\,\widetilde{E},\,D_{1},D_{2}\,\text{
playing the roles of }\,V,\,\Sigma,\,\Gamma,\,B,\,E,\,E_{1},\,E_{2},$
respectively. Seeking a contradiction, assume $\pi_{1}(E_{1})$ is nontrivial.
Then $n\geq 2$. Therefore there is some $\alpha$ such that
$\Gamma^{\alpha}\neq\Gamma^{\bar{\alpha}}$. Therefore $D_{1}$ contains
$\widetilde{E}_{2}^{\alpha}$. Since $\pi_{1}(E_{2})$ is nontrivial, $m\geq 2$.
Therefore $\partial\widetilde{E}_{2}^{\alpha}$ contains a component
$\Gamma_{\alpha}^{\beta}\neq\Gamma_{\alpha}^{\overline{\beta}}$. Since $F$ is
glued along $\Gamma_{\alpha}^{\beta}$, it follows that $D_{1}$ contains a copy
of $B$. Since $B$ is topologically $\Sigma\times[0,\epsilon)$, it follows that
$D_{1}$ is noncompact. But this contradicts the compactness lemma.
* (iv)
Assume $\pi_{1}(E_{1})$ is nontrivial. By parts (ii) and (iii), we have
$E_{2}$ is simply connected and compact. Smoothly attach a 3-disc to $E_{2}$
along $\Gamma$. The resulting space, call it $S$, is simply connected by the
Seifert-Van Kampen theorem. Thus $S$ is a closed and simply connected
3-manifold. Therefore $S$ is topologically $S^{3}$ by the positive resolution
of the Poincaré conjecture. $E_{2}$ is then the complement of a 3-disc with
its boundary removed in $S^{3}$. Hence $E_{2}$ is a 3-disc by Alexander’s
theorem [14, Thm. 1.1]. ∎
## References
* [1] S. Browdy and G. Galloway, _Topological censorship and the topology of black holes_ , Journal of Mathematical Physics 36, (1995).
* [2] P. Chruściel, _Geometry of Black Holes_ , Oxford University Press, Oxford, (2020).
* [3] P. Chrúsciel and G. Galloway, _Roads to topological censorship_ , arXiv:1906.02151 (2019).
* [4] P. Chruúsciel, G. Galloway, and D. Solis, _Topological censorship for Kaluza-Klein space-times_ , Annales Henri Poincare 10, (2009).
* [5] P. Chrúsciel and R. Mazzeo, _On “many black hole” vacuum spacetimes_ , Classical and Quantum Gravity 20 (2003).
* [6] J. Friedman, K. Schleich, and D. Witt, _Topological censorship_ , Physical Review Letters 71 (1993), erratum 75 (1995).
* [7] G. Galloway, _On the topology of the domain of outer communication_ , Classical and Quantum Gravity 12 (1995).
* [8] G. Galloway, _A “finite infinity” version of the FSW topological censorship_ , Classical and Quantum Gravity 13 (1996).
* [9] G. Galloway, G. Graf, E. Ling, _A conformal infinity approach to asymptotically $\emph{\text{AdS}}_{2}\times S^{n-1}$ spacetimes_, Annales Henri Poincaré 21 (2020).
* [10] G. Galloway and E. Ling, _Topology and Singularities in Cosmological Spacetimes Obeying the Null Energy Condition_ , Communications in Mathematical Physics 360 (2017).
* [11] G. Galloway, K. Schleich, D. Witt, and E. Woolgar, _Topological censorship and higher genus black holes_ , Physical Review D 60 (1999).
* [12] G. Galloway and E. Woolgar, _The cosmic censor forbids naked topology_ , Classical and Quantum Gravity 14 (1997).
* [13] S. Hawking and G. Ellis, _The large-scale structure of space-time_ , Cambridge University Press, London, (1973).
* [14] A. Hatcher, _Notes on Basic $3$-Manifold Topology_.
* [15] J. Hempel, _3-manifolds_ , Princeton University press, Princeton, NJ, (1976).
* [16] B. O’Neill, _Semi-Riemannian geometry_ , Pure and Applied Mathematics, vol. 103, Academic Press Inc. [Harcourt Brace Jovanovich Publishers], New York, (1983).
* [17] Planck Collaboration, _Planck 2018 results_ , Astronomy and Astrophysics 641 (2020).
* [18] R. Wald, _General Relativity_ , University of Chicago Press, Chicago, IL, (1984).
| arxiv-papers | 2021-07-26T00:39:45 | 2024-09-04T03:07:17.394698 | {
"license": "Creative Commons Zero - Public Domain - https://creativecommons.org/publicdomain/zero/1.0/",
"authors": "Martin Lesourd and Eric Ling",
"submitter": "Martin Lesourd Mr",
"url": "https://arxiv.org/abs/2107.11915"
} |
2107.11916 | # Describing subalgebras of $\mathbb{K}[x]$ using derivatives
Rode Grönkvist, Erik Leffler, Anna Torstensson, Victor Ufnarovski
## Abstract
We introduce the concept of subalgebra spectrum, $Sp(A)$, for a subalgebra $A$
of finite codimension in $\mathbb{K}[x]$. The spectrum is a subset of the
underlying field. We also introduce a tool, the characteristic polynomial of
$A$, which has the spectrum as its set of zeroes. The characteristic
polynomial can be computed from the generators of $A$, thus allowing us to
find the spectrum of an algebra given by generators. We proceed by using the
spectrum to get descriptions of subalgebras of finite codimension. More
precisely we show that $A$ can be described by a set of conditions that each
is either of the type $f(\alpha)=f(\beta)$ for $\alpha,\beta$ in $Sp(A)$ or of
the type stating that some sum of derivatives of different orders evaluated in
elements of $Sp(A)$ equals zero. We use this type of conditions to, by an
inductive process, find explicit descriptions of subalgebras of codimension up
to three. These descriptions also include SAGBI bases for each family of
subalgebras.
###### Contents
1. 1 Introduction
1. 1.1 Introductory Examples
2. 1.2 SAGBI bases
3. 1.3 Monomial subalgebras
4. 1.4 Subalgebras of codimension one
2. 2 The Subalgebra Spectrum
1. 2.1 Derivations
2. 2.2 Subalgebras conditions
3. 2.3 Spectrum
4. 2.4 The size of the spectrum
5. 2.5 Clusters
6. 2.6 The Main Theorem
3. 3 Characteristic polynomial
1. 3.1 Subalgebra $<p,q>$
2. 3.2 How the spectrum relates to $\chi_{p,q}(x)$
3. 3.3 Derivations in $<p,q>$
4. 3.4 About $\chi_{A}$
4. 4 Applications
1. 4.1 One element in the spectrum
2. 4.2 Polynomial of degree $2$
3. 4.3 Relations between subalgebras
5. 5 The main conjecture.
1. 5.1 Derivations
2. 5.2 Main plan
3. 5.3 Subalgebras $A(C).$
4. 5.4 different clusters
6. 6 SAGBI Bases and Derivations
1. 6.1 Constructing SAGBI bases
2. 6.2 $\beta-$derivations
7. 7 Classifications
1. 7.1 Subalgebras of codimension one
2. 7.2 Subalgebras of codimension two
3. 7.3 Subalgebras of codimension three
4. 7.4 type $(2,2k+1)$
5. 7.5 Type $(3,4,5)$
6. 7.6 Codimension $3$, $s=1.$
7. 7.7 Codimension $3$, $s=2$
8. 7.8 Codimension $3$, $s=3$
9. 7.9 Codimension $3$, $s=4$
10. 7.10 Codimension $3$, $s=5,6$
8. 8 Creating derivations
1. 8.1 Integral
2. 8.2 Single element in the spectrum
9. 9 Further development
10. 10 Acknowledgements
## Chapter 1 Introduction
### 1.1 Introductory Examples
Let $\mathbb{K}$ be an algebraically closed field of characteristic zero and
$A$ a subalgebra in $\mathbb{K}[x].$ To begin with we give several non-trivial
examples of such subalgebras.
###### Example 1.
$A=\\{f(x)|f^{\prime}(0)=f^{\prime\prime}(0)=f^{(5)}(0)=0\\}.$
###### Example 2.
Let $\varepsilon$ be a primitive root of order $8.$
$A=\\{f(x)|f(1)=f(-1),f(\varepsilon)=f(\varepsilon^{7}),f(\varepsilon^{3})=f(\varepsilon^{5})\\}.$
###### Example 3.
Let $\varepsilon$ be a primitive root of order $12.$
$A=\\{f(x)|f^{\prime}(0)=0,f(\varepsilon)=f(\varepsilon^{5}),f(\varepsilon^{7})=f(\varepsilon^{11})\\}.$
###### Example 4.
Let $\varepsilon$ be a primitive root of order $3.$
$A=\\{f(x)|f(1)=f(\varepsilon)=f(\varepsilon^{2}),f^{\prime}(1)+\varepsilon^{2}f^{\prime}(\varepsilon)+\varepsilon
f^{\prime}(\varepsilon^{2})=0\\}.$
It is not difficult to verify directly that we really get subalgebras. One can
check that in fact, if given by generators, they are:
$1)\langle x^{3},x^{4}\rangle\ \hskip 28.45274pt2)\langle
x^{4},x^{3}-x\rangle\ $ $3)\langle x^{4}-x^{2},x^{3}\rangle\ \hskip
28.45274pt4)\langle x^{4}-x,x^{3}\rangle.$
We want to find general principles for how descriptions of the type in our
examples relates to descriptions in forms of generators and other
characteristics of subalgebras.
We restrict ourselves to subalgebras of finite codimension $n$ and give a
classification for small $n.$
### 1.2 SAGBI bases
One of our aims is to get a deeper understanding for the structure of SAGBI
bases, for example to find ways to add an extra element to a SAGBI basis in
ways that result in a new SAGBI basis. For this reason we remind the reader of
some definitions. When possible we adapt them to our univariate situation.
More general definitions can be found for example in [3] or [5]
If $A$ is a subalgebra in $\mathbb{K}[x]$ the set $S$ of all possible degrees
of the non-constant polynomials in $A$ form a numerical semigroup (that is an
additive semigroup consisting of positive integers). It is well-known that
such a semigroup is finitely generated. For any finite generating set we can
find a finite set of polynomials $G$ such that our set is exactly $\\{\deg
g_{i}|g_{i}\in G\\}.$ We call $G$ SAGBI basis for $A.$ A proper subset of $G$
can be a SAGBI basis itself, but if there are no such subsets we say that $G$
is minimal.
For any non-constant polynomial $f$ of the degree $s\in S$ we can find a
product $g=\prod_{g_{i}\in G}g^{c_{i}}$ such that $\deg g=\sum c_{i}\deg
g_{i}=s.$ Forming $f-\alpha g$ with a suitable constant $\alpha\in\mathbb{K}$
we can obtain a polynomial of smaller degree. We call this operation
subduction. If the degree of the obtained polynomial still belongs to $S,$
then we can use make another subduction. The importance of SAGBI basis lies in
the fact that $f\in A$ if and only if there exists a sequence of subductions
reducing $f$ to a constant.
### 1.3 Monomial subalgebras
As we have seen Example 1 in fact describes the subalgebra generated by
$x^{3}$ and $x^{4}$. This result can easily be generalized.
###### Theorem 1.
Let $A$ be a monomial subalgebra, thus $A$ is spanned over $\mathbb{K}$ by
monomials $\\{x^{s},s\in S\\},$ where $S$ is a numerical semigroup. Then
$f(x)\in A$ if and only if $f^{(i)}(0)=0$ for each $i$ that does not belong to
$S.$
###### Proof.
First we check that the derivative conditions describe a subalgebra
$A^{\prime}$. The conditions are linear so we need only to be sure that if
$f(x)$ and $g(x)$ satisfy the conditions then the same is true for the product
$f(x)g(x).$ Indeed if $i\not\in S$ then we have
$(fg)^{(i)}=\sum_{j}\binom{i}{j}f^{(j)}g^{(i-j)}$
and either $j$ or $i-j$ does not belong to $S$ (otherwise $i\in S)$ and in any
case $f^{(j)}(0)g^{(i-j)}(0)=0.$ Secondly we see directly that any monomial
$x^{s},s\in S$ satisfies the conditions. In fact only the monomials $x^{i}$
with $i\not\in S$ do not satisfy the conditions. So certainly $A\subseteq
A^{\prime}$, but we can say more: if $f(x)\in A^{\prime}$ then subduction by
$A$ reduces $f(x)$ to another polynomial that satisfies the conditions but is
a linear combination of the monomials $x^{i}$ with $i\not\in S$. Such a
polynomial must be zero and therefore $f(x)\in A$ and $A^{\prime}\subseteq A.$
We conclude that $A^{\prime}=A$.
∎
Here is another useful property of monomial algebras.
###### Theorem 2.
Let $A=\langle x^{a_{1}},x^{a_{2}},\ldots,x^{a_{t}}\rangle$ be a monomial
subalgebra. There exists $\alpha\neq\beta$ such that $f(\alpha)=f(\beta)$ for
all $f(x)\in A$ if and only if $d=gcd(a_{1},a_{2},\ldots,a_{t})>1$.
###### Proof.
If $d>1$ let $\varepsilon$ is a primitive $d$-th root of unity,
$\varepsilon^{d}=1.$ Then for any nonzero $\beta$ we can find
$\alpha=\varepsilon\beta$ such that $f(\alpha)=f(\beta)$.
If $f(\alpha)=f(\beta)$ for all $f(x)\in A$ with $\alpha\neq\beta$ then
$\beta\neq 0.$ Let $d=\sum c_{i}a_{i}.$ Then
$\left(\frac{\alpha}{\beta}\right)^{a_{i}}=1\Rightarrow\left(\frac{\alpha}{\beta}\right)^{d}=\prod\left(\left(\frac{\alpha}{\beta}\right)^{a_{i}}\right)^{c_{i}}=1\Rightarrow
d>1.$
∎
Note that if $d>1$ then the subalgebra $A$ is contained in $\mathbb{K}[x^{d}]$
and therefore it has infinite codimension. Such $A$ are outside the scope of
our work.
### 1.4 Subalgebras of codimension one
Next, let us look at subalgebras of codimension one (in $\mathbb{K}[x]).$
Although relatively simple, these algebras give some insight. Obviously such
subalgebra cannot contain $x,$ but do contain polynomials of degree $2$ and
$3$, which generate our subalgebra. Using variable substitution we can
restrict ourselves to the case where the polynomial of degree two is $x^{2}$.
(Note that all constants are always in any subalgebra). Now the polynomial of
degree three can be chosen as $x^{3}-ax$. (Again, the constants are not
essential and $bx^{2}$ can be subtracted). If $a=0$ then we get a monomial
case and know how to describe it from Theorem 1.
If $a\neq 0$ then the replacement $x\rightarrow\alpha x$ with $\alpha^{2}=a$
reduces the situation to the case $x^{3}-x.$ So it is sufficient to study
subalgebra $A=\langle x^{3}-x,x^{2}\rangle.$ Note that for each odd $k>1$ we
have $x^{k}-x=(x^{k-2}-x)x^{2}+(x^{3}-x)\in A$ by induction. So $f(x)=\sum
a_{i}x^{i}$ can be subduced to $ax$ where $a=a_{1}+a_{3}+a_{5}+\cdots$. Thus
$f(x)\in A\Leftrightarrow a=0\Leftrightarrow f(1)-f(-1)=0.$ This gives us the
following result:
###### Theorem 3.
For any subalgebra $A$ of codimension one either the there exists $\gamma$
such that $f(x)\in A\Leftrightarrow f^{\prime}(\gamma)=0$ or there exists
$\alpha\neq\beta$ such that $f(x)\in A\Leftrightarrow f(\alpha)=f(\beta)$.
###### Proof.
We only need to recover the old variable. Then the monomial case corresponds
to the first case and $f(1)=f(-1)$ to the second. ∎
The above theorem already displays some ideas that we will try to generalize
later on.
## Chapter 2 The Subalgebra Spectrum
### 2.1 Derivations
###### Definition 4.
Let $\alpha\in\mathbb{K}.$ A linear map $D:A\rightarrow\mathbb{K}$ is called
an $\alpha-$derivation if it satisfies the condition
$D(f(x)g(x))=D(f(x))g(\alpha)+f(\alpha)D(g(x))$
for any $f(x),g(x)\in A.$ We simply call it a derivation if it is an
$\alpha-$derivation for some $\alpha.$
Note that the set of $\alpha-$derivations is a vector space over $\mathbb{K},$
but the set of all derivations is not. Nevertheless it is important for the
future to note that a $\beta-$derivation is also an $\alpha-$derivation if
$f(\alpha)=f(\beta)$ for any $f(x)\in A.$
Now we can formulate an important result obtained in [4], that will turn out
to be pivotal for our continued exploration.
###### Theorem 5.
Any subalgebra $A$ of codimension $n>1$ is contained in subalgebra $B$ of
codimension $n-1.$ Moreover $A$ can be defined in $B$ either as the kernel of
some $\alpha-$derivation of $B$ or as $A=\\{f(x)\in B|f(\alpha)=f(\beta)\\}$
for some $\alpha,\beta\in\mathbb{K}.$
Note that in [4] derivations are defined in a more general way, by the
condition $D(fg)=D(f)\varphi(g)+\varphi(f)D(g),$ for some ring homomorphism
$\varphi:B\rightarrow\mathbb{K}$. But in the same article is shown that any
homomorphism $A\rightarrow\mathbb{K}$ can be lifted to a homomorphism
$B\rightarrow\mathbb{K}$. Induction over codimension shows that in our
situation such homomorphism is simply a homomorphism
$\mathbb{K}[x]\rightarrow\mathbb{K}$ which is nothing else than a map
$f(x)\rightarrow f(\alpha)$ for some $\alpha\in\mathbb{K}.$ For that reason we
can use $\alpha-$derivation in our reformulation.
### 2.2 Subalgebras conditions
A straightforward induction argument using Theorem 5 shows that any subalgebra
$A$ of codimension $n$ can be described by $n$ linear conditions $L_{i}(f)=0$
where $L_{i}$ is either a derivation of some subalgebra containing $A$ or has
the form $L(f)=f(\alpha_{i})-f(\beta_{i})$ for some constants
$\alpha_{i},\beta_{i}\in\mathbb{K}.$
Our main hypothesis when initiating this work (which will proved later) was
that linear conditions defining subalgebras can be stated in a neater way.
Namely we hoped that for any subalgebra of finite codimension $m$ there would
exist a finite set, which we will call the spectrum of algebra, and $m$ linear
conditions expressed in terms of $f(x)$ and finitely many derivatives
$f^{(k)}$ evaluated in the elements of the spectrum which determine if
$f(x)\in A.$ We have seen such conditions in Theorem 1 and Theorem 3 and in
Examples 1-4 and want to understand their nature.
We want them to be subalgebra conditions, i.e. that the set of all polynomials
satisfying the conditions form a subalgebra. Since our conditions are linear
we only need to demand two things for them to be subalgebra conditions.
Firstly, a trivial one: that constants should satisfy the conditions.
Secondly, a non-trivial one: that whenever $f(x)$ and $g(x)$ satisfy the
conditions, so does the product $f(x)g(x)$.
For example the condition $f(\alpha)=0$ is not an subalgebra condition,
because the non-zero constants does not satisfy it. But the condition
$f(\alpha)=f(\beta)$ is a subalgebra condition. The same is true for the
condition $f^{\prime}(\alpha)=0.$
The singe condition $f^{\prime}(\alpha)+f^{\prime}(\beta)=0$ is not subalgebra
condition, but together the conditions
$f(\alpha)=f(\beta),f^{\prime}(\alpha)+f^{\prime}(\beta)=0$ are subalgebra
conditions. As this example shows being subalgebra conditions is a property of
a set of conditions. (The set may, however, as in the first two examples,
consist of just one element.)
In general, any condition $\sum c_{i}f^{\prime}(\alpha_{i})=0$ combined with
$f(\alpha_{1})=f(\alpha_{2})=\cdots=f(\alpha_{k})$ gives subalgebra
conditions.
Indeed since the conditions are linear we only need to check that if $f(x)$
and $g(x)$ satisfies the conditions then the same is true for $f(x)g(x).$ We
have
$\sum c_{i}(fg)^{\prime}(\alpha_{i})=\sum
c_{i}f^{\prime}(\alpha_{i})g(\alpha_{i})+c_{i}f(\alpha_{i})g^{\prime}(\alpha_{i})=$
$\left(\sum
c_{i}f^{\prime}(\alpha_{i})\right)g(\alpha_{1})+f(\alpha_{1})\left(\sum
c_{i}g^{\prime}(\alpha_{i})\right)=0.$
One can find generalisations including derivatives of higher order, but we
skip this for now and show only one spectacular example of subalgebra
conditions:
$f^{\prime}(0)=0;\quad f^{\prime\prime\prime}(0)=3f^{\prime\prime}(0);\quad
f^{(5)}(0)=10f^{(4)}(0).$
### 2.3 Spectrum
Now we want to introduce the main definition of this chapter.
###### Definition 6.
Let $A$ be a subalgebra of finite codimension. Its spectrum consists of
$\alpha\in\mathbb{K}$ such that either $f^{\prime}(\alpha)=0$ for all $f(x)\in
A$ or there exists $\beta\neq\alpha$ such that $f(\alpha)=f(\beta)$ for all
$f(x)\in A$. In the second case $\beta$ obviously belongs to the spectrum as
well. We write $Sp(A)$ to denote the spectrum of the algebra $A$.
Unfortunately the word spectrum already has a specific meaning, so it would be
more correct to use something like “subalgebra spectrum”, but because we
believe that this notion is very important and that the word spectrum reflects
this concept very well we use the word “spectrum”. This makes our article more
readable and in our context the interpretation should be unambiguous.
We have already seen in Theorem 3 how the spectrum naturally arises in the
description of subalgebras of codimension one.
One trivial but useful remark is the following.
###### Theorem 7.
If $A\subseteq B$ are two subalgebras in $\mathbb{K}[x]$ then $Sp(B)\subseteq
Sp(A)$. Thus the spectrum has the reversing inclusions property.
###### Proof.
Each condition that holds in $B$ hold in $A$ as well. ∎
###### Theorem 8.
Each proper subalgebra $A$ in $\mathbb{K}[x]$ has non-empty spectrum.
###### Proof.
Induction and Theorem 5 shows that $A$ is a subalgebra of an subalgebra of
codimension $1.$ Then theorems 7 and 3 finish the proof. ∎
One of our main results can be formulated as follows.
###### Theorem 9.
If $A$ is a proper subalgebra of finite codimension then only the values of
$f(x)$ and finitely many of its derivatives $f^{(j)}(x)$ in the elements of
the spectrum determine if $f(x)\in A.$
We will prove this later. We already have done it for monomial subalgebras and
for subalgebras of codimension one.
Before moving on we give some equivalent definitions of the spectrum.
###### Theorem 10.
Let $A$ be a subalgebra of finite codimension and $\alpha\in\mathbb{K}$. The
following is equivalent.
$\alpha$ belongs to the spectrum of $A.$
There exists $\beta\in\mathbb{K}$ such that $(x-\alpha)(x-\beta)$ divides
$f(x)-f(\alpha)$ for any $f(x)\in A$.
There exists $\beta\in\mathbb{K}$ and a SAGBI basis $G$ of $A$ such that
$(x-\alpha)(x-\beta)$ divides each element in $G.$
$\alpha$ belongs to the spectrum of the subalgebra $\langle p(x),q(x)\rangle$
for each pair of monic $p(x),q(x)\in A$ with relatively prime degrees.
###### Proof.
(ii) is a simple reformulation of (i). (Note that we can take $\beta=\alpha$
when the condition is $f^{\prime}(\alpha)=0).$
(ii) implies (iii) almost directly. We choose any SAGBI basis and replace each
element $g$ by $g-g(\alpha)$ obtaining a new SAGBI basis.
(iii) implies (ii) because any $f(x)\in A$ can be subduced to a constant $c$.
In each subduction step a polynomial divisible by $(x-\alpha)(x-\beta)$ is
subtracted. Hence $f(x)-c$ is divisible by $(x-\alpha)(x-\beta)$. It is easy
to see that we must have $c=f(\alpha)$.
By theorem 7 (i) implies (iv). The opposite, that (iv) implies (i) is more
difficult. If there exists $f(x)\in A$ such that $f^{\prime}(\alpha)\neq 0$ we
need to find $\beta.$ Subtracting a constant we can suppose that $f(\alpha)=0$
and let $\beta_{1},\ldots,\beta_{k}$ be the other roots of $f(x),$ which exist
because $A$ is a proper subalgebra. Then $\beta$ should equal some
$\beta_{i}.$ If the implication does not hold then for each $i$ there exists
$g_{i}(x)\in A$ such that $g_{i}(\beta_{i})\neq g_{i}(\alpha).$ Subtracting a
constant we can suppose that $g_{i}(\alpha)=0,$ but $g_{i}(\beta_{i})\neq 0.$
Now, using that our field is infinite, we can easily construct a linear
combination $g(x)$ of the $g_{i}$, such that $g(\alpha)=0$ but
$g(\beta_{i})\neq 0$ for each $i.$ Since $A$ has a finite codimension we can
for each large degree find a polynomial that belongs to $A.$ We choose such a
monic polynomial $h(x)$ that has degree larger than $\deg g(x)$ and relatively
prime to $\deg f(x).$ We can also suppose that $h(\alpha)=0.$
The next step is to construct a polynomial $p(x)=h(x)+cg(x)$ that has the same
property as $g(x),$ namely $p(\alpha)=0$ but $p(\beta_{i})\neq 0$ for each
$i.$ Again, this is possible because our field is infinite. Let $q(x)$ be
$f(x)$ divided by its leading coefficient. Consider the subalgebra $\langle
p(x),q(x)\rangle.$ Because $\alpha$ belongs to its spectrum and
$q^{\prime}(\alpha)\neq 0$ there exists $\beta$ such that $p(\alpha)=p(\beta)$
and $q(\alpha)=q(\beta).$ But $q(\alpha)=0$ so $\beta=\beta_{i}$ for some $i.$
On the other hand $0=p(\alpha)\neq p(\beta_{i})$ and we get a contradiction.
This proves that our assumption that (iv) does not imply (i) must have been
wrong.
∎
### 2.4 The size of the spectrum
How large can the spectrum of a subalgebra of finite codimension $n$ be? To
answer this question we first prove an important statement, which essentially
says that elements in the spectrum appears in a natural way and there are no
“ghost” elements in the spectrum.
###### Theorem 11.
Suppose that the subalgebra $A$ is obtained from the subalgebra $B$ by adding
an extra condition $L(f(x))=0$ where either $L(f(x))=f(\alpha)-f(\beta)$ or
$L$ is some $\alpha-$derivation. If $\lambda\not\in
Sp(B)\cup\\{\alpha,\beta\\}$ then $\lambda\not\in Sp(A).$
###### Proof.
Suppose the opposite. Then for any $f=f(x)\in A$ we have $l(f)=0,$ where
either $l(f)=f(\lambda)-f(\mu)$ or $l(f)=f^{\prime}(\lambda).$
We need to consider four different situations (two alternatives for $L$ and
two for $l$). Let us first see what they all have in common. First of all
$A=\ker L$, and we have supposed that $A=\ker l$ as well and want to get a
contradiction.
Note that for any $f(x),g(x)\in B$ we have
$L(f)g-L(g)f\in\ker L=\ker l\Rightarrow L(f)l(g)-L(g)l(f)=0\Rightarrow$
$L(f)l(g)=L(g)l(f).$ (2.1)
Our next step is to choose a SAGBI basis $\\{g_{j}\\}$ for $B$ inside
$M_{\lambda}=\\{f(x)\in B|f(\lambda)=0\\}.$ Because $\lambda$ is not in the
spectrum we can find $g_{i}$ of minimal degree such that $l(g_{i})\neq 0.$
Subtracting it we can suppose WLOG that $l(g_{j})=0$ for all $j\neq i.$ Note
first that $L(g_{i})\neq 0,$ otherwise $g_{i}\in A,$ which is impossible
because $l(g_{i})\neq 0.$
On the other hand for $j\neq i$ we have $g_{j}\in\ker l=\ker L$, thus
$L(g_{j})=0.$ Note also that in any of the two alternatives
$l(g_{i}^{k}g_{j})=0$ because $g_{i},g_{j}\in M_{\lambda}.$ Using (2.1) we get
$L(g_{i}^{k}g_{j})l(g_{i})=l(g_{i}^{k}g_{j})L(g_{i})=0\Rightarrow
L(g_{i}^{k}g_{j})=0.$ (2.2)
Now it is time to consider different alternatives. Suppose first that
$L(f)=f(\alpha)-f(\beta).$ Then we get that for each $k$ we have
$g_{i}(\alpha)^{k}g_{j}(\alpha)=g_{i}(\beta)^{k}g_{j}(\beta).$
For $k=0$ we get $g_{j}(\alpha)=g_{j}(\beta).$ Because $g_{i}(\alpha)\neq
g_{i}(\beta)$ (otherwise $g_{i}\in A$) we should have
$g_{j}(\alpha)=g_{j}(\beta)=0$
for each $j\neq i.$ This implies $g_{i}(\alpha)\neq 0$, otherwise we would
have $f(\lambda)=f(\alpha)$ for all elements in our SAGBI basis and $\lambda$
would be an element of the spectrum of $B.$ Similarly we have
$g_{i}(\beta)\neq 0.$
Let $k\geq 2.$ Using (2.1) again we get
$L(g_{i})l(g_{i}^{k})=L(g_{i}^{k})l(g_{i}).$
Now we consider alternatives for $l.$ If $l$ is $\lambda$-derivation we get
$l(g_{i}^{k})=kg_{i}^{k-1}(\lambda)l(g_{i})=0,$ because $g_{i}(\lambda)=0.$
Thus
$L(g_{i}^{k})=0\Rightarrow g_{i}(\alpha)^{k}=g_{i}(\beta)^{k}.$
Let $a=g_{i}(\alpha),b=g_{i}(\beta).$ We have $a\neq b$ but $a^{k}=b^{k}$ for
all $k\geq 2$ which is impossible and we get our first contradiction.
If instead $l(f)=f(\lambda)-f(\mu)$ then $c=l(g_{i})=-g_{i}(\mu)$ and $c\neq
0.$ Then we get from the equation above that
$(a-b)c^{k}=(a^{k}-b^{k})c\Rightarrow(a-b)c^{k-1}=a^{k}-b^{k}.$
Because $a\neq b$ we get from $k=2,3$:
$c=a+b;c^{2}=a^{2}+ab+b^{2}\Rightarrow(a+b)^{2}=a^{2}+ab+b^{2}\Rightarrow
ab=0$
which contradicts $a\neq 0,b\neq 0$ obtained above.
Consider now the case when $L=D$ is some $\alpha-$derivation.
Condition (2.2) now looks as $D(g_{i}^{k}g_{j})=0$ and for $k=0$ implies
$D(g_{j})=0$ for $j\neq i.$ Because $g_{i}$ does not belong to $A=\ker D$ we
have from $k=1$ that
$0=D(g_{i}g_{j})=D(g_{i})g_{j}(\alpha)+g_{i}(\alpha)D(g_{j})\Rightarrow
g_{j}(\alpha)=0.$
This implies $a=g_{i}(\alpha)\neq 0,$ otherwise we would have
$f(\alpha)=f(\lambda)$ in $B.$
Suppose first that $l(f)=f(\lambda)-f(\mu),$ thus and
$l(g_{i}^{k})=(-g_{i}(\mu))^{k}=c^{k}$ if we put $c=l(g_{i})=-g_{i}(\mu)\neq
0.$
Equation (2.1) gives now for $k\geq 2$ that
$D(g_{i}^{k})l(g_{i})=D(g_{i})l(g_{i}^{k}).$ Applying the same notations as
above we rewrite this as
$D(g_{i}^{k})=D(g_{i})c^{k-1}.$
For $k=2,3$ we obtain
$2D(g_{i})a=D(g_{i})c;\quad 3D(g_{i})a^{2}=D(g_{i})c^{2}\Rightarrow
c=2a,c^{2}=3a^{2}$
and we again get $a=0$ which is a contradiction.
It remains only the case where $l(f)=f^{\prime}(\lambda).$ Here we use
equation (2.1) again. Because $l(g_{i}^{2})=2g_{i}(\lambda)l(g_{i})=0$ we have
$0=D(g_{i})l(g_{i}^{2})=l(g_{i})D(g_{i}^{2})=2l(g_{i})g_{i}(\alpha)D(g_{i})\Rightarrow
g_{i}(\alpha)=0,$
which is the last contradiction we needed. ∎
Now we get a nice corollary.
###### Theorem 12.
Let $A$ be a subalgebra in $\mathbb{K}[x]$ of codimension $n.$ Then
* •
$|Sp(A)|\leq 2n.$
* •
$|Sp(A)|=2n$ if and only if $A$ can be described by $n$ conditions of the form
$f(\alpha_{i})=f(\beta_{i}),i=1,\ldots,n$, all $\alpha_{i},\beta_{i}$ being
different.
* •
$|Sp(A)|=2n-1$ if and only if $A$ can be described by $n-1$ conditions of the
form $f(\alpha_{i})=f(\beta_{i}),i=1,\ldots,n-1$ and one extra condition
either of the form $f^{\prime}(\alpha_{0})=0$ or of the form
$f(\alpha_{0})=f(\alpha_{1}),$ all $\alpha_{i},\beta_{i}$ being different. The
second alternative is possible only if $n>1.$
###### Proof.
The first two statements follow directly by induction from the previous
theorem and Theorem 5. For the last statement we need to describe the
induction in greater detail. For $n=1$ the statement is trivial. If $n>1$ and
$A$ is obtained from $B$ by an extra condition then $|Sp(B)|\geq 2n-3$. If
$|Sp(B)|=2n-3$ the extra condition is of the form $f(\alpha)=f(\beta),$ where
$\alpha,\beta$ does not belong to the spectrum of $B$ and we can simply use
the induction hypothesis. If $|Sp(B)|>2n-3$ then by Theorem 11 it must be
$2n-2$. If the extra condition is of the form $f(\alpha)=f(\beta)$ exactly one
of $\alpha$ or $\beta$ should belong to the spectrum of $B.$ WLOG it coincides
with $\alpha_{1}.$ Otherwise the extra condition is an $\alpha-$derivation for
some $\alpha$ that does not belong to $Sp(B).$ Using Theorem 21 (which we will
prove later) we can replace it by $f(x)\rightarrow f^{\prime}(\alpha)$ and it
remains to rename $\alpha$ to $\alpha_{0}.$ ∎
### 2.5 Clusters
Let us now introduce a natural equivalence. For a given algebra $A$ we define
$\alpha\sim\beta$ if and only if $f(\alpha)=f(\beta)$ is valid for all $f\in
A.$ Then the spectrum of the subalgebra $A$ is a disjoint union of equivalency
classes that we call clusters. If $A$ is obtained from $B$ by a linear
condition $L(f)=0$ then Theorem 11 gives us a simple connection between
clusters in $B$ and $A.$
If $L$ is an $\alpha-$derivation then the clusters are the same if $\alpha\in
Sp(B)$ and $\\{\alpha\\}$ constitutes an additional cluster in $A$ if
$\alpha\not\in Sp(B)$.
If $L(f)=f(\alpha)-f(\beta)$ there are several possibilities. If neither
$\alpha$ nor $\beta$ belong to the spectrum of $B$ then they together form a
new cluster.
If exactly one of them (say $\alpha$) belongs to the spectrum of $B$ then we
simply add $\beta$ to the cluster containing $\alpha.$
At last if both $\alpha$ and $\beta$ belong to the spectrum of $B$ then they
should lie in different clusters and as a result those two clusters will be
joined in $A.$
From now on we will use the notion $A(C)=\\{f(x)|f(\alpha)=f(\beta)\mbox{ for
all }\alpha,\beta\in C\\}$ for the subalgebra defined by the fact that all its
elements have the same value on the cluster $C$.
### 2.6 The Main Theorem
Now we want to prove Theorem 9. We begin with the following.
###### Theorem 13.
Let $A$ be a proper subalgebra of $\mathbb{K}[x]$ with
$Sp(A)=\\{\alpha_{1},\ldots,\alpha_{s}\\}$ and let
$\pi_{A}=(x-\alpha_{1})\cdots(x-\alpha_{s}).$ Then there exists $N>1$ such
that $x^{i}\pi_{A}^{N}\in A$ for any $i\geq 0.$
###### Proof.
We use induction on the codimension $n.$ The base for the induction is
guaranteed by theorem 3 so let $n\geq 2.$ Let $A$ be obtained from $B$ as the
kernel of $L.$ Let $C=Sp(B),$ $\pi_{B}=\prod_{\gamma\in C}(x-\gamma)$ and
$N_{B}$ be the number $N$ for the subalgebra $B$ existing by the induction
hypothesis. We consider several different cases.
Suppose first that $L(p)=p(\alpha)-p(\beta).$ We put $N=N_{B}.$
If both $\alpha,\beta\in C$ then $\pi_{A}=\pi_{B}$. Because $N>0$ we get that
all $x^{i}\pi_{A}^{N}\in\ker L=A$ directly.
If neither $\alpha$ nor $\beta$ belongs to the spectrum of $B$ then
$\pi_{A}=\pi_{B}(x-\alpha)(x-\beta).$ Note that $x^{i}\pi_{A}^{N}\in B$ and
$x^{i}\pi_{A}^{N}\in\ker L=A.$
If only $\alpha\in C$ then $\pi_{A}=\pi_{B}(x-\beta)$ and again
$x^{i}\pi_{A}^{N}\in\ker L=A$ directly.
If $L$ is an $\alpha-$derivation and $\alpha\not\in C$ then, as we will show
in theorem 21 later, $L(f)=cf^{\prime}(\alpha).$ We have that
$\pi_{A}=\pi_{B}(x-\alpha)$ and put $N=N_{B}.$ Because $N\geq 2$ we get that
the multiplicity of $\alpha$ is at least two and $x^{i}\pi_{A}^{N}\in\ker
L=A.$
At last if $L$ is an $\alpha-$derivation and $\alpha\in C$ then
$\pi_{A}=\pi_{B}$ and we put $N=2N_{B}.$ Then
$L(x^{i}\pi_{A}^{2N})=L(x^{i})\pi_{A}(\alpha)^{2N}+\alpha^{i}2N\pi_{A}(\alpha)^{2N-1}L(\pi_{A})=0.$
In all cases we get that $x^{i}\pi_{A}^{N}\in\ker L=A.$
∎
###### Theorem 14.
Let $A$ be a subalgebra of codimension $n>1$ with
$Sp(A)=\\{\alpha_{1},\ldots,\alpha_{s}\\}.$
1. 1.
Then there exists $N>1$ such that $A$ can be described by $n$ conditions of
the form
$\sum_{i=0}^{N-1}\sum_{j=1}^{s}c_{ij}p^{(i)}(\alpha_{j})=0.$
Thus $p(x)\in A$ if and only if all $n$ conditions are valid.
2. 2.
If $A$ has only one cluster then we can choose $s-1$ conditions as
$f(\alpha_{1})=f(\alpha_{j})$ for $j>1$ and the remaining as
$\sum_{i=1}^{N-1}\sum_{j=1}^{s}c_{ij}p^{(i)}(\alpha_{j})=0,$
thus using pure derivatives (of some order).
###### Proof.
$(1).$ We use the same notations as in Theorem 13. According to that theorem
we have polynomials in $A$ of each degree greater then $Ns-1.$ If we complete
them to a linear basis in $A$ we get a set $Q,$ consisting of exactly $Ns-n$
new polynomials $q$ and we can suppose that $1\in Q.$
Consider the vector space $V$ consisting of linear maps
$D:p(x)\rightarrow\sum_{i=0}^{N-1}\sum_{j=1}^{s}c_{ij}p^{(i)}(\alpha_{j}).$
We have that $\dim V=Ns$. Consider its subspace $W$ of those maps that
annihilate all $q\in Q.$ The subspace $W$ has dimension $n$ (because the
condition $D(q)=0$ is a homogeneous linear equation on the set of the
coefficients $c_{ij}).$ We choose a basis in $W$ consisting of $n$ maps $D$
and claim that the conditions $D(p)=0$ for each $D$ from this basis describes
$A.$ Indeed those conditions by construction describes exactly the subspace
generated by $q\in Q$ in the subspace of all the polynomials of the degree
less then $Ns.$ It remains to show that each $x^{i}\pi_{A}^{N}$ is annihilated
by $D.$
Let $D_{0}$ be the map
$D_{0}:p(x)\rightarrow\sum_{j=1}^{s}c_{0j}p(\alpha_{j}).$
Because $\pi_{A}(\alpha_{j})=0$ for each $j$ we have that
$D_{0}(x^{i}\pi_{A}^{N})=0$ and it is sufficient to consider $D_{1}=D-D_{0}$
consisting of only the derivatives. $D_{1}$ annihilate all the elements of the
form $x^{i}\pi_{A}^{N}$ because it has derivatives of degree at most $N-1$ and
the same is true for $D.$
Thus our conditions are valid on all basis elements in $A$ and describe the
vector space they generate, which is $A.$ In other words the conditions that
$E_{i}(p(x))=0$ for our basis elements $E_{i}\in W$ determine the subalgebra
$A$. Note that this automatically implies that we get subalgebra conditions.
$(2)$ If $A$ has only one cluster then we have conditions $F_{j}(p(x))=0,$
where $F_{j}:p(x)\rightarrow p(\alpha_{1})-p(\alpha_{j})$ for all $j>1.$ This
means that $F_{j}\in W$ and we can choose them as a part of the basis in $W$
(no one if $s=1$).
Because $D(1)=0$ we get that $\sum_{j}c_{0j}=0$ and therefore the part $D_{0}$
in the previous proof can be written as $\sum c_{0j}F_{j}$. Thus we can
replace $D$ by $D-D_{0},$ which is a linear combination of pure derivatives of
some order, so our $k$ elements in the basis of $W$ are exactly what we are
looking for: either $F_{j}$ or linear combinations of pure derivatives (of
some order $>0$).
∎
So, assuming Theorem 21 which we will prove later, we have now proven our main
theorem.
## Chapter 3 Characteristic polynomial
Now we want to understand how to find the spectrum. We start from a special
case.
### 3.1 Subalgebra $<p,q>$
Let $p(x),q(x)$ be two monic polynomials. Consider the following polynomials
in two variables:
$P(x,y)=\frac{p(x)-p(y)}{x-y},\ Q(x,y)=\frac{q(x)-q(y)}{x-y}.$
We now introduce a notation that will be helpful when searching the spectrum
of the subalgebra generated by $p$ and $q$.
###### Definition 15.
The characteristic polynomial $\chi_{p,q}$ is the resultant
$\chi_{p,q}(x)=Res_{y}(P(x,y),Q(x,y))$
of polynomials $P$ and $Q$ considered as polynomials in $y.$
For example, if $p(x)=x^{3}-x,q(x)=x^{2}$ then
$P(x,y)=y^{2}+yx+x^{2}-1,Q(x,y)=y+x$ and
$\chi_{p,q}(x)=\left|\begin{array}[]{ccc}1&x&x^{2}-1\\\ 1&x&0\\\ 0&1&x\\\
\end{array}\right|=x^{2}-1.$
Its roots are $1$ and $-1$ and this gives some insight into why $f(1)=f(-1)$
was the subalgebra condition for $A=\langle x^{3}-x,x^{2}\rangle.$
It is easy to check that get $\chi_{x^{3},x^{2}}(x)=x^{2}$ and this can be
easily generalised, as shown below.
###### Theorem 16.
If $(m,n)=1$ then $\chi_{x^{m},x^{n}}(x)=x^{(m-1)(n-1)}$.
###### Proof.
Assume without loss of generality that $n>m$. First note that the polynomials
$P(x,y)=\frac{x^{n}-y^{n}}{x-y}$ and $Q(x,y)=\frac{x^{m}-y^{m}}{x-y}$ can be
expressed as $P=\sum_{i=0}^{n-1}y^{i}x^{n-1-i}$,
$Q=\sum_{i=0}^{m-1}y^{i}x^{m-1-i}$ respectively. This means that
$\chi_{p,q}(x)=\left|\begin{array}[]{ccccccc}1&x&\ldots&x^{n-1}&0&0&\ldots\\\
0&1&\ldots&x^{n-2}&x^{n-1}&0&\ldots\\\ \vdots&\ddots&&\ddots&\ddots&\ddots&\\\
0&\ldots&\ldots&1&x&\ldots&x^{n-1}\\\ 1&x&\ldots&x^{m-1}&0&0&\ldots\\\
0&1&\ldots&x^{m-2}&x^{m-1}&0&\ldots\\\ \vdots&\ddots&&\ddots&\ddots&\ddots&\\\
0&\ldots&\ldots&1&x&\ldots&x^{m-1}\\\ \end{array}\right|.$
If $m=1$, this determinant is upper triangular and equal to
$1=x^{(m-1)(n-1)}$. This will be the base case for a proof by induction. If
$m\neq 1$, for $i\in\\{1,...,m-1\\}$ subtract row $m-1+i$ from row $i$. Now
rows $1,...,m-1$ will have $x^{m}$ as first nonzero element, in column $m+i$.
Break out a factor $x^{m}$ from each of these rows. Now, after rearranging,
$\chi_{A}(x)$ is a block determinant on the form
$\left|\begin{array}[]{cc}A&B\\\ 0&C\\\ \end{array}\right|$
where A is an upper triangular $(m-1)$-matrix with ones on the main diagonal.
Expanding the determinant along the first column $m-1$ times and rearranging
gives
$(x^{m})^{m-1}\left|\begin{array}[]{ccccccc}1&x&\ldots&x^{m-1}&0&0&\ldots\\\
0&1&\ldots&x^{m-2}&x^{m-1}&0&\ldots\\\ \vdots&\ddots&&\ddots&\ddots&\ddots&\\\
0&\ldots&\ldots&1&x&\ldots&x^{m-1}\\\ 1&x&\ldots&x^{n-m-1}&0&0&\ldots\\\
0&1&x&\ldots&x^{n-m-1}&0&\ldots\\\ \vdots&\ddots&&\ddots&\ddots&\ddots&\\\
0&\ldots&\ldots&1&x&\ldots&x^{n-m-1}\\\ \end{array}\right|$
which is of size $(n-2)$. Note that this is exactly the characteristic
polynomial of $\langle x^{m},x^{n-m}\rangle$ multiplied by $(x^{m})^{m-1}$.
Assuming, by induction hypothesis, that $\chi_{\langle
x^{m},x^{n-m}\rangle}(x)=x^{(m-1)(n-m-1)}$ gives
$\chi_{A}(x)=x^{m(m-1)}x^{(m-1)(n-m-1)}=x^{(m-1)(n-1)}$. The induction
hypothesis can be used since $(n-m,m)=(n,m)=1.$ ∎
###### Theorem 17.
If $m=\deg p(x),n=q(x)$ and $(m,n)=1$ then
* •
$\chi_{p,q}(x)$ is a polynomial of degree $(m-1)(n-1).$
* •
If $F(p,q)$ is the resultant of $p(x)-p,q(x)-q$ then
$\frac{\partial F}{\partial
p}|_{p=p(x),q=q(x)}=\pm\chi_{p,q}(x)q^{\prime}(x).$ $\frac{\partial
F}{\partial q}|_{p=p(x),q=q(x)}=\mp\chi_{p,q}(x)p^{\prime}(x).$
###### Proof.
Let us look at the monomial case above again. In a complete expansion of the
determinant we choose in each column $j$ either $x^{j-i}$ (if we choose row
$i$ from the first $m-1$ rows ) or we choose $x^{j-i+(m-1)}$ (if we choose a
row $i$ between the last $n-1$ rows). Because $\sum j=\sum i$ we get a total
degree in the product equal to $(n-1)(m-1).$ We can never get larger degree.
The difference when we use $p(x)$ and $q(x)$ instead is that we add some terms
of smaller degree in each element of the matrix. But they cannot effect our
maximum total degree term $x^{(n-1)(m-1)}$ so the highest coefficient in
$\chi_{p,q}(x)$ at $x^{(n-1)(m-1)}$ is the same as for the monomial case.
To prove the second statement we use a well-known fact (see [1]) that
$F(p,q)=\prod_{\alpha}p(\alpha)-p$
where the product is taken over all roots of $q(y)-q$ in some field extension
and multiplicity. When we evaluate this for $p=p(x)$ and $q=q(x)$ we get zero
because $y=x$ is one of the roots. If we take a partial derivative over $p$
first and evaluate in $p=p(x)$ and $q=q(x)$ after that we get a sum over roots
where all terms except one (corresponding the root $y=x$) are zero. But we can
get this remaining term in another way if we replace $q(x)-q$ by
$\frac{q(y)-q(x)}{y-x}$ and $p(y)-p$ by $p(y)-p(x)$ in our resultant. Thus (up
to sign) we get the resultant
$\operatorname{Res}_{y}\left(p(y)-p(x),\frac{q(y)-q(x)}{y-x}\right).$
Now, using another property of the resultant we get
$\operatorname{Res}_{y}\left(p(y)-p(x),\frac{q(y)-q(x)}{y-x}\right)=$
$\operatorname{Res}_{y}\left(\frac{p(y)-p(x)}{y-x},\frac{q(y)-q(x)}{y-x}\right)\operatorname{Res}_{y}\left(y-x,\frac{q(y)-q(x)}{y-x}\right)$
$=\chi_{p,q}(x)q^{\prime}(x),$
where all resultants above are evaluated in $y.$ Here we have also used that
for any polynomial $f(x)$ we have $f^{\prime}(x)=\frac{f(x)-f(y)}{x-y}|_{y=x}$
because this is obviously true for $f(x)=x^{k}.$ The second formula we obtain
in a similar way and the signs should be opposite because
$(F(p(x),q(x))^{\prime}=F^{\prime}_{p}p^{\prime}(x)+F^{\prime}_{q}q^{\prime}(x)$
should be zero.
∎
We have seen that $(m,n)>1$ then $\chi_{x^{m},x^{n}}=0$, and we will now
generalize this result.
###### Theorem 18.
Let $p(x)$ and $q(x)$ be non-constant polynomials. Then $\chi_{p,q}(x)=0$ if
and only if there exists a polynomial $h(x)$ of degree at least two such that
$p(x),q(x)\in\mathbb{K}[h]$.
###### Proof.
Suppose first that $p=\pi\circ h.$ We know $\pi(a)-\pi(b)=(a-b)\rho(a,b)$ for
some $\rho$ so
$p(x)-p(y)=\pi(h(x))-\pi(h(y))=(h(x)-h(y))\rho(h(x),h(y)).$
This means that $P(x,y)=\frac{p(x)-p(y)}{x-y}$ has a factor
$\frac{h(x)-h(y)}{x-y}$ which is a polynomial in $y$ of degree at least one.
Similarly if $q(x)\in\mathbb{K}[h]$ then $Q(x,y)$ also has this factor so they
have a common factor as polynomials in $y$ over $\mathbb{K}(x)$ and as a
consequence their resultant $\chi_{p,q}(x)$ is equal to zero.
To prove the opposite assume now that $\deg p(x)=n$ and $\deg q(x)=m$. Let
$F(p,q)$ be the resultant of $p(x)-p,q(x)-q$, as before. We know from lemma 19
in [3] that $F(p,q)=\sum_{in+jm\leq nm}c_{ij}p^{i}q^{j}$ where $c_{ij}$ are
constants in $\mathbb{K}$. Moreover, it follows from that lemma that $p^{m}$
has non-zero coefficient and all other terms contain $p$ to a power strictly
lower than $m$. Assume now that $\chi_{p,q}(x)=0$. Then it follows from
Theorem 17 that we can differentiate $F$ with respect to $p$ and get another
identity involving $p$ and $q$. Regarding $p$ as variable this identity is a
polynomial of degree $m-1$ with coefficients in $\mathbb{K}(q)$, showing that
adjoining $p$ to the field $F(q)$ is an extension of degree at most $m-1$.
From lemma 13 in [3] we get the first equality in
$m=[\mathbb{K}(x):\mathbb{K}(q)]=[\mathbb{K}(x):\mathbb{K}(p,q)][\mathbb{K}(p,q):\mathbb{K}(q)]$.
Now it follows that $[\mathbb{K}(x):\mathbb{K}(p,q)]\geq 2$. On the other hand
we know by theorem 14 in [3] that $\mathbb{K}(p,q)=\mathbb{K}(h)$ for some
polynomial $h$ and this means that we have a polynomial $h$ of degree
$[\mathbb{K}(x):\mathbb{K}(p,q)]\geq 2$ such that $p(x),q(x)\in\mathbb{K}[h]$.
∎
### 3.2 How the spectrum relates to $\chi_{p,q}(x)$
Now we want to compare the roots of the characteristic polynomial with the
spectrum.
To start with we will focus our attention on a special case - an algebra $A$
generated by two monic polynomials $p(x),q(x)$ of degrees $m>n$ with
$(m,n)=1.$ It is known that they form SAGBI basis for $A$ (see [3]) and
therefore $A$ has codimension $g(m,n)=(m-1)(n-1)/2$. (Here $g(m,n)$ is the
genus of the corresponding semigroup of degrees.) So if we want to describe
this algebra we need to find $g(m,n)$ subalgebra conditions. For $m=3,n=2$ we
have done that in Theorem 3.
###### Theorem 19.
Let $A=\langle p(x),q(x)\rangle$ and $\alpha\in\mathbb{K}.$ The following is
equivalent.
(i)
$\alpha$ belongs to the spectrum, thus either $f^{\prime}(\alpha)=0$ for any
$f(x)\in A$ or there exists $\beta\neq\alpha$ such that $f(\alpha)=f(\beta)$
for any $f(x)\in A$.
(ii)
Either $p^{\prime}(\alpha)=q^{\prime}(\alpha)=0$ or there exists
$\beta\neq\alpha$ such that $p(\alpha)=p(\beta)$ and $q(\alpha)=q(\beta).$
(iii)
$\alpha$ is a root of the characteristic polynomial of $A.$
###### Proof.
The alternatives (i) and (ii) are equivalent since each of the two conditions
stated in (ii) are closed under sums and products, so we need only to prove
that (i) and (iii) are equivalent. By the fundamental property of the
resultant (see e.g.[1]) we know that $\alpha$ is a root of the characteristic
polynomial if and only if there is some $\beta\in\mathbb{K}$ such that
$P(\alpha,\beta)=Q(\alpha,\beta)$.
We now regard two different cases. The first case is when $\beta\neq\alpha$.
In this case we have that $p(\alpha)-p(\beta)=(\alpha-\beta)P(\alpha,\beta)=0$
and similarly $q(\alpha)=q(\beta)$. Thus the second statement of (ii) holds.
The other case is that $\alpha=\beta$ which means that
$0=P(\alpha,\alpha)=p^{\prime}(\alpha)$. (The second equality can easily be
derived from the definition of $P$ as $P(x,y)=(p(x)-p(y))/(x-y)$.) In the same
manner we find that $q^{\prime}(\alpha)=0$ so in this case the first statement
of (ii) holds.
∎
This shows that the characteristic polynomial allows us to find the spectrum
explicitly, for the subalgebras we currently study. Note that the theorem also
shows that the characteristic polynomial is never a constant, because the
spectrum is always non-empty.
Also note that Theorem 10 gives us a theoretical way to find the spectrum for
any subalgebra. In most practical cases it is sufficient to consider only
$\chi_{p,q}$ for each pair $\\{p,q\\}$ of generators, but the problem is that
their degrees are not always relatively prime.
Here is another application of the theorem.
###### Theorem 20.
If $a(x)$ is a polynomial of degree at least two that divides both $p(x)$ and
$q(x)$ then all the roots of $a(x)$ are roots of $\chi_{p,q}(x)$.
###### Proof.
If $(x-\alpha)(x-\beta)|a(x)$ then $(x-\alpha)(x-\beta)|f(x)-f(\alpha)$ for
any $f(x)\in A$ because $p$ and $q$ generate $A$ and are divisible by $a(x).$
The rest follows from theorems 10 and 19.
∎
It would be interesting to know if the following is true.
###### Conjecture 1.
$a(x)|\chi_{p,q}(x)$.
To find the algebraic conditions is less straightforward, but knowing the
spectrum helps a lot. Consider for example, the subalgebra
$A=<x^{4}-x^{2},x^{3}>.$
We see that $x^{2}$ divides both generators so it should divide the
characteristic polynomial as well. Thus zero is in the spectrum. Moreover
$f^{\prime}(0)=0$ is valid for both generators and therefore is one of the
conditions. Because $g(4,3)=3$ we should find two extra subalgebra conditions.
The characteristic polynomial can be found using Maple and it is equal to
$x^{2}(x^{4}-x^{2}+1).$
Thus, besides zero we have four other elements in the spectrum, which are in
fact primitive roots of degree $12.$ If we name one of them $\varepsilon,$ the
remaining ones will be $\varepsilon^{5},\varepsilon^{7},\varepsilon^{11}.$ We
cannot find more conditions involving derivations so we need to arrange those
roots in pairs to get the conditions of the form $f(\alpha)=f(\beta).$ It is
easy to check now that we get the example 3 in the very beginning of this
article.
### 3.3 Derivations in a subalgebra generated by two polynomials
Now we want to formulate some general statements about possible derivations of
subalgebras $A$ generated by two polynomials $p(x)$ and $q(x)$ of relatively
prime degrees. As we know (see [3]) $p(x),q(x)$ form SAGBI basis and have one
relation $F(p,q)=0$ arising from the corresponding resultant. Our aim is to
study possible non-zero derivations $D:A\rightarrow\mathbb{K}$, thus linear
maps such that
$D(f(x)g(x)=D(f(x))g(\alpha)+f(\alpha)D(g(x))$
for some $\alpha\in\mathbb{K}.$
Denote $D(p(x))=Dp$ and $D(p(x))=Dq$. Note first that for any polynomial
$G(p,q)$ we have
$D(G(p(x),q(x))=\frac{\partial G}{\partial
p}(p(\alpha),q(\alpha))Dp+\frac{\partial G}{\partial
q}(p(\alpha),q(\alpha))Dq.$
If we denote $\frac{\partial F}{\partial p}(p(\alpha),q(\alpha))$ by
$F^{\prime}_{p}(\alpha)$ and $\frac{\partial F}{\partial
q}(p(\alpha),q(\alpha))$ by $F^{\prime}_{q}(\alpha)$ then we get that
$F^{\prime}_{p}(\alpha)Dp+F^{\prime}_{q}(\alpha)Dq=0$
is necessary and sufficient condition for a linear map $D$ to be a derivation
of $A.$
Note also that taking ordinary derivative in $\alpha$ we get
$F^{\prime}_{p}(\alpha)p^{\prime}(\alpha)+F^{\prime}_{q}(\alpha)q^{\prime}(\alpha)=0.$
Depending on $\alpha$ three different situations are possible.
1. 1.
$p^{\prime}(\alpha)=q^{\prime}(\alpha)=0.$ This is the most difficult case.
But at least $\alpha$ belongs to the spectrum.
2. 2.
$(p^{\prime}(\alpha),q^{\prime}(\alpha))\neq(0,0),$ but
$F^{\prime}_{p}(\alpha)=F^{\prime}_{q}(\alpha)=0.$ By Theorem 17 we get that
$\chi_{f,g}(\alpha)=0$ thus $\alpha$ belongs to the spectrum and there exists
$\beta\neq\alpha$ such that $f(\alpha)=f(\beta)$ for all $f(x)\in A.$ We can
try to construct $D$ as $Af^{\prime}(\alpha)+Bf^{\prime}(\beta).$ We get
$Ap^{\prime}(\alpha)+Bp^{\prime}(\beta)=Dp;Aq^{\prime}(\alpha)+Bq^{\prime}(\beta)=Dq$
and find a solution if the determinant
$\left|\begin{array}[]{cc}p^{\prime}(\alpha)&p^{\prime}(\beta)\\\
q^{\prime}(\alpha)&q^{\prime}(\beta)\\\ \end{array}\right|\neq 0.$
3. 3.
$(p^{\prime}(\alpha),q^{\prime}(\alpha))\neq(0,0),\
(F^{\prime}_{p}(\alpha),F^{\prime}_{q}(\alpha))\neq(0,0).$ This is the easiest
case because it means that $(Dp,Dq)=C(p^{\prime}(\alpha),q^{\prime}(\alpha))$
and we simply have $D(f(x))=Cf^{\prime}(\alpha).$
Now we can obtain an important corollary that we will need to finish the proof
of our main theorem.
###### Theorem 21.
Let $A$ be an arbitrary subalgebra of finite codimension and $D$ be an
$\alpha-$derivation on $A$. Suppose that $\alpha$ does not belong to the
spectrum of $A$ (we call such derivations trivial). Then there exists
$c\in\mathbb{K}$ such that $D(f(x))=cf^{\prime}(\alpha)$ for any $f(x)\in A.$
###### Proof.
First we prove that if $\alpha$ is a double root of $f(x)$ then $D(f(x))=0.$
Suppose the opposite. Let $\beta_{1},\ldots,\beta_{k}$ be the other roots of
$f(x)$. For each $i$ there exists $g_{i}(x)\in A$ such that
$g_{i}(\beta_{i})\neq g_{i}(\alpha).$ Subtracting a constant we can suppose
that $g_{i}(\alpha)=0,$ but $g_{i}(\beta_{i})\neq 0.$ Beside that there exists
$g_{0}(x)\in A$ such that $g(\alpha)=0,$ but $g_{0}^{\prime}(\alpha)\neq 0$
(all this because $\alpha$ does not belong to the spectrum). Now, using that a
field is infinite, we can easily construct a linear combination $g(x)$ of the
$g_{i}$ such that $g(\alpha)=0$ but $g(\beta_{i})\neq 0$ for each $i>0$ and
$g^{\prime}(\alpha)\neq 0.$ Since $A$ has a finite codimension we can for each
large degree find a polynomial of that degree that belongs to $A.$ We choose
such a monic polynomial $h(x)$ that has degree larger than $\deg g(x)$ and
relatively prime to $\deg f(x).$ We can also suppose that $h(\alpha)=0.$
Our next step is to a construct polynomial $p(x)=h(x)+cg(x)$ that has the same
property as $g(x),$ namely $g(\alpha)=0,g^{\prime}(\alpha)\neq 0$ and
$g(\beta_{i})\neq 0$ for each $i>0.$ Again, this is possible because our field
is infinite. Let $q(x)$ be $f(x)$ divided by its leading coefficient. Consider
subalgebra $B=\langle p(x),q(x)\rangle.$ By construction $\alpha$ does not
belong to its spectrum, so the restriction of $D$ to $B$ should fit the third
case considered above and therefore $D(f(x))=cf^{\prime}(\alpha)=0$ which is a
contradiction.
The rest is easy. Any polynomial in $A$ can be written as a linear combination
of $g_{0}(x)$, some constant and some polynomial $f(x)$ having $\alpha$ as
double root. Therefore only value on $g_{0}(x)$ determine the value of $D$, so
it is sufficient to find $c$ such that $D(g_{0}(x))=cg_{0}^{\prime}(\alpha).$
∎
### 3.4 About characteristic polynomial $\chi_{A}(x)$
We would like to generalize the theorem 19 to arbitrary subalgebras. For this
we need to define characteristic polynomial for an arbitrary subalgebra.
Let us look at the case where $A$ has more than two generators. It is not
evident how to extend the definition. The resultant is defined only for pairs
of polynomials. A naive attempt is to use a gcd of all $\chi_{g_{i},g_{j}}$
where $g_{i}$ generate $A.$ Let us first look at an example:
###### Example 22.
Let $p(x)=x^{12}+3x^{6}$, $q(x)=x^{15}$ and $r(x)=x^{10}$ and $A=\langle
p(x),q(x),r(x)\rangle$ the subalgebra they generate. We can form the
characteristic polynomial of any pair of generators. If we look at the pair
$p$ and $q$ for example, it is obvious that they both belong to
$\mathbb{K}[x^{3}]$. Hence their characteristic polynomial is zero by Theorem
18. In the same way the other two pairs of generators have zero as
characteristic polynomial. In contrast, if we form $P$ and $Q$ as before and
additionally $R(x,y)=(r(x)-r(y))/(x-y)$, then $P(x,y)=Q(x,y)=R(x,y)=0$ has
only a finite set of solutions. In particular the possible $x$-values are the
24 solutions of $x^{24}+6x^{18}+26x^{12}+81x^{6}+81$ and $x=0$. (This can be
obtained by solving the system in for example Maple.)
The above example suggests that looking at pairs of generators of the algebra
is not enough to define the characteristic polynomial in a suitable way.
Instead we can try another definition:
###### Definition 23.
Let $A$ be a subalgebra of finite codimension. Its characteristic polynomial
$\chi_{A}(x)$ we define as a gcd of all $\chi_{p,q}(x)$ where $p$ and $q$ are
monic polynomials in $A$ with relatively prime degrees.
Another alternative is to consider all possible pairs $p,q$.
Note that in any case $\chi_{A}(x)$ is non-zero, because $A$ contains
polynomials of relatively prime degrees.
A third alternative definition is given below.
Note that we get by theorems 10 and 19 that $\chi_{A}(\alpha)=0$ if and only
if $\alpha$ belongs to the spectrum of $A.$
It is not so obvious in the second alternative, but we can consider a third
one.
Let us first assume, for simplicity, that we have three generators $A=\langle
p(x),q(x),r(x)\rangle$. We also assume that $\deg q(x)\geq\deg r(x)$.
Introduce $P(x,y),Q(x,y)$ as before and analogously $R(x,y)$. Then form the
resultant
$R(x,y,z)=\operatorname{Res}_{y}\left(P(x,y),zQ(x,y)+wR(x,y)\right)$. An
$x$-value $x=\alpha$ that makes this resultant disappear for all values of $z$
and $w$ means an $x$-value for which there is some $y=\beta$ such that
$P(\alpha,\beta)=0$ and $zQ(\alpha,\beta)+wR(\alpha,\beta)=0$ regardless of
the values of $z$ and $w$. In other words
$P(\alpha,\beta)=Q(\alpha,\beta)=R(\alpha,\beta)=0$.
Now it follows from the construction of the resultant as a certain determinant
and the fact that the determinant depends linearly on the columns of the
matrix that $R$ can be written as
$R(x,z,w)=\sum_{j=0}^{n-1}d_{j}(x)z^{n-1-j}w^{j}$. Here $d_{j}(x)$ is a
polynomial in $\mathbb{K}[x]$ that can be computed by starting from the
resultant-matrix of $P$ and $Q$, then replace $j$ columns of coefficients from
$Q$ by the corresponding coefficients of $R$. Finally sum over all choices of
$j$ such column replacements. That sum of determinants equals $d_{j}(x)$. The
$x$-values $x=\alpha$ that make $R(\alpha,z,w)=0$ are those which satisfy
$d_{j}(\alpha)=0$ for each $j$ or equivalently those $x=\alpha$ that are
zeroes of $d(x)=gcd(d_{1}(x),d_{2}(x),\ldots,d_{n-1}(x))$. It is
straightforward to generalise this idea to more than three generators. We
therefore make the following definition:
###### Definition 24.
Let $A=\langle p_{1}(x),p_{2}(x),\ldots,p_{t}(x)\rangle$ and $n=\deg
p_{1}(x)$. Further, let $P_{i}(x,y)=(p_{i}(x)-p_{i}(y))/(x-y)$ and
$R(x,z_{2},z_{3},\ldots,z_{t})=$
$=\operatorname{Res}_{y}\left(P_{1}(x,y),z_{2}P_{2}(x,y)+z_{3}P_{3}(x,y)+\cdots+z_{t}P_{t}(x,y)\right).$
Then $R$ can be expressed as
$R(x,z_{2},z_{3},\ldots,z_{t})=\sum
d_{(a_{2},a_{3},\ldots,a_{t})}(x)z_{2}^{a_{2}}z_{3}^{a_{3}}\cdots
z_{t}^{a_{t}},$ (3.1)
where the sum is taken over all natural numbers $a_{i}$ satisfying
$a_{2}+a_{3}+\cdots a_{t}=n-1$ The characteristic polynomial of $A$ is given
by $\chi_{A}(x)=gcd(\\{d_{(a_{2},a_{3},\ldots,a_{t})}\\})$ where gcd is taken
over the set of all polynomials $d_{(a_{2},a_{3},\ldots,a_{t})}$ occurring in
the sum (3.1).
This definition looks complicated, so let us see how it works in our previous
example
###### Example 25.
Let $p,q,r$ and $P,Q,R$ be as in the previous example. In this case we need to
compute the resultant
$=\operatorname{Res}_{y}\left(P(x,y),zQ(x,y)+wR(x,y)\right)=\sum_{j=0}^{10}d_{(10-j,j)}(x)$
(Here we have replaced $z_{2}$ by $z$ and $z_{3}$ by $w$ to improve
readability.) Computing this in Maple we obtain:
$d_{(11,0)}=d_{(10,1)}=0$ $d_{(9,2)}=4x^{60}a(x)^{2}b(x)^{3}$
$d_{(8,3)}=18x^{55}a(x)b(x)^{2}c(x)$ $d_{(7,4)}=3x^{50}b(x)d(x)$
where $a(x)=2x^{6}+3$, $b(x)=x^{24}+6x^{18}+36x^{12}+81x^{6}+81$,
$c(x)=2x^{30}+5x^{24}+30x^{18}+90x^{12}+135x^{6}+81$ and
$d(x)=52x^{60}+300x^{54}+2025x^{48}+8100x^{42}+24300x^{36}+65610x^{30}+153090x^{24}+262440x^{18}+295245x^{12}+196830x^{6}+59049$
Thus the gcd of the first five polynomials $d_{(11-j,j)}$ is $x^{50}b(x)$. One
can check that the remaining $d_{(11-j,j)}$ also are divisible by
$x^{50}b(x)$. (In particular $d_{(0,11)}=0$, while the other polynomials are
non-zero.)
## Chapter 4 Applications
Now we want to show some applications of the spectrum.
### 4.1 One element in the spectrum
We start from the subalgebras which have only one element in the spectrum.
###### Theorem 26.
Let $A$ be a subalgebra of codimension $k\geq 1.$ The following is equivalent.
1. 1.
The spectrum of $A$ consists of a single element $\alpha.$
2. 2.
$A$ contains two elements $(x-\alpha)^{m},(x-\alpha)^{n}$ with $(m,n)=1.$
3. 3.
$A$ is defined by $k$ linearly independent conditions of the form
$\sum_{i=1}^{N}c_{i}f^{(i)}(\alpha)=0$ for some $N>0.$
###### Proof.
We can use induction on $k.$ The base for the induction is guaranteed by
theorem 3. Let $k\geq 2.$ Using the change of variable $\widehat{x}=x-\alpha$
we can restrict ourself to the case $\alpha=0.$
$(1)\Rightarrow(2).$ According to Theorem 5 the algebra $A$ is obtained from
$B$ as a kernel of some linear map. This map should be $0-$derivation $D$,
otherwise we have more than one element in the spectrum. By Theorem 7, $B$
should have zero spectrum and according to the induction hypothesis $B$
contains some monomials $x^{m},x^{n}$ with $(m,n)=1.$ Note that $m,n>1$
because $B$ is a proper subalgebra. Using that $D(f^{k})=kf^{k-1}(0)D(f)$ we
find that the monomials $(x^{m})^{m}=x^{m^{2}},\ (x^{n})^{n}=x^{n^{2}}$ belong
to the $\ker D=A.$
$(2)\Rightarrow(1).$ Because subalgebra generated by $x^{m}$ and $x^{n}$ has
spectrum zero, by Theorem 7 the spectrum of $A$ cannot have any other elements
than zero.
$(1)\Rightarrow(3)$ Follows from Theorem 9
$(3)\Rightarrow(2)$ All the monomials $x^{m}$ with $m>N$ satisfy the
conditions.
∎
### 4.2 Subalgebras containing a polynomial of degree $2$
Suppose that the subalgebra $A$ contains a polynomial $q(x)$ of degree two.
Two trivial cases are $A=\langle q(x)\rangle$ and $A=\mathbb{K}[x].$ In non-
trivial cases we should have a polynomial $p(x)$ of odd degree $2l+1\geq 3.$
If we suppose that $l$ is as small as possible then it is easy to see that
$A=\langle p(x),q(x)\rangle.$ Using variable substitution we can suppose that
$q(x)=x^{2}.$ Subtracting even terms we can WLOG suppose that $p(x)$ is an odd
polynomial, thus
$p(x)=a(x^{2})x,\ q(x)=x^{2}$
for some monic polynomial $a(x)$ of degree $l.$ We want to show that the
spectrum of $A$ consists of the roots of $a(x^{2}).$ (In fact the
characteristic polynomial is equal to $a(x^{2})$ but that requires longer
proof).
Indeed, if $q^{\prime}(\alpha)=0$ then $\alpha=0$ and $p^{\prime}(0)=0$
implies $a(0)=0.$ If $q(\alpha)=q(\beta)$ for $\alpha\neq\beta$ then
$\beta=-\alpha$ and $p(\alpha)=p(-\alpha)=-p(\alpha)$ implies
$p(\alpha)=0\Rightarrow a(\alpha^{2})=0.$
Now we are ready for general statement.
###### Theorem 27.
Any proper subalgebra $A$ of finite index in $\mathbb{K}[x]$ containing a
polynomial $q(x)$ of degree two has a spectrum consisting of $g>0$ elements
for some $g$. The spectrum has $k=\left[\frac{g}{2}\right]$ pairs
$\\{\alpha_{i},\beta_{i}\\},$ $i=1,\ldots,k$ such that for each $i$ the sum
$\alpha_{i}+\beta_{i}$ has a constant value $2\alpha_{0}$ and (for odd $g$)
one extra element, namely $\alpha_{0}(=\beta_{0}).$ For each $0\leq i\leq k$
there exists numbers $m_{i}\geq 0$ such that $f(x)\in A$ if and only if
* •
$f^{(j)}(\alpha_{i})=(-1)^{j}f^{(j)}(\beta_{i})$ for each $0<i\leq k$ and each
$0\leq j\leq m_{i},$
* •
$f^{(j)}(\alpha_{0})=0,\ j=1,3,\ldots,2m_{0}-1$ (for odd $g$ only).
Vice versa, if an algebra satisfies such conditions, then it is generated by
$(x-\alpha_{0})^{2},\ (x-\alpha_{0})^{2m_{0}+1}\prod_{i\geq
1}(x-\alpha_{i})^{m_{i}+1}(x-\beta_{i})^{m_{i}+1}.$
###### Proof.
Since the codimension is finite and the subalgebra is proper we can after
substitution suppose that $A$ is generated by $p(x)=a(x^{2})x,\ q(x)=x^{2},$
where $a(x)$ is a monic polynomial of degree $l>0.$ Here we put $\alpha_{0}=0$
and for each non-zero root $\mu_{i}$ of $a(x)$ with $i=1,\ldots,k$ we can put
$\alpha_{i}=\sqrt{\mu_{i}}$ and $\beta_{i}=-\alpha_{i}.$ We define $m_{0}$ to
be multiplicity of zero as a zero of $a(x)$ and put $g=2k$ if $m_{0}=0$ and
$g=2k+1$ if $m_{0}>0.$ Now $a(x)=x^{m_{0}}\prod(x-\mu_{i})^{m_{i}+1}$ and
$p(x)=x^{2m_{0}+1}\prod(x^{2}-\mu_{i})^{m_{i}+1}=$
$x^{2m_{0}+1}\prod(x-\alpha_{i})^{m_{i}+1}(x-\beta_{i})^{m_{i}+1}.$
As we already discussed above the spectrum has exactly $g$ elements. To check
the conditions note that they are trivial for $x^{2}$ and that
$p^{(j)}(\alpha_{i})=p^{(j)}(-\alpha_{i})=0$
if $j\leq m_{i}$ for $i>0.$ If $m_{0}>0$ then all the derivatives until
$2m_{0}+1$ are zero as well. Therefore $p(x)$ and $q(x)$ satisfies the
conditions and it is sufficient to check that if $f(x)$ and $g(x)$ satisfy the
conditions the same is true for $f(x)g(x).$ We have
$(f(x)g(x))^{(j)}(\alpha_{i})=\sum_{j_{1}+j_{2}=j}\binom{j}{i_{1}}f^{(j_{1})}(\alpha_{i})g^{(j_{2})}(\alpha_{i})=$
$\sum_{j_{1}+j_{2}=j}\binom{j}{i_{1}}(-1)^{j_{1}}f^{(j_{1})}(-\alpha_{i})(-1)^{j_{2}}g^{(j_{2})}(-\alpha_{i})$
and get the desired property both for $i>0$ and $i=0$ (because if $j$ is odd
one of $j_{1},j_{2}$ is odd as well). So $A$ satisfies the conditions. Let us
now turn to the opposite direction. Our proof shows that the conditions
determine some subalgebra that contains $A$ and we need to prove that it
equals $A.$ If not there should be some polynomial $f(x)$ which does not
belong $A.$ Using subduction by $p(x)$ and $q(x)$ we can suppose that it has
an odd degree less than the degree of $p(x)$ and has only odd powers, and thus
$f(-x)=-f(x).$
Note that for an odd function $f(x)$ we have
$f^{(j)}(\beta_{i})=f^{(j)}(-\alpha_{i})=-(-1)^{j}f^{(j)}(\alpha_{i}).$
We get the opposite sign than in our conditions so all terms must be zero.
Thus $\alpha_{i}$ and $\beta_{i}$ have multiplicity at least $m_{i}+1.$ as
zeroes of $f(x).$ Similarly the second condition gives us that the
multiplicity of zero as a zero is at least $2m_{0}+1.$ But then $f(x)$ cannot
have degree less than degree of $p(x).$
It remains to understand how we get back to the general case by using variable
substitution back. Obviously $\alpha_{0}$ is the only root of the derivative
in $q(x)$ and the spectrum is simply shifted by $\alpha_{0}.$ ∎
To understand the conditions in the above theorem better we reformulate them
in a special small case.
###### Theorem 28.
Let $A$ be a subalgebra generated by polynomials of degree $2$ and $5$. Then
depending of the size $s$ of its spectrum it can be described as
s=1
$A=\\{f(x)|f^{\prime}(\alpha)=f^{\prime\prime\prime}(\alpha)=0\\}.$
s=2
$A=\\{f(x)|f(\alpha)=f(\beta);f^{\prime}(\alpha)+f^{\prime}(\beta)=0\\}.$
s=3
$A=\\{f(x)|f(\alpha)=f(\beta);f^{\prime}(\gamma)=0\\};\ \alpha+\beta=2\gamma.$
s=4
$A=\\{f(x)|f(\alpha)=f(\beta);f(\gamma)=f(\delta)\\};\
\alpha+\beta=\gamma+\delta.$
Here $\alpha,\beta,\gamma,\delta$ are different elements of the spectrum.
### 4.3 Relations between subalgebras
The spectrum gives a much more clear picture of the inclusion of one
subalgebra inside another. Just knowing the related semigroup inclusion can
give us important information. For example, the subalgebra
$A=<x^{4},x^{3}-mx>$ is not included in any subalgebra described in Theorem
28. Indeed, it has an element of degree $3$, which does not belong to the
semigroup generated by $2$ and $5.$
We can use reversing inclusion of the spectra. If that holds, one need to
check if the subalgebra conditions are compatible with the given generators.
We have a complete classification of subalgebras of codimension $2$ in Theorem
7.2 below so let us study the list and decide which of them contains $A.$
If $m=0$ then $Sp(A)=\\{0\\}$ and our only candidate is $s=1$ with $\alpha=0.$
Obviously $b=0$ must hold so the only subalgebra of codimension two containing
$A$ is defined by the conditions $f^{\prime}(0)=f^{\prime\prime}(0)=0.$ This
is the monomial algebra $<x^{3},x^{4},x^{5}>$.
If $m\neq 0$ then using variable substitution we can restrict ourselves to the
case $m=1.$ The characteristic polynomial is equal to $(x^{2}-1)(x^{4}+1)$ and
we get six elements in the spectrum. Thus $A$ is defined without derivatives
and we only need to divide the elements of the spectrum into three pairs. Due
to the fact that $x^{4}$ has the value $1$ on $1,-1$ and the value $-1$ on the
other elements of the spectrum $1$ and $-1$ must pair up. Taking the values of
$x^{3}-x$ into account we find the other pairs.
In fact this is our Example 2 from the very beginning of the text and now we
easily find three possible algebras containing $A$ by omitting one of three
conditions at a time. (It is important to note that the remaining two are
still subalgebra conditions.)
Another application is finding the intersection of two subalgebras: we take
the union of their spectra and the union of their conditions and we only need
to check if there are any linear dependencies between them. For example we can
easily find the situations when the intersection of two subalgebras is a
monomial subalgebra. Both should have zero spectrum and the conditions of the
subalgebras should complete each other so that we obtain conditions of the
form $f^{(j)}(0)=0.$
We can go in the opposite direction as well: if we have two subalgebras
$A_{1},A_{2}$ we can easily construct the subalgebra they generate together.
We take the intersection of the spectra and try to see which conditions
remain. Let us take an example from [5]. Is
$<x^{3}-x,x^{4},x^{5}-1>=\mathbb{K}[x]?.$
The subalgebra $<x^{4},x^{5}>$ is monomial, so its spectrum is zero. But zero
is not in the spectrum of the subalgebra $<x^{4},x^{3}-x>,$ so the
intersection of their spectra is empty and we get $\mathbb{K}[x].$
## Chapter 5 The main conjecture.
### 5.1 Derivations
One important corollary of Theorem 14 is that each $\alpha-$derivation $D$ of
a subalgebra $A$ with spectrum $C=\\{\alpha_{1},\ldots,\alpha_{s}\\}$ can be
written as
$D(f)=\sum_{i=0}^{N}\sum_{j=1}^{s}c_{ij}f^{(i)}(\alpha_{j}),$
if $\alpha\in C$ and as $cf^{\prime}(\alpha)$ if $\alpha\not\in C$. This can
be proven by induction using Theorem 5.
Our main conjecture is slightly stronger.
###### Conjecture 2.
If $\alpha$ belongs to the spectrum then each $\alpha-$derivation $D$ can be
written as
$D(f)=\sum_{i=1}^{N}\sum_{\alpha_{j}\sim\alpha}c_{ij}f^{(i)}(\alpha_{j}),$
(5.1)
thus using pure derivatives (of some order) in the elements of the cluster
containing $\alpha$.
Note that if $\alpha$ does not belong to the spectrum then according to
Theorem 21 each $\alpha-$derivation can be written as
$D(f)=cf^{\prime}(\alpha).$
An alternative formulation of this conjecture is the following.
###### Conjecture 3.
Each subalgebra $A$ of finite codimension can be described using only
derivations of type (5.1) and conditions of the type
$f(\alpha_{i})=f(\alpha_{j}).$
We have already proven this in Theorem 9 in the case when $A$ has only one
cluster.
### 5.2 Main plan
We will now introduce some notation and present a general plan for attacking
the main conjecture. The aim of the rest of this article is to realise this
plan for algebras of small codimension and in this way get a classification of
them.
Let $A$ be an algebra in $\mathbb{K}[x]$ of finite codimension. We define its
type $T(A)=(d_{1},\ldots,d_{s})$ as an ordered list of degrees $d_{i}$ of the
elements of a minimal SAGBI basis. Note that the numbers $d_{i}$ are simply
the generators of the numerical semigroup $S=\\{\deg f(x)|f(x)\in A\\}$
consisting of all degrees of polynomials from $A.$ Thus the type is uniquely
determined and for a fixed small codimension we can easily enumerate all
possible types. For example, there is only one possible type $(2,3)$ for
codimension one and two types, namely $(2,5)$ and $(3,4,5)$ for codimension
two. For codimension three the possible types are:
$(2,7),(3,4),(3,5,7),(4,5,6,7).$
For each $\alpha\in\mathbb{K}$ we consider an important number
$k_{\alpha}^{A}$ which is the dimension of the vector space of all possible
$\alpha-$derivations. Normally we write only $k_{\alpha}$ if it is clear which
subalgebra $A$ is used.
Note that if $M_{\alpha}=\\{f(x)\in A|f(\alpha)=0\\}$ is the corresponding
maximal ideal in $A$ then $A=\mathbb{K}\oplus M_{\alpha}$ and (more
importantly) that
$k_{\alpha}=\dim M_{\alpha}/M_{\alpha}^{2}.$
Indeed, for any $\alpha-$derivation $D$ we have that $D(M_{\alpha}^{2})=0.$ So
if we choose a SAGBI basis in $M_{\alpha}$ and choose those $g_{i}$ from it
that form a basis modulo $M_{\alpha}^{2}$ then $D$ will be uniquely determined
by the values of $D(g_{i}).$ On the other hand we can choose those values
arbitrarily and the values of $D$ on the remaining elements in SAGBI basis
will be uniquely determined.
Another important subspace is $\mathcal{D}^{A}_{\alpha}$ consisting of those
$\alpha-$derivations that can be written as a linear combination ordinary
derivations $f^{\prime}(\beta),f^{\prime\prime}(\beta),\ldots$ for all
$\beta\sim\alpha.$ Naturally $\alpha$ is one of such $\beta$ and may be the
only one. Our main conjecture in fact will be easier to prove if we
simultaneously prove
###### Conjecture 4.
$\dim\mathcal{D}_{\alpha}^{A}=k_{\alpha}$ for each $\alpha.$
Our plan is to prove both conjecture 3 and 4 simultaneously using induction on
the codimension and consists of the following steps:
The base for the induction is codimension zero, thus $A=\mathbb{K}[x]$. In
this case all is obvious: all $k_{\alpha}=1$ and $\mathcal{D}^{A}_{\alpha}$ is
generated by $f(x)\rightarrow f^{\prime}(\alpha)$ which is obviously an
$\alpha-$derivation.
For the induction step we use Theorem 5 and find a subalgebra $B$ of
codimension one less that contains $A.$ Then conjecture 3 for $A$ will follow
immediately, because we get $A$ from $B$ using an extra linear condition of
the form $f(\alpha)=f(\beta)$ or by demanding that some derivation is equal to
zero. Conjecture 4 gives that the derivation will be an $\alpha-$derivation
from $\mathcal{D}^{B}_{\alpha}$, so in both cases we get the extra condition
of the desired form. Note also that we automatically get subalgebra
conditions, because the kernel of a derivation is a subalgebra. So the main
difficulty in the induction step will be to prove conjecture 4.
The main challenge here will be when $\alpha$ belongs to the spectrum of $A$,
because otherwise we can simply use Theorem 21.
One extra improvement is based on the semi-commutativity of the linear
conditions $L_{i}(f(x))=0$ which we put on $\mathbb{K}[x]$ to obtain $A.$ By
this we mean the following: if the last condition is of the form
$f(\beta)=f(\gamma),$ but an earlier one is of the form $D(f)=0$ for some
$\alpha-$derivation we can interchange them, and hence suppose that $D(f)=0$
is the last condition. This changes the intermediate subalgebra $B$ but it
will still be of the correct codimension so we can still use induction on the
codimension. The reason is that the derivation will still be a derivation
though for a smaller subalgebra. Note also that the opposite exchange might be
impossible, that is why we call it semi-commutativity.
This allows us to restrict ourselves to only two cases: either all conditions
in $A$ are of the form $f(\alpha_{i})=f(\beta_{i})$ or $A$ was obtained from
$B$ using some $\beta-$derivation. In the first case, as we will see later, we
can describe the derivations directly.
In the second case we consider first an easy subcase, when $\alpha$ belongs to
the spectrum of $A,$ but does not belong to the spectrum of $B.$ Because
$f(\alpha)=f(\lambda)$ or $f^{\prime}(\alpha)=0$ is valid in $A$ but not in
$B$ we can, using the semi-commutativity, WLOG suppose that the last of those
condition was added to define $A$ (because it is a subalgebra condition and
increases the codimension by one), thus $\alpha=\beta.$ Thus we have simply
added $\alpha$ to the spectrum of $B.$ We need to prove that $k_{\alpha}=2$
and $\mathcal{D}_{\alpha}$ is generated by
$f^{\prime\prime}(\alpha),f^{\prime\prime\prime}(\alpha).$ For all other $\mu$
in the spectrum we should prove that $k_{\mu}$ and $\mathcal{D}_{\mu}$ are
unchanged.
The case when $\beta$ belong to the spectrum of $B$ is more complicated and
often demands the creation of non-trivial elements in $\mathcal{D}_{\alpha}$.
We will show this in Chapter 7 when applying the described approach for small
codimensions.
### 5.3 Subalgebras $A(C).$
We will now realise some steps in our plan and prove the conjecture 4 in the
case were there are no derivations involved in the construction of $A.$ We
start from a simplest case when $A$ has only one cluster
$C=\\{\alpha_{1},\ldots,\alpha_{m}\\}.$
###### Theorem 29.
Let $A=A(C)$ be a subalgebra of codimension $m-1$ that is defined by the
conditions
$f(\alpha_{1})=f(\alpha_{2})=\cdots f(\alpha_{m}).$
* •
$A$ has type $(m,m+1,\ldots,2m-1).$
* •
The elements
$p_{i}=(x-\alpha_{1})^{i}(x-\alpha_{2})\cdots(x-\alpha_{m})$
for $i=1,\ldots m$ form a minimal SAGBI basis.
* •
For each $\alpha=\alpha_{i}$ any $\alpha-$derivations can be written as
$f\rightarrow\sum c_{j}f^{\prime}(\alpha_{j}).$
* •
For $\alpha\not\in C$ any $\alpha-$derivation can be written as $f\rightarrow
cf^{\prime}(\alpha).$
* •
The subalgebra $A$ satisfies Conjecture 4.
###### Proof.
Obviously the polynomials $p_{i}$ satisfy the conditions and we cannot have
(non-constant) polynomials of degree less then $m$ in $A.$ (If $\alpha_{1}$ is
a root then all $\alpha_{i}$ are roots). Because the semigroup generated by
the degrees $m,m+1,\ldots,2m-1$ does not contain $1,\ldots,m-1$, but all other
positive integers, we get that $p_{i}$ must form a SAGBI basis of $A$ (inside
$M_{\alpha_{1}}=M_{\alpha_{i}}).$
We have $m$ linearly independent derivations $f\rightarrow
f^{\prime}(\alpha_{i})$ and since $k_{\alpha_{i}}\leq m$, conjecture 4 is
valid. The linear dependency can be checked directly on the given SAGBI basis
– the corresponding determinant can easily be reduced to a Vandermonde
determinant and is equal to the product of some powers of
$\alpha_{i}-\alpha_{j}$ with $i\neq j.$
The rest of the statements are trivial. ∎
### 5.4 Algebras with different clusters defined without derivations
Our next step is to generalize Theorem 29 and prove conjecture 4 for algebras
with several clusters but no derivations in its definition.
We begin with an easy but important statement that is valid for any
subalgebra.
###### Lemma 30.
Suppose that $\alpha\not\sim\beta,$ that is $\alpha$ and $\beta$ belong to
different clusters in the subalgebra $B.$ Suppose that $D_{1}$ is a non-zero
$\alpha-$derivation and $D_{2}$ is a $\beta-$derivation. Then
* •
$D_{1}\neq D_{2}$, thus if $D$ is both $\alpha-$derivation and
$\beta-$derivation then $D=0.$
* •
Moreover, $D_{1}$ and $D_{2}$ cannot coincide on the subalgebra $A$ obtained
by adding the condition $f(\alpha)=f(\beta)$ to $B.$
###### Proof.
Suppose the opposite, $D_{1}=D_{2}=D.$ By the condition there exists a
polynomial $f$ such that $f(\alpha)\neq f(\beta).$ Because $D$ is both a
$\beta-$ and an $\alpha-$derivation we obtain:
$D(f^{2})=2f(\beta)D(f)=2f(\alpha)D(f)\Rightarrow D(f)=0.$
Also, $D$ is non-zero, so there exists a polynomial $g$ such that $D(g)=1.$
Now we have:
$D(fg)=f(\beta)D(g)+D(f)g(\beta)=f(\beta).$
But the same arguments gives $D(fg)=f(\alpha)$ and we get a contradiction.
Now we want to prove the second statement. Suppose the opposite. By the first
statement we can find $f\in B$ such that $D_{1}(f)\neq D_{2}(f).$ Denote
$a=f(\alpha),b=f(\beta).$ Note that $a\neq b$ otherwise $f\in A$ and
$D_{1}(f)=D_{2}(f).$ Let $L(p)=p(\alpha)-p(\beta)$. Then
$h=f^{k}L(f)-fL(f^{k})\in A$ and because $D_{1}$ and $D_{2}$ coincide on $A$
we get:
$0=D_{1}(h)-D_{2}(h)=$ $(D_{1}-D_{2})(f^{k}L(f))-(D_{1}-D_{2})(fL(f^{k}))=$
$\left(ka^{k-1}D_{1}(f)-kb^{k-1}D_{2}(f)\right)(a-b)-$
$\left(D_{1}(f)-D_{2}(f)\right)(a^{k}-b^{k}).$
Cancelling $(a-b)$ we get for each $k\geq 2$ the equation
$(ka^{k-1}-a^{k-1}-a^{k-2}b-\cdots-b^{k-1})D_{1}(f)=$
$(kb^{k-1}-a^{k-1}-a^{k-2}b-\cdots-b^{k-1})D_{2}(f).$
Considering this as a linear system for $D_{i}(f)$ we get that the
corresponding $2\times 2$ determinants should equal zero and from each pair of
equations we get a polynomial equation in $a,b$. Already for the equations
from $k=2,3,4,5$ we find, using the Maple package Groebner, that all the
solutions of the system satisfy $a=b$. This provides us with the contradiction
that completes our proof. ∎
###### Theorem 31.
Consider the algebra
$A=A(C_{1})\cap A(C_{2})\ldots\cap A(C_{t})$
containing all polynomials that are constant on each of the clusters $C_{i}.$
Then
* •
For each $\alpha\in C_{i}$ any $\alpha-$derivation can be written as
$f\rightarrow\sum_{\alpha_{j}\in C_{i}}c_{j}f^{\prime}(\alpha_{j}).$
* •
For $\alpha\not\in Sp(A)$ any $\alpha-$derivation can be written as
$f\rightarrow cf^{\prime}(\alpha).$
* •
The subalgebra $A$ satisfies Conjecture 4.
###### Proof.
Let us first consider the case with two clusters only, I.e $A=A(C_{1})\cap
A(C_{2})$. Let $C=C_{1}\bigcup C_{2}.$ If $D$ is an $\alpha-$derivation over
$A$ with $\alpha\in C_{1}$ then $D$ is also an $\alpha-$ derivation when
restricted to the subalgebra $A^{\prime}=A(C).$ By Theorem 29 this derivation
is a linear combination $\sum c_{i}D_{i}$ of the derivations
$D_{i}:f\rightarrow f^{\prime}(\alpha_{i})$ with $\alpha_{i}\in C.$
Subtracting the $\alpha-$derivation $\sum_{\alpha_{i}\in C_{1}}c_{i}D_{i}$
from $D$ we get an $\alpha-$derivation $D^{\prime}$ which coincides with the
$\beta-$derivation $\sum_{\alpha_{i}\in C_{2}}c_{i}D_{i}$ with $\beta\in
C_{2}$ on $A(C).$ By Lemma 30 this is possible only if $D^{\prime}=0$ which
proves our statement.
In the general case we can now easily use the same argument and induction on
the number of clusters. If $\beta\not\sim\alpha$ we add an extra condition
$f(\alpha)=f(\beta)$ and get a subalgebra $A^{\prime}$ with a smaller number
of clusters. Thus the restriction $D$ to $A^{\prime}$ can be written as a
linear combinations of $f^{\prime}(\alpha_{i})$ with $\alpha_{i}\sim\alpha$ or
$\alpha_{i}\sim\beta.$ Subtracting the $\alpha-$ part from $D$ we get a
derivation on $A$ which is both an $\alpha-$ and a $\beta-$derivation on $A$
and again lemma 30 finishes the proof.
To prove the conjecture is now easy because we have an upper bound and
simultaneously sufficiently many explicitly given derivations. ∎
## Chapter 6 SAGBI Bases and Derivations
Now it is time to understand how the SAGBI basis changes when we add an extra
condition to a subalgebra.
### 6.1 Constructing SAGBI bases
One useful thing we want to mention is that the inductive approach which we
have used throughout the article also allows us to relatively easily create
SAGBI bases in $A.$ Namely, when we have a SAGBI basis $G$ for $B$ and get $A$
by adding the condition $L(f)=0$ we do the following to obtain a SAGBI basis
of $A$. All elements of $G$ that satisfy the extra condition $L(f)=0$ will
remain in the SAGBI basis. There must, however, be at least one element that
does not satisfy the condition. Let us choose such a $g\in G$ of minimal
degree $d$, thus $L(g)\neq 0.$ Note that exactly this degree $d$ should
disappear from the numerical semigroup $S$ of degrees. Thus we know the new
semigroup $S_{A}=S\setminus\\{d\\}$ and can easily find the type
$(s_{1},\ldots,s_{m})$ of the subalgebra $A.$ For each degree $s_{i}$ we find
a polynomial $h_{i}\in B$ and our new SAGBI basis consists of
$f_{i}=L(g)h_{i}-L(h_{i})g,$. If we wish to make them monic we can just divide
each $f_{i}$ by its highest coefficient. In order to further simplify
calculations we want the basis elements to be inside $M_{\alpha},$ and there
are several ways to do this. The simplest one is to replace $f_{i}(x)$ by
$f_{i}(x)-f_{i}(\alpha),$ but a more efficient way is to choose $h_{i}$ and
$g$ in $M_{\alpha}$ from the start. Sometimes it may be clever to choose a
linear combination with the previous $f_{j}$ to get as high degree of the
factor $x-\alpha$ as possible.
We summarize this as follows.
###### Theorem 32.
Let $G$ be a SAGBI basis for $B$ chosen inside $M_{\alpha}^{B}.$ Let $g=g_{i}$
be an element of minimal degree in this basis that does not belong to $A.$
Suppose WLOG that $L(g)=1.$
* •
The set consisting of polynomials $g_{j},\ h_{j}=gg_{j}-L(gg_{j})g$ with
$g_{j}\in G$, $j\neq i$ and two polynomials $f_{k}=g^{k}-L(g^{k})g$ for
$k=2,3$ forms a SAGBI basis for $A$ inside $M_{\alpha}^{A}.$ (Not necessary a
minimal one.)
* •
If $A$ has type $(s_{1},\ldots,s_{m})$ then to construct a minimal SAGBI basis
one should for each $s=s_{j}$ find a polynomial $p_{s}\in B$ of degree $s$ and
take $p_{s}-L(p_{s})g.$ If all $p_{s}$ are chosen inside $M_{\alpha}^{B}$ then
the obtained SAGBI basis will be inside $M_{\alpha}^{A}.$
###### Proof.
If $f(x)\in B$ then $L(f-L(f)g)=0,$ thus $f-L(f)g$ belongs to $A=\ker L.$ This
immediately proves the second statement because we get elements of degree
$s_{i}$ in $A$. To prove the first statement we need to find polynomials built
up from our basis elements of each degree $d\neq\deg g$ occurring in $B$. We
can express $d$ as the degree of some $g^{l}u$ where $u$ is a product of
$g_{j},$ where $j\neq i,$ but repetitions are allowed. Because each such
$g_{j}$ belongs to $M_{\alpha}^{A}$ the same is true for $u,$ so suppose that
$l>0.$ If $l\geq 2$ we can use $f_{2}^{a}f_{3}^{b}u$ where $l=2a+3b$ to get
the degree $d.$ At last if $l=1$ and $u=g_{j}v$ for some $g_{j}$ we can use
$h_{j}v$. ∎
Now we want to prove a theorem that can help to estimate $k_{\alpha}$ at least
in one special case.
###### Theorem 33.
Let $g$ be as in Theorem 32. If $L(g^{2})^{2}\neq L(g)L(g^{3})$ then
$k_{\alpha}^{A}\leq k_{\alpha}^{B}+1.$
If additionally $g$ does not belong to $\left(M_{\alpha}\right)^{2}$ then we
get a stronger inequality: $k_{\alpha}^{A}\leq k_{\alpha}^{B}.$
###### Proof.
Because $L(g)\neq 0$ we can replace $L$ by $L/L(g)$ and suppose WLOG that
$L(g)=1.$ We have that $L(g_{j})=0$ for all $j<i$. Subtracting $cg$ we can
WLOG suppose that $L(g_{j})=0$ for all $j\neq i$ (because this subtraction
does not change the degree). We can use the first part of the previous theorem
to get a SAGBI basis inside $M_{\alpha}.$
This means we have a generating set and need to study linear dependencies
between its elements modulo $\left(M_{\alpha}^{A}\right)^{2}.$ Let
$a=L(g^{3})$ and $b=L(g^{2}),$ so that $a\neq b^{2}$ and
$f_{3}=g^{3}-ag,f_{2}=g^{2}-bg.$ First we get two elements in
$\left(M_{\alpha}^{A}\right)^{2}:$
$f_{3}^{2}-f_{2}^{3}=(g^{3}-ag)^{2}-(g^{2}-bg)^{3}=$
$3bg^{5}-(2a+3b^{2})g^{4}+b^{3}g^{3}+a^{2}g^{2}.$
$3bf_{3}f_{2}-2af_{2}^{2}=3b(g^{3}-ag)(g^{2}-bg)-2a(g^{2}-bg)^{2}=$
$3bg^{5}-(3b^{2}+2a)g^{4}+abg^{3}+ab^{2}g^{2}.$
But their difference is equal to
$(b^{3}-ab)g^{3}+(a^{2}-ab^{2})g^{2}=(b^{2}-a)(bf_{3}-af_{2})$
and we get a first linear dependency between $f_{3}$ and $f_{2},$ because
$a\neq b^{2}$.
Let $c=L(gg_{j})$ and $j\neq i.$ Another element in
$\left(M_{\alpha}^{A}\right)^{2}$ is
$f_{3}g_{j}-f_{2}h_{j}-bf_{2}g_{j}=$
$(g^{3}-ag)g_{j}-(g^{2}-bg)(gg_{j}-cg)-b(g^{2}-bg)g_{j}=$
$cg^{3}+(b^{2}-a)gg_{j}-bcg^{2}=(b^{2}-a)h_{j}+cf_{3}-bcf_{2}$
which shows that $h_{j}$ is a linear combination of $f_{2},f_{3}$ modulo
$\left(M_{\alpha}^{A}\right)^{2}.$
If $g$ does not belong to $\left(M_{\alpha}\right)^{2}$ we can complete it by
some $g_{j}$ with $j\in J$ to form a basis in
$M_{\alpha}^{B}/\left(M_{\alpha}^{B}\right)^{2}.$ Then $k_{\alpha}^{B}=|J|+1.$
Otherwise, if $g$ belongs to $\left(M_{\alpha}\right)^{2}$, we have
$k_{\alpha}^{B}=|J|,$ where we suppose that $g_{j},j\in J$ form a basis in
$M_{\alpha}^{B}/\left(M_{\alpha}^{B}\right)^{2}.$
Thus to finish the proof it is sufficient to show that all elements in
$M_{\alpha}^{A}$ can be expressed modulo $\left(M_{\alpha}^{A}\right)^{2}$ as
a linear combination of $g_{j}$ with $j\in J$ and $f_{3},f_{2}.$ We have
already done this for $h_{j}$ and it remains to do it for any $g_{j}$ with
$j\neq i.$
First we prove that
$\left(M_{\alpha}^{B}\right)^{2}\subseteq
U=\mathbb{K}g+\mathbb{K}f_{2}+\mathbb{K}f_{3}+\left(M_{\alpha}^{A}\right)^{2}.$
Consider $\prod g_{j}$ with at least two factors. We use induction on the
number of factors equal to $g_{i}=g$ to prove that this product belongs to
$U.$
This is obvious if all $g_{j}$ are different from $i.$, so suppose that $g$ is
a factor. It is obvious for $g^{2}=f_{2}+bg$ as well. For $g_{i}g=h_{j}+cg$ it
follows from the fact that we can replace $h_{j}$ by linear combination of
$f_{2}$ and $f_{3}$ modulo $\left(M_{\alpha}^{A}\right)^{2}$. So we can
suppose that we have more than two factors and at least one of them is $g.$
If there are three factors we reduce this to two factors directly:
$g^{3}=f_{3}+ag;\quad g^{2}g_{j}=f_{2}g_{j}+bgg_{i};\quad
gg_{j}g_{j}^{\prime}=h_{j}g_{j^{\prime}}+cgg_{j^{\prime}}.$
Now we can suppose that we have at least four factors and at least one factor
is $g.$ If there is another factor $g$ we can replace $g^{2}$ by $f_{2}+bg$
and for both terms we can use induction. What remains is the case when $g$
appears only once. Then we have some factor $g_{j}$ and our product is written
as $gg_{j}u$ for some shorter product $u$ which does not contain $g.$ We can
replace $gg_{j}$ by $h_{j}+cg.$ Now it is immediate that $h_{j}u$ belongs to
$\left(M_{\alpha}^{A}\right)^{2}$ because $u$ does not contain any factor $g$
and we can use induction for $gu$.
Now we are ready to consider $g_{k}$ with $k\neq i.$ We can write it as
$ag+\sum_{j\in J}a_{j}g_{j}+m$ with $m\in\left(M_{\alpha}^{B}\right)^{2}.$
This means that $g_{k}$ can be written as
$ag+\sum_{j\in
J}a_{j}g_{j}+a^{\prime}g+b^{\prime}f_{2}+c^{\prime}f_{3}+m^{\prime}$
with $m^{\prime}\in\left(M_{\alpha}^{A}\right)^{2}.$ But $g_{k}\in A=\ker L$
so $a+a^{\prime}=0$ and we have finished our proof.
∎
###### Corollary 34.
If $L(g^{2})^{2}\neq L(g)L(g^{3})$, $g$ does not belong to
$\left(M_{\alpha}\right)^{2}$ and
$\dim\mathcal{D}_{\alpha}^{B}=k_{\alpha}^{B}$ then
$\dim\mathcal{D}_{\alpha}^{A}=k_{\alpha}^{A}.$
###### Proof.
We use the same notation as in the theorem. By the conditions there exist
$k_{\alpha}^{B}=1+|J|$ linearly independent $\alpha-$derivations in
$\mathcal{D}_{\alpha}^{B}$. If we apply them to the basis $g_{j}$ with $j\in
J$ and $g$ the corresponding determinant is different from zero. (Because any
$\alpha-$derivation annihilates $\left(M_{\alpha}^{B}\right)^{2}$.) The
restrictions of those derivations to $B$ belong to $\mathcal{D}_{\alpha}^{B}.$
If we apply them to the same $g_{j}$ with $j\in J$ and either $f_{2}$ (if
$b\neq 0$) or $f_{3}$ (if $b=0,a\neq 0$) we obtain a determinant where for
each derivation $D$ in the last row is replaced by $D(f_{2})$ or $D(f_{3}).$
Because $g\in M_{\alpha}$ for any $\alpha-$derivation $D$ we have
$D(g^{2})=D(g^{3})=0$ we get the same determinant multiplied by $a\neq 0$ or
by $b\neq 0.$ In each case $f_{2}$ or $f_{3}$ complete $g_{j}$ with $j\in J$
to a basis modulo $\left(M_{\alpha}^{B}\right)^{2}$ and we get that all our
chosen derivations are still linearly independent, which proves the statement.
Note that we automatically get $k_{\alpha}=k_{\beta}.$ ∎
Note that the conditions are essential because in general the difference
$k_{\alpha}^{A}-k_{\alpha}^{B}$ can be arbitrarily large. For example if
$\alpha$ does not belong to $C$ then for $B=A(C)$ we have $k_{\alpha}^{B}=1.$
If we add $\alpha$ to the spectrum with the condition
$f(\alpha)=f(\alpha_{1})$ we get $A(C\bigcup\alpha)$ and $k_{\alpha}^{A}=m+1$,
where $m$ is the size of $C$ (according to Theorem 29).
Here is another useful application of what we have learned about how the SAGBI
bases of $B$ and $A$ are related.
###### Theorem 35.
If $D$ is a trivial $\alpha-$derivation of an algebra $B$ (thus $\alpha$ does
not belong to its spectrum) and $A=\ker D,$ then all $\alpha-$derivations of
algebra $A$ can be written as
$f(x)\rightarrow af^{\prime\prime\prime}(\alpha)+bf^{\prime\prime}(\alpha).$
###### Proof.
By Theorem 21 we can suppose that $D(f)=f^{\prime}(\alpha)$ and
$k_{\alpha}^{B}=1.$ Then $f\rightarrow f^{(k)}(\alpha)$ for $k=2,3$ are two
derivations and it is sufficient to prove that they are linearly independent
and that $k_{\alpha}^{A}\leq 2.$ The linear independence is obvious if we
restrict those maps to $g^{2},g^{3}$ only so let us concentrate on the
inequality.
As usual we choose a SAGBI basis $\\{g_{j}\\}$ in $M_{\alpha}^{B}$ such that
$g=g_{i}$, $D(g_{i})=1$ and $D(g_{j})=0$ for $j\neq i.$ Because
$k_{\alpha}^{B}=1$ we have that
$M_{\alpha}^{B}=\mathbb{K}g+\left(M_{\alpha}^{B}\right)^{2}.$
In particular for $j\neq i$ we have
$g_{j}\in\left(M_{\alpha}^{B}\right)^{2}.$
Indeed,
$g_{j}=cg+m,\ m\in\left(M_{\alpha}^{B}\right)^{2}\Rightarrow$
$0=D(g_{j})=c+D(m)=c+0\Rightarrow c=0.$
Using the fact that $D(g^{2})=D(g^{3})=D(gg_{j})=0$ we get by the first part
of Theorem 32 that $M_{\alpha}^{A}$ is generated by $g^{2},g^{3},g_{j},gg_{j}$
with $j\neq i.$ Note that all those elements belong to
$\left(M_{\alpha}^{B}\right)^{2}.$ Since both
$\left(M_{\alpha}^{B}\right)^{2}$ and $M_{\alpha}^{A}$ have codimension one in
$M_{\alpha}^{B}$ we conclude that
$M_{\alpha}^{A}=\left(M_{\alpha}^{B}\right)^{2}.$
Next we want to study which of the products $p=\Pi g_{j}$ with at least two
elements that belong to $\left(M_{\alpha}^{A}\right)^{2}.$ It depends on the
number of factors $g_{j}$ that equal $g=g_{i}.$ If there is no factor $g$ then
$p\in\left(M_{\alpha}^{A}\right)^{2}.$ This also holds if at least four
factors equal $g$, because $g^{n}$ with $n\geq 4$ can be written as a product
of $g^{2}$ and $g^{3}.$
If $p=g^{3}u$ or $p=g^{2}u$ where $u$ does not contain $g$, the only exception
is $p=g^{3}$ and $p=g^{2},$ because otherwise $u\in M_{\alpha}^{A}.$
At last if $p=gu$ then $u=g_{j}v$ and the only exception is $p=gg_{j}.$ In all
other cases $p=(gg_{j})v\in\left(M_{\alpha}^{A}\right)^{2}.$
Because the products $\Pi g_{j}$ span $\left(M_{\alpha}^{B}\right)^{2}$ we
conclude that
$\left(M_{\alpha}^{B}\right)^{2}\subseteq\mathbb{K}g^{2}+\mathbb{K}g^{3}+\sum_{j\neq
i}\mathbb{K}gg_{j}+\left(M_{\alpha}^{A}\right)^{2}.$ (6.1)
We know that $g_{k}\in\left(M_{\alpha}^{B}\right)^{2}$ for $k\neq i$. As a
result
$gg_{k}\in\mathbb{K}g^{3}+\mathbb{K}g^{4}+\sum_{j\neq
i}\mathbb{K}g^{2}g_{j}+g\left(M_{\alpha}^{A}\right)^{2}.$
Using the facts that
$g^{4}=(g^{2})^{2},(g^{2})g_{j}\in\left(M_{\alpha}^{A}\right)^{2}$ and
$gM_{\alpha}^{A}\subseteq M_{\alpha}^{A}$ we find that
$gg_{k}\in\mathbb{K}g^{3}+\left(M_{\alpha}^{A}\right)^{2}.$
Applying this for $k=j$ in (6.1) we can improve this to
$M_{\alpha}^{A}=\left(M_{\alpha}^{B}\right)^{2}\subseteq\mathbb{K}g^{2}+\mathbb{K}g^{3}+\left(M_{\alpha}^{A}\right)^{2}.$
From this it is clear that
$k_{\alpha}^{A}=\dim M_{\alpha}^{A}/\left(M_{\alpha}^{A}\right)^{2}\leq 2.$
∎
### 6.2 $\beta-$derivations
Let us go back to our plan to prove that $k_{\alpha}=\dim D_{\alpha}.$ Using
Theorem 31 and semi-commutativity we can suppose that $A$ is obtained from $B$
by some $\beta-$derivation $D$. Let us first concentrate on the important case
when $\alpha$ is not equivalent to $\beta.$ Using the same notation as in
section 6.1 with $L=D$ we can suppose WLOG that $D(g)=1$. By Theorem 32 we
have a SAGBI basis $g_{j},f_{2},f_{3},h_{j}$ for $A.$
###### Theorem 36.
Suppose that $g(\beta)=0.$ If $\dim\mathcal{D}_{\alpha}^{B}=k_{\alpha}^{B}$
then $\dim\mathcal{D}_{\alpha}^{A}=k_{\alpha}^{A}.$
###### Proof.
We obtain directly that
$D(g^{2})=D(g^{3})=0;$
$D(gg_{j})=g(\beta)D(g_{j})+D(g)g_{j}(\beta)=g_{j}(\beta)=c_{j},$
$f_{2}=g^{2},f_{3}=g^{3},h_{j}=gg_{j}-c_{j}g.$
Because $\alpha$ is not equivalent to $\beta$ we should have
$c_{k}=g_{k}(\beta)\neq 0$ for some $k\neq i.$ Taking $g_{k}$ to be of minimal
degree with this property we can subtract $d_{j}g_{k}$ from $g_{j}$ for
suitable constant $d_{j}$. Therefore we can suppose WLOG that
$c_{j}=g_{j}(\beta)=0$ for $j\neq k.$ Then we have for $j\neq i,k$ that
$h_{j}=gg_{j}$ and
$h_{j}g_{k}-h_{k}g_{j}=gg_{j}g_{k}-\left(gg_{k}-c_{k}g\right)g_{j}=c_{k}gg_{j}=c_{k}h_{j},$
which means that all $h_{j}$ with $j\neq i,k$ are equal to zero modulo
$\left(M_{\alpha}^{A}\right)^{2}$. Moreover:
$f_{3}g_{k}-f_{2}h_{k}=g^{3}g_{k}-g^{2}\left(gg_{k}-c_{k}g\right)=c_{k}g^{3},$
$h_{k}^{2}-f_{2}g_{k}^{2}+2c_{k}g_{k}f_{2}=\left(gg_{k}-c_{k}g\right)^{2}-g^{2}g_{k}^{2}+2c_{k}g_{k}g^{2}=c_{k}^{2}g^{2},$
thus $f_{3}=g^{3}$ and $f_{2}=g^{2}$ also equal zero modulo
$\left(M_{\alpha}^{A}\right)^{2}$.
Next we want to prove that for any $u\in M_{\alpha}^{B}$ we have
$gu\in u(\beta)g+\frac{u(\beta)}{c_{k}}h_{k}+\left(M_{\alpha}^{A}\right)^{2}.$
(6.2)
It is sufficient to prove this for $u=\Pi g_{j}$ and we use induction on the
number of elements in the product. If we have only one element in the product
then we consider two cases. For $u=g_{k}$ we have
$gg_{k}=c_{k}g+h_{k}=g_{k}(\beta)g+\frac{g_{k}(\beta)}{c_{k}}h_{k}.$
For $u=g_{j}$ with $j\neq k$ we have $u(\beta)=0$ and using that
$g^{2},gg_{j}=h_{j}$ are equal to zero modulo
$\left(M_{\alpha}^{A}\right)^{2}$ we confirm (6.2).
Now suppose that we have at least two factors in $u.$ If $g$ is one of the
factors then $gu=g^{l}v$ where $l\geq 2$ and $v$ does not contain $g.$ Writing
$l=2a+3b$ and using that $g^{2},g^{3}\in\left(M_{\alpha}^{A}\right)^{2}$ we
get that $gu\in\left(M_{\alpha}^{A}\right)^{2}.$ Because $u(\beta)=0$ we
confirm (6.2) in this case.
So, suppose that there are no factors $g$ in $u.$
If one factor is $g_{j}$ with $j\neq k$ then $u(\beta)=0$ and simultaneously
$gg_{j}$ is equal to zero modulo $\left(M_{\alpha}^{A}\right)^{2},$ and we get
(6.2) also in this case. It only remains to consider the case when
$u=g_{k}^{l}$ with $l\geq 2.$ In this case we get
$gu=gg_{k}^{l}=h_{k}g_{k}^{l-1}+c_{k}gg_{k}^{l-1}.$
The first summand belongs to $\left(M_{\alpha}^{A}\right)^{2},$ and for the
second we can use induction to see that
$gu\in
c_{k}g_{k}(\beta)^{l-1}g+c_{k}\frac{g_{k}(\beta)^{l-1}}{{c_{k}}}h_{k}+\left(M_{\alpha}^{A}\right)^{2}=$
$g_{k}(\beta)^{l}g+\frac{g_{k}(\beta)^{l}}{{c_{k}}}h_{k}+\left(M_{\alpha}^{A}\right)^{2},$
which finishes the proof of (6.2).
As result we get the inclusion
$\left(M_{\alpha}^{B}\right)^{2}\subseteq
U=\mathbb{K}g+\mathbb{K}h_{k}+\left(M_{\alpha}^{A}\right)^{2}.$ (6.3)
Indeed, if the product $\Pi g_{j}$ contains at least two factors and none of
them is $g$ it is obvious because all other $g_{j}$ belong to
$M_{\alpha}^{A}.$ For the remaining products it follows from (6.2).
Now we are ready to estimate the dimensions.
Suppose first that $g$ does not belong to $\left(M_{\alpha}^{B}\right)^{2}$.
We can complete it by some $g_{j}$s with $j\in J$ to form a basis in
$M_{\alpha}^{B}/\left(M_{\alpha}^{B}\right)^{2}.$ Then $k_{\alpha}^{B}=|J|+1.$
We want to prove that $g_{j}$ with $j\in J$ together with $h_{k}$ span
$M_{\alpha}^{A}$ modulo $\left(M_{\alpha}^{A}\right)^{2}.$ We already know
that $h_{j}$ and $f_{2},f_{3}$ can be obtained and it remains to show that the
same is true for $g_{j}$ with $j\neq i.$ We know $g_{j}$ can be written as
$ag+\sum_{j\in J}a_{j}g_{j}+m$ with $m\in\left(M_{\alpha}^{B}\right)^{2}.$
Using (6.3) we obtain that $g_{j}$ can be written as
$ag+\sum_{j\in J}a_{j}g_{j}+a^{\prime}g+b^{\prime}h_{k}+m^{\prime}$
with $m^{\prime}\in\left(M_{\alpha}^{A}\right)^{2}.$ But $g_{j}\in A=\ker L$
thus $a+a^{\prime}=0$ and we have finished the proof which shows that
$k_{\alpha}^{A}\leq|J|+1=\dim k_{\alpha}^{A}.$
To obtain that this number equals $\dim\mathcal{D}_{\alpha}^{A}$ as well we
can use the same argument as in the proof of corollary 34, but an even easier
ways is to note that $h_{k}$ can replace $g$ in the basis of
$M_{\alpha}^{B}/\left(M_{\alpha}^{B}\right)^{2}.$ Thus the linear independence
of the derivatives remains since it can be checked on the same set of
polynomials.
Let us now consider the remaining case when $g$ belongs to
$\left(M_{\alpha}^{B}\right)^{2}$. This means that $g=gu+m$ with $u\in
M_{\alpha}^{B}$ and $m\in\left(M_{\alpha}^{A}\right)^{2},$ where $m$ is the
sum of all terms which does not contain $g_{i}.$ Then
$1=D(g)=D(g)u(\beta)+g(\beta)D(u)+D(m)=$ $1\cdot u(\beta)+0\cdot
D(u)+0=u(\beta).$
Now inclusion (6.2) results in
$g=u(\beta)g+\frac{u(\beta)}{c_{k}}h_{k}+m^{\prime}=g+\frac{1}{c_{k}}h_{k}+m^{\prime}$
with $m^{\prime}\in\left(M_{\alpha}^{A}\right)^{2}.$ We get that
$h_{k}\in\left(M_{\alpha}^{A}\right)^{2}.$ Thus $g_{j}$ with $j\neq i$ span
$M_{\alpha}^{A}$ modulo $\left(M_{\alpha}^{A}\right)^{2}$. We chose $g_{j}$
with $j\in J$ that form a basis for
$M_{\alpha}^{B}/\left(M_{\alpha}^{B}\right)^{2}$ and note that $J$ does not
contain $i$ because $g=g_{i}$ is zero modulo
$\left(M_{\alpha}^{B}\right)^{2}$. We want to show that each element of
$M_{\alpha}^{A}$ can be obtained modulo $\left(M_{\alpha}^{A}\right)^{2}$
using these basis elements. It is sufficient to check this for $g_{k}$ with
$k\neq i.$ We simply repeat the above argument.
Using (6.3) we find that $g_{k}$ can be written as
$ag+\sum_{j\in J}a_{j}g_{j}+a^{\prime}g+b^{\prime}h_{k}+m^{\prime}$
with $m^{\prime}\in\left(M_{\alpha}^{A}\right)^{2}.$ But $g_{k}\in A=\ker L$
thus $a+a^{\prime}=0.$ In addition we know that
$h_{k}\in\left(M_{\alpha}^{A}\right)^{2}$ and this finishes the proof which
shows that $k_{\alpha}^{A}\leq|J|=\dim k_{\alpha}^{B}.$
To show that this number equals $\dim\mathcal{D}_{\alpha}^{A}$ as well we
simply note that we already have that many derivations in
$\mathcal{D}_{\alpha}^{B}$ and the linear independence of the derivations
remains as it can still be checked on the same set of polynomials.
∎
###### Corollary 37.
Suppose that $D(g^{2})^{2}=D(g)D(g^{3}).$ If
$\dim\mathcal{D}_{\alpha}^{B}=k_{\alpha}^{B}$ then
$\dim\mathcal{D}_{\alpha}^{A}=k_{\alpha}^{A}.$
###### Proof.
WLOG $D(g)=1.$ It now follows from the assumption that
$(2g(\beta))^{2}=3g(\beta)^{2}\Rightarrow g(\beta)=0.$
∎
The above Corollary holds also in the case when $L(f)=f(\beta)-f(\gamma)$
instead of a derivation. The proof is similar and we leave it out.
In Theorem 29 we gave a description of the subalgebras with just one cluster
and no derivations among its subalgebra conditions. We are now ready to move
one step up in complexity.
###### Theorem 38.
Let $A$ be a subalgebra of codimension $m$ that is defined by the conditions
$f(\alpha_{1})=f(\alpha_{2})=\cdots f(\alpha_{m}),\quad f^{\prime}(\beta)=0.$
with $\beta\neq\alpha_{i}.$ Let $p(x)=(x-\alpha_{1})\cdots(x-\alpha_{m}).$
* •
if $p^{\prime}(\beta)\neq 0$ then $T(A)=(m+1,m+2,\ldots,2m+1)$ and a SAGBI
basis is given by
$p(x)[(x-\beta)p^{\prime}(\beta)-p(\beta)];$ $p(x)(x-\beta)^{k},\quad
k=2,3,\ldots,m+1.$
* •
if $p^{\prime}(\beta)=0$ then
$T(A)=(m,m+2,m+3,\ldots,2m-1,2m+1)$
(all integers in the interval $[m,2m+1]$ except $m+1$ and $2m$) and a SAGBI
basis is given by
$p(x),\quad p(x)(x-\beta)^{k},\quad k=2,3,\ldots,m-1,m+1.$
* •
For each $\alpha=\alpha_{i}$ any $\alpha-$derivation can be written as
$f\rightarrow\sum c_{j}f^{\prime}(\alpha_{j}).$
* •
Any $\beta-$derivation has the form
$D(f)=af^{\prime\prime}(\beta)+bf^{\prime\prime\prime}(\beta).$
* •
For $\alpha\neq\alpha_{i},\alpha\neq\beta$ any $\alpha-$derivation can be
written as $f\rightarrow cf^{\prime}(\alpha).$
* •
The subalgebra $A$ satisfies Conjecture 4.
###### Proof.
The algebra $A$ is obtained from $B=A(C)$ by adding the condition
$L(f)=f^{\prime}(\beta)=0$ so it is natural to use Theorem 29 as a starting
point. But we choose our SAGBI basis in $M_{\alpha}^{B}$ as
$g_{k}=(x-\beta)^{k}p(x),\quad k=0,\ldots m-1.$
Note first that for $k\geq 2$ we get $g_{k}\in\ker L=A.$ Moreover
$L(g_{1})=(p(x)(x-\beta))^{\prime}|_{x=\beta}=p(\beta)\neq 0.$
So, if we need to choose $g$ to apply corollary 34 or 37 we get either
$g=g_{0}=p$ (if $p^{\prime}(\beta)\neq 0$) or $g=g_{1}$ (if
$p^{\prime}(\beta)=0$). This explains why there are alternative SAGBI bases.
We only need to verify that the conditions for one of the corollaries are
satisfied. Indeed, $g\not\in M_{\alpha}^{2}$ because it is not divisible by
$(x-\alpha)^{2}.$ So, if $L(g^{2})^{2}=L(g)L(g^{3})$ we can apply corollary
37, otherwise corollary 34.
The last step that $k_{\beta}^{A}=2$ follows from Theorem 35. ∎
We finish this chapter by formulating a more general conjecture regarding what
derivations we have in the intersection of two subalgebras.
###### Conjecture 5.
Let $A_{1},A_{2}$ be two subalgebras of finite codimension such that their
spectra have no common elements. Then the set of derivations of
$A=A_{1}\bigcap A_{2}$ is the union of the derivations in $A_{1}$ and $A_{2}$
(restricted to $A$).
## Chapter 7 Classifications
Let us see how the method described in section 5.2 can be realised starting
with subalgebras of codimension one and moving step by step to higher
codimensions.
### 7.1 Subalgebras of codimension one
For codimension one we start from $\mathbb{K}[x]$ (which has $x$ as SAGBI
basis and from which we can get $A$ either by the condition
$f^{\prime}(\alpha)=0$ or by the condition $f(\alpha)=f(\beta)).$ We now get
Theorem 3 without any effort thanks to Theorem 5. Now we want to prepare for
the next codimension and for this we need to find SAGBI bases and derivations
for the different subalgebras of codimension one. We obviously have that
$\mathcal{D}_{\alpha}$ contains
$f^{\prime\prime}(\alpha),f^{\prime\prime\prime}(\alpha)$ in the first case
and $f^{\prime}(\alpha),f^{\prime}(\beta)$ in the second case.
Because $k_{\alpha}$ and $k_{\beta}$ are not greater than the number of
generators, which equals two, Conjecture 4 is obviously valid and we have
found all nontrivial derivations.
Type $(2,3)$ is the only possible semigroup of degrees, so an easy way to
construct a SAGBI basis is to use the second part of Theorem 32. An even more
convenient way in this case is to use Theorem 27 to get the basis directly. We
will however, in order to practice using our algorithm to get a SAGBI basis
inside $M_{\alpha}$, use the first part of Theorem 32 instead.
First we choose $g=x-\alpha$ as a single-element SAGBI basis of
$\mathbb{K}[x]$ inside $M_{\alpha}$. We let $L:f\rightarrow
f^{\prime}(\alpha)$ in the first alternative and
$L:f\rightarrow\frac{f(\alpha)-f(\beta)}{\alpha-\beta}$
in the second alternative to get $L(g)=1$ (while we still have $A=\ker L$).
Now, according Theorem 32 the elements $g^{k}-L(g^{k})g$ for $k=2,3$ form the
desired SAGBI bases. We get $L(g^{k})=0$ and
$(x-\alpha)^{2},(x-\alpha)^{3}$
as the SAGBI basis for the first alternative, that is when $L$ is a
derivation. For the second alternative we get
$f_{k}=(x-\alpha)^{k}-\frac{0-(\beta-\alpha)^{k}}{\alpha-\beta}(x-\alpha).$
For $k=2$ this results in
$f_{2}=(x-\alpha)\left(x-\alpha-(\alpha-\beta)\right)=(x-\alpha)(x-\beta).$
and for $k=3$ we get
$f_{3}=(x-\alpha)\left((x-\alpha)^{2}-(\alpha-\beta)^{2}\right)=(x-\alpha)(x-\beta)(x-2\alpha+\beta).$
Adding $(\alpha-\beta)f_{2}$ to $f_{3}$ we get an even nicer SAGBI basis:
$(x-\alpha)(x-\beta);(x-\alpha)^{2}(x-\beta).$
The last thing that we formally need to do to finish the proof of Conjecture 4
for codimension one is to check that the found derivations in
$\mathcal{D}_{\alpha}$ are linearly independent. Even if that is quite obvious
here we want to show how to do it. We simply find the values of the
derivations on equally many elements of the SAGBI basis and calculate the
determinant. In the first case, for our derivations
$f^{\prime\prime}(\alpha),f^{\prime\prime\prime}(\alpha)$, we get
$\left|\begin{array}[]{cc}2&0\\\ 0&6\\\ \end{array}\right|\neq 0.$
In the second case, for $f^{\prime}(\alpha),f^{\prime}(\beta)$, we get
$\left|\begin{array}[]{cc}\alpha-\beta&\beta-\alpha\\\ 0&(\beta-\alpha)^{2}\\\
\end{array}\right|=(\alpha-\beta)^{3}\neq 0.$
### 7.2 Subalgebras of codimension two
We now turn to subalgebras of codimension two. By Theorem 5 they can be
obtained by applying one extra condition to a subalgebra $B$ of codimension
one. This means we need to study how those conditions look. In the case when
the extra condition is $f(\alpha)=f(\beta)$ we simply add one or two elements
to the spectrum and obtain the algebra $A.$ This is an easy case. A more
difficult case is when we need to describe a kernel of some derivation. But
since we already have proven Conjecture 4 for codimension one we know the
derivations in each of the two cases considered above. Thus we are prepared to
make a classification of all codimension two subalgebras:
###### Theorem 39.
Let $A$ be a subalgebra of codimension two. Then it is either type $(2,5)$ or
type $(3,4,5)$. The spectrum contains $s\leq 4$ elements and depending on $s$
we have the following possibilities:
s=1
$A=\\{f(x)|f^{\prime}(\alpha)=0;af^{\prime\prime}(\alpha)+bf^{\prime\prime\prime}(\alpha)=0\\}.$
If $a=0,b\neq 0$ then $T(A)=(2,5)$ and if $a\neq 0$ then $T(A)=(3,4,5).$
s=2
$A=\\{f(x)|f(\alpha)=f(\beta);af^{\prime}(\alpha)+bf^{\prime}(\beta)=0\\}.$
If $a=b\neq 0$ then $T(A)=(2,5)$ and if $a\neq b$ then $T(A)=(3,4,5).$
s=2
$A=\\{f(x)|f^{\prime}(\alpha)=f^{\prime}(\beta)=0\\}.$
In this case $T(A)$ is always $(3,4,5).$
s=3
$A=\\{f(x)|f(\alpha)=f(\beta);f^{\prime}(\gamma)=0\\};$
If $\alpha+\beta=2\gamma$ then $T(A)=(2,5),$ and if $\alpha+\beta\neq 2\gamma$
then $T(A)=(3,4,5).$
s=3
$A=\\{f(x)|f(\alpha)=f(\beta)=f(\gamma)\\}.$
In this case $T(A)$ is always $(3,4,5).$
s=4
$A=\\{f(x)|f(\alpha)=f(\beta);f(\gamma)=f(\delta)\\}.$
If $\alpha+\beta=\gamma+\delta$ then $T(A)=(2,5)$ and if
$\alpha+\beta\neq\gamma+\delta$ then $T(A)=(3,4,5)$.
Here $\alpha,\beta,\gamma,\delta$ are different elements of the spectrum.
###### Proof.
We know that the spectrum has at most four elements. We start with the case
where there are no derivations in the subalgebra conditions. Either we have
two clusters and get the only case with $s=4$ or we have only one cluster of
size $3$ and get the second case with $s=3.$
If some $\gamma-$derivation is used then by semi-commutativity we can suppose
that it was added to a subalgebra of codimension one. If $\gamma$ was not in
the spectrum of this codimension one subalgebra, then $\gamma$ is a trivial
derivation $f\rightarrow f^{\prime}(\gamma)$ and we get either the second case
with $s=2$ (with $\gamma=\beta$) or the first case with $s=3.$
At last if $\gamma$ belongs to the spectrum we can WLOG suppose that
$\gamma=\alpha$ and use that we know all $\alpha-$derivations. We get cases
with $s=1,2.$
It is easy to check that $(2,5)$ and $(3,4,5)$ are the only choices for the
numerical semigroup of degrees. To see which choice is valid we only need to
check if the element of degree $2$ in the SAGBI basis satisfies the added
condition. If so we get type $(2,5)$, otherwise type $(3,4,5)$. Alternatively
we can use Theorem 28 which tells us exactly when $T(A)=(2,5)$.
∎
### 7.3 General plan for classifying Subalgebras of codimension three
Our plan now is to prove the main conjecture for subalgebras $A$ of
codimension three and get descriptions of them including SAGBI bases. It is
not hard to verify that there are exactly four numerical semigroups of genus
three:
$(2,7),\quad(3,4),\quad(3,5,7),\quad(4,5,6,7).$
Thus the type of a subalgebra of codimension three must be one of these four
listed types.
We use our natural way to get $A$ from a subalgebra $B$ of codimension two
using Theorem 5. So let $A$ be obtained from $B$ by a condition $L=0.$
We choose a SAGBI basis $\\{g_{i}\\}$ in $B$ and choose $g$ from this basis of
minimal degree among the basis elements with $L(g)\neq 0.$ Subtracting
suitable multiples $d_{j}g$ from each $g_{j}$ we can suppose that $L(g_{j})=0$
for all other elements in the basis. Thus all of them belong to $A$ and can be
chosen as a part of SAGBI basis of $A.$
To detect the type and complete them to a SAGBI basis in $A$ we have the
following alternatives.
If $T(B)=(2,5)$ and $\deg g=2$ then $T(A)=(4,5,6,7)$ because $A$ has no
elements of degree $3.$ If $g_{2}\in\ker L$ is the remaining element in the
SAGBI basis then the SAGBI basis of $A$ consists of
$L(g)g^{2}-L(g^{2})g,\,g_{2},\,L(g)g^{3}-L(g^{3})g,\,L(g)gg_{2}-L(gg_{2})g.$
If $T(B)=(2,5)$ and $\deg g=5$ then $T(A)=(2,7)$ and we can use Theorem 27.
Now suppose $T(B)=(3,4,5)$ and $\deg g_{i}=i+2$ for $i=1,2,3.$
If $g_{1},g_{2}\in\ker L$ then $T(A)=(3,4)$ and they form a SAGBI basis.
If $g_{1},g_{3}\in\ker L,$ but $g=g_{2}\not\in\ker L$ then $T(A)=(3,5,7)$ and
$g_{1},\,g_{3},\,L(g)gg_{3}-L(gg_{3})g$
form a SAGBI basis.
At last, if $g=g_{1}\not\in\ker L,$ but $g_{2},g_{3}\in\ker L$ then
$T(A)=(4,5,6,7)$ and
$g_{2},\,g_{3},\,L(g)g^{2}-L(g^{2})g,\,L(g)gg_{2}-L(gg_{2})g$
form a SAGBI basis.
To realise this plan we first need to prove Conjecture 4 for codimension two
and find the corresponding SAGBI bases. After that we will be able to give a
more detailed classification. In many case we can find elements in a SAGBI
bases for $A$ explicitly.
Each size of the spectrum, $s=|Sp(A)|$, is considered separately, but a common
approach is the following. Either $A$ is obtained without derivations (this is
possible when $s\geq 4$ only) and we can use Theorem 31 or we can use semi-
commutativity and suppose that $L$ is some $\alpha-$derivation. If so we have
that $|Sp(A)-Sp(B)|\leq 1.$ If we have equality then $\alpha\not\in Sp(B)$ and
$L$ is a trivial derivation.
### 7.4 Derivations of subalgebras of type $(2,2k+1)$
In this section we will to study $B$ with $T(B)=(2,5).$ But in fact we can get
a more general, for type $(2,2k+1)$ with $k\geq 1$, without much extra work,
since we already have a full description of such algebras (including their
SAGBI basis) in Theorem 27. We will use the same notations as in this theorem.
As we already mentioned it is sufficient to prove Conjecture 4 for the
elements in the spectrum, so start from $\alpha_{i}$ with $i\leq 1.$ We only
have two elements in SAGBI basis, and as a consequence we have
$k_{\alpha_{i}}\leq 2$. Thus it is sufficient to find two derivations in
$\mathcal{D}_{\alpha_{i}}.$ One of them is obviously $f(x)\rightarrow
f^{\prime}(\alpha_{i})$. Another one is
$D:f(x)\rightarrow
f^{(m_{i}+1)}(\alpha_{i})-(-1)^{m_{i}+1}f^{(m_{i}+1)}(\beta_{i}).$
Indeed,
$(fg)^{(m_{i}+1)}(\alpha_{i})=$
$f^{(m_{i}+1)}(\alpha_{i})g(\alpha_{i})+f(\alpha_{i})g^{(m_{i}+1)}(\alpha_{i})+$
$\sum_{j=1}^{m}\binom{m_{i}+1}{j}f^{(m_{i}+1-j)}(\alpha_{i})g^{(j)}(\alpha_{i})=$
$f^{(m_{i}+1)}(\alpha_{i})g(\alpha_{i})+f(\alpha_{i})g^{(m_{i}+1)}(\alpha_{i})+$
$\sum_{j=1}^{m}(-1)^{m_{i}+1-j+j}\binom{m_{i}+1}{j}f^{(m_{i}+1-j)}(\beta_{i})g^{(j)}(\beta_{i}).$
On the other hand
$(-1)^{m_{i}+1}(fg)^{(m_{i}+1)}(\beta_{i})=$
$(-1)^{m_{i}+1}f^{(m_{i}+1)}(\beta_{i})g(\alpha_{i})+f(\alpha_{i})(-1)^{m_{i}+1}g^{(m_{i}+1)}(\beta_{i})+$
$\sum_{j=1}^{m}(-1)^{m_{i}+1}\binom{m_{i}+1}{j}f^{(m_{i}+1-j)}(\beta_{i})g^{(j)}(\beta_{i})$
and we see that $D(fg)=D(f)g(\alpha_{i})+f(\alpha_{i})D(g).$
To check that those two derivations are linearly independent is sufficient to
check their values on the SAGBI basis. We skip the details and restrict
ourselves to the observation that
$(\alpha_{i}-\alpha_{0})^{2m_{0}+1}(\alpha_{i}-\beta_{i})^{m_{i}+1}=-(-1)^{m_{i}+1}(\beta_{i}-\alpha_{0})^{2m_{0}+1}(\beta_{i}-\alpha_{i})^{m_{i}+1}$
and $\alpha_{i}-\beta_{j}=\alpha_{j}-\beta_{i}.$
The situation with $\beta_{i}$ is similar and it only remains to look at
$\alpha_{0}$ (when $m_{0}>1.$) Again one derivation $f(x)\rightarrow
f^{\prime\prime}(\alpha_{0})$ is trivial. We here get the second derivation as
$D:f(x)\rightarrow f^{(2m_{0}+1)}(\alpha_{0})$. To verify that it is a
derivation we use that $2m_{0}+1$ is odd and all smaller odd derivatives are
already zero. Linear independence between those two derivations is easy to
check.
### 7.5 SAGBI bases and derivations of subalgebras of type $(3,4,5)$
It now remains to prove Conjecture 4 for algebras of type $(3,4,5).$ Again the
only interesting case is when $\alpha$ belongs to the spectrum. When we have
only one cluster the conjecture follows from Theorem 14. The case $s=4$ is
covered by Theorem 31. Only two cases remain.
The case where $s=2$ and $A$ is defined by the condition
$f^{\prime}(\alpha)=f^{\prime}(\beta)=0$
is attacked in a straightforward way - the condition means that $f\rightarrow
f^{\prime}(\alpha)$ does not work as it equals zero, but higher derivatives
work well. Let $D_{1}:f\rightarrow f^{\prime\prime}(\alpha)$,
$D_{2}:f\rightarrow f^{(3)}(\alpha)$. We first confirm that these are in fact
$\alpha$-derivations:
$\displaystyle D_{1}(fg)$
$\displaystyle=f^{\prime\prime}(\alpha)g(\alpha)+2f^{\prime}(\alpha)g^{\prime}(\alpha)+f(\alpha)g^{\prime\prime}(\alpha)$
$\displaystyle=f^{\prime\prime}(\alpha)g(\alpha)+f(\alpha)g^{\prime\prime}(\alpha)$
$\displaystyle=D_{1}(f)g(\alpha)+f(\alpha)D_{1}(g)$
and similarly for $D_{2}$ since any terms containing $f^{\prime}(\alpha)$
vanish due to the condition on $A.$ Next, we show that $k_{\alpha}\leq 2$. To
do this, pick a SAGBI basis in $M_{\alpha}$:
$\displaystyle q=$ $\displaystyle(x-\alpha)^{2}(2x+\alpha-3\beta)$
$\displaystyle p=$ $\displaystyle(x-\alpha)^{2}(x-\beta)^{2}$ $\displaystyle
r=$ $\displaystyle(x-\alpha)^{3}(x-\beta)^{2}.$
It is obvious that
$q^{\prime}(\alpha)=p^{\prime}(\alpha)=r^{\prime}(\alpha)=0$, and easy to
verify that $q^{\prime}(\beta)=p^{\prime}(\beta)=r^{\prime}(\beta)=0$. Then,
by subduction, we find the relation
$\displaystyle
4\left(4p^{2}-2rq-(\alpha-\beta)pq\right)-(\alpha-\beta)^{2}q^{2}$
$\displaystyle=4(\beta-\alpha)^{3}r+3(\beta-\alpha)^{4}p+(\beta-\alpha)^{5}q$
and applying any $\alpha$-derivation $D$ to this relation gives
$4(\beta-\alpha)^{3}Dr+3(\beta-\alpha)^{4}Dp+(\beta-\alpha)^{5}Dq=0.$
Since $\alpha\neq\beta$ this means $Dq$, $Dp$, $Dq$ are linearly dependent and
hence $k_{\alpha}\leq 2$. Thus $D_{1}$, $D_{2}$ are sufficiently many
$\alpha$-derivations and we are done because they are obviously linearly
independent. (Check from their values on the SAGBI basis!)
It remains to consider the subalgebras
$\\{f(x)|f(\alpha)=f(\beta),f^{\prime}(\gamma)=0\\}.$
with $\alpha+\beta\neq 2\gamma.$ But here we can apply Theorem 38.
From the theorem we also get a SAGBI basis for the algebra, but it is also
quite easy to find the basis directly in the following way: As elements of
degree four and five we can choose
$(x-\alpha)(x-\beta)(x-\gamma)^{i},\\.{i}=2,3.$
For degree three we take
$(x-\alpha)(x-\beta)\left(x-\frac{3\gamma^{2}-2(\alpha+\beta)\gamma+\alpha\beta}{2\gamma-\beta-\alpha}\right).$
The only thing we need to compute in order to check that this is in fact a
SAGBI basis is the derivative in $\gamma$ of the basis element of degree
three. In almost all cases we can easily describe the possible derivations,
but we need to do more work when higher derivatives are involved.
Note first that we can easily describe the derivations for any monomial
algebra: if $A=\langle(x-\alpha)^{s},s\in S\rangle$ where $S$ is a semigroup
and $\\{s_{1},s_{2},\ldots s_{k}\\}$ a minimal generating set of $S$. Then all
the maps $D_{s_{i}}:f(x)\rightarrow f^{(s_{i})}(\alpha)$ are derivations. A
SAGBI basis for $A$ is given by
$\\{(x-\alpha)^{s_{1}},(x-\alpha)^{s_{2}},\ldots,(x-\alpha)^{s_{k}}\\}$
and by applying the derivations to the elements of the SAGBI basis we find
that they are independent.
Next we need to study the case $s=1$ more carefully, so let $A$ be an algebra
with a single element $\alpha$ in the spectrum. WLOG we can suppose that
$\alpha=0.$ We know according to Theorem 39 that $A$ is defined by the
conditions
$f^{\prime}(0)=af^{\prime\prime}(0)+bf^{\prime\prime\prime}(0)=0,a\neq 0.$ If
$b=0$ we get a monomial case, otherwise we can suppose (in order to get a nice
SAGBI basis) that $b\neq 0,a=3,$ thus
$bf^{\prime\prime\prime}(0)+3f^{\prime\prime}(0)=0.$
Then we can choose $p=x^{4},q=x^{3}-bx^{2},r=x^{5}.$ as generators of $A$.
Note that
$p^{2}-rq-bpq=bx^{7}-bpq=b^{2}x^{6}=b^{2}(q^{2}+2br-b^{2}p).$
As $p(0)=q(0)=r(0)=0$ and $b\neq 0$ we get $2Dr=bDp.$ Thus we can take
$k_{0}=2$ which means that we only need to find two derivations of the desired
form.
One is obviously the second derivative, $D_{1}:f(x)\rightarrow
f^{\prime\prime}(0),$ but we cannot use the third derivative because in our
algebra it is proportional to $D_{1}.$ So we need to try higher derivatives
$D_{2}:f(x)\rightarrow cf^{(4)}(0)+df^{(5)}(0).$ Our condition
$2D_{2}r=bD_{2}p$ is equivalent to $2\cdot d\cdot 5!=bc\cdot 4!$ so we can try
$c=10,d=b$ and only have to check that this is a derivation in $A.$ We have
(skipping terms that obviously equal zero)
$10(fg)^{(4)}(0)+b(fg)^{(5)}(0)-$
$\left(10f^{(4)}(0)+bf^{(5)}(0)\right)g(0)-f(0)\left(10g^{(4)}(0)+bg^{(5)}(0)\right)=$
$10\binom{4}{2}f^{\prime\prime}(0)g^{\prime\prime}(0)+b\binom{5}{2}f^{\prime\prime\prime}(0)g^{\prime\prime}(0)+b\binom{5}{3}f^{\prime\prime}(0)g^{\prime\prime\prime}(0)=$
$10\cdot 6f^{\prime\prime}(0)g^{\prime\prime}(0)-10\cdot
3f^{\prime\prime}(0)g^{\prime\prime}(0)-10\cdot
3f^{\prime\prime}(0)g^{\prime\prime}(0)=0$
and we are done with this case.
The next case is when $A$ is defined by the conditions
$f(\alpha)=f(\beta),af^{\prime}(\alpha)+bf^{\prime}(\beta)=0,a-b\neq 0.$
We choose a SAGBI basis of the form
$g_{k}=(x-\alpha)(x-\beta)(x^{k}-\gamma_{k}),k=1,2,3.$
The conditions give us that
$a(\alpha-\beta)(\alpha^{k}-\gamma_{k})+b(\beta-\alpha)(\beta^{k}-\gamma_{k})=0\Leftrightarrow\gamma_{k}=\frac{a\alpha^{k}-b\beta^{k}}{a-b},$
We already have a derivation $f(x)\rightarrow
a^{\prime}f^{\prime}(\alpha)+b^{\prime}f^{\prime}(\beta)$, when
$(a^{\prime},b^{\prime})$ is not proportional to $(a,b).$ If $b=0$ we have two
more, defined by $f^{\prime\prime}(\alpha)$ and
$f^{\prime\prime\prime}(\alpha).$ Similarly, if $a=0$ we get that the
derivations defined by $f^{\prime\prime}(\beta)$ and
$f^{\prime\prime\prime}(\beta)$ are two new $\alpha-$derivations as well. So
in those cases we have the three necessary derivations and WLOG we can from
now on assume that $a=1,b\neq 0,$ or in other words
$f^{\prime}(\alpha)=-bf^{\prime}(\beta).$
First we try to create a new derivation $D$ of the form $f(x)\rightarrow
cf^{\prime\prime}(\alpha)+df^{\prime\prime}(\beta).$ We have (using that
$f(\alpha)=f(\beta))$ and $g(\alpha)=g(\beta)):$
$D(f(x)g(x))-D(f(x))g(\alpha)-f(\alpha)D(g(x))=$
$c(f^{\prime\prime}(\alpha)g(\alpha)+2f^{\prime}(\alpha)g^{\prime}(\alpha)+f(\alpha)g^{\prime\prime}(\alpha))+$
$d(f^{\prime\prime}(\beta)g(\beta)+2f^{\prime}(\beta)g^{\prime}(\beta)+f(\beta)g^{\prime\prime}(\beta))-$
$(cf^{\prime\prime}(\alpha)+df^{\prime\prime}(\beta))g(\alpha)-f(\alpha)(cg^{\prime\prime}(\alpha)+dg^{\prime\prime}(\beta))=$
$2cf^{\prime}(\alpha)g^{\prime}(\alpha)+2df^{\prime}(\beta)g^{\prime}(\beta)=2(b^{2}c+d)f^{\prime}(\beta)g^{\prime}(\beta)$
so we can choose $c=1,d=-b^{2}$ to get a new derivation.
It remains to show that $k_{\alpha}\leq 2$ and for this we need to study the
relations between our generators. Using Maple we find that (for $a=1$) we
have:
$g_{2}^{2}-g_{1}g_{3}-c_{1}g_{1}g_{2}-c_{2}g_{1}^{2}=c_{3}g_{3}+c_{4}g_{2}+c_{5}g_{1}$
(7.1)
where
$c_{1}=\frac{\alpha-\beta
b}{b-1};c_{2}=\frac{2b(\alpha^{2}+\beta^{2})-(\alpha+\beta
b)^{2}}{(b-1)^{2}};$ $c_{3}=\frac{b(b+1)(\alpha-\beta)^{3}}{(1-b)^{3}};$
$c_{4}=\frac{b(\alpha-\beta)^{3}(b^{2}(2\beta+\alpha)-(2\alpha+\beta))}{(b-1)^{4}};$
$c_{5}=\frac{b(\alpha-\beta)^{3}(\alpha^{2}+2\alpha\beta-b^{2}(2\alpha\beta+\beta^{2}))}{(b-1)^{4}}.$
It follows from 7.1 that
$c_{3}Dg_{3}+c_{4}Dg_{2}+c_{5}Dg_{1}=0$
for any $\alpha-$derivation $D$ so if at least one of $c_{3},c_{4},c_{5}$ is
non-zero we get $k_{\alpha}\leq 2.$ Because we already supposed that $b\neq 0$
we see from $c_{3}$ that the only interesting case is $b=-1.$ But in this case
$c_{4}\neq 0$ and we are finished with our last case.
### 7.6 Subalgebras of codimension three with a single element in the
spectrum.
Now we can apply the information about the derivations obtained above to
classify the subalgebras $A$ of codimension three. Their spectra contain
$s\leq 6$ elements and in this section we consider the case $s=1.$
###### Theorem 40.
If an algebra $A$ of codimension $3$ has a spectrum consisting of single
element $\alpha$ then $A$ is one of the following algebras
1. 1.
$A=\\{f(x)|f^{\prime}(\alpha)=f^{\prime\prime}(\alpha)=af^{\prime\prime\prime}(\alpha)+bf^{(4)}(\alpha)+cf^{(5)}(\alpha)=0\\}.$
If $a\neq 0$ then $T(A)=(4,5,6,7)$ and for $a=1$ a SAGBI basis is:
$(x-\alpha)^{4}-4b(x-\alpha)^{3},(x-\alpha)^{5}-20c(x-\alpha)^{3},(x-\alpha)^{6},(x-\alpha)^{7}.$
If $a=0$ and $b\neq 0$ then $T(A)=(3,5,7)$ and for $b=1$ a SAGBI basis is:
$(x-\alpha)^{3},(x-\alpha)^{5}-5c(x-\alpha)^{4},(x-\alpha)^{7}.$
For $a=b=0,c\neq 0$ the type is $(3,4)$ and a SAGBI basis is
$(x-\alpha)^{3},(x-\alpha)^{4}.$
If $a=b=c=d=0$ the codimension is $2$.
2. 2.
$A=\\{f(x)|f^{\prime}(\alpha)=f^{\prime\prime\prime}(\alpha)+3af^{\prime\prime}(\alpha)=f^{(5)}(\alpha)+10af^{(4)}(\alpha)+df^{\prime\prime}(\alpha)=0\\}.$
with $a\neq 0.$ If $d\neq 0$ then $T(A)=(4,5,6,7)$ and a SAGBI basis is:
$d(x-\alpha)^{4}-120(x-\alpha)^{3}+120a(x-\alpha)^{2},$
$ad(x-\alpha)^{5}-60(x-\alpha)^{3}+60a(x-\alpha)^{2},(x-\alpha)^{6},(x-\alpha)^{7}.$
If $d=0$ then $T(A)=(3,5,7)$ and a SAGBI basis is:
$(x-\alpha)^{3}-a(x-\alpha)^{2},2a(x-\alpha)^{5}-(x-\alpha)^{4},(x-\alpha)^{7}.$
3. 3.
$A=\\{f(x)|f^{\prime}(\alpha)=f^{\prime\prime\prime}(\alpha)=cf^{(5)}(\alpha)+df^{\prime\prime}(\alpha)=0\\}.$
If $d\neq 0$ then $T(A)=(4,5,6,7)$ and a SAGBI basis is:
$(x-\alpha)^{4},d(x-\alpha)^{5}-60c(x-\alpha)^{2},(x-\alpha)^{6},(x-\alpha)^{7}.$
If $c\neq 0,d=0$ then $T(A)=(2,7)$ and a SAGBI basis is:
$(x-\alpha)^{2},(x-\alpha)^{7}.$
If $c=0,d=0$ we get codimension $2.$
###### Proof.
The subalgebra $A$ is contained in a subalgebra $B$ of codimension $2$.
Because the spectrum of $B$ is a subset of the spectrum of $A$ the subalgebra
$B$ should have a single (and the same) element $\alpha$ in the spectrum.
Moreover, $A$ is obtained from $B$ as a kernel of some $\alpha-$derivation
(all other possibilities would lead to a larger spectrum). So the result will
follow from the description of all derivations of the subalgebra
$B=\\{f(x)|f^{\prime}(\alpha)=0;a_{1}f^{\prime\prime\prime}(\alpha)+b_{1}f^{\prime\prime}(\alpha)=0\\}$
by adding an extra derivation. If $a_{1}=0$ we put $b_{1}=1$ and get case $1.$
If $b_{1}\neq 0$ and $a_{1}\neq 0$ we put $a_{1}=3$ and $b_{1}=b$ first. The
derivation, as we have proved above, is a linear combination of
$f(x)\rightarrow f^{\prime\prime}(\alpha)$ and $f(x)\rightarrow
bf^{(5)}(\alpha)+10f^{(4)}(\alpha)$ and we get the case $2$ if we simply
substitute $b=\frac{1}{a}$ and multiply by $a$ where necessary. If $a_{1}=0$
(which corresponds to $T(B)=(2,5)$) we put $b_{1}=1$ and get the case $3.$
When we get a description there is a straightforward way described above to
get a SAGBI bases: we know the possible degrees and need only to search for
elements of the degrees generating the semigroup that satisfy the subalgebra
conditions. If we need to we may use the methods from section 6.1 to create
such polynomials from the elements of a SAGBI basis of $B$. ∎
### 7.7 Subalgebras of codimension three with two elements in the spectrum.
###### Theorem 41.
If algebra $A$ of codimension $3$ has a spectrum consisting of two elements
then $A$ is one of the following subalgebras:
1. 1.
$A=\\{f(x)|f^{\prime}(\alpha)=f^{\prime}(\beta)=0;af^{\prime\prime}(\alpha)+bf^{\prime\prime\prime}(\alpha)=0\\},$
If $a(\alpha-\beta)\neq 6b$ then $T(A)=(4,5,6,7).$ For $a=0$ a SAGBI basis is:
$2(x-\alpha)^{k}-k(\beta-\alpha)^{k-1}(x-\alpha)^{2},k=4,5,6,7.$
For $a\neq 0$ a SAGBI basis is (for $a=3$ which can be suppose WLOG)
$K(x-\alpha)^{k}-k(\beta-\alpha)^{k-2}[(x-\alpha)^{3}-3b(x-\alpha)^{2}],k=4,5,6,7,$
where $K=3(\beta-\alpha-2b).$
If $a(\alpha-\beta)=6b$ then $T(A)=(3,5,7).$ If $a\neq 0$ then WLOG $a=3$ and
a SAGBI basis is
$(x-\alpha)^{3}-3b(x-\alpha)^{2},4(x-\alpha)^{k}-k(\beta-\alpha)^{k-4}(x-\alpha)^{4},k=5,7.$
If $a=b=0$ the codimension is $2$.
2. 2.
$A=\\{f(x)|f(\alpha)=f(\beta);f^{\prime}(\alpha)=0,\\\
af^{\prime\prime}(\alpha)+bf^{\prime\prime\prime}(\alpha)+cf^{\prime}(\beta)=0\\}.$
If $b=c(\beta-\alpha),a=c(\beta-\alpha)^{2}$ and $c\neq 0$ then $T(A)=(3,4)$
and a SAGBI basis is:
$(x-\alpha)^{2}(x-\beta),(x-\alpha)^{3}(x-\beta).$
If $K=6a+2(\alpha-\beta)b+(\alpha-\beta)^{2}c\neq 0$
then $T(A)=(4,5,6,7)$ and a SAGBI basis is:
$K(x-\alpha)^{3}(x-\beta)-[6(\alpha-\beta)a-(\alpha-\beta)^{3}c](x-\alpha)^{2}(x-\beta),$
$K(x-\alpha)^{4}(x-\beta)-(\alpha-\beta)^{4}(x-\alpha)^{2}(x-\beta),$
$(x-\alpha)^{4}(x-\beta)^{2},(x-\alpha)^{5}(x-\beta)^{2}.$
If $a=b=c=0$ we get codimension $2.$
Otherwise the type is (3,5,7) and a SAGBI basis is:
$(x-\alpha)^{2}(x-\beta),(x-\alpha)^{5}(x-\beta)^{2}.$
$(x-\alpha)^{4}(x-\beta)[6a-(\alpha-\beta)^{2}c]-(\alpha-\beta)^{3}(x-\alpha)^{3}(x-\beta)c.$
3. 3.
$A=\\{f(x)|f(\alpha)=f(\beta);f^{\prime}(\alpha)+f^{\prime}(\beta)=0,\\\
af^{\prime}(\alpha)+bf^{\prime\prime}(\alpha)-bf^{\prime\prime}(\beta)=0\\}.$
If $a=0,b\neq 0$ then $T(A)=(2,7)$ and a SAGBI basis is:
$(x-\alpha)(x-\beta),(x-\alpha)^{4}(x-\beta)^{3}.$
If $a\neq 0$ then $T(A)=(4,5,6,7)$ and a SAGBI basis is:
$(x-\alpha)^{2}(x-\beta)^{2},(x-\alpha)^{3}(x-\beta)^{3},(x-\alpha)^{4}(x-\beta)^{3},$
$a(x-\alpha)^{3}(x-\beta)^{2}-2b(\alpha-\beta)^{2}(x-\alpha)(x-\beta).$
4. 4.
$A=\\{f(x)|f(\alpha)=f(\beta);f^{\prime}(\alpha)+bf^{\prime}(\beta)=0,\\\
af^{\prime}(\beta)+cf^{\prime\prime}(\alpha)-cb^{2}f^{\prime\prime}(\beta)=0\\}.$
Here $b\neq 1$.
If $b=-1,12c=a(\beta-\alpha)$ then $T(A)=(3,4)$ and a SAGBI basis is:
$(x-\alpha)(x-\beta)\left(x^{k}-\frac{\alpha^{k}+\beta^{k}}{2}\right),k=1,2.$
If $K=a(\beta-\alpha)-2c(b-1)(b^{2}-b-1)\neq 0$ then the type is (4,5,6,7) and
a SAGBI basis is:
$g_{k}D(g_{3})-D(g_{k})g_{3},\quad k=4,5$ $(x-\alpha)^{3}(x-\beta)^{3};$
$(x-\alpha)^{4}(x-\beta)^{3}.$
with
$g_{k}=(x-\alpha)(x-\beta)(x^{k}-\gamma_{k})$
and
$\gamma_{k}=\frac{\alpha^{k}-b\beta^{k}}{1-b}.$
If $a=c=0$ then codimension is $2.$ In the remaining case ($K=0,b+1\neq 0$)
the type is (3,5,7) and a SAGBI basis is:
$(x-\alpha)(x-\beta)\left(x-\frac{\alpha-\beta b}{1-b}\right),$
$(x-\alpha)^{4}(x-\beta)^{3},$ $g_{5}D(g_{4})-g_{4}D(g_{5}).$
###### Proof.
The subalgebra $A$ is contained in a subalgebra $B$ of codimension $2$. To get
two elements in the spectrum we need at least one derivation. Using the semi-
commutativity we can suppose that it was the derivation $D$ that was used to
obtain $A$ from $B.$
Consider first the case when $D$ is a trivial derivation (outside the
spectrum). Then $B$ has a single element $\alpha$ in the spectrum and is
defined by the conditions
$f^{\prime}(\alpha)=af^{\prime\prime}(\alpha)+bf^{\prime\prime\prime}(\alpha)=0.$
We only need to add $f^{\prime}(\beta)=0$ to get case 1.
If $a=0$ then $B$ contains an element of degree two and it does not belong to
$\ker D$ so two disappears from the semigroup and hence $T(A)=(4,5,6,7).$ The
SAGBI basis is constructed directly using Theorem 32 because
$(x-\alpha)^{k}\in B$ for $k\geq 4.$
If $a\neq 0$ then we can WLOG suppose $a=3$ and we know that $B$ has SAGBI
basis
$r=(x-\alpha)^{5},p=(x-\alpha)^{4},q=(x-\alpha)^{3}-3b(x-\alpha)^{2}.$
If
$q^{\prime}(\beta)=3(\beta-\alpha)^{2}-6b(\beta-\alpha)\neq
0\Leftrightarrow(\beta-\alpha)\neq 2b$
then it is three that disappears from the semigroup and $T(A)=(4,5,6,7).$ The
SAGBI basis is constructed using Theorem 32 because again $(x-\alpha)^{k}\in
B$ for $k\geq 4.$ We can cancel $\beta-\alpha$ to make it shorter. This case
can be joined with the previous one using the common condition
$a(\alpha-\beta)\neq 6b$ which is obvious for $a=0$ and works for $a=3$. The
general case is reduced to this after division by $\frac{a}{3}.$
If $(\beta-\alpha)=2b$ then $b\neq 0$ and
$p^{\prime}(\beta)=4(\beta-\alpha)^{3}\neq 0$ so it is four that disappears
from the semigroup and hence the obtained type is $(3,5,7).$ The SAGBI basis
is again constructed using Theorem 32.
When $D$ is a non-trivial $\alpha-$derivation we know that $B$ already has two
elements $\alpha,\beta$ in the spectrum and we need to add one of the
derivations which we have studied in sections 7.4 and 7.5. Note that in the
case when $B$ is defined by $f^{\prime}(\alpha)=f^{\prime}(\beta)=0$ we can
suppose WLOG that $D$ is an $\alpha-$ derivation and this is the same case as
above with trivial $\beta-$derivation applied to subalgebra $B^{\prime}$
defined by $f^{\prime}(\alpha)=0,D(f)=0.$
Thus we only need to consider the case when $B$ is defined by
$f(\alpha)=f(\beta),af^{\prime}(\alpha)+bf^{\prime}(\beta)=0.$ We may WLOG
assume that $a=1$, since we may first assume that $a\neq 0$ (if not
interchange $\alpha$ and $\beta$), and then divide by $a$. Then
$f^{\prime}(\beta)$ is one of the derivations and we have described two
others. If $b=0$ then $T(A)=(3,4,5)$ and we get that
$D(f)=a^{\prime}f^{\prime\prime\prime}(\alpha)+b^{\prime}f^{\prime\prime}(\alpha)+cf^{\prime}(\beta)$
and we can use $a,b$ instead for $a^{\prime},b^{\prime}.$ The SAGBI basis for
$B$ in this case can be chosen as
$g=(x-\alpha)^{2}(x-\beta),(x-\alpha)g,(x-\alpha)^{2}g.$
Let
$K=D(g)=6a+2(\alpha-\beta)b+(\alpha-\beta)^{2}c.$
If $K\neq 0$ then three disappears from the semigroup and the obtained type is
$(4,5,6,7).$ As $D(g^{2})=0$ and $D(g^{2})(x-\alpha)=0$ they can be included
in the SAGBI basis directly. We get the remaining two elements using Theorem
32.
If $K=0$ we need to look at
$D((x-\alpha)g)=6(\alpha-\beta)a-(\alpha-\beta)^{3}c=$
$(\alpha-\beta)(6a-(\alpha-\beta)^{2}c).$
Suppose first that
$6a-(\alpha-\beta)^{2}c=0.$
Then both the polynomials of degree three and four belong to $A=\ker D.$ This
corresponds to type $(3,4)$. Subtracting $K$ and dividing by $\alpha-\beta$ we
get that $b=(\beta-\alpha)c.$ Note that $c\neq 0$ in this case otherwise we
get codimension $2$.
In the remaining case four disappears from the semigroup and $T(A)=(3,5,7).$
We include $g$ in SAGBI basis directly, check that
$(x-\alpha)^{5}(x-\beta)^{2}$ belongs to $\ker D$ as well and need only to get
the polynomial of degree five.
Now we go back to the old $a,b$ and consider the only remaining case when
$af^{\prime}(\alpha)+bf^{\prime}(\beta)=0$ with $b\neq 0.$ We can suppose
$a\neq 0$ (otherwise we get up to notation the previous case) and WLOG put
$a=1.$ If $b=1$ then $B$ has type $(2,5),$ otherwise $T(B)=(3,4,5).$ In both
cases we know what the derivations are.
We begin with the case $b=1.$ Then as we know from section 7.4\- that
$D=a^{\prime}f^{\prime}(\alpha)+b^{\prime}(f^{\prime\prime}(\alpha)-f^{\prime\prime}(\beta)).$
If $a=0$ we get type $(2,7)$ and as a SAGBI basis we can choose
$(x-\alpha)(x-\beta),(x-\alpha)^{4}(x-\beta)^{3}.$ (Both polynomials satisfy
the subalgebra conditions.) Otherwise WLOG $a=1$ and we can use $b$ instead of
$b^{\prime}$ so now $A$ is defined by
$f(\alpha)=f(\beta),f^{\prime}(\alpha)=-f^{\prime}(\beta);f^{\prime}(\alpha)+b(f^{\prime\prime}(\alpha)-f^{\prime\prime}(\beta))=0.$
Degree two disappears from the semigroup and we get $T(A)=(4,5,6,7).$ If we
choose $(x-\alpha)(x-\beta),(x-\alpha)^{3}(x-\beta)^{2}$ as a SAGBI basis for
$B$ (both polynomials satisfy the subalgebra conditions) then
$(x-\alpha)^{2}(x-\beta)^{2},(x-\alpha)^{3}(x-\beta)^{3},(x-\alpha)^{4}(x-\beta)^{3}$
can be included in the SAGBI basis for $A$ directly and it remains to use
Theorem 32 to find a polynomial of degree five.
Now recover the old variable $b$ and consider the remaining case
$f^{\prime}(\alpha)+bf^{\prime}(\beta)=0$ with $b\neq 1.$ As we have found in
the section 7.5 we can describe $D$ as
$D(f)=a^{\prime}f^{\prime}(\beta)+c\left(f^{\prime\prime}(\alpha)-b^{2}f^{\prime\prime}(\beta)\right).$
The type of $B$ is now $(3,4,5)$ and the SAGBI basis for $B$ is more
complicated:
$g_{k}=(x-\alpha)(x-\beta)(x^{k}-\gamma_{k}),k=1,2,3$
with
$\gamma_{k}=\frac{\alpha^{k}-b\beta^{k}}{1-b}.$
First we need to study the case when $D(g_{1})=D(g_{2})=0$ which gives the
type $(3,4)$ and $\\{g_{1},g_{2}\\}$ as a SAGBI basis. We replace $a^{\prime}$
by $a$ as usual. Using Maple we get a system
$-a\alpha-4cb+a\beta+2c+4cb^{2}-2cb^{3}=0;$ (7.2)
$a\alpha^{2}-6cb\alpha+2cb^{2}\alpha+4c\alpha-4cb^{3}\beta-2cb\beta+a\beta^{2}+6cb^{2}\beta=0.$
Solving the system (using the package Groebner) we find that the only solution
of interest to us is
$b=-1,12c=a(\beta-\alpha).$
If we do not have both these conditions satisfies, but only 7.2 is valid then
four disappears from the semigroup and we get $T(A)=(3,5,7)$. If the first
equation fails we get $T(A)=(4,5,6,7).$ It remains to calculate SAGBI bases
that is not specially nice. But we can at least find nice polynomials in
degree six and seven:
$(x-\alpha)^{k}(x-\beta)^{3},k=3,4$
They belong to $A$ as we use at most second order derivatives in our
subalgebra conditions. The remaining polynomials are ugly and we get them
using Theorem 32.
∎
### 7.8 Subalgebras of codimension three with three elements in the spectrum.
###### Theorem 42.
If the algebra $A$ has codimension $3$ and a spectrum of three elements
$\alpha,\beta,\gamma$ then $A$ is one of the following algebras:
1. 1.
$A=\\{f(x)|f^{\prime}(\alpha)=f^{\prime}(\beta)=f^{\prime}(\gamma)=0\\}$.
By symmetry we can suppose that $2\gamma\neq\alpha+\beta.$ The type of $A$ is
$T(A)=(4,5,6,7)$ and a SAGBI basis is given by
$\frac{x^{4}}{4}-\frac{(\alpha+\beta+\gamma)x^{3}}{3}+\frac{(\alpha\beta+\alpha\gamma+\beta\gamma)x^{2}}{2}-\alpha\beta\gamma
x,$
$(x-\alpha)^{2}(x-\beta)^{2}\left(x-\left(\gamma+\frac{(\gamma-\alpha)(\gamma-\beta)}{4\gamma-2(\alpha+\beta)}\right)\right),$
$(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)^{k},\quad k=2,3.$
2. 2.
$A=\\{f(x)|f(\alpha)=f(\beta);af^{\prime}(\alpha)+bf^{\prime}(\beta)=f^{\prime}(\gamma)=0\\}$,
where $a\neq 0.$ The structure of $A$ depends on the value of $\gamma$. We
have the following cases.
* •
$\gamma=\frac{\alpha+\beta}{2}$: If $a=b$ then $T(A)=(2,7)$ and a SAGBI basis
is
$(x-\alpha)(x-\beta),\,(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)^{3}.$
Otherwise $T(A)=(4,5,6,7)$ and a SAGBI basis is given by $p_{0},g,p_{2},p_{3}$
where
$\displaystyle p_{i}$
$\displaystyle=(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)^{i},$ $\displaystyle g$
$\displaystyle=(x-\alpha)(x-\beta)(x-\gamma)^{2}\left(x-\frac{b\beta-a\alpha}{b-a}\right).$
* •
$\gamma\not=\frac{\alpha+\beta}{2}$: Here $T(A)=(3,4)$ is impossible.
If
$b=\frac{a(\alpha-\gamma)(\alpha+2\beta-3\gamma)}{(\beta-\gamma)(\beta+2\alpha-3\gamma)}$
then $T(A)=(3,5,7)$ and a SAGBI basis is given by
$q(x)=(x-\alpha)(x-\beta)\left(x-\frac{a\alpha-b\beta}{a-b}\right),$
$r(x)=(x-\alpha)(x-\beta)(x-\gamma)^{2}\times$
$\times\left(x-\frac{b\beta(\beta-\gamma)^{2}-a\alpha(\alpha-\gamma)^{2}}{b(\beta-\gamma)^{2}-a(\alpha-\gamma)^{2}}\right),$
$(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)^{3}.$
The denominators are always non-zero in this case.
Otherwise $T(A)=(4,5,6,7)$ and if
$\displaystyle D(f)$ $\displaystyle=af^{\prime}(\alpha)+bf^{\prime}(\beta),$
$\displaystyle p(x)$ $\displaystyle=(x-\gamma)^{2}(x-\alpha)(x-\beta).$
then a SAGBI basis is given by
$\displaystyle D(q)p(x)-q(x)D(p),$
$\displaystyle(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)^{2},$
$\displaystyle(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)^{3},$
and either $p(x)$ or $r(x)$ depending on whether
$b(\beta-\gamma)^{2}=a{(\alpha-\gamma)^{2}}{}$ or not.
3. 3.
$A=\\{f(x)|f(\alpha)=f(\beta)=f(\gamma);af^{\prime}(\alpha)+bf^{\prime}(\beta)+cf^{\prime}(\gamma)=0\\}$,
where at least one of $a,b,c$ is different from zero. Let
$\displaystyle D(f)$
$\displaystyle=af^{\prime}(\alpha)+bf^{\prime}(\beta)+cf^{\prime}(\gamma),$
$\displaystyle p_{i}$ $\displaystyle=(x-\alpha)(x-\beta)(x-\gamma)^{i}.$
If
$\quad\quad\quad\frac{a}{(\beta-\gamma)^{2}}=\frac{b}{(\gamma-\alpha)^{2}}=\frac{c}{(\alpha-\beta)^{2}}$
then $T(A)=(3,4)$ and a SAGBI basis is given by
$p_{1}(x),\quad p_{2}(x).$
If
$a(\alpha-\beta)(\alpha-\gamma)+b(\beta-\alpha)(\beta-\gamma)+c(\gamma-\alpha)(\alpha-\beta)\neq
0$
then $T(A)=(4,5,6,7)$ and a SAGBI basis for $A$ is given by
$\displaystyle D(p_{1})p_{2}(x)-p_{1}(x)D(p_{2}),$ $\displaystyle
D(p_{1})p_{3}(x)-p_{1}(x)D(p_{3}),$
$\displaystyle(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)^{2},$
$\displaystyle(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)^{3}.$
In the remaining case $T(A)=(3,5,7)$ and a SAGBI basis for $A$ is given by
$\displaystyle p_{1}(x),$ $\displaystyle D(p_{2})p_{3}(x)-p_{2}(x)D(p_{3}),$
$\displaystyle(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)^{3}.$
4. 4.
$A=\\{f(x)|f(\alpha)=f(\beta);f^{\prime}(\gamma)=af^{\prime\prime}(\gamma)+bf^{\prime\prime\prime}(\gamma)=0\\}$.
The structure of $A$ depends on the value of $\gamma$. We have the following
cases
* •
$\gamma=\frac{\alpha+\beta}{2}$: If $a=0$ then $T(A)=(2,7)$ and a SAGBI basis
is
$(x-\alpha)(x-\beta),\,(x-\alpha)(x-\beta)(x-\gamma)^{5}.$
Otherwise $T(A)=(4,5,6,7)$ and (for $a=1$) a SAGBI basis is given by
$\displaystyle(x-\gamma)^{4},$
$\displaystyle(x-\alpha)(x-\beta)(x-\gamma)^{2}(x-\gamma-3b),$
$\displaystyle(x-\alpha)(x-\beta)(x-\gamma)^{4},$
$\displaystyle(x-\alpha)(x-\beta)(x-\gamma)^{5}.$
* •
$\gamma\not=\frac{\alpha+\beta}{2}$: Here the structure of $A$ depends on the
values of $a,b$ and we branch on weather $b$ is zero or not. Let
$\displaystyle D(f)$
$\displaystyle=af^{\prime\prime}(\gamma)+bf^{\prime\prime\prime}(\gamma)$
$\displaystyle q(x)$
$\displaystyle=(x-\gamma)^{2}\left(x-\frac{\alpha^{2}+\alpha\beta+\beta^{2}-2\,{\left(\alpha+\beta\right)}\gamma+\gamma^{2}}{\alpha+\beta-2\,\gamma}\right),$
$\displaystyle p_{i}(x)$
$\displaystyle=(x-\gamma)^{2}(x-\alpha)(x-\beta)^{i}.$
If $b=0$ then we may assume that $a=1$ and get the following cases
* –
If $3(\gamma-\alpha)(\gamma-\beta)\not=-(\alpha-\beta)^{2}$ then
$T(A)=(4,5,6,7)$ and
$\displaystyle(x-\alpha)(x-\beta)(x-\gamma)\left(x-\gamma-\frac{(\alpha-\gamma)(\beta-\gamma)}{(\alpha+\beta-2\gamma)}\right),$
$\displaystyle(x-\alpha)(x-\beta)(x-\gamma)^{k},\quad k=3,4,5$
is a SAGBI basis for $A$.
* –
Otherwise $T(A)=(3,5,7)$ and
$\displaystyle q,$ $\displaystyle(x-\alpha)(x-\beta)(x-\gamma)^{3},$
$\displaystyle(x-\alpha)(x-\beta)(x-\gamma)^{5}.$
is a SAGBI basis for $A$.
Else, if $b\not=0$ we may assume that $b=1$ and get the following cases,
* –
If $(\alpha-\gamma)^{2}+(\beta-\gamma)^{2}=0$ and
$a=\frac{3(\beta+\alpha-2\gamma)}{(\gamma-\alpha)(\gamma-\beta)}$ then
$T(A)=(3,4)$ and a SAGBI basis is given by $q,p_{1}$.
* –
If
$a\not=\frac{3\,{\left(\alpha+\beta-2\,\gamma\right)}}{(\alpha-\beta)^{2}+3(\gamma-\alpha)(\gamma-\beta)}$
then $T(A)=(4,5,6,7)$. A SAGBI basis for $A$ is given by
$\displaystyle D(q)p_{2}(x)-q(x)D(p_{2}),$ $\displaystyle
D(q)p_{3}(x)-q(x)D(p_{3}),$ $\displaystyle(x-\alpha)(x-\beta)(x-\gamma)^{4},$
$\displaystyle(x-\alpha)(x-\beta)(x-\gamma)^{5}.$
* –
If the remaining case $T(A)=(3,5,7)$. A SAGBI basis for $A$ is given by
$\displaystyle q,$ $\displaystyle D(q)p_{3}(x)-q(x)D(p_{3}),$
$\displaystyle(x-\alpha)(x-\beta)(x-\gamma)^{5}.$
###### Proof.
We follow the plan that was pointed out in section 7.3 and can suppose that
$A=\ker D$ for some subalgebra $B$ of codimension two.
If $D$ is a trivial derivation in $\gamma$ then $|Sp(B)|=2$ and we have two
alternatives for $B.$
In the first alternative
$B=\\{f(x)|f^{\prime}(\alpha)=f^{\prime}(\beta)=0\\}$, thus
$A=\\{f(x)|f^{\prime}(\alpha)=f^{\prime}(\beta)=f^{\prime}(\gamma)=0\\}.$
No non-constant polynomial of degree less than four has a derivative equal to
zero in three points or more. Hence $T(A)=(4,5,6,7)$ and a it is easily
verified that the given basis satisfies the conditions.
In the second alternative
$B=\\{f(x)|f(\alpha)=f(\beta);af^{\prime}(\alpha)+bf^{\prime}(\beta)=0\\}$,
where WLOG $a\neq 0.$ We have
$A=\\{f(x)|f(\alpha)=f(\beta);af^{\prime}(\alpha)+bf^{\prime}(\beta)=f^{\prime}(\gamma)=0\\}$
and two subcases.
Suppose first that $a=b,$ thus $T(B)=(2,5)$ and $q=(x-\alpha)(x-\beta)\in B.$
If
$D(q)=0\Leftrightarrow\alpha+\beta=2\gamma$
we get $T(A)=(2,7)$ and can use Theorem 27.
Otherwise $T(A)=(4,5,6,7)$ and we only need to check that the chosen elements
for the SAGBI basis satisfy the conditions.
Now suppose that $a\neq b$. It follows that $T(B)=(3,4,5)$ and a SAGBI basis
for $B$ can be chosen as
$g_{1}=(x-\alpha)(x-\beta)(x-\frac{a\alpha-b\beta}{a-b}),$
$g_{k}=(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)^{k-2},k=2,3.$
The first basis element is annihilated when
$D(g_{1})=0\Leftrightarrow
b=\frac{a(\alpha-\gamma)(\alpha+2\beta-3\gamma)}{(\beta-\gamma)(\beta+2\alpha-3\gamma)}.$
The second basis element is annihilated when
$\displaystyle D(g_{2})=0\Leftrightarrow$ $\displaystyle
2(\gamma-\alpha)(\gamma-\beta)^{2}+2(\gamma-\alpha)^{2}(\gamma-\beta)=0$
$\displaystyle\Leftrightarrow$ $\displaystyle
2\gamma=\alpha+\beta\Leftrightarrow\gamma-\alpha=\beta-\gamma.$
Thus $T(A)=(3,4)$ is impossible because we get $b=a.$ We can easily construct
a SAGBI basis when $T(A)=(3,5,7)\Leftrightarrow D(g_{1})=0.$ Note that the
denominator of $r(x)$ cannot be zero, otherwise we would have $D(g_{2})=0.$
Otherwise $T(A)=(4,5,6,7)$ and here the denominator can be zero which gives us
two cases.
Now suppose that $D$ is a non-trivial derivation, thus $|Sp(B)|=3.$ Here we
again have two alternatives.
Let first $B=\\{f(x)|f(\alpha)=f(\beta)=f(\gamma)\\}$. We know that
$T(B)=(3,4,5)$ from Theorem 39, and choose a SAGBI basis as
$p_{i}=(x-\alpha)(x-\beta)(x-\gamma)^{i}$ for $i=1,2,3.$ By Theorem 31 any
non-trivial derivation $D$ of $B$ will be of the form
$D=af^{\prime}(\alpha)+bf^{\prime}(\beta)+cf^{\prime}(\gamma)$, where at least
one of $a,b,c$ is non-zero.
The first basis element $p_{1}$ is annihilated when
$a(\alpha-\beta)(\alpha-\gamma)+b(\beta-\alpha)(\beta-\gamma)+(\gamma-\alpha)(\gamma-\beta)=0.$
The second basis element $p_{2}$ is annihilated when
$\displaystyle D(p_{2})=0$ $\displaystyle\Leftrightarrow
a(\alpha-\beta)(\alpha-\gamma)^{2}+b(\beta-\alpha)(\beta-\gamma)^{2}=0.$
Solving the system of equations $D(p_{1})=D(p_{2})=0$ by Maple, we get the
conditions for $T(A)=(3,4).$ If $T(p_{1})\neq 0$ we get $T(A)=(4,5,6,7).$ In
the remaining case we have $T(A)=(3,5,7).$
The last remaining case is a non-trivial derivation $D$ of
$B=\\{f(x)|f(\alpha)=f(\beta);f^{\prime}(\gamma)=0\\}$. If it is an $\alpha-$
derivation we can assume this condition is imposed before the
$\gamma-$derivation and in this way reduce the current case to a previous one.
So it is sufficient to consider a $\gamma-$derivation
$D(f)=af^{\prime\prime}(\gamma)+bf^{\prime\prime\prime}(\gamma).$
This one is a bit trickier and we need to branch on the value of $\gamma$.
We begin with the case when $\alpha+\beta=2\gamma$, thus $T(B)=(2,5).$ $D$
annihilates the element of degree two if and only if $a=0.$ Then $T(A)=(2,7)$
and we can use Theorem 27. If $a\neq 0$ then $A$ will not contain any
polynomials of degree two and hence $T(A)=(4,5,6,7)$. Moreover, as we are
interested in the kernel of each derivation, we may assume that $a=1$. One may
verify that the given basis satisfies the type and subalgebra conditions.
In the case when $\alpha+\beta\not=2\gamma$, we have $T(B)=(3,4,5)$ and a
SAGBI basis for $B$ is given by
$\displaystyle q(x)$
$\displaystyle=(x-\gamma)^{2}\left(x-\frac{\alpha^{2}+\alpha\beta+\beta^{2}-2\,{\left(\alpha+\beta\right)}\gamma+\gamma^{2}}{\alpha+\beta-2\,\gamma}\right),$
$\displaystyle p_{1}(x)$
$\displaystyle=(x-\gamma)^{2}(x-\alpha)(x-\beta)^{k},\quad k=1,2$
To simplify the computations, we consider two cases. First when $b=0$ and then
when $b\not=0$. In the first case we can assume $a=1$ and we have
$A=\\{f(x)|f(\alpha)=f(\beta);f^{\prime\prime}(\gamma)=f^{\prime}(\gamma)=0\\}$.
We now check conditions on the spectral elements for when different basis
elements of $B$ are annihilated. The first basis element is annihilated when
$\displaystyle D(q)=0\Leftrightarrow$ $\displaystyle
2\left(\gamma-\frac{\alpha^{2}+\alpha\beta+\beta^{2}-2\,{\left(\alpha+\beta\right)}\gamma+\gamma^{2}}{\alpha+\beta-2\,\gamma}\right)=0$
$\displaystyle\Leftrightarrow$
$\displaystyle\frac{-\alpha^{2}-\alpha\beta-\beta^{2}+3\,{\left(\alpha+\beta\right)}\gamma-3\gamma^{2}}{\alpha+\beta-2\,\gamma}=0$
$\displaystyle\Leftrightarrow$
$\displaystyle\frac{-(\alpha-\beta)^{2}-3(\alpha-\gamma)(\beta-\gamma)}{\alpha+\beta-2\,\gamma}=0$
and since $\alpha+\beta-2\gamma\not=0$,
$D(q)=0\Leftrightarrow(\alpha-\beta)^{2}=-3(\alpha-\gamma)(\beta-\gamma).$
The second basis element is annihilated when
$\displaystyle D(p_{1})=0\Leftrightarrow$ $\displaystyle
2(\gamma-\alpha)(\gamma-\beta)=0,$ (7.3)
which is not possible as the spectral elements are not equal. The same holds
for the third basis element. Hence we only get two cases, when $q$ is
annihilated and when it is not.
Now we treat the case when $b\not=0$, where we are free to assume that $b=1$
and hence
$A=\\{f(x)|f(\alpha)=f(\beta);af^{\prime\prime}(\gamma)+f^{\prime\prime\prime}(\gamma)=f^{\prime}(\gamma)=0\\}$.
We check for conditions on $a$ that leads to annihilation of basis elements.
The first basis element is annihilated when
$\displaystyle D(q)=0\Leftrightarrow$ $\displaystyle
2a\left(\gamma-\frac{\alpha^{2}+\alpha\beta+\beta^{2}-2\,{\left(\alpha+\beta\right)}\gamma+\gamma^{2}}{\alpha+\beta-2\,\gamma}\right)+6=0$
$\displaystyle\Leftrightarrow$ $\displaystyle
a\frac{(\alpha-\beta)^{2}+3(\alpha-\gamma)(\beta-\gamma)}{\alpha+\beta-2\,\gamma}=3$
$\displaystyle\Leftrightarrow$ $\displaystyle
a=\frac{3(\alpha+\beta-2\,\gamma)}{(\alpha-\beta)^{2}+3(\alpha-\gamma)(\beta-\gamma)}.$
The second basis element is annihilated when
$\displaystyle D(p_{1})=0\Leftrightarrow$ $\displaystyle
2a(\gamma-\alpha)(\gamma-\beta)+6(\gamma-\beta)+6(\gamma-\alpha)=0$
$\displaystyle\Leftrightarrow$ $\displaystyle
a=\frac{3(\beta+\alpha-2\gamma)}{(\gamma-\alpha)(\gamma-\beta)}.$
It is easy to see that to annihilate both elements simultaneously and get
$T(A)=(3,4)$ we need
$(\alpha-\beta)^{2}+2(\gamma-\alpha)(\gamma-\beta)=0\Leftrightarrow$
$(\alpha-\gamma)^{2}+(\beta-\gamma)^{2}=0.$
This concludes all the different cases. ∎
### 7.9 Subalgebras of codimension three with four elements in the spectrum.
###### Theorem 43.
If the algebra $A$ of codimension three has a spectrum consisting of four
elements $\alpha,\beta,\gamma,\delta$ then $A$ is one of the following
algebras
1. 1.
$A=\\{f(x)|f(\alpha)=f(\beta)=f(\gamma)=f(\delta)\\}.$
The type of this algebra is (4,5,6,7) and a SAGBI basis is:
$(x-\alpha)(x-\beta)(x-\gamma)(x-\delta),(x-\alpha)^{2}(x-\beta)(x-\gamma)(x-\delta),$
$(x-\alpha)^{3}(x-\beta)(x-\gamma)(x-\delta),(x-\alpha)^{4}(x-\beta)(x-\gamma)(x-\delta)$
2. 2.
$A=\\{f(x)|f(\alpha)=f(\beta);f(\gamma)=f(\delta);af^{\prime}(\alpha)+bf^{\prime}(\beta)=0\\}.$
Case I $\alpha+\beta=\gamma+\delta$
If $a=b\neq 0$ then $A$ is type (2,7) and a SAGBI basis is given by
$(x-\alpha)(x-\beta),(x-\alpha)^{2}(x-\beta)^{3}(x-\gamma)(x-\delta)$
If $a\neq b$, $b\neq 0$ then $A$ is type (4,5,6,7) and a SAGBI basis is given
by
$(x-\alpha)^{2}(x-\beta)^{2},$
$(x-\alpha)(x-\beta)\left[(a-b)(x-\beta)(x-\gamma)(x-\delta)-a(\alpha-\beta)(\alpha-\gamma)(\alpha-\delta)\right]$
$(x-\alpha)^{3}(x-\beta)^{3},$
$(x-\alpha)^{2}(x-\beta)^{3}(x-\gamma)(x-\delta).$
Case II $\Delta={\gamma+\delta-\alpha-\beta}\neq 0$. Let $\tau$ be defined by
$\tau=\frac{\gamma^{2}+\gamma\delta+\delta^{2}+\alpha\beta-(\alpha+\beta)(\gamma+\delta)}{\Delta}$
If $a=0$ then $A$ is of type (4,5,6,7) and a SAGBI basis is given by
$(x-\alpha)(x-\beta)\left[(\beta-\tau)(x-\gamma)(x-\delta)+(\beta-\gamma)(\beta-\delta)(x-\tau)\right]$
$(x-\alpha)(x-\beta)^{2}(x-\gamma)(x-\delta)$
$(x-\alpha)^{2}(x-\beta)^{2}(x-\tau)^{2}$
$(x-\alpha)^{2}(x-\beta)^{2}(x-\gamma)(x-\delta)(x-\tau)$
If $a=b\neq 0$ then $A$ also type (4,5,6,7) and the SAGBI basis has elements
$(x-\alpha)(x-\beta)\left[(x-\gamma)(x-\delta)-(\alpha+\beta-\gamma-\delta)(x-\tau)\right],$
$(x-\alpha)(x-\beta)\left[(x-\beta)(x-\gamma)(x-\delta)-(\alpha-\gamma)(\alpha-\delta)(x-\tau)\right]$
together with the degree six and seven elements from the previous case.
$a\neq b$, $a\neq 0$, $b\neq 0$. We may assume WLOG that $b=1$. In this case
the type of $A$ depends both on a spectrum condition $C=0$ where
$C=(\alpha+\beta)(\gamma+\delta)-2\alpha\beta-\gamma^{2}-\delta^{2}$ and on
the value of $a$.
If both $C=0$ and $a=\frac{\tau-\beta}{\tau-\alpha}$ hold then T(A)=(3,4) and
$(x-\alpha)(x-\beta)(x-\tau),$ $(x-\alpha)(x-\beta)(x-\gamma)(x-\delta)$
is a SAGBI basis.
If $a=\frac{\tau-\beta}{\tau-\alpha}$ but $C\neq 0$ then T(A)=(3,5,7) and a
SAGBI basis is given by
$(x-\alpha)(x-\beta)(x-\tau)$
$(x-\alpha)(x-\beta)(x-\gamma)(x-\delta)(c(x-\alpha)+d)$
$(x-\alpha)^{3}(x-\beta)^{2}(x-\gamma)(x-\delta)$
where $c=a(\alpha-\gamma)(\alpha-\delta)-(\beta-\gamma)(\beta-\delta)$ and
$d=-(\alpha-\beta)(\gamma-\beta)(\delta-\beta)$
If $a\neq\frac{\tau-\beta}{\tau-\alpha}$ then T(A)=(4,5,6,7) and a SAGBI basis
is given by
$(x-\alpha)(x-\beta)(c(x-\gamma)(x-\delta)+d(x-\tau))$
$(x-\alpha)(x-\beta)(c(x-\alpha)(x-\gamma)(x-\delta)+e(x-\tau))$
$(x-\alpha)^{k}(x-\beta)^{2}(x-\gamma)(x-\delta),k=2,3$
Here $c=a(\alpha-\tau)-(\beta-\tau)$, $d=(\beta-\gamma)(\beta-\delta)$ and
$e=-(\beta-\alpha)(\beta-\gamma)(\beta-\delta)$
3. 3.
$A=\\{f(x)|f(\alpha)=f(\beta),f^{\prime}(\gamma)=f^{\prime}(\delta)=0\\}.$
If
$\frac{2\beta+\gamma-3\delta}{2\alpha+\gamma-3\delta}=\frac{(\alpha-\gamma)^{2}}{(\beta-\gamma)^{2}}=\frac{(\beta-\delta)^{2}}{(\alpha-\delta)^{2}}$
then T(A)=(3,4) and a SAGBI basis is given by
$(x-\gamma)^{2}(2x+\gamma-3\delta),(x-\gamma)^{2}(x-\delta)^{2}$
If
$\frac{2\beta+\gamma-3\delta}{2\alpha+\gamma-3\delta}=\frac{(\alpha-\gamma)^{2}}{(\beta-\gamma)^{2}}\neq\frac{(\beta-\delta)^{2}}{(\alpha-\delta)^{2}}$
then T(A)=(3,5,7) and a SAGBI basis is given by
$(x-\gamma)^{2}(2x+\gamma-3\delta),(x-\gamma)^{2}(x-\delta)^{2}(c+d(x-\gamma))$
$(x-\gamma)^{3}(x-\delta)^{2}(x-\alpha)(x-\beta)$
where
$c=\left(\alpha-\gamma\right)^{3}\left(\alpha-\delta\right)^{2}-\left(-\gamma+\beta\right)^{3}\left(\beta-\delta\right)^{2}$
and
$d=\left(\alpha-\gamma\right)^{2}\left(\alpha-\delta\right)^{2}-\left(-\gamma+\beta\right)^{2}\left(\beta-\delta\right)^{2}$
If
$\frac{2\beta+\gamma-3\delta}{2\alpha+\gamma-3\delta}\neq\frac{(\alpha-\gamma)^{2}}{(\beta-\gamma)^{2}}$
then T(A)=(4,5,6,7) and a SAGBI basis is given by
$(x-\gamma)^{2}((x-\delta)^{2}+c(2x+\gamma-3\delta)),$
$(x-\gamma)^{2}((x-\gamma)(x-\delta)+d(2x+\gamma-3\delta))$
$(x-\alpha)(x-\beta)(x-\gamma)^{2}((x-\delta)^{2}$
$(x-\alpha)(x-\beta)^{2}(x-\gamma)^{2}((x-\delta)^{2}$
where
$c=-\frac{-{\alpha}^{2}+\left(\gamma+\delta\right)\alpha-{\beta}^{2}+\left(\gamma+\delta\right)\beta-2\,\delta\,\gamma}{2\,{\alpha}^{2}+\left(2\,\beta-3\,\delta-3\,\gamma\right)\alpha+2\,{\beta}^{2}+\left(-3\,\delta-3\,\gamma\right)\beta+6\,\delta\,\gamma}$
and
$d=({\alpha}^{4}+\left(\beta-2\,\delta-3\,\gamma\right){\alpha}^{3}+$
$+\left({\beta}^{2}+\left(-2\,\delta-3\,\gamma\right)\beta+{\delta}^{2}+6\,\delta\,\gamma+3\,{\gamma}^{2}\right){\alpha}^{2}+$
$\left({\beta}^{3}+\left(-2\,\delta-3\,\gamma\right){\beta}^{2}+\left({\delta}^{2}+6\,\delta\,\gamma+3\,{\gamma}^{2}\right)\beta-3\,{\delta}^{2}\gamma-6\,{\gamma}^{2}\delta-{\gamma}^{3}\right)\alpha+$
${\beta}^{4}+\left(-2\,\delta-3\,\gamma\right){\beta}^{3}+\left({\delta}^{2}+6\,\delta\,\gamma+3\,{\gamma}^{2}\right){\beta}^{2}+$
$+\left(-3\,{\delta}^{2}\gamma-6\,{\gamma}^{2}\delta-{\gamma}^{3}\right)\beta+3\,{\delta}^{2}{\gamma}^{2}+2\,\delta\,{\gamma}^{3})/$
$(-2\,{\alpha}^{2}+\left(3\,\gamma-2\,\beta+2\,\delta\right)\alpha-2{\beta}^{2}+\left(3\,\gamma+2\,\delta\right)\beta-4\,\delta\,\gamma))$
4. 4.
$A=\\{f(x)|f(\alpha)=f(\beta)=f(\gamma),f^{\prime}(\delta)=0\\}.$
If $\frac{1}{\alpha-\delta}+\frac{1}{\beta-\delta}+\frac{1}{\gamma-\delta}\neq
0$ then T(A)=(4,5,6,7) and a SAGBI basis is given by
$(x-\alpha)(x-\beta)(x-\gamma)g_{j}(x)$
where
$g_{j}(x)=1+(\frac{1}{\alpha-\delta}+\frac{1}{\beta-\delta}+\frac{1}{\gamma-\delta})(x-\delta)+(x-\delta)^{j},$
$j=0,2,3,4$
If $\frac{1}{\alpha-\delta}+\frac{1}{\beta-\delta}+\frac{1}{\gamma-\delta}=0$
then T(A)=(3,5,7) and a SAGBI basis is given by
$(x-\alpha)(x-\beta)(x-\gamma)\left[1+(x-\delta))^{j}\right]$
where $j=0,2,4$
###### Proof.
Either $A$ is defined without any derivations which results in case 1, or $A$
is obtained by adding a derivation to a subalgebra $B$ of codimension two. In
the second case we either add an $\alpha$-derivation where $\alpha\in Sp(B)$
to the case $s=4$ in Theorem 39 which results in case 2, or we add an
$\alpha$-derivation where $\alpha\not\in Sp(B)$ to one of the $s=3$ cases in
codimension two resulting in cases 3 and 4.
For the first case it is obvious that $A$ contains exactly all polynomials of
the form $c+g(x)(x-\alpha)(x-\beta)(x-\gamma)(x-\delta)$ where $c$ is any
constant and $g(x)$ any polynomial. This algebra is clearly of type (4,5,6,7),
and it is easy to verify that the given set of polynomials are in $A$ and of
the exactly those degrees, and hence constitute a SAGBI basis.
For the second case we have two subcases depending on the type of $B$. If
$\alpha+\beta=\gamma+\delta$ then $B$ is of type (2,5). We start from a SAGBI
basis for $B$: $g_{1}=(x-\alpha)(x-\beta)$,
$g_{2}=(x-\alpha)(x-\beta)^{2}(x-\gamma)(x-\delta)$ and then obtain $A$ from
$B$ by adding a condition $D(f)=0$. We may (after interchanging labels of the
elements in $Sp(B)$) WLOG assume that $D$ is an $\alpha$-derivation. From
section 7.4 we know that such a derivation is of the form
$D(f)=af^{\prime}(\alpha)+bf^{\prime}(\beta)$. Now
$D(g_{1})=(\alpha-\beta)(a-b)$. Thus $A$ contains an element of degree two if
and only if $a=b$. If $a=0$ we may assume $b\neq 0$ since we otherwise get
codimension two. Thus, for $a=0$, degree two is missing in $A$ and hence its
type (4,5,6,7) is obtained by removing two from the semigroup of $B$. A direct
check shows that the given basis polynomials are all in $A$ and we conclude
that they are a SAGBI basis since they are of appropriate degrees. (If $b$ but
not $a$ equals zero we get the same case $A$ by letting $\alpha$ and $\beta$
switch names.)
If $a=b\neq 0$ then $A$ does contain $g_{1}$ and the only type of codimension
two containing degree two is (2,7). Again a direct check shows that the
polynomials given in the theorem are a SAGBI basis.
If $a\neq b$ then $A$ does not contain an element of degree two and as $B$ is
type (2,5) $A$ must be of type (4,5,6,7). Applying $D$ to the SAGBI basis for
the case $a=0$ we find that all elements except the second belong to $A$.
Using the recipe from section 6.1 we replace this element by one belonging to
$A$.
Let us now turn to case II, that is when $\Delta\neq 0$. This corresponds to
$B$ being of type (3,4,5). We then have a SAGBI basis for B:
$g_{1}=(x-\alpha)(x-\beta)(x-\tau)$, where $\tau$ is uniquely determined to
satisfy $g_{1}(\gamma)=g_{1}(\delta)$,
$g_{2}=(x-\alpha)(x-\beta)(x-\gamma)(x-\delta)$ and
$g_{3}=(x-\alpha)(x-\beta)^{2}(x-\gamma)(x-\delta)$.
Again, we obtain $A$ from $B$ by adding a condition $D(f)=0$ of the form
$D(f)=af^{\prime}(\alpha)+bf^{\prime}(\beta)$. The possible types of $A$ are
(4,5,6,7), (3,5,7) or (3,4).
Note that if $A$ contains an element $g$ of degree three then $A$ must contain
$g_{1}$ since $g$ is also in $B$ and the only way to build $g$ from the SAGBI
basis of $B$ is as $g_{1}$ (up to multiplication and addition of a constant).
$A$ contains polynomials of degree three $\Leftrightarrow$
$a(\tau-\alpha)-b(\tau-\beta)=0$ Moreover $A$ contains polynomials of degree
four $\Leftrightarrow$ $g_{2}+cg_{1}\in A$ for some $c$ $\Leftrightarrow$
$D(g_{2}+cg_{1})=0$ for some $c$, so clearly if $A$ does not contain degree
three, then it will contain degree four. (We already knew this from the list
of possible types however.) If $A$ does contain degree three then it may
contain degree four or not. It will depend on the derivation used in the
definition of $A$. Again we have cases:
If $a=0$ we may WLOG assume that $b=1$. Then we do not have any element of
degree three in $A$ so its type is (4,5,6,7). We construct a SAGBI basis by
forming elements of degree 4,5,6,7 from the known SAGBI basis
$g_{1}=(x-\alpha)(x-\beta)(x-\tau)$,$g_{2}=(x-\alpha)(x-\beta)(x-\gamma)(x-\delta)$,
$g_{3}=(x-\alpha)(x-\beta)^{2}(x-\gamma)(x-\delta)$ of $B$. All new basis
elements except the one of degree four are in $A$ and we modify that element
using, once again, the method from section 6.1.
$a=b\neq 0$ In this case $A$ does not contain degree three, so the type of A
must be (4,5,6,7). We proceed as in the above case with the exception that
both the generators of degree four and five need to be modified.
$a\neq b$, $a\neq 0$, $b\neq 0$. We may assume WLOG that $b=1$. In this case
the type of $A$ depends both on a spectrum condition $C=0$ where
$C=(\alpha+\beta)(\gamma+\delta)-2\alpha\beta-\gamma^{2}-\delta^{2}$ and on
the value of $a$.
If both $C=0$ and $a=\frac{\tau-\beta}{\tau-\alpha}$ hold then T(A)=(3,4) and
$(x-\alpha)(x-\beta)(x-\tau),$ $(x-\alpha)(x-\beta)(x-\gamma)(x-\delta)$
is a SAGBI basis.
If $a=\frac{\tau-\beta}{\tau-\alpha}$ but $C\neq 0$ then T(A)=(3,5,7) and a
SAGBI basis is given by
$(x-\alpha)(x-\beta)(x-\tau)$
$(x-\alpha)(x-\beta)(x-\gamma)(x-\delta)(c(x-\alpha)+d)$
$(x-\alpha)^{3}(x-\beta)^{2}(x-\gamma)(x-\delta)$
where $c=a(\alpha-\gamma)(\alpha-\delta)-(\beta-\gamma)(\beta-\delta)$ and
$d=-(\alpha-\beta)(\gamma-\beta)(\delta-\beta)$
If $b\neq\frac{\tau-\alpha}{\tau-\beta}$ T(A)=(4,5,6,7). A SAGBI basis is
given by
$(x-\alpha)(x-\beta)(c(x-\gamma)(x-\delta)+d(x-\tau))$
$(x-\alpha)(x-\beta)(c(x-\alpha)(x-\gamma)(x-\delta)+e(x-\tau))$
$(x-\alpha)^{k}(x-\beta)^{2}(x-\gamma)(x-\delta),k=2,3$
Here $c=a(\alpha-\tau)-(\beta-\tau)$, $d=(\beta-\gamma)(\beta-\delta)$ and
$e=-(\beta-\alpha)(\beta-\gamma)(\beta-\delta)$
For case three we start from
$B=\\{f(x)|f^{\prime}(\gamma)=0,f^{\prime}(\delta)=0\\}$ and add a condition
$L(f)=f(\alpha)-f(\beta)=0$
For $B$ we know that
$g_{1}=(x-\gamma)^{2}(2x+\gamma-3\delta),g_{2}=(x-\gamma)^{2}(x-\delta)^{2},g_{3}=(x-\gamma)^{3}(x-\delta)^{2}$
constitute a SAGBI basis. The conditions given in the first case are exactly
those needed for both $g_{1}$ and $g_{2}$ to be in A, and the second case
corresponds to $g_{1}$ but not $g_{2}$ being in $A$. From this the given types
of $A$ follow. To find SAGBI bases for A we use elements from the basis of $B$
in the first case. In the second case we can use $g_{1}$ but need to modify
$g_{2}$. The third basis element is obviously in $A$. When the type is
(4,5,6,7) we proceed in the same way but need to modify both $g_{2}$ and
$g_{3}$ while the two highest degree elements are obviously in A.
In the fourth case the elements of the subalgebra can be found explicitly. To
simplify computations we change variables to $y=x-\delta$ so that we may
assume $\delta=0$. Then note that an element is in $A$ if and only if it is of
the form $(y-\alpha)(y-\beta)(y-\gamma)g(y)$ with $g(y)$ any polynomial
satisfying $g^{\prime}(0)=0$. This is equivalent to
$g(y)=c(1+(\frac{1}{\alpha-\delta}+\frac{1}{\beta-\delta}+\frac{1}{\gamma-\delta})y)$
plus any terms of degree two or more in $y$. Unless
$\frac{1}{\alpha-\delta}+\frac{1}{\beta-\delta}+\frac{1}{\gamma-\delta}=0$
such $g$ exist of all degrees from one and up, showing that T(A)=(4,5,6,7). We
pick such elements of the required degrees and then change variables back to
$x$. In the exceptional case
$\frac{1}{\alpha-\delta}+\frac{1}{\beta-\delta}+\frac{1}{\gamma-\delta}=0$ we
find that the type of A is (3,5,7) and a basis can again easily be picked in
the set of polynomials in $A$.
∎
### 7.10 Subalgebras of codimension three with large spectrum.
It remains to consider large values of $s,$ namely five and six. Theorem 12
gives us a direct description of those subalgebras and we only need to detect
their types and construct SAGBI bases.
###### Theorem 44.
If the algebra $A$ of codimension three has a spectrum consisting six elements
then
$A=\\{f(x)|f(\alpha)=f(\beta);f(\gamma)=f(\delta);f(\lambda)=f(\mu).$
Here $\alpha,\beta,\gamma,\delta,\lambda,\mu$ are pairwise different numbers
from $\mathbb{K}.$ Depending on the relations between them we have the
following alternatives:
A) If $\alpha+\beta=\gamma+\delta=\lambda+\mu$ then the type is (2,7) and a
SAGBI basis can be chosen as:
$(x-\alpha)(x-\beta),(x-\alpha)^{2}(x-\beta)(x-\gamma)(x-\delta)(x-\lambda)(x-\mu).$
If $\Delta=\gamma+\delta-\alpha-\beta\neq 0$ let
$q(x)=(x-\alpha)(x-\beta)(x-\tau),$
$p_{i}(x)=(x-\alpha)^{i}(x-\beta)(x-\gamma)(x-\delta),$
with
$\tau=\frac{\gamma^{2}+\gamma\delta+\delta^{2}+\alpha\beta-(\alpha+\beta)(\gamma+\delta)}{\Delta}.$
B) If $q(\lambda)=q(\mu)$ and $p_{1}(\lambda)=p_{1}(\mu)$ then the type is
$(3,4)$ and $p_{1},q$ form a SAGBI basis. Example 2 shows that such
subalgebras really exist.
C) If $q(\lambda)=q(\mu)$ but $p_{1}(\lambda)\neq p_{1}(\mu)$ then the type is
(3,5,7) and a SAGBI basis can be chosen as:
$q,\quad(x-\alpha)(x-\beta)(x-\gamma)(x-\delta)(x-c)$
$r=(x-\alpha)^{2}(x-\beta)(x-\gamma)(x-\delta)(x-\lambda)(x-\mu),$
where the constant $c$ can be found from the condition $f(\lambda)=f(\mu).$
D) If $q(\lambda)\neq q(\mu)$ then the type is $(4,5,6,7)$ and a (non-
normalized) SAGBI basis can be chosen as:
$(q(\lambda)-q(\mu)p_{i}(x)-(p_{i}(\lambda)-p_{i}(\mu))q(x);\quad i=1,2,3,4.$
###### Proof.
We can get the algebra $A$ from $B$ defined by
$f(\alpha)=f(\beta);f(\gamma)=f(\delta)$ so the only question is how to choose
a SAGBI basis in both and how to find the type. If we have a polynomial of
degree two in $A$ we can use Theorem 27 and only slightly modify it by
choosing another element of degree seven (that obviously belongs to $A.$)
Otherwise the type of $B$ can be chosen as $(3,4,5)$ and we need to check
which of those three degrees disappears. Because $p_{i}(x)$ obviously belong
to $B$ the result follows from the algorithm of constructing SAGBI basis
described above, though for the case $(3,5,7)$ we can choose the basis more
explicitly. (The chosen elements are obviously in $A$ and have the right
degrees.) This would be possible for type $(4,5,6,7)$ as well e.g. choosing
$r,\frac{r}{x-\alpha}$ for the degrees seven and six, but the elements of
degree four and five hardly look nice explicitly. We prefer a shorter
description. ∎
Now we consider the case when we have five elements in the spectrum.
###### Theorem 45.
Let $A$ be a subalgebra of $\mathbb{K}[x]$ of codimension three with the
spectrum $\\{\alpha,\beta,\gamma,\delta,\lambda\\}$ and let
$\displaystyle p_{i}(x)$
$\displaystyle=(x-\alpha)(x-\beta)(x-\gamma)(x-\delta)(x-\lambda)^{i},$
$\displaystyle g(x)$
$\displaystyle=\left(x-\frac{\alpha+\beta}{2}\right)^{2},$ $\displaystyle
q(x)$ $\displaystyle=(x-\alpha)(x-\beta)(x-\tau),$ $\displaystyle\tau$
$\displaystyle=\frac{\gamma^{2}+\gamma\delta+\delta^{2}+\alpha\beta-(\alpha+\beta)(\gamma+\delta)}{\Delta},$
$\displaystyle\Delta$ $\displaystyle=\alpha+\beta-\gamma-\delta.$
Then $A$ can be categorized as one of the following.
* •
$A=\\{f(x)|f(\alpha)=f(\beta)=f(\lambda);f(\gamma)=f(\delta)\\}$. In this case
let $L(f)=f(\alpha)-f(\lambda)$. The possible types of $A$ are given as
follows.
* –
$T(A)=(4,5,6,7)$. This occurs when $\Delta=0$ or when $\Delta\not=0$ and
$L(q)\not=0$. A possible SAGBI basis for the first case is given by
$L(p_{0})g(x)-p_{0}(x)L(g),\ p_{1}(x),\ p_{2}(x),\ p_{3}(x),$
and one for the second case it is given by
$L(q)p_{0}(x)-q(x)L(p_{0}),\ p_{1}(x),\ p_{2}(x),\ p_{3}(x).$
* –
$T(A)=(3,5,7)$. This occurs when $\Delta\not=0$, $L(q)=0$ and
$L(p_{0})\not=0$. A possible SAGBI basis for this case is given by
$q(x),p_{1}(x),p_{3}(x).$
* –
$T(A)=(3,4)$. This occurs when $\Delta\not=0$ and $L(q)=L(p_{0})=0$. A
possible SAGBI basis for this case is given by
$q(x),p_{0}(x).$
* •
$A=\\{f(x)|f(\alpha)=f(\beta);f(\gamma)=f(\delta);f^{\prime}(\lambda)=0\\}$ In
this case let $D(f)=f^{\prime}(\lambda).$ The possible types of $A$ are given
as follows.
* –
$T(A)=(2,7)$. This occurs when $\Delta=0$ and
$\lambda=\frac{\alpha+\beta}{2}$. A possible SAGBI basis for this case is
given by
$g(x),p_{3}(x).$
* –
$T(A)=(4,5,6,7)$. This occurs when $\Delta=0$ and
$\lambda\not=\frac{\alpha+\beta}{2}$, or when $\Delta\not=0$ and $L(q)\not=0$.
A possible SAGBI basis for the first case is given by
$L(p_{0})g(x)-p_{0}(x)L(g),\ $ $L(p_{1})g(x)-p_{1}(x)L(g),\ p_{2}(x),\
p_{3}(x),$
and one for the second case is given by
$L(p_{0})q(x)-p_{0}(x)L(q),$ $L(p_{1})q(x)-p_{1}(x)L(q),\ p_{2}(x),\
p_{3}(x),$
* –
$T(A)=(3,5,7)$. This occurs when $\Delta\not=0$, $L(q)=0$ and
$L(p_{0})\not=0$. A possible SAGBI basis for this case is given by
$q(x),L(p_{0})p_{1}(x)+p_{0}(x)L(p_{1}),p_{3}(x).$
* –
$T(A)=(3,4)$. This occurs when $\Delta\not=0$ and $L(q)=L(p_{0})=0$. A
possible SAGBI basis for this case is given by
$q(x),p_{0}(x).$
###### Proof.
First note that there is no other combination of conditions that specify a
subalgebra of codimension three with a spectrum of size five. We must have
three conditions and as each condition only can contribute with at most two
elements to the spectrum, two of these conditions must contribute with two
elements to the spectrum. There is only one type of condition that adds two
new elements to the spectrum, namely conditions of the form $L(f)=f(x)-f(y)$
where $x,y$ are not previously part of the spectrum. Thus we are really only
free in setting the third condition on $A$. Either we can add a condition of
type $L(f)=f(x)-f(y)$ where $x$ previously belonged to the spectrum, or we can
add an $\alpha$-derivation. Note that such an $\alpha$-derivation must be
trivial, as Theorem 31 tells us that no non-trivial $\alpha$-derivations add
more elements to the spectrum. Now, it remains to justify each case. But
first, some additional notation.
Let $B=\\{f(x)|f(\alpha)=f(\beta);f(\gamma)=f(\delta)\\}$. We will consider
$B$ as the subalgebra from which $A$ is created by adding an extra condition.
Note that $p_{i}\in B$ for all positive $i$. Furthermore, Theorem 39 states
that when $\Delta=0$, we have $T(B)=(2,5)$ and $g(x),p_{1}(x)$ is a SAGBI
basis of $B$. If however $\Delta\not=0$, then $T(B)=(3,4,5)$ and
$q(x),p_{0}(x),p_{1}(x)$ is a SAGBI basis of $B$.
We begin by treating all cases when $A$ is defined by equality conditions
only, no derivations. If $\Delta=0$ then $T(B)=(2,5)$ and as $A$ does not
satisfy the conditions outlined in Theorem 27, we must have $T(A)=(4,5,6,7)$.
It is easily verified that the constructed SAGBI basis resides in $B$,
satisfies the extra condition, and generates an algebra of the specified type.
If instead $\Delta\not=0$, then $T(B)=(3,4,5)$ and we can use the SAGBI basis
of $B$, namely $q(x),p_{0}(x),p_{1}(x)$, to construct a SAGBI basis for $A$.
We include and modify basis elements depending on which of them satisfy the
added condition.
Now, we treat the cases when $A$ is derived as the kernel of some derivation
on $B$. Here we also branch on wether $\Delta$ is zero or not.
If $\Delta=0$ and $T(B)=(2,5)$ then $A$ satisfies Theorem 27 only if
$A=\text{ker}\ D$ where $D(f)=af(\lambda)$ and
$\lambda=\frac{\alpha+\beta}{2}$. If $\lambda$ is given as such, then it
follows that $T(A)=(2,7)$ and the basis is easily verified. If however
$\lambda\not=\frac{\alpha+\beta}{2}$, then $T(A)=(4,5,6,7)$ and again, it is
easy to verify the basis.
When $\Delta\not=0$ we proceed in the same fashion as before and construct a
SAGBI basis from $q(x)$ and the $p_{i}(x)$ depending on which of the
polynomials satisfy the added condition. ∎
## Chapter 8 Creating derivations
To prove the main conjecture we probably need to understand the nature of
derivations: how new derivations are obtained when some former derivations are
turned into subalgebra conditions. This is far from trivial and here we
discuss some observations.
### 8.1 Integral
Let $A$ be obtained from $B$ as the kernel of an $\alpha-$derivation $L.$ We
call a polynomial $a$ an integral if for any $f\in A$ we have that
$af^{\prime}\in B.$ For example, if $B=\mathbb{K}[x]$ then any $a$ is an
integral. For $B=<x^{2},x^{3}>$ and $A=<x^{2},x^{5}>$ we find that $x$ is an
integral.
###### Theorem 46.
If $a$ is an integral then the map $D:f\rightarrow L(af^{\prime})$ is an
$\alpha-$derivation of the subalgebra $A.$
###### Proof.
We have
$af^{\prime}\in B$
thus $L$ is well defined and linear. Besides that if $f,g\in A$ then
$L(f)=L(g)=0$ and
$D(fg)=L(a(fg)^{\prime})=L(af^{\prime}g)+L(ag^{\prime}f)=$
$L(af^{\prime})g(\alpha)+0+L(ag^{\prime})f(\alpha)+0=D(f)g(\alpha)+f(\alpha)D(g).$
∎
This idea can be generalized. Consider a map $F:A\rightarrow B$ such that
$F(pq)=F(p)q+pF(q).$
###### Theorem 47.
The map $D=L\circ F$ is an $\alpha-$derivation of the subalgebra $A.$
###### Proof.
We have for $p,q\in A=\ker L$
$D(pq)=L(F(p)q+pF(q))=$
$L(F(p))q(\alpha))+F(p)(\alpha)L(q)+L(p)F(q)(\alpha)+p(\alpha)L(F(q))=$
$D(p)q(\alpha)+p(\alpha)D(q).$
∎
### 8.2 Single element in the spectrum
Unfortunately not each derivation can be created using integrals. To
understand how the derivations can appear we want to study a special concrete
case. When $A$ has a single element $\alpha$ in the spectrum, where already
have proved the main conjecture. First of all if $p^{\prime}(\alpha)=0$ for
any $p\in A$, then $D_{2}:p\rightarrow\frac{p^{\prime\prime}(\alpha)}{2!}$ and
$D_{3}:p\rightarrow\frac{p^{\prime\prime\prime}(\alpha)}{3!}$ are two
$\alpha-$derivations. Consider the following list of the maps created with the
help of Maple:
$D_{1}$ $D_{3}-cD_{2};$ $D_{5}-2cD_{4};$ $D_{7}-3cD_{6}+3c^{3}D_{4};$
$D_{9}-4cD_{8}+11c^{3}D_{6}-11c^{5}D_{4};$
$D_{11}-5cD_{10}+26c^{3}D_{8}-78c^{5}D_{6}+78c^{7}D_{4};$
$D_{13}-6cD_{12}+50c^{3}D_{10}-294c^{5}D_{8}+882c^{7}D_{6}-882c^{9}D_{4};$
$D_{15}-7cD_{14}+85c^{3}D_{12}-816c^{5}D_{10}+4811cD_{8}^{7}-14433c^{9}D_{6}+14433c^{11}D_{4};$
$D_{17}-8cD_{16}+133c^{3}D_{14}-1881c^{5}D_{12}+18145c^{7}D_{10}$
$-106989c^{9}D_{8}+320967c^{11}D_{6}-320967c^{13}D_{4};$
$D_{19}-9cD_{18}+196c^{3}D_{16}-3822c^{5}D_{14}+54399c^{7}D_{12}$
$-524880c^{9}D_{10}+3094881c^{11}D_{8}-9284643c^{13}D_{6}+9284643c^{15}D_{4}.$
Here $D_{k}$ is the map $D_{k}:p\rightarrow\frac{p^{(k)}(\alpha)}{k!}$ and $c$
is a constant.
We know that the first map is an $\alpha-$derivation. But what is more
interesting is that if the first $k$ maps defines a subalgebra inside $A$ (as
the intersection $C$ of their kernels with $A$) then the next map will be a
derivation of $C.$
The numerical coefficients $C_{i}$ with $D_{n}$ have an interesting property:
$C_{0}=0$ $C_{2}+C_{3}=0;$ $C_{4}+2C_{5}+C_{6}=0$
$C_{6}+3C_{7}+3C_{8}+C_{9}=0$ $C_{8}+4C_{9}+6C_{10}+4C_{11}+C_{12}=0$ $\ldots$
$C_{2m}+\binom{m}{1}C_{2m+1}+\binom{m}{2}C_{2m+2}+\cdots+\binom{m}{m-1}C_{3m-1}+C_{3m}=0$
###### Theorem 48.
Let $n=2k+1$ be an odd number. If we demand
* •
$C_{n}^{(n)}=1$ and $C_{i}^{(n)}=0$ for all other odd $i$;
* •
$C_{i}^{(n)}=0$ for all even $i>n;$
* •
$C_{2m}^{(n)}+\binom{m}{1}C_{2m+1}^{(n)}+\binom{m}{2}C_{2m+2}^{(n)}+\binom{m}{3}C_{2m+3}^{(n)}+\cdots\\\
+\binom{m}{m-1}C_{3m-1}^{(n)}+C_{3m}=0$ for all $m$
then the numbers $C_{i}^{(n)}$ are uniquely determined.
###### Proof.
For odd numbers it is trivial. For even numbers $i>n$we have zeros and they
satisfies the equations with $2m>n.$ For $C_{2k}^{(n)}$ we have
$C_{2k}^{(n)}+\binom{k}{1}C_{2k+1}+0+\cdots=0\Rightarrow
C_{2k}^{(n)}=-\binom{k}{1}=-k.$
If $C_{i}^{(n)}$ is defined for all $i>2m$ then we have
$C_{2m}^{(n)}=-\left[\binom{m}{1}C_{2m+1}^{(n)}+\binom{m}{2}C_{2m+2}^{(n)}+\cdots+\binom{m}{m-1}C_{3m-1}^{(n)}+C_{3m}\right]$
and all is uniquely defined by induction. ∎
Now for each odd $n$ we can define
$L_{n}=\sum_{i=0}^{n}C_{i}^{(n)}c^{i}D_{n-i}.$
###### Conjecture 6.
If $L_{1}(f)=L_{3}(f)=\cdots=L_{n-2}(f)=0$ for each $f\in A$ then $L_{n}$ is
an $\alpha-$derivation in $A.$
## Chapter 9 Further development
Here we want to discuss some possible ways to generalize the obtained results.
We have several restrictions. Can we skip them?
First of all we can consider subalgebras of infinite codimension. Then we need
infinitely many conditions, so spectra can be infinite as well. But there are
many interesting questions here.
Next we have restrictions on the field. Characteristics zero seems to be
important, otherwise we have problem already for monomial algebras. But we
probably can work with the divided powers.
The demand that the field is algebraically closed probably is less
restrictive, at least if we allow the spectrum elements to belong to the
algebraic closure of the field. But to understand under what circumstances a
subalgebra over the field of complex numbers consists of real elements is an
interesting question here.
Constructing the SAGBI basis is also interesting, because the main tool - the
subalgebra $B$ codimension one less is absent, even though we in the real case
can find a subalgebra of codimension two less. This is an interesting area for
further investigation.
Perhaps, the most interesting generalization is to allow more than one
variable. Here we need to use partial derivatives and for example the monomial
subalgebras get a similar description as in the univariate case. So there is a
realistic hope for the theory to be extendable to several variables. One
problem is that it is not clear that the spectrum cannot contain ghost
elements if we increasing the number of variables.
The main tool - the subalgebra B still exists but now we need to speak about
$(\alpha,\beta)-$ derivations. The SAGBI bases seem to be constructed in a
similar way and therefore should still be finite. But there are many
differences. First of all $f(\alpha,\beta)=0$ does not give us a factor in
$f(x,y)$ which is a fact that we have relied substantially on in the one-
dimensional case. Therefore we have no direct analogs of the proofs for
theorems corresponding to theorems 13, 14, 21. It would be interesting to know
if they are still valid.
Another difference is that there exists proper subalgebras in
$\mathbb{K}[x,y]$ with empty spectrum. An example inspired by [2] is the
subalgebra $A=<x,xy,xy^{2}-y>.$
Indeed,
$f(\alpha,\beta)=f(\gamma,\delta)$
applied to $x$ gives $\alpha=\gamma.$ Then $\beta\neq\delta$ and
$\alpha\beta=\alpha\delta$ implies $\alpha=0.$ Now
$\alpha\beta^{2}-\beta=\alpha\delta^{2}-\delta\Rightarrow\beta=\delta,$
a contradiction.
Similarly
$af^{\prime}_{x}(\alpha,\beta)+bf^{\prime}_{y}(\alpha,\beta)=0$
applied to $x$ gives $a=0.$ Thus $b\neq 0$ and application to $xy$ gives
$\alpha=0.$ But then
$b(xy^{2}-y)^{\prime}_{y}(0,\beta)=-b\neq 0.$
To check that it is a proper subalgebra suppose that
$y=F(x,xy,xy^{2}-y).$
If we put $y=\frac{1}{x}$ here then we obtain $\frac{1}{x}=F(x,1,0)$ \- a
contradiction.
In fact no $y^{k}$ belongs to $A$ and we have, as expected, infinite
codimension while $\mathbb{K}[x,y]$ is the only subalgebra of finite
codimension that contains $A.$
But it is impossible to construct such examples with finite codimension or in
the one-variable case.
An interesting question is to find a homological interpretation. Some kind of
homological algebra should be here.
The characteristic polynomial is especially interesting. What is the correct
definition? Can it be introduced for several variables? Can it be interpreted
as the characteristic polynomial of some operator on $V^{2}$ or $V\times
V^{*}$, where $V=\mathbb{K}[x]/A?$
The size of spectrum. Is it an inner property of subalgebra? Because $<x^{2}>$
has an infinite spectrum, probably the size of spectrum depends on the
embedding of the subalgebra in $\mathbb{K}[x].$ But maybe this is not the case
if we restrict ourselves by finite codimension only.
Applications. The spectrum open many possible applications. As exciting
example we can consider is the Jacobian conjecture. What we need to prove
first is that the spectrum of the subalgebra the polynomials define has zero
spectrum. Probably an equivalent condition is (as in one variable case) that
all derivations are trivial. Then a non-zero jacobian could be another
equivalent condition.
## Chapter 10 Acknowledgements
We are thankful to our mathematical department which gave us an opportunity to
work on this project despite the difficult pandemic situation. The starting
point of the project was the Master degree defence of the first author, where
the last author was the scientific adviser ant the third was the opponent. It
was the observation that the subalgebra $<x^{3}-x,x^{2}>$ can be defined by
the conditions $f(1)=f(-1)$ the gave the last author the idea to study
subalgebra conditions. He suggested that the main theorem should be true,
introduced the main definitions and plan for how the theorem can be proven.
During one year we divided between us different parts of the work to carry out
this plan and discussed how to develop the ideas.
Trying to classify together the subalgebras of type $(3,4)$ we got the idea of
the characteristic polynomial and the spectrum. The idea to use derivations
came much later but became a main tool in the induction approach. SAGBI bases
was always the important tool.
Prof. Arne Meurman was always participating in our regular meetings and we are
very thankful him for his valuable remarks. Another student, Hugo Eberhard,
was participating in part of discussions as well. We are thankful him as well.
Later another student, the second author, joined the project and actively
participated in the classification part.
We were glad to share the joy to be a mathematician and do not consider the
project as finished. But somewhere we need to set a point and publish the
result obtained so far.
## Bibliography
* [1] Bourbaki, Nicolas (1990). Algebra II: Chapters 4-7. Elements of Mathematics. Springer. ISBN 978–3–540–00706–7. English paperback edition.
* [2] Newman, D. J. Point separating algebras of polynomials. Amer. Math. Monthly 81 (1974), p. 496-–498.
* [3] Torstensson, Anna ; Ufnarovski, Victor ; Öfverbeck, Hans, On SAGBI bases and resultants. Commutative algebra, singularities and computer algebra (Sinaia, 2002), 241–-254, NATO Sci. Ser. II Math. Phys. Chem., 115, Kluwer Acad. Publ., Dordrecht, 2003.
* [4] Gorin, E.A. Subalgebras of finite codimension. Mathematical Notes of the Academy of Sciences of the USSR 6, 649–-652 (1969). https://doi.org/10.1007/BF01119685
* [5] Kreuzer, Martin ; Robbiano, Lorenzo, Computational commutative algebra. 2. Springer-Verlag, Berlin, 2005. x+586 pp. ISBN: 978–3–540–25527–7; 3–540–25527–3
## Index
* characteristic polynomial Definition 15, Definition 23
* cluster §2.5
* $\mathcal{D}^{A}_{\alpha}$ §5.2
* derivation Definition 4
* $g(m,n)$ §3.2
* $k_{\alpha}^{A}$ §5.2
* minimal SAGBI basis §1.2
* monomial subalgebra Theorem 1
* $M_{\alpha}$ §5.2
* numerical semigroup §1.2
* SAGBI basis §1.2
* semi-commutativity §5.2
* spectrum Definition 6
* subalgebra conditions §2.2
* subduction §1.2
* $T(A)$ §5.2
* trivial derivation Theorem 21, Theorem 35
* type of subalgebra §5.2
* $\alpha-$derivation Definition 4
* $\alpha\sim\beta$ §2.5
* $\chi_{A}(x)$ Definition 23
* $\chi_{p,q}$ Definition 15
Victor Ufnarovski
Lund Institute of Technology/ Centre for Mathematical Sciences.
Email: [email protected], [email protected]
| arxiv-papers | 2021-07-26T00:39:54 | 2024-09-04T03:07:17.413295 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Rode Gr\\\"onkvist, Erik Leffler, Anna Torstensson, Victor Ufnarovski",
"submitter": "Anna Torstensson",
"url": "https://arxiv.org/abs/2107.11916"
} |
2107.11917 | # Solar models and McKean’s breakdown theorem
for the $\mu$CH and $\mu$DP equations
Stephen C. Preston Department of Mathematics, Brooklyn College and the
Graduate Center, City University of New York, NY 11106, USA
[email protected]
###### Abstract.
We study the breakdown for $\mu$CH and $\mu$DP equations on the circle, given
by
$m_{t}+um_{\theta}+\lambda u_{\theta}m=0,$
for $m=\mu(u)-u_{\theta\theta}$, where $\mu$ is the mean and $\lambda=2$ or
$\lambda=3$ respectively. It is already known that if the initial momentum
$m_{0}$ never changes sign, then smooth solutions exist globally. We prove the
converse: if the initial momentum changes sign, then $C^{2}$ solutions $u$
must break down in finite time. The technique is similar to that of McKean,
who proved the same for the Camassa-Holm equation, but we introduce a new
perspective involving a change of variables to treat the equation as a family
of planar systems with central force for which the conserved angular momentum
is precisely the transported vorticity. We also demonstrate how this
perspective can apply to give some insights for other PDEs of continuum
mechanics, such as the Okamoto-Sakajo-Wunsch equation (and in particular the
De Gregorio equation).
###### Contents
1. 1 Introduction
2. 2 Background
3. 3 Solar models for H-S and D-P equations
4. 4 The general transformation
5. 5 Local and global existence in the transformed variables
6. 6 Properties of central force systems with bounded forcing terms
7. 7 Proof of Theorem 1
8. 8 Outlook
## 1\. Introduction
In this paper we study the $\mu$-$\lambda$ family of equations
(1) $\displaystyle m_{t}(t,\theta)+u(t,\theta)m_{\theta}(t,\theta)+\lambda
u_{\theta}(t,\theta)m(t,\theta)=0,$ (2) $\displaystyle
m(t,\theta)=\sigma(t)-u_{\theta\theta}(t,\theta),\qquad\sigma(t)=\int_{S^{1}}u(t,\theta)\,d\theta$
(3) $\displaystyle u(0,\theta)=u_{0}(\theta),\qquad t\geq 0,\;\theta\in
S^{1}=\mathbb{R}/\mathbb{Z}.$
Here $u(t,\theta)$ is a velocity field on the circle, and $m(t,\theta)$
defined by (3) is called its _momentum_ or _vorticity_. The two special cases
we care about the most are:
* •
$\lambda=2$, the $\mu$-Camassa-Holm (or sometimes $\mu$-Hunter-Saxton)
equation, and
* •
$\lambda=3$, the $\mu$-Degasperis-Procesi equation.
Our interest is in whether solutions exist for all time $t\geq 0$, or if they
break down at some $T>0$, given an initial condition $u_{0}$. We will work
with solutions $u(t,\cdot)\in C^{2}(S^{1})$, assuming that $u_{0}\in C^{2}$
and $m_{0}\in C^{0}$.
Integrating (1) over $\theta\in S^{1}$ gives, after an integration by parts,
the fact that $\sigma^{\prime}(t)=0$, so that for the remainder of the paper
we will denote in (2)
(4) $\sigma=\int_{0}^{1}u_{0}(\theta)\,d\theta.$
If $u_{0}$ is such that $\sigma=0$ in equation (4), then the breakdown picture
is mostly understood by work of Sarria-Saxton [30, 31], who showed that if
$\lambda\in[-1,1]$ then all solutions of (1)–(3) are global in time; if
$1<\lambda\leq 5$, then there exist $u_{0}$ such that solutions break down
with $u_{\theta}(t,\theta_{*})$ approaching negative infinity for some
$\theta_{*}\in S^{1}$; and for all other values of $\lambda$, there is an
initial condition such that breakdown happens everywhere. For $\lambda=2$ with
$\sigma=0$, the equation becomes the Hunter-Saxton equation [15], and its
explicit solution together with the geometric interpretation in terms of
spherical geodesics were given by Lenells [23]. In particular all solutions
break down in finite time with $u_{\theta}\to-\infty$ on a discrete set. If
$\lambda=3$ with $\sigma=0$, the equation (1) is the second derivative of the
inviscid Burgers’ equation $u_{t}+uu_{\theta}=0$, for which all solutions
break down in finite time as pointed out in Lenells-Misiołek-Tığlay [24]. We
will review these computations in Section 2.
When $\sigma\neq 0$ the situation is more complicated: for some smooth $u_{0}$
the solution may break down, while for other smooth $u_{0}$ the solution
exists globally. Here we settle the question of precisely which initial
conditions lead to breakdown for the two simplest and most important special
cases $\lambda=2$ and $\lambda=3$. This theorem is inspired by the result of
McKean [25], who proved the same for the Camassa-Holm equation, which is (1)
but with (2) replaced by $m=u-u_{\theta\theta}$. Our proof is inspired by that
one, and the simplified version given in [16].
The main novelty of our approach is that we introduce a new central-force
model which describes the equation more geometrically. We consider a family of
particles in the plane depending on $\theta\in S^{1}$, such that
$\eta_{\theta}(t,\theta)$ is zero if and only if the particle is at the
origin. These particles in the plane are subject to a central force, and the
conserved angular momentum is precisely the transported vorticity of the
Euler-Arnold equation. Unless the central force is sufficiently large,
particles with nonzero angular momentum will orbit, like planets in the solar
system. However if the angular momentum vanishes, then it is possible (and
relatively easy) for a particle to reach the origin in finite time. Thus if
the angular momentum is always of the same sign, all particles orbit forever,
while if it changes sign, then breakdown can occur. The details still depend
on the particular equation, however.
###### Theorem 1.
Suppose the initial velocity $u_{0}$ is $C^{2}$ on $S^{1}$, and let
$m_{0}(\theta)=\sigma-u_{0}^{\prime\prime}(\theta)$ be the initial momentum.
Assume that either $\lambda=2$ or $\lambda=3$. Then the solution $u$ of
(1)–(3) exists and remains in $C^{2}$ for all time if and only if $m_{0}$
never changes sign on $S^{1}$. If $m_{0}$ does change sign, then
$u_{\theta}(t,\theta_{*})$ approaches negative infinity in finite time at a
value $\theta_{*}\in S^{1}$ where $m_{0}$ changes from positive to negative.
The fact that $m_{0}\geq 0$ or $m_{0}\leq 0$ everywhere implies global
existence is well-known: if $\lambda=2$ it was proven in the original paper of
Khesin-Lenells-Misiołek [17] which introduced the $\mu$CH equation, and if
$\lambda=3$ it was proven in the original paper of Lenells-Misiołek-Tığlay
[24] which introduced the $\mu$DP equation. We give a different proof which
makes a bit more clear geometrically why this works and generalizes to other
equations of the form (1). On the other hand, while there are several results
on sufficient conditions for breakdown of either the $\mu$CH or $\mu$DP
equations (see e.g., [12] and [14]), they do not capture all cases. The
similarity of Theorem 1 to the result of McKean suggests that a general
principle applies: those equations which have the form (1) for some function
$m$, given as a pseudodifferential operator in terms of $u$, should have
breakdown behavior which depends on the sign of the initial momentum $m_{0}$.
It seems likely that with a bit more work, one can apply the technique here to
similar families of PDEs to obtain the complete breakdown picture.
The special cases $\lambda=2$ and $\lambda=3$ in (1)–(3) are especially
interesting because they are both completely integrable, with bihamiltonian
structure generating infinitely many conservation laws: see [17] and [24]
respectively. Aside from the conservation of average velocity (4), which is
true regardless of $\lambda$, we have for $\lambda=2$ that
$\int_{S^{1}}u_{\theta}(t,\theta)^{2}\,d\theta$ is constant, and for
$\lambda=3$ that $\int_{S^{1}}u(t,\theta)^{2}\,d\theta$ is constant. We will
not need any of the other conservation laws, which in general are not
coercive. However one can use the complete integrability to obtain the global
existence result, as shown in McKean [26] for the Camassa-Holm equation and
sketched in Tığlay [33] for the $\mu$-Camassa-Holm equation.
In Section 2, we recall the vorticity conservation formula and derive some
basic properties of the model (1)–(3), including conservation laws. In Section
3 we recall the solution formulas for the simplest case of mean-zero velocity
fields (for the Hunter-Saxton and Degasperis-Procesi equation) and illustrate
the solar model picture of breakdown. In Section 4, we present the general
transformation for nonzero $\sigma$ and show that we obtain a central force
system, where the conserved angular momentum is precisely the vorticity. In
Section 5 we present the local existence theory, showing in particular when
$\lambda=3$ that the solution exists in the transformed coordinates up to and
slightly beyond the first time a particle reaches the origin; when $\lambda=2$
the solution exists for all time in the transformed coordinates. In Section 6
we prove that the central force is bounded polynomially in time, and we prove
some general aspects of mechanics under central forces (not necessarily coming
from a solar model of a PDE). These are used in Section 7 to prove Theorem 1.
Finally in Section 8, we discuss a different transformation of equation (1)
(where the momentum is given by $m=Hu_{\theta}$ instead of (2)) and illustrate
how the solar picture here generates bounds for the solution; this is the
Okamoto-Sakajo-Wunsch family of equations, a generalization of the De Gregorio
equation which appears in a particularly simple way here.
The author thanks Martin Bauer, Boris Khesin, Alice Le Brigant, Jae Min Lee,
Stephen Marsland, Gerard Misiołek, Cristina Stoica, Vladimir S̆verák, Feride
Tığlay, and Pearce Washabaugh for very valuable discussions, as well as all
the organizers and participants of the BIRS workshop 18w5151 and the Math in
the Black Forest workshop for listening to early versions of this work. The
work was done while the author was partially supported by Simons Foundation
Collaboration Grant #318969.
## 2\. Background
Equation (1), for a general $m=L(u)$ defined by a pseudodifferential operator
$L$ in terms of $u$, is a generalization of the Euler-Arnold equation. For
$\lambda=2$ it is exactly the Euler-Arnold equation: it describes the
evolution of geodesics under a right-invariant Riemannian metric on the
diffeomorphism group $\mathrm{Diff}(S^{1})$ of the circle, where the metric is
given at the identity by
(5) $\langle u,u\rangle_{\mathrm{id}}=\int_{S^{1}}uLu\,d\theta.$
If $L$ is positive-definite, this defines a Riemannian metric, and the actual
geodesic in the diffeomorphism group is found by solving the flow equation
(6)
$\eta_{t}(t,\theta)=u\big{(}t,\eta(t,\theta)\big{)},\qquad\eta(0,\theta)=\theta.$
Paired with (1), this is a second-order differential equation for $\eta$; the
decoupling is an expression of Noether’s theorem due to the right-invariance.
The Camassa-Holm equation with $m=u-u_{\theta\theta}$ is the best-known
example in one dimension; in higher dimensions one gets the Euler equations of
ideal fluid mechanics and a variety of other equations of continuum mechanics.
See surveys in [2], [18], [20] for other examples. When $\lambda=2$ and $L$ is
nonnegative but not strictly positive, the equation may describe geodesics on
quotient spaces of $\mathrm{Diff}(S^{1})$, modulo a quotient group generated
by the kernel of $L$; see Khesin-Misiołek [19] for the requirement. Examples
include the Euler-Weil-Petersson equation [13] and the Hunter-Saxton equation.
For other values of $\lambda$, the quadratic form (5) is not necessarily
conserved, and if not then the equation (1) does not represent the equation
for geodesics in a Riemannian metric. However it can still be interpreted as a
geodesic for a right-invariant but non-Riemannian connection; see [17] and
[11] for details on this construction in the present cases, and [34] for the
general situation. A well-known example is the Okamoto-Sakajo-Wunsch equation
[28], where $m=Hu_{\theta}$ in terms of the Hilbert transform $H$ (if
$\lambda=-1$ it becomes the well-known De Gregorio equation [7]) which are
considered the simplest one-dimensional models for vorticity growth in the 3D
Euler equation. We will return to this family at the end of the paper. On the
other hand if $m=-u_{\theta\theta}$ then (1) is the generalized Proudman-
Johnson equation, studied in [30, 31], which is related to self-similar
infinite-energy solutions of the Euler equations of fluids.
What all these equations have in common is the conservation of vorticity
property, which we describe as follows.
###### Proposition 2.
For any equation of the form (1), regardless of how $m$ is related to $u$, we
have the vorticity transport formula
(7)
$\eta_{\theta}(t,\theta)^{\lambda}m\big{(}t,\eta(t,\theta)\big{)}=m_{0}(\theta).$
.
###### Proof.
Observe that by the chain rule and the definition (6) of $\eta$, we have
(8) $\frac{\partial}{\partial
t}m\big{(}t,\eta(t,\theta)\big{)}=m_{t}\big{(}t,\eta(t,\theta)\big{)}+u\big{(}t,\eta(t,\theta)\big{)}m_{\theta}\big{(}t,\eta(t,\theta)\big{)}.$
Furthermore differentiating (6) in $\theta$ yields
(9)
$\eta_{t\theta}(t,\theta)=u_{\theta}\big{(}t,\eta(t,\theta)\big{)}\,\eta_{\theta}(t,\theta).$
Using both in (1) shows that
$\frac{\partial}{\partial
t}\Big{(}\eta_{\theta}(t,\theta)^{\lambda}m\big{(}t,\eta(t,\theta)\big{)}\Big{)}=0,$
which shows that the vorticity $m$ is transported via (7). This is a
consequence only of (1), and is true regardless of whether $m$ is related to
$u$ by (2) or not. ∎
As long as $\eta$ remains a diffeomorphism of the circle, we will have
$\eta_{\theta}>0$, so that the sign of $m$ is preserved: for each $\theta$,
the transported vorticity $m\big{(}t,\eta(t,\theta)\big{)}$ along the
Lagrangian path $\eta(t,\theta)$ is positive if and only if the initial
vorticity $m_{0}(\theta)$ is positive. Equation (7) can be inverted to solve
for $u\big{(}t,\eta(t,\theta)\big{)}$ in terms of $\eta_{\theta}$ and $m_{0}$,
and from there we may obtain a first-order equation for $\eta$ using (6). We
will not take this approach directly. Instead we study the second order system
(1)–(3), (6) by an approximate linearization. That is, we differentiate (9) in
time to get a second order equation for $\eta_{\theta}$, then change variables
to simplify it. We will elaborate on the differential geometric meaning of
this at the end of the paper.
###### Proposition 3.
Suppose $m=\sigma-u_{\theta\theta}$ with the definition (2). Then $\sigma$ is
constant, and equation (1) can be written in the form
(10)
$u_{t\theta}+uu_{\theta\theta}+\frac{\lambda-1}{2}u_{\theta}^{2}-\lambda\sigma
u=I,$
for some function $I$ depending only on time. In addition, if $\lambda=2$ or
$\lambda=3$, then $I(t)$ is constant in time.
###### Proof.
Plugging the formula $m=\sigma-u_{\theta\theta}$ into (1) gives
(11)
$\sigma^{\prime}(t)-u_{t\theta\theta}(t,\theta)-u(t,\theta)u_{\theta\theta\theta}(t,\theta)+\lambda\sigma(t)u_{\theta}(t,\theta)-\lambda
u_{\theta}(t,\theta)u_{\theta\theta}(t,\theta)=0.$
Integrate this over $\theta\in S^{1}$: all terms integrate to zero by
periodicity, and we obtain $\sigma^{\prime}(t)=0$, as mentioned in the
Introduction.
Now find the antiderivative in $\theta$ of the remaining terms in (11), and we
obtain (10) for some function $I(t)$. Integrating both sides over the entire
circle shows that
(12) $I(t)=\frac{\lambda-3}{2}E(t)-\lambda\sigma^{2},\qquad\text{where}\quad
E(t)=\int_{S^{1}}u_{\theta}(t,\theta)^{2}\,d\theta.$
Differentiation of (12), using (10), gives
$\displaystyle E^{\prime}(t)$
$\displaystyle=2\int_{S^{1}}u_{\theta}u_{t\theta}\,d\theta$
$\displaystyle=2I(t)\int_{S^{1}}u_{\theta}\,d\theta+\lambda\sigma\int_{S^{1}}uu_{\theta}\,d\theta-2\int_{S^{1}}uu_{\theta}u_{\theta\theta}\,d\theta-(\lambda-1)\int_{S^{1}}u_{\theta}^{3}\,d\theta$
$\displaystyle=-(\lambda-2)\int_{S^{1}}u_{\theta}^{3}\,d\theta$
after noticing the first two terms vanish and the third term can be integrated
by parts to combine with the fourth term.
In particular when $\lambda=2$ we have that $E(t)$ is constant, and thus so is
$I(t)$. On the other hand, when $\lambda=3$, we get $I(t)=-3\sigma^{2}$, which
is constant since $\sigma$ is. ∎
It is the form (10) of the equation, which makes sense for $u(t,\cdot)\in
C^{2}(S^{1})$, that we will view as fundamental. We will see that the kinetic
energy term $E(t)$ defined by (12) controls the global behavior of solutions.
This is precisely the reason why our technique will work well in those two
cases, and the lack of a bound on $E(t)$ is the reason we cannot yet prove
Theorem 1 for other values of $\lambda$. (As will be clearer later, a
polynomial growth bound for $E(t)$ in $t$ would be sufficient to prove Theorem
1, but the obvious successive-differentiation manipulations seem to yield at
best exponential growth.)
As is typical with equations of Euler-Arnold type (as first noticed by Ebin-
Marsden [9]; see also [6] and [27]), the equation is best-behaved in terms of
the flow $\eta$, i.e., using the Lagrangian description. To see this here,
differentiate (9) with respect to $t$ to get
$\eta_{tt\theta}(t,\theta)=\Big{(}u_{t\theta}\big{(}t,\eta(t,\theta)\big{)}+u_{\theta\theta}\big{(}t,\eta(t,\theta)\big{)}u\big{(}t,\eta(t,\theta)\big{)}+u_{\theta}\big{(}t,\eta(t,\theta)\big{)}^{2}\Big{)}\eta_{\theta}(t,\theta).$
Using this, equations (10)–(12), after composing with $\eta$ and using (6) and
(9), become
(13)
$\eta_{tt\theta}=-\frac{\lambda-3}{2}\,\frac{\eta_{t\theta}^{2}}{\eta_{\theta}}+\Big{[}\lambda\sigma\big{(}\eta_{t}(t,\theta)-\sigma\big{)}+\frac{\lambda-3}{2}E(t)\Big{]}\eta_{\theta}(t,\theta).$
We are going to view this as an equation for $\eta_{\theta}$, in spite of the
fact that $(\eta_{t}-\sigma)$ must be determined nonlocally by the spatial
integral of $\eta_{\theta}$; this is an unavoidable complication. Now the term
in square brackets is relatively easy to control (at least if $\lambda=2$ or
$\lambda=3$), while the first term on the right side of (13) is of higher
order and more likely to become singular. The trick is thus to change
variables to eliminate it, and end up with an equation that is nearly linear.
We will first analyze this in the simplest case where $\sigma=0$ and
$\lambda\in\\{2,3\\}$, and generalize from there.
## 3\. Solar models for H-S and D-P equations
Let us recall the analysis of the equations when $\sigma=0$ and $\lambda=2$ or
$\lambda=3$, when everything can be done explicitly. The results here are
well-known, but our perspective is new. The easiest case is $\lambda=3$
(solved in [24]), where (13) becomes $\eta_{tt\theta}=0$. Define
$x(t,\theta)=\eta_{\theta}(t,\theta)$ and
$y(t,\theta)=-\eta_{t\theta}(t,\theta)$. Then we have
$x_{tt}(t,\theta)=y_{tt}(t,\theta)=0,$
which is a trivial central force system (with no force). Conservation of
angular momentum of this system follows from
$\frac{\partial}{\partial t}(xy_{t}-x_{t}y)=xy_{tt}-x_{tt}y=0,$
and the solutions are given by $x(t,\theta)=1+tu_{0}^{\prime}(\theta)$ and
$y(t,\theta)=-tu_{0}^{\prime\prime}(\theta)$. These obviously exist for all
time, and $x$ remains positive for $t<T=\frac{1}{-\inf_{\theta\in
S^{1}}u_{0}^{\prime}(\theta)}$; hence also $\eta_{\theta}=x$ remains positive
here. For larger $t$, the function $x(t,\theta)$ becomes negative, which means
that $\eta(t,\theta)$ is not invertible as a function of $\theta$: it maps
multiple values of $\theta$ to the same point. This leads to our inability to
invert the formula $\eta_{t}(t,\theta)=u\big{(}t,\eta(t,\theta)\big{)}$ to
find $u$, which is the shock phenomenon: the solution $u$ is not even
continuous. Note however that $\eta(t,\theta)=\theta+tu_{0}(\theta)$ exists
and remains as spatially smooth as $u_{0}$ for all time, another illustration
of the fact that things are better in Lagrangian coordinates.
The more interesting case is $\sigma=0$ and $\lambda=2$. Here equation (13)
becomes
(14)
$\eta_{tt\theta}=\frac{1}{2}\,\frac{\eta_{t\theta}^{2}}{\eta_{\theta}}-\frac{1}{2}E_{0}\eta_{\theta}(t,\theta).$
Define $x(t,\theta)=\sqrt{\eta_{\theta}(t,\theta)}$; then equation (14)
becomes
$x_{tt}(t,\theta)=-K^{2}x(t,\theta),\qquad K^{2}=\frac{E_{0}}{4}.$
Here $K$ is constant in both space and time, and we have simple harmonic
motion. Defining $y(t,\theta)=-2x_{\theta}(t,\theta)$, we clearly also have
$y_{tt}(t,\theta)=-K^{2}y(t,\theta).$
Since
$x_{t}(t,\theta)=\tfrac{1}{2}\eta_{t\theta}(t,\theta)\eta_{\theta}(t,\theta)$
and $y_{t}(t,\theta)=-2x_{t\theta}(t,\theta)$, the fact that
$\eta(0,\theta)=\theta$ and $\eta_{t}(0,\theta)=u_{0}(\theta)$ yields the
initial conditions
$\displaystyle x(0,\theta)$ $\displaystyle=1,$ $\displaystyle\qquad
x_{t}(0,\theta)$ $\displaystyle=\tfrac{1}{2}u_{0}^{\prime}(\theta)$
$\displaystyle y(0,\theta)$ $\displaystyle=0,$ $\displaystyle\qquad
y_{t}(0,\theta)$ $\displaystyle=-u_{0}^{\prime\prime}(\theta)=m_{0}(\theta)$
The solutions with these initial conditions are
$x(t,\theta)=\cos{Kt}+\tfrac{u_{0}^{\prime}(\theta)}{2K}\sin{Kt},\qquad
y(t,\theta)=-\tfrac{u_{0}^{\prime\prime}(\theta)}{K}\sin{Kt}.$
We can easily see that $x$ remains positive for
$t<T=\frac{1}{K}\,\arctan{\left(\frac{2K}{\inf
u_{0}^{\prime}(\theta)}\right)}$
and becomes negative beyond that. However since
$\eta_{\theta}(t,\theta)=x(t,\theta)^{2}$ in this case, we will find for
typical initial data that $\eta_{\theta}(t,\theta)$ is positive for all
$\theta$ except a discrete set of points (depending on $t$), which means
$\eta$ will be a homeomorphism even if it not a diffeomorphism. This allows us
to define $u$ as a continuous function, although its derivative $u_{\theta}$
will approach negative infinity wherever $x(t,\theta)=0$ by (9). Note that
again the central force system has conserved angular momentum, now given
explicitly by
$x(t,\theta)y_{t}(t,\theta)-y(t,\theta)x_{t}(t,\theta)=-u_{0}^{\prime\prime}(\theta)=m_{0}(\theta).$
This is the reason for the scaling on $y$. In Figure 1 we demonstrate what
this looks like for a simple solution of the Hunter-Saxton equation.
Figure 1. Here we show both the solar model on the left and the solution
$x(t,\theta)=\sqrt{\eta_{\theta}(t,\theta)}$ on the right for the Hunter-
Saxton equation, with initial condition
$u_{0}(\theta)=\alpha\sin{(2\pi\theta)}$ for
$\alpha=\tfrac{2}{\pi}\arctan(\tfrac{1}{\sqrt{2}})$, with a breakdown time of
$t=1$. In the solar model particles emerge from $(1,0)$ with velocity
$\langle\tfrac{1}{2}u_{0}^{\prime}(\theta),\omega_{0}(\theta)\rangle$ and
approach the vertical wall $x=0$. On the right $x$ and $y=-2x_{\theta}$ have
simultaneously reached zero, and the classical solution $u(t,\theta)$ breaks
down. However the solution continues in the $(x,y)$ variables. Points colored
red have positive angular momentum, while those in blue have negative angular
momentum: the first breakdown occurs at the transition.
###### Remark 4.
We see that breakdown is very different already between $\lambda=2$ and
$\lambda=3$. One might have expected that since $\lambda$ only appears as a
coefficient of lower-order terms in the PDE (10), it does not have a large
role in the breakdown picture. However if $\lambda=2$ we have global weak
solutions $u$ which remain continuous (and the corresponding $\eta$ typically
remains a homeomorphism even if it is not a diffeomorphism). In fact if we
consider all weak solutions that conserve energy, the family found here is
unique [32]. On the other hand if $\lambda=3$, the solution $u$ must become
discontinuous, and as is well known the solution is no longer unique without
an extra entropy condition.
## 4\. The general transformation
In the cases of the last section, we have seen that for each fixed $\theta$,
the functions $x(t,\theta)$ and $y(t,\theta)$ form the components of a
central-force system, which implies that the angular momentum is always
conserved. This conserved quantity is precisely the transported vorticity, so
that the conservation law (7) is encoded here automatically. This fact is what
ensures that when the vorticity is always positive or always negative,
classical solutions will be global; see Theorem 15. The intuition is that the
$(x,y)$ system is attracted or repulsed by a central force, analogously to the
sun’s gravity, and singularities correspond to the particle reaching the sun
in finite time. As in our solar system, this can only happen if the particle
dives directly into it, and any nonzero angular momentum prevents this. A very
singular force may still lead to finite-time collapse, but in our situations
the force is bounded on finite time intervals. We will now show how to obtain
this picture in the general case when $\sigma\neq 0$ and $\lambda$ is any real
number.
###### Theorem 5.
For a parameter $\lambda\neq 1$, define $\gamma=\frac{2}{\lambda-1}$. Set
(15) $x(t,\theta)=\eta_{\theta}(t,\theta)^{1/\gamma}\qquad\text{and}\qquad
y(t,\theta)=-\gamma x_{\theta}(t,\theta)+\sigma
x(t,\theta)\int_{0}^{t}x(\tau,\theta)^{\gamma}\,d\tau.$
Then the equation (13) is equivalent to the pair of equations
(16) $\displaystyle\frac{\partial^{2}x}{\partial
t^{2}}(t,\theta)=F(t,\theta)x(t,\theta)$ (17)
$\displaystyle\frac{\partial^{2}y}{\partial
t^{2}}(t,\theta)=F(t,\theta)y(t,\theta),$
with
(18)
$F(t,\theta)=\frac{\lambda(\lambda-1)\sigma}{2}\,G(t,\theta)+\frac{(\lambda-1)(\lambda-3)}{4}E(t),$
where $E(t)$ defined by (12) becomes
(19) $E(t)=\gamma^{2}\int_{0}^{1}x(t,\phi)^{\gamma-2}x_{t}(t,\phi)^{2}\,d\phi$
and $G(t,\theta):=\eta_{t}(t,\theta)-\sigma$ is given by
(20)
$G(t,\theta)=\int_{0}^{\theta}x(t,\phi)^{\gamma-1}x_{t}(t,\phi)\,d\phi-\int_{0}^{1}x(t,\phi)^{\gamma}\int_{0}^{\phi}x(t,\psi)^{\gamma-1}x_{t}(t,\psi)\,d\psi\,d\phi.$
The initial conditions for these equations are given by
(21) $\displaystyle x(0,\theta)$ $\displaystyle=1,$ $\displaystyle\qquad
x_{t}(0,\theta)$ $\displaystyle=\tfrac{1}{\gamma}u_{0}^{\prime}(\theta)$ (22)
$\displaystyle y(0,\theta)$ $\displaystyle=0,$ $\displaystyle\qquad
y_{t}(0,\theta)$ $\displaystyle=\sigma-
u_{0}^{\prime\prime}(\theta)=m_{0}(\theta)$
###### Proof.
Since $\int_{0}^{1}\eta_{\theta}(t,\theta)\,d\theta=1$ for all $t$, note that
we always have
(23) $\int_{S^{1}}x(t,\theta)^{\gamma}\,d\theta=1.$
The formula (16) is a straightforward computation from (13): the
transformation $\eta_{\theta}=x^{\gamma}$ gives
$\eta_{tt\theta}+\frac{\lambda-3}{2}\,\frac{\eta_{t\theta}^{2}}{\eta_{\theta}}=\gamma
x^{\gamma-1}x_{tt}+\gamma\left(\frac{\gamma(\lambda-1)}{2}-1\right)x^{\gamma-2}x_{t}^{2},$
so that $\gamma=\frac{2}{\lambda-1}$ eliminates the quadratic term $x_{t}^{2}$
from the equation. We then obtain
(24)
$x_{tt}(t,\theta)=\frac{\lambda-1}{2}\Big{[}\lambda\sigma\big{(}\eta_{t}(t,\theta)-\sigma\big{)}+\frac{\lambda-3}{2}\,E(t)\Big{]}x(t,\theta).$
The formula for $G(t,\theta)$ is determined from the fact that we know
(25) $G_{\theta}(t,\theta)=\eta_{t\theta}(t,\theta)=\gamma
x(t,\theta)^{\gamma-1}x_{t}(t,\theta)$
as well as the fact that
(26) $\int_{S^{1}}G(t,\theta)\eta_{\theta}(t,\theta)\,d\theta=0,$
and these two conditions clearly uniquely determine $G$. The condition (26)
comes from the change of variables formula and (4): we have
$\displaystyle 0$
$\displaystyle=\int_{S^{1}}\big{[}u(t,\phi)-\sigma\big{]}\,d\phi=\int_{S^{1}}\Big{[}u\big{(}t,\eta(t,\theta)\big{)}-\sigma\Big{]}\eta_{\theta}(t,\theta)\,d\theta$
$\displaystyle=\int_{S^{1}}\Big{[}\eta_{t}(t,\theta)-\sigma\Big{]}\eta_{\theta}(t,\theta)\,d\theta.$
We can easily compute that $G$ defined by formula (20) satisfies both
requirements, using the formula (23), and so (24) becomes (16).
To prove (17), we differentiate the formula (15) defining $y(t,\theta)$ twice
with respect to time and obtain
$y_{tt}(t,\theta)=-\gamma x_{tt\theta}(t,\theta)+\sigma
x_{tt}(t,\theta)\int_{0}^{t}x(\tau,\theta)^{\gamma}\,d\tau+(\gamma+2)\sigma
x(t,\theta)^{\gamma}x_{t}(t,\theta).$
Now insert the equation $x_{tt}=Fx$, and its spatial derivative, to get
$y_{tt}(t,\theta)=F(t,\theta)y(t,\theta)-\gamma
F_{\theta}(t,\theta)x(t,\theta)+(\gamma+2)\sigma
x(t,\theta)^{\gamma}x_{t}(t,\theta).$
The last two terms in this equation cancel out using (18) and (25), which
produces (17).
The initial conditions come from the fact that $\eta(0,\theta)=\theta$ so that
$\eta_{\theta}(0,\theta)\equiv 1$, which gives the conditions for
$x(0,\theta)$ and $y(0,\theta)$. Differentiating the formula (15) with respect
to $t$ and using (9) gives $\gamma x_{t}(0,\theta)=u_{0}^{\prime}(\theta)$,
along with $y_{t}(0,\theta)=-\gamma x_{t\theta}(0,\theta)+\sigma$, which is
exactly the initial momentum $m_{0}(\theta)=\sigma-
u_{0}^{\prime\prime}(\theta)$. ∎
The forcing term $F(t,\theta)$ defined by (18) appearing in (16)–(17) depends
on the solution $x$ and $x_{t}$ (or if we like on $y$ and $y_{t}$, since we
can in principle reconstruct $x$ from $y$ if desired). As such we properly
view (16) as an ODE on a Banach space. Fortunately the dependence of $F$ on
$x$ and $x_{t}$ is relatively simple, and is well-behaved even if $x$ has only
limited smoothness—for example if $x(t,\cdot)$ and $x_{t}(t,\cdot)$ are in
$C^{k}(S^{1})$ for some integer $k\geq 0$, then the function $F(t,\cdot)$ will
be in $C^{k+1}(S^{1})$. More importantly, the map $\Psi:=(x,x_{t})\mapsto F$
from $C^{k}\times C^{k}\to C^{k+1}$ is actually $C^{\infty}$ as a map of
Banach spaces as long as $x$ remains positive (which is only needed for the
power function to be smooth). Hence equation (16) describes a $C^{\infty}$ ODE
on the space of functions $x$ satisfying
(27) $x\in C^{k}(S^{1}),\qquad\int_{S^{1}}x(\theta)^{\gamma}\,d\theta=1,\qquad
x(\theta)>0\quad\forall\theta\in S^{1},$
where the integral condition comes from (23). If $\gamma=\frac{2}{\lambda-1}$
happens to be an integer, as it does for $\lambda=2$ and $\lambda=3$, we get
smoothness even for functions $x$ that may be zero or negative at some points,
and this allows us to extend the ODE to the larger space
$x\in C^{k}(S^{1}),\qquad\int_{S^{1}}x(\theta)^{\gamma}\,d\theta=1.$
As we are interested in the breakdown of the equation when $\eta_{\theta}\to
0$, allowing $x$ to approach zero (and even continue to go negative) gives us
global solutions in the new coordinate, which translate into weak solutions
when we invert to get $\eta_{\theta}$, and from this $\eta$ and $u$.
###### Corollary 6.
The angular momentum of the system (16)–(17) is conserved, and given by the
formula
(28)
$x(t,\theta)y_{t}(t,\theta)-y(t,\theta)x_{t}(t,\theta)=\eta_{\theta}(t,\theta)^{\lambda}m\big{(}t,\eta(t,\theta)\big{)}=m_{0}(\theta).$
###### Proof.
The fact that angular momentum is conserved for central force systems is well-
known: it follows from
$\frac{\partial}{\partial t}(xy_{t}-yx_{t})=xy_{tt}-yx_{tt}=x(Fy)-y(Fx)=0.$
Equation (15) implies that
$\frac{\partial}{\partial
t}\left(\frac{y(t,\theta)}{x(t,\theta)}\right)=-\gamma\,\frac{\partial}{\partial
t}\left(\frac{x_{\theta}(t,\theta)}{x(t,\theta)}\right)+\sigma
x(t,\theta)^{\gamma},$
so that
$\displaystyle x(t,\theta)y_{t}(t,\theta)-y(t,\theta)x_{t}(t,\theta)$
$\displaystyle=-\gamma\,x(t,\theta)^{2}\,\frac{\partial^{2}}{\partial
t\partial\theta}\left(\ln{\big{(}x(t,\theta)\big{)}}\right)+\sigma
x(t,\theta)^{\gamma+2}$
$\displaystyle=-\eta_{\theta}(t,\theta)^{\lambda-1}\,\frac{\partial^{2}}{\partial
t\partial\theta}\left(\ln{\big{(}\eta_{\theta}(t,\theta)\big{)}}\right)+\sigma
x(t,\theta)^{\lambda\gamma}$
$\displaystyle=-\eta_{\theta}(t,\theta)^{\lambda-1}\,\frac{\partial}{\partial\theta}\left(u_{\theta}\big{(}t,\eta(t,\theta)\big{)}\right)+\sigma\eta_{\theta}^{\lambda}(t,\theta)$
$\displaystyle=\eta_{\theta}(t,\theta)^{\lambda}\Big{(}\sigma-
u_{\theta\theta}\big{(}t,\eta(t,\theta)\big{)}\Big{)}.$
At time $t=0$, the right side is $m_{0}(\theta)$. ∎
## 5\. Local and global existence in the transformed variables
Because the transformation to Lagrangian coordinates eliminates the loss of
derivatives (essentially just being able to combine terms like
$m_{t}+um_{\theta}$ into $\frac{\partial}{\partial t}m\circ\eta$ as in
equation (8)), we get a smooth ODE on the space of functions $(x,y)$. We want
to work in the simplest space for which all the functions make sense, so we
will require that $u_{0}$ be $C^{2}$ in order to have the momentum be
continuous. We then expect $u(t,\cdot)$ to be in $C^{2}$ for short time, which
by the flow equation (6) should imply that $\eta$ is also spatially in
$C^{2}$; hence $x(t,\cdot)$ would be in $C^{1}$ and $y(t,\cdot)$ would be in
$C^{0}$. Working in these spaces, we thus get existence of solutions using
Picard iteration. The following was proved for the case $\lambda=2$ by Deng-
Chen [8], following the technique of Lee [22] for the Camassa-Holm equation.
The proof for other values of $\lambda$ is similar, and just involves showing
that $F$ defined by (18) is smooth as a function of $x$ and $x_{t}$.
###### Theorem 7.
Consider the situation in Theorem 5. The equation (16) is a second-order
smooth ODE on the manifold
$\mathcal{S}^{1}_{\gamma}=\left\\{x\in
C^{1}(S^{1})\,\big{|}\,x(\theta)>0\;\forall\,\theta\in
S^{1},\;\int_{S^{1}}x(\theta)^{\gamma}\,d\theta=1\right\\}.$
As such, for each initial condition $x(0)\equiv 1$ and
$\frac{dx}{dt}(0)=\tfrac{1}{\gamma}u_{0}^{\prime}(\theta)$ with $u_{0}\in
C^{2}(S^{1})$, there is a $T>0$ and a solution $x\colon[0,T)\to C^{1}(S^{1})$
of equation (16).
###### Proof.
The main point is to write it as a first-order system with $v:=x_{t}$, viewing
$E$, $F$, and $G$ as functions not of $(t,\theta)$ but of $(x,v)$. That is, we
write $F$ given by (18) as
$F(x,v)=\frac{\lambda(\lambda-1)\sigma}{2}\,G(x,v)+\frac{(\lambda-1)(\lambda-3)}{4}\,E(x,v),$
where $G\colon C^{1}(S^{1})\times C^{1}(S^{1})\to C^{1}(S^{1})$ from equation
(20) and $E\colon C^{1}(S^{1})\times C^{1}(S^{1})\to\mathbb{R}_{+}$ from (19)
are given by
$G(x,v)(\theta)=\int_{0}^{\theta}x(\phi)^{\gamma-1}v(\phi)\,d\phi-\int_{0}^{1}x(\phi)^{\gamma}\int_{0}^{\phi}x(\psi)^{\gamma-1}v(\psi)\,d\psi\,d\phi$
and
$E(x,v)=\gamma^{2}\int_{0}^{1}x(\phi)^{\gamma-2}v(\phi)^{2}\,d\phi.$
As long as $x$ remains strictly positive, $E$ and $G$ are smooth functions of
$(x,v)$. For example, the derivative of $E$ is
$DE_{(x,v)}(p,q)=\gamma^{2}(\gamma-1)\int_{0}^{1}x(\phi)^{\gamma-3}p(\phi)v(\phi)^{2}\,d\phi+2\gamma^{2}\int_{0}^{1}x(\phi)^{\gamma-2}v(\phi)q(\phi)\,d\phi,$
which depends continuously on the $C^{1}$ functions $(x,v,p,q)$, and further
derivatives can be computed the same way. Similarly the derivative of $G$ can
be computed, and for any $C^{1}$ functions $(x,v,p,q)$, the derivative map
$DG$ will also be a $C^{1}$ function (actually $C^{2}$ since $G$ is smoothing,
but we don’t need that).
The only thing that remains is to check that the integral constraint
$\int_{0}^{1}x(\theta)^{\gamma}\,d\theta=1,\qquad\int_{0}^{1}x(\theta)^{\gamma-1}v(\theta)\,d\theta=0$
is a submanifold of $C^{1}_{+}(S^{1})\times C^{1}(S^{1})$, where
$C^{1}_{+}(S^{1})$ denotes the $C^{1}$ functions on $S^{1}$ with strictly
positive image; this is easy by the usual implicit function theorem for Banach
spaces. Then we verify that the differential equation preserves these
constraints, which is straightforward, and shows that our smooth vector field
actually descends to a vector field on the submanifold. For details about the
implicit function theorem and vector fields on Banach manifolds, see for
example Lang [21] or Abraham-Marsden-Ratiu [1]. ∎
The local existence proof works for any value of $\lambda$, but for global
existence we only have a proof in case $\lambda=2$, because that is the case
where we know conservation laws to get global bounds on solutions. Even when
$\lambda=3$ we cannot prove global existence since the conservation law only
applies when $\eta$ is a diffeomorphism, and by Remark 4 we cannot expect good
ODE behavior in any coordinates: even when $\sigma=0$ the equation genuinely
breaks down without a unique global weak solution, since $\eta_{\theta}=x$
must go negative. But this will demonstrate that for example $x$ and $y$
cannot approach infinity. In case $\lambda=2$ proofs were given in Deng-Chen
[8] and in Tığlay [32], so we will only treat the case $\lambda=3$. The
essential thing here is the formula (10), which for $\lambda=3$ becomes
(29) $u_{t}+uu_{\theta}=3\sigma Q,\qquad\text{where}\quad
Q=\partial_{\theta}^{-1}(u-\sigma),$
with the constant of integration in $Q$ chosen so that it has mean zero, since
the left side must integrate to zero. The conservation law
(30) $\frac{d}{dt}\int_{S^{1}}u(t,\theta)^{2}\,d\theta=0$
proved in [24] is one of the infinite family of conservation laws for
$\lambda=3$, and although it is not very strong, it is enough to get a bound
on $Q$, which allows us to control the growth of $u$ pointwise, at least as
long as $\eta$ remains a diffeomorphism and for a (possibly small) time
beyond. This strategy comes from [12].
###### Theorem 8.
In case $\lambda=2$, the equation (16) has a solution $x\colon
C^{\infty}\big{(}[0,\infty),C^{1}(S^{1})\big{)}$ for any $u_{0}\in
C^{2}(S^{1})$. In case $\lambda=3$, there is an $\varepsilon>0$ such that
equation (16) has a solution $x\colon
C^{\infty}\big{(}[0,T+\varepsilon),C^{1}(S^{1})\big{)}$ for any $u_{0}\in
C^{2}(S^{1})$, where $T$ is the first time such that $x(T,\theta)=0$ for some
$\theta$. In either case equation (17) has a solution $y$ defined on the same
time interval, $[0,\infty)$ or $[0,T+\varepsilon)$.
###### Proof.
In the case $\lambda=3$, the transformation (15) simplifies to just
$x(t,\theta)=\eta_{\theta}(t,\theta)$. The easiest way to proceed is to show
that $\eta$ itself satisfies a differential equation for which the right side
is bounded. Equation (24) becomes
(31)
$\eta_{tt\theta}(t,\theta)=3\sigma\big{(}\eta_{t}(t,\theta)-\sigma\big{)}\eta_{\theta}(t,\theta),$
and integrating once more in space gives
(32) $\eta_{tt}(t,\theta)=3\sigma P(t,\theta),$
where $P$ is essentially a pressure function, related to $Q$ from (29) by
$P(t,\theta)=Q(t,\eta(t,\theta))$. $P$ is defined uniquely by the conditions
$P_{\theta}(t,\theta)=(\eta_{t}(t,\theta)-\sigma)\eta_{\theta}(t,\theta),\qquad\int_{S^{1}}P(t,\theta)\eta_{\theta}(t,\theta)\,d\theta=0.$
Suppressing time dependence, we can write $P$ explicitly in terms of $\eta$
and $V:=\eta_{t}$ by
$P(\eta,V)(\theta)=\int_{0}^{\theta}\big{[}V(\psi)-\sigma\big{]}[\eta(\psi)-\eta(0)]\eta^{\prime}(\psi)\,d\psi-\int_{\theta}^{1}\big{[}V(\psi)-\sigma\big{]}\big{[}\eta(1)-\eta(\psi)]\eta^{\prime}(\psi)\,d\psi.$
For periodic $\eta\in C^{2}(S^{1})$, this defines a periodic $C^{2}$ function
$P$ which depends smoothly on $(\eta,V)$, since it involves only products and
continuous integral operators. Furthermore because there is no composition
with $\eta$, this still makes sense even if $\eta$ stops being a
homeomorphism.
The $L^{2}$ conservation law (30), together with the conservation of the mean
from (4), implies that $\int_{S^{1}}(u-\sigma)^{2}\,d\theta$ is constant in
time, and in Lagrangian form this becomes
(33)
$\int_{S^{1}}\big{[}V(t,\theta)-\sigma\big{]}^{2}\eta_{\theta}(t,\theta)\,d\theta=\int_{S^{1}}\big{[}u_{0}(\theta)-\sigma\big{]}^{2}\,d\theta,$
which again makes sense even if $\eta_{\theta}$ is not positive. As long as
$\eta_{\theta}$ remains nonnegative, we obtain from the mean-zero condition
the bound
$\displaystyle\sup_{\theta\in S^{1}}P(\eta,V)(t,\theta)$
$\displaystyle\leq\int_{S^{1}}\lvert
P_{\theta}(t,\theta)\rvert\,d\theta=\int_{S^{1}}\lvert
V(t,\theta)-\sigma\rvert\eta_{\theta}(t,\theta)\,d\theta$
$\displaystyle\leq\sqrt{\int_{S^{1}}\lvert
V(t,\theta)-\sigma\rvert^{2}\eta_{\theta}(t,\theta)\,d\theta}\,\sqrt{\int_{S^{1}}\eta_{\theta}(t,\theta)\,d\theta}=\sqrt{\int_{S^{1}}\big{[}u_{0}(\theta)-\sigma\big{]}^{2}\,d\theta},$
using (33) and the fact that $\eta$ is periodic.
Hence as long as $\eta_{\theta}$ remains nonnegative, we have that $P(\eta,V)$
is bounded in the $C^{0}$ norm uniformly in time. Equation (32) now implies
that $\eta_{tt}$ is uniformly bounded in time, and we conclude that
$V=\eta_{t}$ grows at most linearly in time (again as long as $\eta_{\theta}$
remains nonnegative). Equation (31) now implies that $\eta_{\theta}$ satisfies
an estimate of the form
$\lVert\eta_{tt\theta}\rVert_{C^{0}}\leq\big{(}\lVert
u_{0}\rVert_{C^{0}}+Kt\big{)}\lVert\eta_{\theta}\rVert_{C^{0}}.$
In particular the right side of the differential equation is bounded on all
finite time intervals in the space of $C^{1}$ diffeomorphisms $\eta$. Thus by
the usual theory of ODEs in Banach spaces, e.g., Proposition 4.1.22 in [1],
the solution can be continued for $\eta\in C^{1}$ as long as $\eta_{\theta}$
remains nonnegative. In particular the local existence theorem gives some
small $\varepsilon>0$ such that the solution can be continued on the interval
$[0,T+\varepsilon)$, beyond the time $T$ where $\eta_{\theta}$ first reaches
zero.
Differentiating equation (31) in $\theta$ gives, by the same reasoning, an
ordinary differential equation for $\eta_{\theta\theta}$ with uniform bounds
in the supremum norm; hence a $C^{2}$ initial condition $u_{0}$ leads to a
$C^{2}$ solution $\eta$, and thus a $C^{1}$ solution $x$. The fact that we
also have a solution $y\in C^{0}$ is now straightforward, since $y$ satisfies
the linear ODE (17) with known coefficients in terms of the function $x$. ∎
This theorem establishes that the only thing that can go wrong with the global
solutions of equation (13) in the cases $\lambda=2$ and $\lambda=3$ is that
$\eta_{\theta}$ approaches zero. Significantly, the equation for $\lambda=3$
in the form (31) depends only on $\eta$ as a function on $S^{1}$ of some
smoothness, but _not_ on the fact that $\eta$ is a diffeomorphism. Hence the
local existence result for the ODE holds even when $\eta_{\theta}$ reaches
zero, and we get existence for some (possibly small) time beyond that. The
difficulty is that without a global bound on the $L^{2}$ energy, we cannot
extend this for all time.
Again we note that in the case $\sigma=0$ the breakdown is completely
understood: when $\lambda=3$, the function $\eta$ ceases even to be a
homeomorphism as $\eta_{\theta}$ becomes negative, while if $\lambda=2$ the
fact that $\eta_{\theta}=x^{2}$ means that $\eta_{\theta}\geq 0$ always, so
that typically $\eta$ will remain a homeomorphism. Since
$u=\eta_{t}\circ\eta^{-1}$, this is the difference between the solution $u$
having shocks where it must cease being continuous, as opposed to steepening
where $u$ remains continuous but its slope may approach infinity due to
equation (9). For other values of $\lambda$ things may be much worse: Sarria
and Saxton [30] showed that for $\lambda>5$ or $\lambda<-1$, there are
solutions for which $\eta_{\theta}$ approaches either zero or infinity,
everywhere at the breakdown time. The reason here is that for $\lambda=2$ or
$\lambda=3$, the terms in the forcing function $F$ defined by (18) are well-
controlled in time, while in general there are no good estimates for the
growth. In the next section we will see what consequences can be found if we
can obtain a global bound on the central force.
## 6\. Properties of central force systems with bounded forcing terms
Bounds for the central force (not necessarily uniform, but with controlled
growth in time) are crucial for what comes next. We first record the bounds we
can obtain in the cases $\lambda\in\\{2,3\\}$, then derive some consequences
that apply to any central force system (not merely those arising from Euler-
Arnold equations).
###### Lemma 9.
For $\lambda=2$ or $\lambda=3$, the forcing function $F$ given by (18)
satisfies a bound
$\sup_{\theta\in S^{1}}\lvert
F(t,\theta)\rvert\leq\begin{cases}K^{2}&\lambda=2\\\
K^{2}+Ct&\lambda=3\end{cases},$
for all time $t\in[0,T)$ as determined by Theorem 8, for some constants $K$
and $C$ depending on the initial data $u_{0}$.
###### Proof.
In the case $\lambda=3$, we have already established this in the proof of
Theorem 8, since there
$F(t,\theta)=3\sigma G(t,\theta),$
and $G=(\eta_{t}-\sigma)$ grows at most linearly in time because $\eta_{tt}$
is bounded. In the case $\lambda=2$, the forcing function is given by
$F(t,\theta)=\sigma(\eta_{t}-\sigma)-\tfrac{1}{4}E(t),$
and $E(t)$ is constant in time for $\lambda=2$, and given by
$E(t)=E(0)=\int_{S^{1}}u_{0}^{\prime}(\theta)^{2}\,d\theta.$
This implies that $\int_{S^{1}}x_{t}^{2}\,d\theta$ is constant in time, and we
thus get a uniform bound for $(\eta_{t}-\sigma)$ by the Poincaré inequality,
since
$\sup_{\theta\in
S^{1}}\lvert\eta_{t}-\sigma\rvert\leq\int_{S^{1}}\lvert\eta_{t\theta}\rvert\,d\theta=2\int_{S^{1}}\lvert
xx_{t}\rvert\,d\theta\leq\int_{S^{1}}x^{2}\,d\theta\,\int_{S^{1}}x_{t}^{2}\,d\theta,$
and the right side is constant in time. ∎
One might hope that a polynomial-in-time bound like this is true for other
values of $\lambda$; if it were, the technique of the breakdown proof we will
give later would also show the same breakdown phenomenon for all values of
$\lambda$. Ultimately the only thing we need is that the forcing function
grows like a polynomial in time, because it will be less than the exponential
decay we get in general from the equation whenever $\lambda>1$. If we could
establish any kind of polynomial estimate for the energy $E(t)$ given by (19)
for other values of $\lambda$, we would obtain the same breakdown result here
proved for $\lambda=2$ and $\lambda=3$. However the fact that Sarria-Saxton
[30] showed that the basic breakdown mechanism changes when $\lambda>5$ makes
clear that this could only be hoped for if $\lambda\in(1,5)$.
The main tools we use to establish breakdown are the following simple result
which applies for any ODE for fairly general forcing functions (and thus will
apply here for the individual particles $x(t,\theta),y(t,\theta)$ for each
individual $\theta\in S^{1}$). The first lemma gives an upper bound for the
solution in terms of the forcing function, while the second establishes that
solutions will eventually reach zero if their velocity is sufficiently
negative. Our philosophy is that although the forcing function depends
implicitly and nonlocally on the solution for all values of $\theta$, each
individual particle feels a force $F(t)$ that is some given function of time,
bounded on finite time intervals, and thus we can treat it as essentially an
external force.
###### Lemma 10.
Suppose $\phi$ satisfies the second-order ODE
$\phi^{\prime\prime}(t)=F(t)\phi(t)$
on some interval $[0,T)$, where $T$ may be infinite, and assume $F(t)\leq
f(t)^{2}$ for some nonnegative differentiable increasing function $f$.
Then there is a $C$ such that
(34) $\frac{\phi^{\prime}(t)}{\phi(t)}\leq C+f(t)$
for all $t\in[0,T)$.
###### Proof.
Define $R(t)=\phi^{\prime}(t)/\phi(t)$. Then $R$ satisfies the Riccati
inequality
(35) $R^{\prime}(t)=F(t)-R(t)^{2}\leq f(t)^{2}-R(t)^{2}.$
If $R(t)$ is ever larger than $f(t)$, then $R(t)$ must decrease; thus if
$f(0)<R(0)$, then $R(t)<R(0)$ for all time until $R(t)$ possibly crosses
$f(t)$. If $R(t)$ is smaller than $f(t)$, then the difference $Q(t)=f(t)-R(t)$
satisfies
$Q^{\prime}(t)\geq f^{\prime}(t)+R(t)^{2}-f(t)^{2}\geq
f^{\prime}(t)+Q(t)^{2}-2f(t)Q(t)\geq-2f(t)Q(t).$
In particular if $Q$ is ever positive, it will always be positive. This shows
that $R(t)\leq f(t)$ for all time if it is true for any time. Combining shows
that
$R(t)\leq\max\\{R(0),f(t)\\}\leq C+f(t),$
which is equivalent to (34). ∎
###### Lemma 11.
Suppose
(36) $\phi^{\prime\prime}(t)=F(t)\phi(t)$
for some continuous function $F$ on a maximal time interval $[0,T)$. If
$\phi(t_{0})>0$ and $\phi^{\prime}(t_{0})/\phi(t_{0})$ is sufficiently
negative, then $\phi(t_{*})=0$ for some $t_{*}\in(t_{0},T)$.
###### Proof.
Let $g$ denote the solution of (36) satisfying
$g(t_{0})=1,\qquad g^{\prime}(t_{0})=0.$
If $g(t)$ reaches zero in finite time, then by the Sturm comparison theorem,
$\phi(t)$ must also reach zero whenever $\phi^{\prime}(t_{0})/\phi(t_{0})\leq
0$.
Otherwise $g(t)$ is always positive, and the general solution of (36) is given
by
$\phi(t)=\phi(t_{0})g(t)\Big{(}1+C\int_{t_{0}}^{t}\frac{d\tau}{g(\tau)^{2}}\Big{)},\qquad
C=\frac{\phi^{\prime}(t_{0})}{\phi(t_{0})}$
as can easily be verified by direct substitution. (This is just reduction of
order.) The function $\phi(t)$ will turn negative for some $t$ as long as
$C<-1/\int_{t_{0}}^{T}\frac{d\tau}{g(\tau)^{2}}.$
∎
The next result tells us about the effect of nonzero angular momentum. It is
familiar from basic celestial mechanics: even for a not-too-singular force
directed toward the origin, a particle will not reach the origin if there is
nonzero angular momentum, while a particle with zero angular momentum will
reach the origin in finite time. In our context this will give a lower bound
on the radial coordinate $r=\sqrt{x^{2}+y^{2}}$, which gives global existence
in Theorem 15 if the angular momentum is never zero.
###### Lemma 12.
Suppose $(x,y)$ is a planar system satisfying the ODE
(37) $\ddot{x}(t)=F(t)x(t),\qquad\ddot{y}(t)=F(t)y(t),$
where $F$ is continuous and bounded on $[0,T]$. Let
(38) $\omega_{0}=x(0)\dot{y}(0)-y(0)\dot{x(0)}\qquad\text{and}\qquad
r(t)^{2}=x(t)^{2}+y(t)^{2}.$
Then if $\omega_{0}$ is nonzero, $r(t)$ cannot reach zero on $[0,T]$.
###### Proof.
Conservation of angular momentum ensures that
$x\dot{y}-y\dot{x}=\omega_{0},$
so that
$\dot{x}^{2}+\dot{y}^{2}=(x\dot{x}+y\dot{y})^{2}+(x\dot{y}-y\dot{x})^{2}=\dot{r}^{2}+\frac{\omega_{0}^{2}}{r^{2}}.$
We then obtain
$\frac{d}{dt}\left(\dot{r}^{2}+\frac{\omega_{0}^{2}}{r^{2}}\right)=2\big{(}\dot{x}\ddot{x}+2\dot{y}\ddot{y}\big{)}=2F(t)(x\dot{x}+y\dot{y})=2F(t)r(t)\dot{r}(t).$
Observe that $r(t)$ can only be made small if it is decreasing on some
interval $[t_{1},t_{2}]$, so to get an upper bound on this energy we define
$\overline{F}=\max\\{-\inf_{0\leq t\leq T}F(t),0\\}.$
Then $-F(t)\leq\overline{F}$ for all $t\in[0,T]$ and $\overline{F}\geq 0$, and
integrating over $[t_{1},t_{2}]$ assuming that $\dot{r}(t)\leq 0$ on
$[t_{1},t_{2}]$ gives
$\displaystyle\dot{r}(t_{2})^{2}+\frac{\omega_{0}^{2}}{r(t_{2})^{2}}$
$\displaystyle=\dot{r}(t_{1})^{2}+\frac{\omega_{0}^{2}}{r(t_{1})^{2}}+2\int_{t_{1}}^{t_{2}}F(t)r(t)\dot{r}(t)\,dt$
$\displaystyle\leq\dot{r}(t_{1})^{2}+\frac{\omega_{0}^{2}}{r(t_{1})^{2}}+\overline{F}\big{(}r(t_{1})^{2}-r(t_{2})^{2}\big{)}\leq\dot{r}(t_{1})^{2}+\frac{\omega_{0}^{2}}{r(t_{1})^{2}}+\overline{F}r(t_{1})^{2}.$
In particular we obtain
$r(t_{2})\geq\frac{\lvert\omega_{0}\rvert
r(t_{1})}{\sqrt{r(t_{1})^{2}\dot{r}(t_{1})^{2}+\omega_{0}^{2}+\overline{F}r(t_{1})^{4}}},$
and in particular $r(t_{2})$ is positive since $\overline{F}$ is finite by
assumption.
There can only be finitely many such intervals where $r$ can decrease on
$[0,T]$ since $r$ can only decrease when either $x$ or $y$ is decreasing, and
a linear differential equation with bounded force coefficient can only have a
discrete set of turning points in a compact interval. ∎
###### Remark 13.
Of course, if we allow the forcing function to be something like
$F(t)=-\frac{k^{2}}{(1-t)^{2}}$, then the particle can reach zero in finite
time. The change of time variable $s=-\ln{(1-t)}$ in this case turns each
equation in the system (37) into
$\frac{d^{2}x}{ds^{2}}+\frac{dx}{ds}+k^{2}x=0,$
which will have infinitely many oscillations up to $t=1$ if and only if
$k>\tfrac{1}{2}$. Thus if $k>\tfrac{1}{2}$ the system will spiral around the
origin infinitely many times until reaching the origin at $t=1$. For bounded
$F(t)$, things are substantially simpler, but note that we only have
reasonable bounds on $F(t)$ in special cases (in particular $\lambda=2$ and
$\lambda=3$ in the present context).
One further lemma simplifies our considerations, which is the reflection
symmetry of the equation (1)–(3). Note that since $m(t,\theta)=\sigma-
u_{\theta\theta}(t,\theta)$, and $u_{\theta\theta}$ must change sign if $u$ is
not constant, the condition that $m$ changes sign has somewhat different
consequences for the convexity of $u$ depending on whether $\sigma$ is
positive or negative. However these are illusory, and the following
proposition shows that if $\sigma\neq 0$, we can assume $\sigma>0$ without
loss of generality. This proposition is well-known and appears in many places,
e.g., in [12].
###### Proposition 14.
If $v(t,\theta):=-u(t,1-\theta)$, with $u$ satisfying (1)–(3), then $v$
satisfies the equation
$n_{t}+vn_{\theta}+\lambda v_{\theta}n=0,\qquad n=\mu(v)-v_{\theta\theta}.$
Hence any result that applies with $\sigma=\mu(u)>0$ also applies to $v$ for
$\mu(v)<0$.
###### Proof.
Clearly if $\zeta$ denotes the reflection map $\zeta(\theta)=1-\theta$ on the
circle, then $v:=-u\circ\zeta$ satisfies $v_{t}=-u_{t}\circ\zeta$ and
$v_{\theta}=u_{\theta}\circ\zeta$. Thus we get
$(\mu-\partial_{\theta}^{2})v=-(\mu-\partial_{\theta}^{2})u\circ\zeta,$
so that if $n=\mu(v)-v_{\theta\theta}$, we have $n=-m\circ\zeta$. This now
implies $n_{t}=-m_{t}\circ\zeta$ and $n_{\theta}=m_{\theta}\circ\zeta$. Thus
composing (1) with $\zeta$ gives
$\displaystyle 0$
$\displaystyle=m_{t}\circ\zeta+(u\circ\zeta)\,(m_{\theta}\circ\zeta)+\lambda(u_{\theta}\circ\zeta)\,(m\circ\zeta)$
$\displaystyle=-n_{t}-vn_{\theta}+\lambda(v_{\theta})(-n)=0.$
This implies that $(v,n)$ satisfies the same system as $(u,m)$ in (1)–(3).
However since $\mu(v)=-\mu(u)$, anything we may prove assuming $\mu(u)>0$ will
equally apply to $v$ when $\mu(v)<0$. ∎
In light of Proposition 14, we will always assume that $\sigma>0$ without loss
of generality.
## 7\. Proof of Theorem 1
First we show that if the momentum is everywhere positive or everywhere
negative, then the solution of equations (1)–(3) exists globally and gives a
diffeomorphism. This result is already contained in the original papers [17]
and [24], based on analytic inequalities (and generalized for any value of
$\lambda$ in [34]), but our perspective here is different. By Proposition 14,
we may assume without loss of generality that the initial momentum is strictly
positive.
###### Theorem 15.
[Theorem 1, “if” case] If $\lambda=2$ or $\lambda=3$, and if
$m_{0}(\theta)=\sigma-u_{0}^{\prime\prime}(\theta)$, with $\sigma=\mu(u_{0})$,
is positive for all $\theta\in S^{1}$, then the solution of (1)–(3) exists for
all time, and the flow $\eta$ given by (6) remains a $C^{2}$ diffeomorphism of
the circle for all time.
###### Proof.
By the definitions (15) of $x$ and $y$, the first time $x$ approaches zero, we
must simultaneously have $y$ approaching zero, since
$y=-\gamma x_{\theta}+\sigma x\int_{0}^{t}x(\tau)^{\gamma}\,d\tau.$
Because $x$ is positive everywhere until it approaches zero, its minimum is
also approaching zero, so that $x_{\theta}$ is approaching zero at the same
time; meanwhile the second term in $y$ approaches zero since $x$ remains
bounded and the integral is multiplied by $x$. Hence the only way
$\eta_{\theta}=x^{\gamma}$ can ever reach zero is if both $x$ and $y$ approach
zero simultaneously.
Theorem 8 shows that for $\lambda=2$ or $\lambda=3$, the only way the solution
can break down is if $\eta_{\theta}$ reaches zero at some finite time $T$, and
when this happens we still have at least local existence in $(x,y)$
coordinates beyond this $T$. By Lemma 12, since $m_{0}$ is positive and $F$ is
bounded by Lemma 9, the quantity $x(t,\theta)^{2}+y(t,\theta)^{2}$ cannot
reach zero on $[0,T]$, and we get a contradiction. ∎
Now we consider what happens when the sign of the momentum changes. By
Proposition 14, we may assume without loss of generality that $\sigma>0$. In
this case, the assumption that momentum changes sign means that $\sigma-
u_{0}^{\prime\prime}(\theta)<0$ for some values of $\theta\in S^{1}$, because
it would always be true that $\sigma-u_{0}^{\prime\prime}(\theta)>0$ for some
values of $\theta\in S^{1}$ (for example when $u_{0}$ has a local maximum or
minimum). The important thing here becomes
$u_{0}^{\prime\prime}(\theta)>\sigma,$ which in particular implies that
$u_{0}$ is convex on some interval. This leads to a convexity result on the
function $x$, and it is on this that all our breakdown results depend.
Our strategy will be as follows: we choose points $a<b<c<d$ such that
$m_{0}(\theta)<0$ on $(a,d)$: then we establish that
* •
$x(t,c)$ has an upper bound independent of $t$ in Lemma 16;
* •
$x(t,b)/x(t,c)$ decays like $e^{-Mt}$ for some $M>0$ in Lemma 17;
* •
and thus $x_{t}(t,a)/x(t,a)$ can be made as small as we want in Lemma 18,
and from this we use Lemma 11 to show that $x$ must reach zero in finite time.
None of the choices of these points actually matter, although optimizing the
choice could lead to a better estimate for the breakdown time. All that
matters is that $a$ and $d$ are chosen so that $m_{0}(\theta)<0$ on $(a,d)$,
which we will assume from now on. Essentially all three lemmas rely on the
same basic conservation-of-momentum equation
(39) $\frac{\partial}{\partial
t}\left(\frac{y(t,\theta)}{x(t,\theta)}\right)=\frac{m_{0}(\theta)}{x(t,\theta)^{2}},$
which is a direct consequence of the equation (28). We apply it in three
different ways: integrating in time for Lemma 16, integrating in both time and
space for Lemma 17, and integrating in space only for Lemma 18. The first two
lemmas are basically the same as arguments in the original paper of McKean
[25], while the third is a new argument. See Figure 2 for the heuristic in a
simple case.
Figure 2. The plots of $x$, $y$, and $x_{t}/x$ in the Hunter-Saxton case
($\lambda=2$ and $\sigma=0$) with
$u_{0}(\theta)=0.1\sin(2\pi\theta)+0.04\cos(4\pi\theta)$ at $t=1.4$, shortly
before breakdown. Note that $x$ is increasing on $(a,d)$, and $y$ is negative
everywhere there, and that $x_{t}/x$ is most negative at $\theta=a$. In this
case $y_{t}/y$ is constant, so we have not plotted it.
###### Lemma 16.
Suppose $\gamma>0$ and $\sigma>0$, and that $x$ and $y$ satisfy the equations
in Theorem 5, and thus (39). If $m_{0}(\theta)\leq 0$ on the interval $[a,d]$,
then for any time $t$, the function $x(t,\theta)$ is increasing in $\theta$
for $\theta\in[a,d]$. As a consequence, we have for any $c\in[a,d]$ and any
$t\geq 0$ that
(40) $x(t,c)\leq(d-c)^{-1/\gamma}.$
###### Proof.
Integrate (39) in time to get
(41)
$\frac{y(t,\theta)}{x(t,\theta)}=\frac{y(0,\theta)}{x(0,\theta)}+m_{0}(\theta)\int_{0}^{t}\frac{d\tau}{x(\tau,\theta)^{2}}=-\lvert
m_{0}(\theta)\rvert\int_{0}^{t}\frac{d\tau}{x(\tau,\theta)^{2}},$
for all $\theta\in[a,d]$, since $y(0,\theta)=0$ everywhere and $m_{0}$ is
nonpositive by assumption. By the definition (15) of $x$ and $y$, we have
(42)
$-\gamma\,\frac{x_{\theta}(t,\theta)}{x(t,\theta)}+\sigma\int_{0}^{t}x(\tau,\theta)^{\gamma}\,d\tau=-\lvert
m_{0}(\theta)\rvert\int_{0}^{t}\frac{d\tau}{x(\tau,\theta)^{2}},$
and since $\sigma>0$ and $\gamma>0$ by assumption, we conclude that
$x_{\theta}/x>0$, so that $x$ is strictly increasing as long as it remains
positive.
The inequality (40) comes from formula (23). In particular since $x$ is
increasing for $\theta\in[c,d]$, we have
$(d-c)x(t,c)^{\gamma}\leq\int_{c}^{d}x(t,\theta)^{\gamma}\,d\theta\leq\int_{S^{1}}x(t,\theta)^{\gamma}\,d\theta=1,$
which implies (40). ∎
The next step is to integrate equation (41) over $\theta\in[b,c]$, which gives
a bound on the logarithm of $x$. This implies exponential decay in time of
$x(t,b)$.
###### Lemma 17.
Consider all the same hypotheses as in Lemma 16 on an interval $[a,d]$. Then
for any $b,c$ with $a<b<c<d$, the function $x$ satisfies
(43) $x(t,b)\leq x(t,c)e^{-Mt},\qquad\text{where
}M=A\sigma^{\frac{2}{\gamma+2}}\int_{b}^{c}\lvert
m_{0}(\theta)\rvert^{\frac{\gamma}{\gamma+2}}\,d\theta,$
and $A$ is a constant depending only on $\gamma$.
###### Proof.
We begin with (42), in the form
(44)
$\frac{x_{\theta}(t,\theta)}{x(t,\theta)}=\int_{0}^{t}\frac{1}{\gamma}\left(\sigma
x(\tau,\theta)^{\gamma}+\frac{\lvert
m_{0}(\theta)\rvert}{x(\tau,\theta)^{2}}\right)\,d\tau.$
Elementary calculus shows that the function
$x\mapsto\frac{1}{\gamma}\left(\sigma x^{\gamma}+\frac{\lvert
m_{0}\rvert}{x^{2}}\right)$
is minimized among positive $x$ for $x=\left(\frac{2\lvert
m_{0}\rvert}{\sigma\gamma}\right)^{\frac{1}{\gamma+2}}$, and the minimum value
is
$A\lvert
m_{0}\rvert^{\frac{\gamma}{\gamma+2}}\sigma^{\frac{2}{\gamma+2}},\quad\text{for}\quad
A=\left(\frac{2}{\gamma}\right)^{\frac{\gamma}{\gamma+2}}\left(\frac{1}{\gamma}+\frac{1}{2}\right).$
In particular since this bound is independent of time, equation (44) implies
$\frac{\partial}{\partial\theta}\ln{x(t,\theta)}\geq
At\sigma^{\frac{2}{\gamma+2}}\lvert
m_{0}(\theta)\rvert^{\frac{\gamma}{\gamma+2}}.$
Integrating from $\theta=b$ to $\theta=c$ gives
$\ln{x(t,c)}-\ln{x(t,b)}\geq Mt,$
and exponentiation gives (43). ∎
The last step is to use the conservation of angular momentum formula (28)
$xy_{t}-yx_{t}=m_{0}$
directly. Dividing through by $xy$ gives
(45) $\frac{x_{t}}{x}=\frac{y_{t}}{y}-\frac{m_{0}}{xy}.$
Now by Lemma 10, since both $x$ and $y$ satisfy the same ODE with a bounded
forcing function, the quantity $y_{t}/y$ is bounded above by the square root
of any increasing upper bound for the forcing function. Meanwhile since $y$ is
negative if and only if $m_{0}$ is, the other term can be made as large and
negative as we want when $x$ and $y$ are both small.
###### Lemma 18.
Consider the same hypotheses as in Lemma 16 and 17. Then
(46)
$\int_{a}^{b}\frac{x_{t}(t,\theta)}{x(t,\theta)}\,d\theta\leq\int_{a}^{b}\frac{y_{t}(t,\theta)}{y(t,\theta)}\,d\theta-\frac{N}{x(t,b)^{2}},\qquad\text{where
}N=\frac{2}{\gamma}\left(\int_{a}^{b}\sqrt{\lvert
m_{0}(\theta)\rvert}\,d\theta\right)^{2}.$
###### Proof.
Integrating equation (45) for $\theta\in[a,b]$, we obtain
$\int_{a}^{b}\frac{x_{t}(t,\theta)}{x(t,\theta)}=\int_{a}^{b}\frac{y_{t}(t,\theta)}{y(t,\theta)}-J,$
where $J$ is the positive quantity
(47) $J:=\int_{a}^{b}\frac{m_{0}(\theta)\,d\theta}{x(t,\theta)y(t,\theta)}.$
We want to establish a lower bound for $J$.
Since $m_{0}$ and $y$ are both negative simultaneously on $(a,b)$, the Cauchy-
Schwarz inequality implies that
(48) $\left(\int_{a}^{b}\sqrt{\lvert
m_{0}(\theta)\rvert}\,d\theta\right)^{2}\leq\int_{a}^{b}\frac{\lvert
m_{0}(\theta)\rvert\,d\theta}{x(t,\theta)\lvert
y(t,\theta)\rvert}\int_{a}^{b}x(t,\theta)\lvert y(t,\theta)\rvert\,d\theta.$
Now by formula (15), and using the fact that $\lvert y\rvert=-y$ on $[a,d]$,
we get
$\displaystyle\int_{a}^{b}x(t,\theta)\lvert y(t,\theta)\rvert\,d\theta$
$\displaystyle=\gamma\int_{a}^{b}x(t,\theta)x_{\theta}(t,\theta)\,d\theta-\sigma
x(t,\theta)^{2}\int_{0}^{t}x(\tau,\theta)^{\gamma}\,d\tau\,d\theta$
$\displaystyle\leq\tfrac{\gamma}{2}\big{(}x(t,b)^{2}-x(t,a)^{2}\big{)}\leq\tfrac{\gamma}{2}x(t,b)^{2}.$
Now plug this inequality into (48) to get that $J$ given by (47) satisfies
$J\geq\frac{2}{\gamma x(t,b)^{2}}\left(\int_{a}^{b}\sqrt{\lvert
m_{0}(\theta)\rvert}\,d\theta\right)^{2}.$
This then yields (46). ∎
Combining Lemmas 16–18, we can now prove the second half of Theorem 1.
Everything here would in fact work for any value of $\lambda>1$, not just
$\lambda=2$ or $\lambda=3$, except for the fact that we need a subexponential
upper bound for the forcing function in order to use Lemma 10.
###### Theorem 19.
[Theorem 1, “only if” case] Suppose $\sigma>0$ and that $\lambda=2$ or
$\lambda=3$. If the sign of $m_{0}=\sigma-u_{0}^{\prime\prime}$ changes on the
circle, then $C^{2}$ solutions of (1)–(3) must break down in finite time, as
the Lagrangian flow given by (6) ceases to be a diffeomorphism.
###### Proof.
Choose any subdivision $a<b<c<d$ such that $m_{0}$ is negative on $(a,d)$, and
such that $m_{0}(a)=0$. Lemma 16 implies that
$x(t,c)\leq(d-c)^{-1/\gamma}.$
Lemma 17 then implies that
$x(t,b)\leq x(t,c)e^{-Mt}\leq(d-c)^{-1/\gamma}e^{-Mt},$
where $M>0$ is given by equation (43). Applying Lemma 18 then gives
$\int_{a}^{b}\frac{x_{t}(t,\theta)}{x(t,\theta)}\,d\theta\leq\int_{a}^{b}\frac{y_{t}(t,\theta)}{y(t,\theta)}\,d\theta-N(d-c)^{2/\gamma}e^{2Mt},$
where $N>0$ is given by (46).
Since $y$ satisfies the equation $y_{tt}(t,\theta)=F(t,\theta)y(t,\theta)$ by
Theorem 5, the quantity $y_{t}/y$ is bounded above by an estimate of the form
(49) $\frac{y_{t}(t,\theta)}{y(t,\theta)}\leq C(\theta)+f(t,\theta),$
where $f(t,\theta)$ is any positive increasing function satisfying
$F(t,\theta)\leq f(t,\theta)^{2}$ for all $t$ and $\theta$, as in Lemma 10. If
$\lambda=2$ or $\lambda=3$, we can use Proposition 9 to see that $f(t,\theta)$
grows at most polynomially in time, for each value of $\theta$, and this
implies by Lemma 10 that $y_{t}(t,\theta)/y(t,\theta)$ grows at most
polynomially in time. Integrating over the interval $\theta\in[a,b]$ still
gives polynomial growth in time, and this implies that our estimate takes the
form
$\int_{a}^{b}\frac{x_{t}(t,\theta)}{x(t,\theta)}\,d\theta\leq
P(t)-N(d-c)^{2/\gamma}e^{2Mt},$
where $P(t)$ is a function growing at most like a power of $t$. Since the
exponential term eventually dominates, we see that we can make the integral
$\int_{a}^{b}\frac{x_{t}(t,\theta)}{x(t,\theta)}\,d\theta$
as small as we want, which also implies that for some $\theta\in[a,b]$, the
quantity $x_{t}(t,\theta)/x(t,\theta)$ can be made as small as desired. For
such $\theta$, Lemma 11 implies that $x(t,\theta)$ must reach zero in finite
time. Of course, since $x(t,\cdot)$ is increasing on $[a,d]$, the smallest
value must occur at $\theta=a$, when the sign of $m_{0}$ changes from positive
to negative. ∎
## 8\. Outlook
The general principle that $m_{0}>0$ or $m_{0}<0$ everywhere implies global
existence of classical solutions for solutions of (1) is established in
Tığlay-Vizman [34] as long as the definition of $m$ in terms of $u$ that
replaces (2) involves at least two derivatives of $u$. In many situations of
interest, the operator $m$ has mean zero for all $u$, and so it is impossible
for $m_{0}$ to have a constant sign; thus we would expect all classical
solutions to break down in finite time. As an example we return to the
Okamoto-Sakajo-Wunsch equation [28], given by (1) where $m=Hu_{\theta}$, for
which $m$ integrates to zero, and it is impossible to have $m_{0}$ positive or
negative everywhere. (On the real line the situation is different, but our
periodic context forecloses such possibilities.)
The following construction was presented in [3] in the case $\lambda=2$, but
most things work the same way for any value of $\lambda$. Breakdown for all
solutions in the case $\lambda=2$ was given in [29], while breakdown for all
positive $\lambda$ with $u_{0}$ odd was given by Castro-Cordóba [4]. For
$\lambda>0$, all solutions break down in finite time, while for $\lambda<0$
the solution is much more complicated and unknown in general (particularly in
the most important case $\lambda=-1$, the De Gregorio equation). For the state
of the art on global existence and breakdown for such equations, see Chen [5]
for the periodic case, Elgindi-Jeong [10] for the nonperiodic case, and
references in both.
###### Proposition 20.
Suppose $u$ and $m$ satisfy (1) with momentum defined by $m=Hu_{\theta}$,
i.e., the modified Constantin-Lax-Majda equation. Define the transformation
(50) $x=\eta_{\theta}^{\lambda/2}\cos{\psi},\qquad
y=\eta_{\theta}^{\lambda/2}\sin{\psi},$
where $\psi$ is defined by
(51) $\psi(t,\theta)=\frac{\lambda
m_{0}(\theta)}{2}\int_{0}^{t}\frac{d\tau}{\eta_{\theta}(\tau,\theta)^{\lambda}}.$
Then $(x,y)$ satisfy a solar model of the form
$x_{tt}(t,\theta)=-\frac{\lambda}{2}F\big{(}t,\eta(t,\theta)\big{)}x(t,\theta),\qquad
y_{tt}(t,\theta)=-\frac{\lambda}{2}F\big{(}t,\eta(t,\theta)\big{)}y(t,\theta),$
where $F(t,\theta)$ is always positive.
###### Proof.
As in [3], we start with
(52) $m_{t}+um_{\theta}+\lambda u_{\theta}m=0,\qquad m=Hu_{\theta},$
and applying the Hilbert transform gives
$u_{t\theta}+uu_{\theta\theta}-\frac{\lambda}{2}(m^{2}-u_{\theta}^{2})=-F,\qquad
F=-uu_{\theta\theta}-H(uHu_{\theta\theta}),$
using the product identity. For any $u$, the function $F$ is positive at every
point, as shown in [3]. In Lagrangian form using (6), (7), and (9), this
becomes
$\frac{\partial}{\partial
t}\left(\frac{\eta_{t\theta}}{\eta_{\theta}}\right)+\frac{\lambda}{2}\left(\frac{\eta_{t\theta}}{\eta_{\theta}}\right)^{2}=\frac{\lambda}{2}\frac{m_{0}^{2}}{\eta_{\theta}^{2\lambda}}-F(t,\eta).$
The transformation $\rho=\eta_{\theta}^{\lambda/2}$ turns this into the
Ermakov-Pinney-type equation
(53)
$\rho_{tt}=\frac{\lambda^{2}}{4}\,\frac{m_{0}^{2}}{\rho^{3}}-\frac{\lambda}{2}\,F\rho.$
The usual theory of the Ermakov-Pinney equation shows how to linearize (53):
we define functions $x=\rho\cos{\psi}$ and $y=\rho\sin{\psi}$ for some
function $\psi$, and we easily compute that
$x_{tt}=-\frac{\lambda}{2}\,Fx\qquad\text{and}\qquad
y_{tt}=-\frac{\lambda}{2}\,Fy$
is satisfied if and only if $\psi$ satisfies
$\rho\psi_{tt}+2\rho_{t}\psi_{t}=0.$
Integrating this in time gives equation (51). ∎
This formulation makes it obvious that if $\lambda>0$, the force is
attracting, and zero angular momentum with $y(0,\theta)=0$ and
$x_{t}(0,\theta)<0$ implies $\rho(t,\theta)$ reaches zero in finite time.
Hence $\eta_{\theta}$ does as well. (There is always such a $\theta\in S^{1}$
by the Hopf Lemma; see [29].)
If $\lambda<0$, the effective force in the solar model becomes repulsive. The
singular condition for $\lambda<0$ is no longer that $\eta_{\theta}\to 0$, but
rather that $\eta_{\theta}\to\infty$. This again translates into $\rho\to 0$.
(This corresponds to $u_{\theta}$ approaching positive infinity rather than
negative infinity.) It is still possible that the particle can approach the
origin, but it needs to have both zero angular momentum and a sufficiently
negative velocity pointing toward the origin to counteract the repulsive
force.
We give a simple example of a bound that is straightforward in the solar
model.
###### Corollary 21.
Suppose $\lambda=-1$ and $u$ and $m$ satisfy (52). If $\theta\in S^{1}$ is
such that $m_{0}(\theta)\neq 0$, then
(54) $\eta_{\theta}(t,\theta)\leq
1+\frac{u_{0}^{\prime}(\theta)^{2}}{m_{0}(\theta)^{2}}$
for every $t\geq 0$ as long as the solution exists.
###### Proof.
In case $\lambda=-1$, equation (53) takes the form
$\rho_{tt}=\frac{m_{0}^{2}}{4\rho^{3}}+\frac{1}{2}\,F\rho.$
Positivity of $F$ means that $\rho_{tt}$ is strictly positive, and this
implies that while $\rho$ may possibly decrease on some interval $[0,t_{0}]$,
it must eventually increase, and once it begins to increase it must continue.
If for some $\theta$ we know that $\rho(t,\theta)$ is decreasing on
$[0,t_{0}]$ and increasing for $t>t_{0}$, then we compute (at fixed $\theta$)
that
$\frac{d}{dt}\left(\rho_{t}^{2}+\frac{m_{0}^{2}}{4\rho^{2}}\right)=2\rho_{t}\rho_{tt}-\frac{m_{0}^{2}\rho_{t}}{2\rho^{3}}=F\rho\rho_{t}.$
On $[0,t_{0}]$ the right side is nonpositive, and we obtain
$\rho_{t}(t_{0},\theta)^{2}+\frac{m_{0}(\theta)^{2}}{4\rho(t_{0},\theta)^{2}}\leq\rho_{t}(0,\theta)^{2}+\frac{m_{0}(\theta)^{2}}{4\rho(0,\theta)^{2}}=\frac{u_{0}^{\prime}(\theta)^{2}+m_{0}(\theta)^{2}}{4}.$
In particular we have
$\rho(t_{0},\theta)^{2}\geq\frac{m_{0}(\theta)^{2}}{u_{0}^{\prime}(\theta)^{2}+m_{0}(\theta)^{2}}.$
Since $\rho$ must continue to increase for $t\geq t_{0}$, this is indeed the
minimum possible value of $\rho(t,\theta)$ on the maximum time interval of
existence.
Since $\eta_{\theta}=\frac{1}{\rho^{2}}$, we conclude that $\eta_{\theta}$ is
bounded above by
$\eta_{\theta}(t,\theta)\leq\eta_{\theta}(t_{0},\theta)=\frac{1}{\rho(t_{0},\theta)^{2}}\leq
1+\frac{u_{0}^{\prime}(\theta)^{2}}{m_{0}(\theta)^{2}},$
on the maximum time interval of existence. ∎
Obviously Corollary 21 is only useful when $m_{0}(\theta)\neq 0$, and by
definition of our momentum operator $m=Hu_{\theta}$, there will certainly be
points where $m_{0}=0$. However such estimates could be useful for estimating
the forcing function $F$, which depends nonlocally on our variables. (Note
that bounds on $F$ were derived in [29].) We leave further analysis for future
research, but the point is that the general framework here relates a family of
Euler-Arnold-type PDEs to a well-understood central force system, which makes
some phenomena regarding breakdown or global existence easier to intuitively
understand.
The reason this approach works is because the equations are “nearly” linear in
terms of the variable $\eta_{\theta}$. Of course the coefficients of this
equation depend on $\eta_{\theta}$, and a transformation may eliminate some of
this dependence (e.g., quadratic terms like
$\eta_{t\theta}^{2}/\eta_{\theta}^{2}$ can be eliminated by a power
transformation). This is due to the fact that $\eta$ satisfies some kind of
geodesic equation of the form $\eta_{tt}+\Gamma(\eta;\eta_{t},\eta_{t})=0$ for
some Christoffel map $\Gamma$, which is bilinear and symmetric in the last two
variables but typically depends in a complicated way on the first.
Differentiating this with respect to any parameter leads to the Jacobi
equation for the variation. In infinite dimensions the spatial variable
$\theta$ itself can always be treated as this variational parameter, so that
$\eta_{\theta}$ always satisfies the Jacobi equation. The coefficients and
covariant derivative here depend on $\eta$ (and thus indirectly on
$\eta_{\theta}$), so we cannot view this as a true linear equation, but if the
curvature is bounded or well-understood, this equation may be easy to analyze.
These are the situations we have studied here. The fact that equation (1)
applies to many situations of continuum mechanics suggests that this technique
may produce new insights that are not obvious from direct PDE techniques.
The author states that there is no conflict of interest. No data was produced
for this paper.
## References
* [1] R. Abraham, J.E. Marsden, and T. Ratiu, _Manifolds, tensor analysis, and applications_ , second edition, Springer-Verlag, New York, 1988.
* [2] V. Arnold and B. Khesin, _Topological nethods in hydrodynamics_ , second edition, Springer-Verlag, New York, 2021.
* [3] M. Bauer, B. Kolev, and S.C. Preston, _Geometric investigations of a vorticity model equation_ , J. Differential Equations, 260 no. 1, pp. 478–516 (2016).
* [4] A. Castro and D. Córdoba. _Infinite energy solutions of the surface quasi-geostrophic equation_ , Adv. Math., 225 no. 4, pp. 1820–1829 (2010).
* [5] J. Chen, _On the regularity of the De Gregorio model for the 3D Euler equations_ , arXiv:2107.04777 (2021).
* [6] A. Constantin and B. Kolev, _On the geometric approach to the motion of inertial mechanical systems_ , J. Phys. A: Math. Gen. 35, pp. R51–R79 (2002).
* [7] S. De Gregorio, _On a one-dimensional model for the three-dimensional vorticity equation_ , J. Stat. Phys. 59, pp. 1251–1263 (1990).
* [8] X. Deng and A. Chen, _Global weak conservative solutions of the $\mu$-Camassa-Holm equation_, Bound. Value Probl. 2020 no. 33 (2020).
* [9] D.G. Ebin and J. Marsden, _Groups of diffeomorphisms and the motion of an incompressible fluid_ , Ann. Math. 92 no. 1, pp. 102–163 (1970).
* [10] T.M. Elgindi and I.-J. Jeong, _On the effects of advection and vortex stretching_ , Arch. Rat. Mech. Anal. 235 pp. 1763–-1817 (2020).
* [11] J. Escher and B. Kolev, _The Degasperis–Procesi equation as a non-metric Euler equation_ , Math. Z. 269, pp. 1137-–1153 (2011).
* [12] Y. Fu, Y. Liu, and C. Qu, _On the blow-up structure for the generalized periodic Camassa-Holm and Degasperis-Procesi equation_ , J. Funct. Anal. 262 pp. 3125–3158 (2012).
* [13] F. Gay-Balmaz and T.S. Ratiu, _The geometry of the universal Teichmüller space and the Euler–Weil–Petersson equation_ , Adv. Math. 279, pp. 717–778 (2015).
* [14] G. Gui, Y. Liu, and M. Zhu, _On the wave-breaking phenomena and global existence for the generalized periodic Camassa–Holm equation_ , Int. Math. Res. Not. 2012 no. 21, pp. 4858–4903 (2012).
* [15] J. K. Hunter and R. Saxton, _Dynamics of director fields_ , SIAM J. Appl. Math. 51 1498–-1521 (1991).
* [16] Z. Jiang, Y. Ni, and L. Zhou, _Wave breaking of the Camassa–Holm equation_ , J. Nonlinear Sci. 22 pp. 235–245 (2012).
* [17] B. Khesin, J. Lenells, and G. Misiołek, _Generalized Hunter–Saxton equation and the geometry of the group of circle diffeomorphisms_ , Math. Ann. 242 no. 3, pp. 617–656 (2008).
* [18] B. Khesin, J. Lenells, G. Misiołek, and S.C. Preston, _Curvatures of Sobolev metrics on diffeomorphism groups_ , Pure Appl. Math. Q. 9 no. 2, pp. 291–332 (2013).
* [19] B. Khesin and G. Misiołek, _Euler equations on homogeneous spaces and Virasoro orbits_ , Adv. Math. 176 no. 1, pp. 116–144 (2002).
* [20] B. Khesin and R. Wendt, _The geometry of infinite-dimensional groups_ , Springer-Verlag, Berlin, 2003.
* [21] S. Lang, _Differential and Riemannian manifolds_ , Springer-Verlag, New York, 1995.
* [22] J.M. Lee, _Geometric approach on the global conservative solutions of the Camassa–Holm equation_ , J. Geom. Phys. 142, pp. 137–150 (2019).
* [23] J. Lenells, _The Hunter-Saxton equation describes the geodesic flow on a sphere_ , J. Geom. Phys. 57, pp. 2049–2064 (2007).
* [24] J. Lenells, G. Misiołek, and F. Tığlay, _Integrable evolution equations on spaces of tensor densitites and their peakon solutions_ , Commun. Math. Phys. 299, pp. 129-–161 (2010).
* [25] H.P. McKean, _Breakdown of a shallow water equation_ , Asian J. Math. 2 no. 4, pp. 867–874 (1998).
* [26] H.P. McKean, _Fredholm determinants and the Camassa-Holm hierarchy_ , Comm. Pure Appl. Math. 56 no. 5, pp. 638–680 (2003).
* [27] G. Misiołek, _Classical solutions of the periodic Camassa-Holm equation_ , Geom. Funct. Anal. 12, pp. 1080–1104 (2002).
* [28] H. Okamoto, T. Sakajo, and M. Wunsch, _On a generalization of the Constantin–Lax–Majda equation_ , Nonlinearity, 21 no. 10, pp. 2447–-2461 (2008).
* [29] S.C. Preston and P. Washabaugh, _Euler-Arnold equations and Teichmüller theory_ , Differential Geom. Appl. 59, pp. 1–11 (2018)
* [30] A. Sarria and R. Saxton, _Blow-up of solutions to the generalized inviscid Proudman–Johnson equation_ , J. Math. Fluid Mech. 15 no. 3, pp. 493–523 (2013).
* [31] A. Sarria and R. Saxton, _The role of initial curvature in solutions to the generalized inviscid Proudman-Johnson equation_ , Quart. Appl. Math, 73 no. 1, pp. 55–91 (2015).
* [32] F. Tiğlay, _Conservative weak solutions of the periodic Cauchy problem for $\mu$HS equation_, J. Math. Phys. 56 no. 2, 021504 (2015).
* [33] F. Tiğlay, _Integrating evolution equations using Fredholm determinants_ , Electron. Res. Arch. 29 no. 2, pp. 2141–2147 (2021).
* [34] F. Tiğlay and C. Vizman, _Generalized Euler-Poincaré equations on Lie groups and homogeneous spaces, orbit invariants and applications_ , Lett. Math. Phys. 97 no. 1, pp. 45–60 (2011).
| arxiv-papers | 2021-07-26T00:45:14 | 2024-09-04T03:07:17.441882 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Stephen C. Preston",
"submitter": "Stephen Preston",
"url": "https://arxiv.org/abs/2107.11917"
} |
2107.11930 | # Lattice QCD calculation of the Collins-Soper kernel from quasi TMDPDFs
Phiala Shanahan [email protected] Center for Theoretical Physics, Massachusetts
Institute of Technology, Cambridge, MA, USA 02139 Michael Wagman
[email protected] Fermi National Accelerator Laboratory, Batavia, IL 60510, USA
Yong Zhao [email protected] Physics Division, Argonne National Laboratory,
Lemont, IL 60439, USA Physics Department, Brookhaven National Laboratory,
Bldg. 510A, Upton, NY 11973, USA
###### Abstract
This work presents a lattice quantum chromodynamics (QCD) calculation of the
nonperturbative Collins-Soper kernel, which describes the rapidity evolution
of quark transverse-momentum-dependent parton distribution functions. The
kernel is extracted at transverse momentum scales in the range 400 MeV
$<q_{T}<1.7$ GeV in a calculation with dynamical fermions and quark masses
corresponding to a larger-than-physical pion mass, $m_{\pi}=538(1)$ MeV. It is
found that different approaches to extract the Collins-Soper kernel from the
same underlying lattice QCD matrix elements yield significantly different
results and uncertainty estimates, revealing that power corrections, such as
those associated with higher-twist effects, and perturbative matching between
quasi and light-cone beam functions, cannot be neglected.
††preprint: FERMILAB-PUB-21-326-T,MIT-CTP/5316
## I Introduction
Transverse-momentum-dependent parton distribution functions (TMDPDFs) describe
the intrinsic transverse momentum $q_{T}$ of the partonic constituents of
hadrons Collins and Soper (1981, 1982); Collins _et al._ (1985). These non-
perturbative functions can be accessed directly in high-energy scattering
processes such as Drell-Yan production and semi-inclusive deep-inelastic
scattering with small transverse hadron momentum $q_{T}$ Scimemi and
Vladimirov (2019); Bacchetta _et al._ (2019), and indirectly through other
processes such as studies of hadrons in jets Buffing _et al._ (2018);
Gutierrez-Reyes _et al._ (2019). Significant efforts are underway to improve
constraints on TMDPDFs both from current and planned experiments Gautheron
_et al._ (2010); Dudek _et al._ (2012); Aschenauer _et al._ (2015); Accardi
_et al._ (2016); Abdul Khalek _et al._ (2021) and through theory calculations
in the framework of lattice quantum chromodynamics (QCD) Musch _et al._
(2011, 2012); Engelhardt _et al._ (2016); Yoon _et al._ (2015, 2017);
Shanahan _et al._ (2019, 2020); Zhang _et al._ (2020); Schlemmer _et al._
(2021); Li _et al._ (2021) using approaches such as large-momentum effective
theory (LaMET) Ji (2013, 2014); Ji _et al._ (2020) or the Lorentz-invariant
method based on ratios of TMDPDFs Musch _et al._ (2011).
TMDPDFs $f_{i}^{\text{TMD}}(x,b_{T},\mu,\zeta)$, defined for a parton of
flavor $i$ in a given hadron state, are functions of the longitudinal momentum
fraction $x$ of the parton, the Fourier conjugate $b_{T}$ of $q_{T}$, the
virtuality scale $\mu$, and the rapidity scale $\zeta$ which is related to the
hadron momentum. While the $\mu$-evolution of TMDPDFs is perturbative for
perturbative scales $\mu$ and $\zeta$, the $\zeta$-evolution is governed by
the Collins-Soper evolution kernel (or anomalous dimension)
$\gamma_{\zeta}^{i}(\mu,b_{T})$, which is nonperturbative for scales
$b_{T}\sim q_{T}^{-1}\sim\Lambda^{-1}_{\mathrm{QCD}}$, even if both $\mu$ and
$\zeta$ are perturbative. Constraints on the kernel
$\gamma_{\zeta}^{i}(\mu,b_{T})$ in the nonperturbative region are necessary in
order to relate TMDPDFs determined from experiment or lattice QCD at different
scales.
Recently, it was shown in Refs. Ebert _et al._ (2019a, b, 2020) that the
Collins-Soper kernel can be calculated from ratios of quasi TMDPDFs at
different hadron momenta, quantities which are both calculable in lattice QCD
and which can be related to TMDPDFs Ji _et al._ (2015, 2019a); Ebert _et
al._ (2019b); Ji _et al._ (2019b, c). This provides a pathway to first-
principles QCD calculations of the kernel in the nonperturbative region, which
will provide valuable complementary information to constraints from global
analyses of experimental data. This prospect has motivated a series of proof-
of-principle lattice QCD investigations of the Collins-Soper kernel both
directly Shanahan _et al._ (2020, 2019); Zhang _et al._ (2020); Schlemmer
_et al._ (2021); Li _et al._ (2021) through the approach of Refs. Ebert _et
al._ (2019a, b, 2020) and via related prescriptions Vladimirov and Schäfer
(2020).
In this work, a direct calculation of the Collins-Soper kernel is presented,
based on a lattice QCD study with dynamical fermions and quark masses
corresponding to a larger-than-physical pion mass $m_{\pi}=538(1)$ MeV, and a
single value of the lattice spacing and volume. The kernel is extracted at
transverse momentum scales in the range 400 MeV $<q_{T}<1.7$ GeV and compared
with phenomenological parametrizations and existing lattice QCD calculations.
This analysis includes several advances over previous lattice QCD studies of
the Collins-Soper kernel via the same approach. In particular, matching of
quasi TMDPDFs and TMDPDFs is performed to one-loop order, the mixing of
different TMDPDFs under renormalization is fully accounted for, and the
analysis includes improved treatments of power corrections and systematic
effects arising from the finite lattice volume and various statistical
limitations of the calculation. It is found that different approaches to
extract the Collins-Soper kernel from the same underlying lattice QCD matrix
elements yield significantly different results and uncertainty estimates,
revealing that power corrections, such as those associated with higher-twist
effects, and perturbative matching between quasi and light-cone beam
functions, cannot be neglected.
The method by which the Collins-Soper kernel can be computed following Refs.
Ebert _et al._ (2019a, b) is detailed in Section II. The lattice QCD
calculation is reported in Section III, while a summary and outlook is
provided in Section IV.
## II Quasi TMDPDFs and the Collins-Soper kernel
The quark Collins-Soper kernel $\gamma^{q}_{\zeta}(\mu,b_{T})$ can be computed
in lattice QCD from a ratio of nonsinglet quasi TMDPDFs
$\tilde{f}_{{\text{ns}}}^{\mathrm{TMD}}$ at different hadron momenta (taken in
the $z$-direction) $P^{z}_{i}\gg\Lambda_{\text{QCD}}$ Ebert _et al._ (2019a,
b); Ji _et al._ (2019c):
$\displaystyle\gamma_{\zeta}^{q}(\mu,b_{T})$
$\displaystyle=\frac{1}{\ln(P^{z}_{1}/P^{z}_{2})}$
$\displaystyle\quad\times\ln\frac{C^{\mathrm{TMD}}_{\text{ns}}(\mu,xP_{2}^{z})\,\tilde{f}_{{\text{ns}}}^{\mathrm{TMD}}(x,\vec{b}_{T},\mu,P_{1}^{z})}{C^{\mathrm{TMD}}_{\text{ns}}(\mu,xP_{1}^{z})\,\tilde{f}_{{\text{ns}}}^{\mathrm{TMD}}(x,\vec{b}_{T},\mu,P_{2}^{z})}$
$\displaystyle\quad+{\cal O}\Big{(}{1\over(xP^{z}b_{T})^{2}}\,,{\Lambda_{\rm
QCD}^{2}\over(xP^{z})^{2}}\Big{)}\,.$ (1)
The perturbative matching coefficient $C^{\mathrm{TMD}}_{\text{ns}}$ relates
the quasi TMDPDFs, which are defined in terms of Euclidean-space matrix
elements as detailed below, to the corresponding light-cone TMDPDFs through a
factorization theorem based on an expansion in powers of the nucleon momentum
Ebert _et al._ (2019a, b); Ji _et al._ (2019b, c). Additional
nonperturbative factors related to the soft sector Ji _et al._ (2019a); Ebert
_et al._ (2019b) cancel in the ratio; recently exploratory lattice QCD studies
of these factors have been performed Zhang _et al._ (2020); Li _et al._
(2021) following the approach proposed in Refs. Ji _et al._ (2019b, c). The
flavor nonsinglet unpolarized quark quasi TMDPDF is defined as
$\tilde{f}^{\mathrm{TMD}}_{\text{ns}}=\tilde{f}^{\mathrm{TMD}}_{u}-\tilde{f}^{\mathrm{TMD}}_{d}$,
where
$\displaystyle\tilde{f}_{i}^{\mathrm{TMD}}\big{(}x,\vec{b}_{T},\mu,P^{z}\big{)}\equiv\lim_{\begin{subarray}{c}a\to
0\\\
\eta\to\infty\end{subarray}}\int\frac{\mathrm{d}b^{z}}{2\pi}e^{-\mathrm{i}b^{z}\left(xP^{z}\right)}\mathcal{Z}^{\overline{\mathrm{MS}}}_{\gamma^{4}\Gamma}(\mu,b^{z}\\!,a)$
$\displaystyle\qquad\qquad\times{P^{z}\over
E_{\vec{P}}}\tilde{B}^{\Gamma}_{i}\big{(}b^{z},\vec{b}_{T},a,\eta,P^{z}\big{)}\tilde{\Delta}_{S}\left(b_{T},a,\eta\right).$
(2)
Here $a$ denotes the lattice spacing, and
$E_{\vec{P}}=\sqrt{\vec{P}^{2}+m_{h}^{2}}$ where $\vec{P}=P^{z}\vec{e}_{z}$ is
the hadron three-momentum and $m_{h}$ is the hadron mass. The factor
$\mathcal{Z}^{\overline{\mathrm{MS}}}_{\gamma^{4}\Gamma}(\mu,b^{z},a)$, where
$\Gamma$ is a Dirac matrix label, renormalizes the quasi TMDPDF and matches it
to the $\overline{\mathrm{MS}}$ scheme at scale $\mu$ Constantinou _et al._
(2019); Ebert _et al._ (2020); Shanahan _et al._ (2019), and the quasi soft
factor $\tilde{\Delta}_{S}$ Ji _et al._ (2015, 2019a); Ebert _et al._
(2019a, b) and quasi beam function $\tilde{B}^{\Gamma}_{i}$ are both
calculable in lattice QCD. Summation over $\Gamma$ is implied, accounting for
operator mixing between quasi TMDPDFs with different Dirac structures
resulting from the breaking of rotational and chiral symmetries in lattice QCD
calculations Constantinou _et al._ (2019); Shanahan _et al._ (2019); Green
_et al._ (2020); Ji _et al._ (2021). Mixing with gluon operators is neglected
in Eq. (II), but cancels in the nonsinglet combination of quasi TMDPDFs. It
should be noted that the choice of the Dirac structure $\gamma^{4}$ in Eq.
(II) is not unique; the quasi TMDPDF with Dirac structure $\gamma^{3}$ can
also be boosted onto $\gamma^{+}$ and thus be matched to the spin-independent
TMDPDF in the infinite-momentum limit (in that case, the factor of
$P^{z}/E_{\vec{P}}$ in Eq. (II) is replaced by 1). While the notation is
specialized to $\gamma^{4}$ for clarity throughout this exposition, numerical
results are presented for both choices of Dirac structure in Sec. III.
The quasi beam function $\tilde{B}^{\Gamma}_{i}$ is defined as the matrix
element of a nonlocal quark bilinear operator with a staple-shaped Wilson line
in a boosted hadron state:
$\displaystyle\tilde{B}^{\Gamma}_{i}(b^{z},\vec{b}_{T},a,\eta,P^{z})=$
$\displaystyle\Bigl{\langle}h(P^{z})\big{|}\mathcal{O}_{\Gamma}^{i}(b^{\mu},0,\eta)\big{|}h(P^{z})\Bigr{\rangle}\,,$
(3)
where $h(P^{z})$ denotes the state of hadron $h$ with four-momentum
$P^{\mu}=(0,0,P^{z},E_{\vec{P}})$. The operator
$\mathcal{O}_{\Gamma}^{i}(b^{\mu},0,\eta)$, depicted in Fig. 1, is defined as
$\displaystyle\mathcal{O}^{i}_{\Gamma}(b^{\mu},z^{\mu},\eta)\equiv$
$\displaystyle\
\bar{q}_{i}(z^{\mu}+b^{\mu})\frac{\Gamma}{2}W_{\hat{z}}(z^{\mu}+b^{\mu};\eta-b^{z})$
$\displaystyle\ \times
W^{\dagger}_{T}(z^{\mu}+\eta\hat{z};b_{T})W^{\dagger}_{\hat{z}}(z^{\mu};\eta)q_{i}(z^{\mu})$
$\displaystyle\equiv$ $\displaystyle\
\bar{q}_{i}(z^{\mu}+b^{\mu})\frac{\Gamma}{2}\widetilde{W}(\eta;b^{\mu};z^{\mu})q_{i}(z^{\mu}),$
(4)
where $b^{\mu}=(\vec{b}_{T},b^{z},0)$, and $W_{\hat{\alpha}}(x^{\mu};\eta)$
denotes a Wilson line beginning at $x^{\mu}$ with length $\eta$ in the
direction of ${\hat{\alpha}}$. The subscript $T$ denotes that the associated
Wilson line is in a direction transverse to $\hat{z}^{\mu}=(0,0,1,0)$.
Figure 1: Diagrammatic representation of the Wilson line included in the
operators $\mathcal{O}^{i}_{\Gamma}(b^{\mu},z^{\mu},\eta)$, see Eq. (4).
In practice, it is useful to define a dimensionless ‘bare’ nonsinglet quasi
beam function:
$\displaystyle B^{\text{bare}}_{\Gamma}(b^{z},\vec{b}_{T},a,\eta,P^{z})\equiv$
$\displaystyle\frac{1}{2E_{\vec{P}}}\left(\tilde{B}^{\Gamma}_{u}(b^{z},\vec{b}_{T},a,\eta,P^{z})\right.$
$\displaystyle\left.\hskip
17.07164pt-\tilde{B}^{\Gamma}_{d}(b^{z},\vec{b}_{T},a,\eta,P^{z})\right),$ (5)
as well as a modified $\overline{\mathrm{MS}}$-renormalized quasi beam
function $B^{\overline{\mathrm{MS}}}_{\Gamma}$ Shanahan _et al._ (2020):
$\displaystyle
B^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,b^{z},\vec{b}_{T},a,\eta,P^{z})$
$\displaystyle\equiv
Z_{\mathcal{O}_{\gamma^{4}\Gamma}}^{\overline{\mathrm{MS}}}(\mu,b^{z},b_{T}^{R},a,\eta)$
$\displaystyle\times\tilde{R}(b_{T},b_{T}^{R},a,\eta)B^{\text{bare}}_{\Gamma}(b^{z},\vec{b}_{T},a,\eta,P^{z}).$
(6)
Compared with the standard $\overline{\mathrm{MS}}$-renormalized quasi beam
function, this definition includes the additional factor $\tilde{R}$,
described further below. The renormalization factor
$Z_{\mathcal{O}_{\gamma^{4}\Gamma}}^{\overline{\mathrm{MS}}}$ is defined as
the product of a regularization-independent momentum subtraction scheme
($\mathrm{RI}^{\prime}\mathrm{/MOM}$) factor
$Z^{\mathrm{RI}^{\prime}\mathrm{/MOM}}_{\mathcal{O}_{\gamma^{4}\Gamma}}$ and a
perturbative matching factor to the $\overline{\text{MS}}$ scheme,
$\mathcal{R}^{\overline{\mathrm{MS}}}_{\mathcal{O}_{\gamma^{4}\Gamma}}$, which
has been calculated at next-to-leading order in continuum perturbation theory
with dimensional regularization ($D=4-2\epsilon$) Constantinou _et al._
(2019); Ebert _et al._ (2020):
$\displaystyle
Z_{\mathcal{O}_{\gamma^{4}\Gamma}}^{\overline{\mathrm{MS}}}(\mu,b^{z}\\!,b_{T},a,\eta)=$
$\displaystyle\mathcal{R}^{\overline{\mathrm{MS}}}_{\mathcal{O}_{\gamma^{4}\Gamma}}(\mu,p_{R},b^{z},\vec{b}_{T},\eta)$
$\displaystyle\times
Z^{\mathrm{RI}^{\prime}\mathrm{/MOM}}_{\mathcal{O}_{\gamma^{4}\Gamma}}(p_{R},b^{z}\\!,\vec{b}_{T},a,\eta).$
(7)
In this expression, the dependence on the $\mathrm{RI}^{\prime}\mathrm{/MOM}$
scale $p_{R}$ and on the direction of $\vec{b}_{T}$ cancels between
$Z^{\mathrm{RI}^{\prime}\mathrm{/MOM}}_{\mathcal{O}_{\gamma^{4}\Gamma}}$ and
$\mathcal{R}^{\overline{\mathrm{MS}}}_{\mathcal{O}_{\gamma^{4}\Gamma}}$ at all
orders in perturbation theory (up to discretization artifacts). The quasi beam
function renormalization factor is related to the TMDPDF renormalization
factor $\mathcal{Z}^{\overline{\mathrm{MS}}}_{\gamma^{4}\Gamma}$ in Eq. (II)
as
$\displaystyle\mathcal{Z}_{\gamma^{4}\Gamma}^{\overline{\mathrm{MS}}}(\mu,b^{z}\\!,a)=Z_{\mathcal{O}_{\gamma^{4}\Gamma}}^{\overline{\mathrm{MS}}}(\mu,b^{z}\\!,b_{T},a,\eta)Z_{S}^{\overline{\mathrm{MS}}}(\mu,b_{T},a,\eta),$
(8)
where $Z_{S}^{\overline{\mathrm{MS}}}$ renormalizes the quasi soft factor
$\tilde{\Delta}_{S}$. The $\eta$ and $b_{T}$-dependence on the right-hand side
of Eq. (8) describes linear power divergences proportional to $\eta/a$ and
$b_{T}/a$ which cancel between the two terms.
To ensure that the matching factor
$\mathcal{R}^{\overline{\mathrm{MS}}}_{\mathcal{O}_{\gamma^{4}\Gamma}}$ is in
the perturbative region, both
$Z^{\mathrm{RI}^{\prime}\mathrm{/MOM}}_{\mathcal{O}_{\gamma^{4}\Gamma}}$ and
$\mathcal{R}^{\overline{\mathrm{MS}}}_{\mathcal{O}_{\gamma^{4}\Gamma}}$ should
be computed at a scale $b_{T}^{R}\ll\Lambda_{\rm QCD}^{-1}$. In Eq. (6), this
scale is taken to be distinct from $\vec{b}_{T}$ which is associated with the
staple geometry of the operator defining the bare quasi beam function. As a
result, $Z_{\mathcal{O}_{\gamma^{4}\Gamma}}^{\overline{\mathrm{MS}}}$ cannot
completely cancel the ultraviolet (UV) divergence in the bare quasi beam
function, and remnant linear divergences $\sim|b_{T}-b_{T}^{R}|/a$ appear in
Eq. (6). The factor $\tilde{R}$ is included to cancel such divergences. One
possible choice of $\tilde{R}$ is Shanahan _et al._ (2019)
$\displaystyle\tilde{R}(b_{T},b_{T}^{R},a,\eta)=\frac{{Z}_{\mathcal{O}_{\gamma^{4}\gamma^{4}}}^{\mathrm{RI}^{\prime}\mathrm{/MOM}}(p_{R}=\tilde{p}_{R},b^{z}=0,\vec{b}_{T},a,\eta)}{{Z}_{\mathcal{O}_{\gamma^{4}\gamma^{4}}}^{\mathrm{RI}^{\prime}\mathrm{/MOM}}(p_{R}=\tilde{p}_{R},b^{z}=0,\vec{b}_{T}^{R},a,\eta)}\,,$
(9)
defined for a fixed choice of $\tilde{p}_{R}$, and of the directions of
$\vec{b}_{T}$ and $\vec{b}^{R}_{T}$. An alternative choice of $\tilde{R}$ is
defined and used in Ref. Ebert _et al._ (2020).
In terms of the modified $\overline{\mathrm{MS}}$-renormalized quasi beam
functions, the Collins-Soper kernel may be computed as
$\displaystyle\gamma^{q}_{\zeta}(\mu,b_{T})=\frac{1}{\ln(P^{z}_{1}/P^{z}_{2})}\ln\Biggr{[}\frac{C^{\mathrm{TMD}}_{\text{ns}}(\mu,xP_{2}^{z})}{C^{\mathrm{TMD}}_{\text{ns}}(\mu,xP_{1}^{z})}$
$\displaystyle\\!\times\\!\frac{\int\\!\mathrm{d}b^{z}e^{-ib^{z}\\!xP_{1}^{z}}P_{1}^{z}\lim_{\begin{subarray}{c}a\to
0\\\
\eta\to\infty\end{subarray}}B^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,b^{z},\vec{b}_{T},a,\eta,P_{1}^{z})}{\int\\!\mathrm{d}b^{z}e^{-ib^{z}\\!xP_{2}^{z}}\\!P_{2}^{z}\lim_{\begin{subarray}{c}a\to
0\\\
\eta\to\infty\end{subarray}}B^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,b^{z},\vec{b}_{T},a,\eta,P_{2}^{z})}\Biggr{]}$
$\displaystyle\qquad\qquad+{\cal
O}\Big{(}{1\over(xP^{z}b_{T})^{2}}\,,{\Lambda_{\rm
QCD}^{2}\over(xP^{z})^{2}}\Big{)}\,.$ (10)
Since $\tilde{\Delta}_{S}$ and its renormalization factor
$Z_{S}^{\overline{\mathrm{MS}}}$, as well as the factor $\tilde{R}$ included
in the definition of $B^{\overline{\mathrm{MS}}}_{\gamma^{4}}$, are
independent of $b^{z}$, this expression is equivalent to that in Eq. (II). The
specific choice of $\tilde{R}$ (including the choices of $\tilde{p}_{R}$ and
$\vec{b}_{T}$ and $\vec{b}^{R}_{T}$ orientations) does not affect the value of
$\gamma^{q}_{\zeta}$.
## III Numerical study
The Collins-Soper kernel is computed via Eq. (II) in a lattice QCD calculation
with four dynamical quark flavors, using an ensemble of gauge field
configurations generated by the MILC collaboration with the one-loop Symanzik
improved gauge action and the highly improved staggered quark action. A single
ensemble is studied, with a lattice volume of $L^{3}\times T=48^{3}\times 64$,
a lattice spacing corresponding to $a=0.12$ fm, and sea quark masses tuned to
approximately match the physical quark masses in nature; see Ref. Bazavov _et
al._ (2013) for further details of the ensemble generation. Calculations are
performed in a partially-quenched mixed-action setup, with the tree-level
$\mathcal{O}(a)$-improved Wilson clover fermion action used for the valence
quarks, with $\kappa=0.1241$ tuned such that the pion mass is $m_{\pi}=538(1)$
MeV. The gauge fields used in the calculation have been subjected to Wilson
flow to flow-time $\mathfrak{t}=1.0$ Lüscher (2010), to enhance the signal-to-
noise ratio in the numerical results111Note that the flowed gauge fields were
also used for constructing $\not{D}$.. The following sections detail the
computation of each element of $\gamma^{q}_{\zeta}$.
### III.1 Bare quasi beam functions
Quasi beam functions in a pion external state are computed from ratios of
three-point and two-point correlation functions:
$\displaystyle\mathcal{R}_{\Gamma}(t,\tau,b^{\mu},a,\eta,P^{z})$
$\displaystyle\qquad=\frac{C_{\text{3pt}}^{\Gamma,u}(t,\tau,b^{\mu},a,\eta,P^{z}\vec{e}_{z})-C_{\text{3pt}}^{\Gamma,d}(t,\tau,b^{\mu},a,\eta,P^{z}\vec{e}_{z})}{C_{\text{2pt}}(t,P^{z}\vec{e}_{z})}$
$\displaystyle\qquad\xrightarrow{t\gg\tau\gg
0}B^{\text{bare}}_{\Gamma}(b^{z},\vec{b}_{T},a,\eta,P^{z})+\ldots,$ (11)
where
$\displaystyle
C_{\text{3pt}}^{\Gamma,i}(t,\tau,b^{\mu},a,\eta,\vec{P}=P^{z}\vec{e}_{z})$
$\displaystyle\ =\sum_{\vec{x},\vec{z}}e^{i\vec{P}\cdot\vec{x}}\langle
0|\pi_{\vec{P},S}(\vec{x},t)\mathcal{O}^{i}_{\Gamma}(b^{\mu},(\vec{z},\tau),\eta)\pi_{\vec{P},S}^{\dagger}(0)|0\rangle$
$\displaystyle\xrightarrow{t\gg\tau\gg
0}\frac{Z_{\vec{P}}}{4aE^{2}_{\vec{P}}}e^{-E_{\vec{P}}t}\tilde{B}^{\Gamma}_{i}(b^{z},\vec{b}_{T},a,\eta,P^{z})+\ldots$
(12)
and
$\displaystyle C_{\text{2pt}}(t,\vec{P})$
$\displaystyle=\sum_{\vec{x}}e^{i\vec{P}\cdot\vec{x}}\langle
0|\pi_{\vec{P},S}(\vec{x},t)\pi_{\vec{P},S}^{\dagger}(0)|0\rangle$
$\displaystyle\overset{t\gg
0}{\longrightarrow}\frac{Z_{\vec{P}}}{2aE_{\vec{P}}}e^{-E_{\vec{P}}t}+\ldots.$
(13)
In the construction of the correlation functions, momentum-smeared
interpolating operators
$\pi_{\vec{P},S}(\vec{x},t)=\overline{u}_{S(\vec{P}/2)}(\vec{x},t)\gamma_{5}d_{S(\vec{P}/2)}(\vec{x},t)$
are built from quasi local smeared quark fields $q_{S(\vec{P})}(\vec{x},t)$
constructed with 50 steps of iterative Gaussian momentum-smearing Bali _et
al._ (2016) with smearing radius $\varepsilon=0.2$. $Z_{\vec{P}}$ denotes the
combination of overlap factors for the source and sink interpolating
operators.
Two and three-point functions are constructed for three choices of pion boost
$\vec{P}=P^{z}\vec{e}_{z}$, with $P^{z}=n^{z}2\pi/L$ for
$n_{z}\in\\{3,5,7\\}$. An effective energy function that asymptotes to
$E_{\vec{P}}$ can be defined as
$\displaystyle E^{\text{eff}}_{\vec{P}}(t)$
$\displaystyle=\frac{1}{a}\text{arccosh}{\left({\frac{C_{\text{2pt}}(t+a,\vec{P})+C_{\text{2pt}}(t-a,\vec{P})}{2C_{\text{2pt}}(t,\vec{P})}}\right)}$
$\displaystyle\overset{t\gg 0}{\longrightarrow}E_{\vec{P}}+\ldots,$ (14)
where the ellipses denote exponentially-suppressed corrections from excited
states. This function is shown for the ensemble investigated here in Fig. 2,
including the results of fits to the two-point correlation functions. The fits
are performed as described in Appendix A of Ref. Shanahan _et al._ (2020),
with the number of states in each fit chosen by maximizing an information
criterion, and different choices of fit range sampled and combined in a
weighted average. The relative deviations in the extracted energies from the
continuum dispersion relation $E_{\vec{P}}=\sqrt{m_{\pi}^{2}+|\vec{P}|^{2}}$
are at most 5% for all momenta studied, increasing with increasing
$|\vec{P}|$, but consistent with the expected size of lattice artifacts.
Figure 2: Effective energy function defined in Eq. (III.1) for pion states boosted in the $\hat{z}$-direction with $P^{z}=n^{z}2\pi/L$. Shaded bands display the result of single-exponential fits to the two-point correlation functions with the largest two momenta, and two-exponential fits to those with the smallest two momenta, obtained as described in the text. $n_{z}$ | $P^{z}$ [GeV] | $\eta/a$ | $n_{\text{src}}$ | $n_{\text{cfg}}$
---|---|---|---|---
3 | 0.65 | {12,14} | 4 | 96
3 | 0.65 | 23 | 16 | 100
5 | 1.1 | {12,14} | 4 | 449
7 | 1.5 | {12,14} | 16 | 596
Table 1: Quasi beam functions are computed for $n_{\text{src}}$ source
locations on each of $n_{\text{cfg}}$ configurations for each pion boost
$P^{z}$. Matrix elements of operators with staple widths $\vec{b}_{T}$ in the
positive $\hat{x}$ direction with $0\leq|b_{T}|\leq\eta$ and asymmetries
$-\eta\leq b^{z}\leq\eta$ are computed.
The ratio $\mathcal{R}_{\Gamma}$ defined in Eq. (11) is constructed for all
Dirac structures $\Gamma$ and a range of operators with different staple
widths and asymmetries, detailed in Table 1. As indicated, the number of
measurements is varied for the different boost momenta, to partially
compensate for the differences in statistical noise. All ratios are computed
for sink times $t\in\\{7,9,11,13\\}$ and with all operator insertion times
$\tau$ such that $0<\tau<t$. The fitting procedure used to determine
$B^{\text{bare}}_{\Gamma}$ at each set of parameters is precisely the same
procedure as detailed in Appendix A of Ref. Shanahan _et al._ (2020). Several
examples of the fits in $t$ and $\tau$ used to extract the quasi beam
functions are shown in Appendix A. An example of the resulting bare quasi beam
functions, for particular choices of $b_{T}$ and $\eta$, is shown in Fig. 3.
Additional examples are provided in Appendix B.
Figure 3: An example of a bare quasi beam function, computed as described in
the text, for $b_{T}/a=1$ and $\eta/a=14$. Further examples are included in
Appendix B.
### III.2 Non-perturbative renormalization
Computing the modified $\overline{\mathrm{MS}}$-renormalized quasi beam
function $B^{\overline{\mathrm{MS}}}_{\Gamma}$ by Eq. (6) requires, in
addition to the bare quasi beam functions, the
$\mathrm{RI}^{\prime}\mathrm{/MOM}$ renormalization factor
$Z^{\mathrm{RI}^{\prime}\mathrm{/MOM}}_{\mathcal{O}_{\gamma^{4}\Gamma}}$. This
factor enters the calculation of the renormalized quasi beam function both
through the renormalization itself (Eq. (7)) and in the computation of the
factor $\tilde{R}$ (Eq. (9)). The calculation of the nonperturbative
renormalization undertaken here follows closely the presentation of Ref.
Shanahan _et al._ (2019).
The matrix
$Z^{\text{$\mathrm{RI}^{\prime}\mathrm{/MOM}$}}_{\mathcal{O}_{\Gamma\Gamma^{\prime}}}$
is defined by the renormalization condition
$Z_{q}^{-1}(p_{R})Z^{\text{$\mathrm{RI}^{\prime}\mathrm{/MOM}$}}_{\mathcal{O}_{\Gamma\Gamma^{\prime}}}(p_{R})\Lambda^{\mathcal{O}_{\Gamma^{\prime}}}_{\alpha\beta}(p)\big{|}_{p^{\mu}=p^{\mu}_{R}}=\Lambda_{\alpha\beta}^{\mathcal{O}_{\Gamma};\text{tree}}(p)\,,$
(15)
where dependence on the lattice spacing is left implicit and
$\Lambda^{\mathcal{O}_{\Gamma};(\text{tree})}$ denotes the bare (tree-level)
amputated Green’s function of the operator $\mathcal{O}_{\Gamma}$ defined in
Eq. (4) in an off-shell quark state in the Landau gauge:
$\Lambda^{\mathcal{O}_{\Gamma}}(p)=S^{-1}(p)G^{\mathcal{O}_{\Gamma}}(p)S^{-1}(p)\,.$
(16)
Here $S(p)$ is the quark propagator projected to momentum $p$:
$\displaystyle S_{\alpha\beta}(p,x)$ $\displaystyle=\sum_{y}e^{-ip\cdot
y}\langle q_{\alpha}(x)\bar{q}_{\beta}(y)\rangle,$ (17) $\displaystyle
S_{\alpha\beta}(p)$ $\displaystyle=\frac{1}{V}\sum_{x}e^{ip\cdot
x}S_{\alpha\beta}(p,x),$ (18)
and $G^{\mathcal{O}_{\Gamma}}$ denotes the non-amputated quark-quark Green’s
function with one insertion of $\mathcal{O}_{\Gamma}$, which implicitly
depends on the geometry of the staple-shaped Wilson line defining the
operator:
$\displaystyle G^{\mathcal{O}_{\Gamma}}_{\alpha\beta}(p)$ $\displaystyle=\
\frac{1}{V}\sum_{x,y,z}{\rm e}^{{\mathrm{i}}p\cdot(x-y)}\langle
q_{\alpha}(x)\mathcal{O}_{\Gamma}(z+b,z)\bar{q}_{\beta}(y)\rangle,$
$\displaystyle=\
\frac{1}{V}\sum_{z}\langle\\!\gamma_{5}S^{\dagger}(p,\\!b+z)\gamma_{5}\widetilde{W}(\eta;b+z,\\!z)\frac{\Gamma}{2}S(p,\\!z)\\!\rangle_{\alpha\beta},$
(19)
where $V=L^{3}\times T$ is the lattice volume. The quark wavefunction
renormalization $Z_{q}$ is defined as
$\displaystyle Z_{q}(p_{R})S(p)\big{|}_{p^{2}=p_{R}^{2}}=S^{\text{tree}}(p)$
$\displaystyle\implies$ $\displaystyle Z_{q}(p_{R})=\
\frac{1}{12}\text{Tr}\left[S^{-1}(p)S^{\text{tree}}(p)\right]\bigg{|}_{p^{2}=p_{R}^{2}}$
(20) $\displaystyle\hphantom{Z_{q}(p_{R})}=\ \frac{{\rm Tr}\left[{\rm
i}\sum_{\lambda}\gamma_{\lambda}\sin(ap_{\lambda})S^{-1}(p)\right]}{12\sum_{\lambda}\sin^{2}(ap_{\lambda})}\bigg{|}_{p^{2}=p_{R}^{2}}.$
From Eq. (15), the matrix of $\mathrm{RI}^{\prime}\mathrm{/MOM}$
renormalization factors may be computed as
$\left(Z^{\text{$\mathrm{RI}^{\prime}\mathrm{/MOM}$}}_{\mathcal{O}_{\Gamma\Gamma^{\prime}}}(p_{R})\right)^{-1}=\frac{\mathcal{V}^{\mathcal{O}_{\Gamma\Gamma^{\prime}}}(p)}{6e^{ip_{R}\cdot
b}Z_{q}(p_{R})}\bigg{|}_{p^{\mu}=p^{\mu}_{R}},$ (21)
where $b^{\mu}$ denotes the separation of the endpoints of the nonlocal
operator $\mathcal{O}_{\Gamma}$, the projected vertex function is defined as
$\mathcal{V}^{\mathcal{O}_{\Gamma\Gamma^{\prime}}}(p)\equiv\text{Tr}\left[\Lambda^{\mathcal{O}_{\Gamma}}(p)\Gamma^{\prime}\right],$
(22)
and the replacement
$\displaystyle\text{Tr}\left[\Lambda^{\mathcal{O}_{\Gamma};\text{tree}}(p_{R})\Gamma^{\prime}\right]=6e^{ip_{R}\cdot
b}\delta^{\Gamma\Gamma^{\prime}}$ (23)
has been made. It should be noted that since the operator
$\mathcal{O}^{q}_{\Gamma}$ is nonlocal and frame dependent, different
directions in $p_{R}^{\mu}$ constitute different renormalization schemes,
related by finite renormalization factors. As such,
$Z^{\text{$\mathrm{RI}^{\prime}\mathrm{/MOM}$}}_{\mathcal{O}_{\Gamma\Gamma^{\prime}}}$
depends on $p_{R}^{\mu}$ itself rather than only on its magnitude, which acts
as the renormalization scale.
The complete $16\times 16$ matrix of $\mathrm{RI}^{\prime}\mathrm{/MOM}$
renormalization factors
$Z^{\mathrm{RI}^{\prime}\mathrm{/MOM}}_{\mathcal{O}_{\Gamma\Gamma^{\prime}}}$
is computed for the same set of operator geometries defined in Table 1, on
$n_{\text{cfg}}=50$ gauge field configurations. For all operator geometries
with $\eta/a\in\\{12,14\\}$, the renormalization factors are computed for a
range of four-momenta tabulated in Tab. 2, to enable an investigation of
residual dependence on the renormalization scale (which would be canceled by
an all-orders matching to the $\overline{\mathrm{MS}}$ scheme) and
discretization artifacts. For operator geometries with $\eta/a=23$, only the
four-momentum with $n^{\mu}=(12,12,12,12)$ is used. An example of the
resulting $\mathrm{RI}^{\prime}\mathrm{/MOM}$ renormalization matrices is
shown in Fig. 4, which displays a subset of the off-diagonal renormalization
factors normalized relative to the diagonal components:
$\displaystyle\mathcal{M}^{\text{$\mathrm{RI}^{\prime}\mathrm{/MOM}$}}_{\mathcal{O}_{\Gamma\mathcal{P}}}\equiv$
$\displaystyle\frac{\text{Abs}[Z^{\text{$\mathrm{RI}^{\prime}\mathrm{/MOM}$}}_{\mathcal{O}_{\Gamma\mathcal{P}}}]}{\frac{1}{16}\sum_{i}\text{Abs}[Z^{\text{$\mathrm{RI}^{\prime}\mathrm{/MOM}$}}_{\mathcal{O}_{\Gamma_{i}\Gamma_{i}}}]}\,,$
(24)
computed for quark bilinear operators with straight Wilson lines and a
particular choice of momentum.
$n^{\mu}$ | $\sqrt{p^{2}}$ [GeV] | $p^{z}$ [GeV] | $p^{[4]}/(p^{2})^{2}$
---|---|---|---
(6,6,6,6) | 2.5 | 1.3 | 0.26
(6,6,6,9) | 2.7 | 1.3 | 0.26
(6,6,6,12) | 3.0 | 1.3 | 0.30
(8,8,8,8) | 3.3 | 1.7 | 0.26
(8,8,8,12) | 3.6 | 1.7 | 0.26
(8,8,8,16) | 4.0 | 1.7 | 0.30
(12,12,12,12) | 4.9 | 2.6 | 0.26
(12,12,12,18) | 5.4 | 2.6 | 0.25
Table 2: Four-momenta used in the calculation of nonperturbative
renormalization factors as described in the text, where $p^{\mu}$ is the four-
momentum in physical units corresponding to $n^{\mu}$ in lattice units. The
H(4) invariant $p^{[4]}$ is defined as $p^{[4]}=\sum_{\mu=1}^{4}p_{\mu}^{4}$.
Figure 4: Submatrix of the $\mathrm{RI}^{\prime}\mathrm{/MOM}$ mixing matrix
$\mathcal{M}^{\text{$\mathrm{RI}^{\prime}\mathrm{/MOM}$}}_{\mathcal{O}_{\Gamma\mathcal{P}}}$,
defined in Eq. (24), computed for quark bilinear operators with straight
Wilson lines ($b_{T}=0$) with various extents $b^{z}$, for momentum
$n^{\nu}=(12,12,12,12)$ in lattice units. Points representing Dirac structures
in the upper triangle of the mixing matrix are slightly offset on the
horizontal axis for clarity.
The $\overline{\mathrm{MS}}$ renormalization factors are computed via Eq. (7)
from the $\mathrm{RI}^{\prime}\mathrm{/MOM}$ renormalization matrices and the
perturbative matching factor
$\mathcal{R}^{\overline{\mathrm{MS}}}_{\mathcal{O}_{\gamma^{4}\Gamma}}$,
calculated at next-to-leading order in continuum perturbation theory with
dimensional regularization ($D=4-2\epsilon$) Constantinou _et al._ (2019);
Ebert _et al._ (2020). In this work, the scale $\tilde{p}_{R}$ is set to 4.9
GeV. Examples of the resulting $\overline{\mathrm{MS}}$ renormalization
factors are presented in Figs. 5 and 6. This renormalization is independent of
$p_{R}$ up to discretization artifacts, two-loop perturbative matching
corrections which are neglected here, and nonperturbative effects that vanish
at asymptotically large $p^{2}_{R}$. While in principle one might fit to
results generated at different $p_{R}$ values to constrain discretization
effects, this is not feasible with the data generated here, and the covariance
matrices for the nonlocal operators cannot be reliably estimated. The
renormalization constants computed with $n^{\mu}=(12,12,12,12)$ are thus taken
as best-estimates and used in the further analysis of the Collins-Soper
kernel. For those operator structures where the
$\mathrm{RI}^{\prime}\mathrm{/MOM}$ renormalization factors have been computed
at other choices of $p_{R}$, this yields indistinguishable results for the
Collins-Soper kernel compared with results obtained using the weighted
averaging procedure over momenta which is employed in Ref. Shanahan _et al._
(2019). The components of
$\mathcal{M}^{\text{$\mathrm{RI}^{\prime}\mathrm{/MOM}$}}_{\mathcal{O}_{\Gamma\mathcal{P}}}$
are of similar magnitude for both $\Gamma\in\\{\gamma^{3},\gamma^{4}\\}$,
which is consistent with the conclusion of Ref. Ji _et al._ (2021) but is in
contrast with the results of Refs. Constantinou _et al._ (2019); Green _et
al._ (2020) which predict no mixing effects for $\Gamma=\gamma^{3}$ at ${\cal
O}(a^{0})$. The quasi beam functions with both Dirac structures are thus
treated on equal footing in this work.
Figure 5: Example of numerical results for
$Z^{\text{$\mathrm{RI}^{\prime}\mathrm{/MOM}$}}_{\mathcal{O}_{\gamma^{4}\gamma^{4}}}$
(orange circles) and
$Z^{\overline{\mathrm{MS}}}_{\mathcal{O}_{\gamma^{4}\gamma^{4}}}$ (blue
squares), computed using the different values of $p_{R}$ given in Table 2, for
operator geometry $\eta/a=14$, $b^{z}/a=3$, $b_{T}/a=3$, at renormalization
scale $\mu=2$ GeV. The blue shaded band shows the value used in further
analysis, obtained as described in the text.
(a)
(b)
Figure 6: Diagonal $\overline{\text{MS}}$ renormalization factors
$Z^{\overline{\text{MS}}}_{\mathcal{O}_{\gamma^{4}\gamma^{4}}}$, computed for
operator geometry $\eta/a=14$ and various values of $b_{T}$ and $b^{z}$, at
renormalization scale $\mu=2$ GeV.
### III.3 Renormalized quasi beam functions
Modified $\overline{\mathrm{MS}}$-renormalized quasi beam functions are
computed via Eq. (6) from the bare quasi beam functions and
$\overline{\mathrm{MS}}$ renormalization factors calculated as described in
Secs. III.1 and III.2; the uncertainties of the two components are combined in
quadrature. While for clarity all equations in this section are expressed for
renormalized quasi beam functions defined with the Dirac structure
$\gamma^{4}$, both $B^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ and
$B^{\overline{\mathrm{MS}}}_{\gamma^{3}}$ are computed and analyzed
independently.
(a) Example of the $b^{z}$-dependence of the asymmetry in the renormalized
quasi beam functions for a fixed choice of operator geometry with $\eta/a=14$,
$b_{T}/a=1$. (b) Example of the $b_{T}$-dependence of the asymmetry parameter
$\Delta=-V(b_{T})+V(b_{T}^{R})$ for operators with $\eta/a=14$, fit to
renormalized quasi beam functions $B^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ as
described in the text. The approximate linear dependence of $\Delta$ on
$b_{T}$ can be explained by a linear term in $V(b_{T})$; the approximate
independence on $n^{z}$ is expected, as $V(b_{T})$ should be independent of
the external state.
Figure 7: Illustration of the asymmetry in the renormalized quasi beam
functions computed in this work; additional examples are provided in Appendix
B.
The real and imaginary parts of the renormalized quasi beam functions should
be symmetric and antisymmetric functions of $b^{z}$ respectively in the
$\eta\rightarrow\infty$ limit. The numerical results obtained in this work,
however, show significant departures from these expectations at finite $\eta$
as was also observed in the quenched calculation of Ref. Shanahan _et al._
(2019). The $b^{z}$-dependence of the asymmetry in the absolute value of the
renormalized quasi beam functions is illustrated in Fig. 7a. This asymmetry
can be understood as an incomplete cancellation of the Wilson-line self-energy
correction proportional to $e^{-V(b_{T})\,b^{z}}$ between the
$\overline{\mathrm{MS}}$ renormalization factor and the bare quasi beam
function, where $V(b_{T})$ is the static quark-antiquark potential at distance
$b_{T}$. Such an effect yields a $b^{z}$-dependent asymmetry proportional to
$e^{-\Delta b^{z}}$, depending on an asymmetry parameter
$\Delta=-V(b_{T})+V(b_{T}^{R})$. This is in fact a good model of the asymmetry
observed in the numerical calculations of this work; fits of
$|B^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,-b^{z},\vec{b}_{T},a,\eta,P^{z})|/|B^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,b^{z},\vec{b}_{T},a,\eta,P^{z})|$
(and the analogous expression constructed from
$B^{\overline{\mathrm{MS}}}_{\gamma^{3}}$) to this functional form, fit
separately for fixed values of $b_{T}$, $\eta$, and $P^{z}$, achieve
acceptable goodness-of-fit with an average $\chi^{2}/\text{d.o.f.}=0.56$.
These fits, and the resulting values of the asymmetry parameter $\Delta$, are
illustrated in Fig. 7 and in Appendix B. Asymmetry-corrected modified
$\overline{\mathrm{MS}}$-renormalized quasi beam functions are thus defined as
$\displaystyle B^{\overline{\mathrm{MS}};\text{corr}}_{\gamma^{4}}(\mu,b^{z},$
$\displaystyle\vec{b}_{T},a,\eta,P^{z})=\
e^{\Delta(\vec{b}_{T},a,\eta,P^{z})|b^{z}|}$
$\displaystyle\times\left(\text{Re}\vphantom{B^{\overline{\mathrm{MS}}}_{\gamma^{4}}}\right.$
$\displaystyle\\!\\!\left[B^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,|b^{z}|,\vec{b}_{T},a,\eta,P^{z})\right]$
$\displaystyle+$
$\displaystyle\left.\text{sign}(b^{z})\,\text{Im}\\!\\!\left[B^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,|b^{z}|,\vec{b}_{T},a,\eta,P^{z})\right]\right).$
(25)
Here, the uncertainties in the factor $e^{\Delta|b^{z}|}$ and the quasi beam
functions are added in quadrature and, after asymmetry correction, the more
precise results for beam functions with $b^{z}>0$ (which involve shorter
Wilson lines) are mirrored to $b^{z}<0$. An example of the modified
$\overline{\mathrm{MS}}$-renormalized quasi beam functions before and after
asymmetry correction is given in Fig. 8.
(a)
(b)
Figure 8: Comparison of an example of the modified
$\overline{\mathrm{MS}}$-renormalized quasi beam functions before (gray) and
after (color) asymmetry correction via Eq. (25), for beams functions computed
with operator geometry $\eta/a=14$, $b_{T}/a=1$.
(a) (b)
Figure 9: Example of the asymmetry-corrected modified
$\overline{\mathrm{MS}}$-renormalized quasi beam function
$B^{\overline{\mathrm{MS}};\text{corr}}_{\gamma^{4}}$ defined in Eq. (6). The
horizontal shaded bands show the results of weighted averages of this quantity
over choices of $b_{T}^{R}$ and $\eta$ (as a function of $b^{z}$, $b_{T}$, and
$P^{z}$), as described in the text.
It is expected that the renormalized beam functions should be independent of
the matching scale $b_{T}^{R}$. This expectation is satisfied within
uncertainties for the numerical investigations of this work as illustrated in
Fig. 9; for use in the calculation of the Collins-Soper kernel, a weighted
average Aoki _et al._ (2020) over possible choices of $b_{T}^{R}$ in the
window $a\ll b_{T}^{R}\ll\Lambda_{\text{QCD}}^{-1}$ is thus implemented,
performed precisely as detailed in Appendix C of Ref. Shanahan _et al._
(2020). Similarly, the asymmetry-corrected renormalized quasi beam functions
do not depend on $\eta$ within uncertainties, and the formal extrapolation to
$\eta\rightarrow\infty$ is implemented with an analogous weighted average. The
resulting averaged values of the asymmetry-corrected modified
$\overline{\mathrm{MS}}$-renormalized quasi beam function are denoted by
$\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,b^{z},b_{T},P^{z})$,
and have no dependence on $\eta$ or $b^{R}_{T}$; the dependence on $a$ is also
dropped in this notation, as a single lattice spacing is used in this
numerical study. Figures showing
$\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ and
$\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$ are provided in Appendix
B. The contributions to these quantities from mixing between operators with
different Dirac structures is generally found to be less than $10\%$; see Fig.
10 for an illustration. Additional examples are provided in Appendix B.
(a) (b)
Figure 10: Percentage contribution to the renormalized quasi beam functions
from mixing of operators with different Dirac structures. Note that the ratios
shown are outside of the plot range near the nodes of the beam functions; in
this example the maximum mixing that is resolved from zero at greater than
$2\sigma$ is $0.32(5)$, and occurs in the real component of the beam function
for $n_{z}=5$, $b_{z}/a=5$.
### III.4 Collins-Soper kernel
To determine the Collins-Soper kernel via Eq. (II) from the averaged
asymmetry-corrected modified $\overline{\mathrm{MS}}$-renormalized quasi beam
functions $\overline{B}_{\gamma^{4}}^{\overline{\mathrm{MS}}}$ and
$\overline{B}_{\gamma^{3}}^{\overline{\mathrm{MS}}}$, defined in the previous
section, requires a Fourier transform of the quasi beam functions in $b^{z}$.
As is clear from Fig. 23, however, the $b^{z}$-range of the data is not
sufficient for the tails of the quasi beam functions at large $|b^{z}|$ to
decay to plateaus consistent with zero, particularly at the largest $b_{T}$
and smallest $P^{z}$ values used in this numerical study. As such, it is to be
expected that a discrete Fourier transform (DFT) will have significant
systematic uncertainties from the truncation of the data in $P^{z}b^{z}$;
details of a DFT analysis of the quasi beam functions are presented in
Appendix C. Instead, Fourier transforms are taken after fitting the quasi beam
functions to functional forms that allow extrapolation in $b^{z}$. This
approach trades the systematic uncertainties of a DFT for model-dependence in
the fit form used to extrapolate the quasi beam functions. While this model-
dependence is difficult to quantify rigorously, this approach allows the
physical expectation that the quasi beam functions should decay smoothly at
large $|b^{z}|$ to be incorporated (a DFT effectively models the beam
functions as zero outside the $b^{z}$-range in which lattice QCD results are
computed).
In particular, the quasi beam functions are modeled as a sum of polynomials in
$b^{z}$ times Gaussian functions, which provide an appropriate basis, since it
is expected that the quasi beam functions should be analytic functions of
$b^{z}$ (the $b^{z}\to 0$ limit at fixed $b_{T}$ does not introduce additional
divergences), and yield high-quality fits with few free parameters.
Specifically, for each choice of $b_{T}$ and $P^{z}$, the real and imaginary
parts of the quasi beam function
$\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ (and independently
$\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$) are fit with even and
odd functions of $b^{z}$ respectively, defined as
$\displaystyle f_{\text{Re}}(\sigma,\\{r_{n}\\};b^{z})$
$\displaystyle=\text{exp}[-(b^{z})^{2}/(2\sigma^{2})]\sum_{n=0}^{n_{\text{max}}}r_{n}(b^{z})^{2n}$
(26) $\displaystyle f_{\text{Im}}(\sigma,\\{r_{n}\\};b^{z})$
$\displaystyle=\text{exp}[-(b^{z})^{2}/(2\sigma^{2})]\sum_{n=0}^{n_{\text{max}}}i_{n}(b^{z})^{2n+1}.$
(27)
The value of $n_{\text{max}}$ is chosen to minimize the Akaike information
criterion (AIC) Akaike (1974) and corresponds to
$n_{\text{max}}\in\\{2,3,4\\}$ for all cases. The fits with these optimal
values of $n_{\text{max}}$ are of high quality in all cases, with an average
$\chi^{2}/\text{d.o.f.}=0.41$. The resulting models of the quasi beam
functions are denoted $\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ (and,
correspondingly $\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$), and are
illustrated in Fig. 11 (with further examples provided in Appendix B).
(a)
(b)
Figure 11: Example of fits by Eqs. (26) and (27) (shaded bands) to the real
and imaginary parts of the quasi beam functions, for $b_{T}/a=1$.
Finally, in terms of the models
$\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}$, the relation defining the
Collins-Soper kernel in Eq. (II) is realized as
$\displaystyle\hat{\gamma}^{q}_{\zeta}(\mu,b_{T};P_{1}^{z},P_{2}^{z},x)$
$\displaystyle\equiv\frac{1}{\ln(P^{z}_{1}/P^{z}_{2})}\ln\Biggr{[}\frac{C^{\mathrm{TMD}}_{\text{ns}}(\mu,xP_{2}^{z})}{C^{\mathrm{TMD}}_{\text{ns}}(\mu,xP_{1}^{z})}$
$\displaystyle\\!\times\\!\frac{\int\\!\mathrm{d}b^{z}e^{-ib^{z}\\!xP_{1}^{z}}P_{1}^{z}\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,b^{z},b_{T},P_{1}^{z})}{\int\\!\mathrm{d}b^{z}e^{-ib^{z}\\!xP_{2}^{z}}\\!P_{2}^{z}\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,b^{z},b_{T},P_{2}^{z})}\Biggr{]},$
(28)
which coincides with $\gamma^{q}_{\zeta}(\mu,b_{T})$ up to power corrections
such as higher-twist corrections in the factorization formula for the quasi
TMDPDF, and discretization artifacts, which introduce the dependence on
$P_{1}^{z}$, $P_{2}^{z}$, and $x$. One approach to determine
$\gamma^{q}_{\zeta}(\mu,b_{T})$ from
$\hat{\gamma}^{q}_{\zeta}(\mu,b_{T};P_{1}^{z},P_{2}^{z},x)$ is to model, fit,
and subtract, these various artifacts. However, the most straightforward
models of these effects do not provide good fits to the numerical data of this
study, as detailed in Appendix D. Instead, since the contamination in
$\hat{\gamma}^{q}_{\zeta}$ will be different at each choice of $P_{1}^{z}$,
$P_{2}^{z}$, and $x$, and the effects can be expected to be larger222The
matching coefficient includes large logarithms of $xP^{z}_{i}$ at small $x$,
while the quasi beam functions at $x\to 0$ and $x\to 1$ are sensitive to the
long-range correlations in $b^{z}$ and are thus affected by the truncation of
the data in $P^{z}b^{z}$. In addition, the power corrections are expected to
be enhanced at small $x$. at large and small values of $x$ and at small values
of $P^{z}$, the variation of $\hat{\gamma}^{q}_{\zeta}$ over these choices is
used to define an estimate of the systematic uncertainty.
Precisely, a best value for the Collins-Soper kernel is determined from
$\hat{\gamma}^{q}_{\zeta}$ via a multi-step procedure. First, the largest
window of $x$ is determined for which the data for all choices of the pair
$\\{P_{1}^{z},P_{2}^{z}\\}$ are consistent with a common constant value. In
practice this region is defined as the largest window in which a constant fit
to the data at a set of discrete $x$ points has a $\chi^{2}/\text{d.o.f.}\leq
1$. The central value and uncertainty are defined as the median and the 68%
confidence interval of the union of the bootstrap data in that $x$ window,
including all $\\{P_{1}^{z},P_{2}^{z}\\}$ pairs. The result of this procedure
is robust to changes in the discretization of $x$, for sufficiently fine
discretization scales (100 points spanning $0<x<1$ uniformly are used in the
analysis presented). This procedure is performed separately for
$\hat{\gamma}^{q}_{\zeta}$ determined from beam functions calculated with
Dirac structures $\gamma^{4}$ and $\gamma^{3}$; examples of the resulting
values are shown with $\hat{\gamma}^{q}_{\zeta}$ in Fig. 12, with the
remainder presented in Appendix B. The central values of the independent
calculations with Dirac structures $\gamma^{4}$ and $\gamma^{3}$ are averaged,
and the average uncertainty is added in quadrature with half the difference
between the central values obtained using each Dirac structure, to yield the
final results of this work which are shown as a function of $b_{T}$ in Fig.
13, and are tabulated in Table. 3.
$b_{T}$ [fm] | 0.12 | 0.24 | 0.36 | 0.48
---|---|---|---|---
$\gamma^{q,\overline{\mathrm{MS}}}_{\zeta}$ | -0.419(53)(50) | -0.49(5)(12) | -0.76(9)(8) | -0.82(15)
Table 3: Collins-Soper kernel with $\mu=2\text{ GeV}$ as a function of
$b_{T}$. The first uncertainty is the average of that determined from
calculations using $\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ and
$\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$ as described in the text,
while the second is a systematic uncertainty computed as half the difference
of the central values of the results obtained using quasi beam functions
defined with the two Dirac structures.
(a) $\hat{\gamma}^{q}_{\zeta}$ computed from quasi beam functions
$\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}$.
(b) $\hat{\gamma}^{q}_{\zeta}$ computed from quasi beam functions
$\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$.
Figure 12: $\hat{\gamma}^{q}_{\zeta}$, computed as defined in Eq. (28) for all
momentum pairs $\\{P_{1}^{z},P_{2}^{z}\\}$, denoted by $P_{1}^{z}/P_{2}^{z}$
in the legend. The horizontal shaded band shows the fit window in $x$, as well
as the total uncertainty of the best result, determined as described in the
text. Figure 13: Collins-Soper kernel as a function of $b_{T}$, determined
from $\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ (purple circles)
$\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$ (red triangles), and the final
combined results of this work (green squares), computed as described in the
text. For the latter points, the inner (outer) error bars show the first
(quadrature-combined) uncertainties given in Table. 3. No result computed from
$\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$ is shown at the largest
$b_{T}$ value because in this case $\hat{\gamma}^{q}_{\zeta}$ cannot be fit to
a constant with the method described in the text, as shown in Fig. 25. Figure
14: $b_{T}$-dependence of the Collins-Soper kernel computed from the same
quasi beam functions via the different approaches defined in Sec. III.4. All
points other than the primary results of this work (“NLO”) are offset on the
horizontal axis for clarity. For the “NLO” and “LO” approaches, results
computed based on quasi beam functions with Dirac structures $\gamma^{4}$ and
$\gamma^{3}$ are combined as described in the text; the outer error bars
include half the difference between the results with $\gamma^{4}$ and
$\gamma^{3}$ combined in quadrature with the average uncertainty, shown by the
inner error bars. For the other approaches the empty (filled) points show
results obtained with Dirac structure $\gamma^{4}$ ($\gamma^{3}$).
“Hermite/Bernstein” points with Dirac structure $\gamma_{3}$ are not shown at
$b_{T}/a=4$ because the corresponding fits of the $P^{z}b^{z}$-dependence of
the relevant quasi beam functions were of poor quality, as described in the
text.
In addition to the approach followed here, there are a number of alternative
methods of extracting the Collins-Soper kernel that have been proposed or
employed in other studies, for example:
* •
“LO”: The perturbative matching coefficient $C^{\mathrm{TMD}}_{\text{ns}}$
computed to leading-order (LO), instead of NLO, can be used in an analysis
otherwise mirroring that presented here;
* •
“Hermite/Bernstein”: As proposed in Ref. Shanahan _et al._ (2020), the
$P^{z}b^{z}$-dependence of the quasi beam functions can be fit to models based
on Hermite and Bernstein polynomial bases constructed to yield $x$-independent
Collins-Soper kernels via Eq. (28), taking the LO value of the perturbative
matching coefficient $C^{\mathrm{TMD}}_{\text{ns}}$;
* •
“$b^{z}=0$”: An approximation of the Collins-Soper kernel can be computed with
LO matching using only the quasi beam functions evaluated at $b^{z}=0$ (this
approach does not require a Fourier transform in $b^{z}$):
$\hskip
25.60747pt[{\gamma}^{q}_{\zeta}(\mu,b_{T})]^{b^{z}=0}\equiv\frac{1}{\ln(P^{z}_{1}/P^{z}_{2})}\ln\Biggr{[}\frac{\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,0,b_{T},P_{1}^{z})}{\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,0,b_{T},P_{2}^{z})}\Biggr{]};$
(29)
* •
“$b^{z}=0$, bare”: As proposed in Ref. Zhang _et al._ (2020), the same
approach described for “$b^{z}=0$” can be followed, using bare quasi beam
functions ${B}^{\text{bare}}_{\gamma^{4}}$ rather than renormalized quasi beam
functions (i.e., neglecting operator mixing between different Dirac
structures);
* •
“$b^{z}=0/b_{T}=0$, bare”: As proposed in Ref. Li _et al._ (2021), a
variation of the ‘$b^{z}=0$” approach can be used, approximating the Collins
Soper kernel as
$\displaystyle\hskip 11.38109pt[{\gamma}^{q}_{\zeta}$
$\displaystyle(\mu,b_{T})]^{b^{z}=0/b_{T}=0}\equiv\frac{1}{\ln(P^{z}_{1}/P^{z}_{2})}$
$\displaystyle\times\ln\Biggr{[}\frac{{B}^{\text{bare}}_{\gamma^{4}}(0,b_{T},a,\eta,P_{1}^{z}){B}^{\text{bare}}_{\gamma^{4}}(0,0,a,\eta,P_{2}^{z})}{{B}^{\text{bare}}_{\gamma^{4}}(0,b_{T},a,\eta,P_{2}^{z}){B}^{\text{bare}}_{\gamma^{4}}(0,0,a,\eta,P_{1}^{z})}\Biggr{]}.$
(30)
Each of these methods can be followed using the quasi beam functions computed
in this work; a comparison of the results is provided in Fig. 14. For the “LO”
approach, the same procedure is followed to combine the results obtained using
quasi beam functions with Dirac structures $\gamma^{3}$ and $\gamma^{4}$ as
for the “NLO” method which yields the main results of this work. For the other
approaches the results obtained with the two Dirac structures are not always
consistent at one standard deviation, and are shown separately; for
$b_{T}/a=4$ no results for the “Hermite/Bernstein” approach are shown with
Dirac structure $\gamma^{3}$ as the model fits were of poor quality with
$\chi^{2}/\text{d.o.f.}>2$. In the case of the “$b^{z}=0/b_{T}=0$, bare”
approach, bare quasi beam functions with $\eta/a=14$, which is the largest
extent studied in this work for all $P^{z}$, are used in the analysis.
(a) Comparison with the SV19 Scimemi and Vladimirov (2019) and Pavia19
Bacchetta _et al._ (2019) phenomenological parameterizations and the next-to-
next-to-next-to-leading order (N3LO) perturbative result Li and Zhu (2017);
Henn _et al._ (2020). (b) Comparison with quenched results of Ref. Shanahan
_et al._ (2020) (SWZ), as well as results from the LPC Zhang _et al._ (2020),
Regensburg/NMSU Schlemmer _et al._ (2021), and ETMC/PKU Li _et al._ (2021)
collaborations. Different sets of points with the same color show different
sets of results from the same collaboration.
Figure 15: $b_{T}$-dependence of the Collins-Soper kernel as determined in
this work (green squares in both panels) compared with (a) phenomenological
results, and (b) the results of other lattice QCD calculations of this
quantity.
Clearly, although the same quasi beam functions are used, the Collins-Soper
kernel determined via each of these approaches is very different, and many of
the results are inconsistent with the best results of this study at several
standard deviations, with uncertainties as much as an order of magnitude
smaller. This is to be expected if the effects of higher-order matching,
renormalization and mixing, and power corrections, are significant, as each of
the approaches listed above treats one or more of these systematic effects
differently than in the primary analysis presented here.
## IV Outlook
This work presents a determination of the Collins-Soper kernel from a
dynamical lattice QCD calculation following the approach of Refs. Ebert _et
al._ (2019a, b). Several systematic uncertainties remain to be addressed; in
particular, the quark masses used correspond to an unphysically-large pion
mass of $m_{\pi}=538(1)$ MeV, and the results are obtained using a single
ensemble of gauge field configurations such that effects from the
discretization and finite lattice volume cannot be fully quantified. A fully
model-independent calculation will require these systematics to be addressed,
lattice QCD calculations to be performed over a larger range of $P^{z}b^{z}$
to eliminate the need to extrapolate the quasi beam functions to large
$|b^{z}|$ and enable the DFT approach to be used, and larger values of $P^{z}$
to be included to reduce the contributions from power corrections and higher-
twist effects which dominate the uncertainties of this calculation. With these
caveats in mind, the results of this work may be compared with
phenomenological extractions of the Collins-Soper kernel, as shown in Fig.
15a. The lattice QCD and phenomenological determinations are broadly
consistent at large $b_{T}$, with clear deviations at the smallest $b_{T}$
values studied; discretization effects are expected to be largest at small
$b_{T}$ and might be relevant for understanding this effect. It is clear that,
while challenging to achieve computationally, future fully-controlled
calculations by this approach have the potential to differentiate different
models of the Collins-Soper kernel and will provide important input for the
analysis of low-energy SIDIS data and the determinations of the TMDPDFs.
In considering the prospects for such future controlled determinations of the
Collins-Soper kernel from lattice QCD, it is informative to contrast the
results of this study with those of other lattice QCD investigations; a
comparison of existing calculations Shanahan _et al._ (2020); Zhang _et al._
(2020); Schlemmer _et al._ (2021); Li _et al._ (2021) is provided in Fig.
15b. All dynamical calculations use quark masses resulting in similar values
of the pion mass to that of the calculation presented here (ranging from the
lightest ensemble with $m_{\pi}=350$ MeV in Ref. Li _et al._ (2021) to
$m_{\pi}=547$ MeV in Ref. Zhang _et al._ (2020)), while the quenched
calculation of Ref. Shanahan _et al._ (2020), in which the kernel should not
depend on the valence quark masses since it is independent of the external
state, is performed at $m_{\pi}=1.207$ GeV. Each calculation uses a slightly
different approach to constrain the Collins-Soper kernel from quasi beam
functions. In particular, the “Hermite/Bernstein” approach is followed in Ref.
Shanahan _et al._ (2020) (“SWZ”), the calculation of Ref. Zhang _et al._
(2020) (“LPC”) uses the “$b^{z}=0$, bare” approach, that of Ref. Schlemmer
_et al._ (2021) (“Regensburg/NMSU”) uses an approach similar to the
“$b^{z}=0$, bare” approach but with NLO matching, and Ref. Li _et al._ (2021)
(“ETMC/PKU) applies the “$b^{z}=0/b_{T}=0$, bare” approach. While the various
calculations exhibit similar dependence on $b_{T}$, there are some significant
discrepancies between the numerical results, and a wide range of uncertainty
estimates. Given the analysis of Sec. III.4, this is to be expected; even when
the same quasi beam function data is used, following the various “$b^{z}=0$”
approaches and the approach presented here result in significant systematic
differences, and significantly different uncertainty estimates. Since Refs.
Zhang _et al._ (2020); Schlemmer _et al._ (2021); Li _et al._ (2021) all
use somewhat larger maximum $P^{z}$ values than that of the present study, the
effects of power corrections and higher-twist contamination can be expected to
be smaller than those found in Sec. III.4, but these effects, together with
the difference between NLO and LO matching illustrated in Appendix E, could
nevertheless be responsible for the discrepancies visible in Fig. 15b.
Clearly, a fully-controlled determination of the Collins-Soper kernel from
lattice QCD will require NLO or even higher-order matching or resummation and
a treatment of power corrections that is more robust than that achieved in any
of the studies performed to date. The analysis presented here constitutes an
important step towards that goal, revealing clearly that these important
sources of systematic uncertainty cannot be neglected.
###### Acknowledgements.
The authors thank Will Detmold, Iain Stewart and Alexey Vladimirov for useful
discussions, Xu Feng for providing numerical data for inclusion in Fig. 15,
and Steven Gottlieb and the MILC collaboration for the use of the gauge
configurations used in this project, which were generated at Indiana
University on Big Red 2+ and Big Red 3. This research was supported in part by
Lilly Endowment, Inc., through its support for the Indiana University
Pervasive Technology Institute that provides the Big Red supercomputers. This
work is supported in part by the U.S. Department of Energy, Office of Science,
Office of Nuclear Physics, under grant Contract Numbers DE-SC0011090, DE-
AC02-06CH11357, DE-SC0012704, and within the framework of the TMD Topical
Collaboration. PES is additionally supported by the U.S. DOE Early Career
Award DE-SC0021006, by a NEC research award, by the Carl G and Shirley
Sontheimer Research Fund, and by the U.S. National Science Foundation under
Cooperative Agreement PHY-2019786 (The NSF AI Institute for Artificial
Intelligence and Fundamental Interactions, http://iaifi.org/). This research
used resources of the National Energy Research Scientific Computing Center
(NERSC), a U.S. Department of Energy Office of Science User Facility operated
under Contract No. DE-AC02-05CH11231, the Extreme Science and Engineering
Discovery Environment (XSEDE) Bridges-2 at the Pittsburgh Supercomputing
Center (PSC) through allocation TG-PHY200036, which is supported by National
Science Foundation grant number ACI-1548562, and facilities of the USQCD
Collaboration, which are funded by the Office of Science of the U.S.
Department of Energy. This manuscript has been authored by Fermi Research
Alliance, LLC under Contract No. DE-AC02-07CH11359 with the U.S. Department of
Energy, Office of Science, Office of High Energy Physics. The Chroma Edwards
and Joo (2005), QLua Pochinsky , QUDA Clark _et al._ (2010); Babich _et al._
(2011); Clark _et al._ (2016), QDP-JIT Winter _et al._ (2014), and QPhiX Joó
_et al._ (2016) software libraries were used in this work. Data analysis used
NumPy Harris _et al._ (2020) and Julia Bezanson _et al._ (2017); Mogensen
and Riseth (2018), and figures were produced using Mathematica Inc. .
## Appendix A Fits to ratios of three and two point functions
As detailed in Sec. III.1, ratios
$\mathcal{R}_{\Gamma}(t,\tau,b^{\mu},a,\eta,P^{z})$ (Eq. (11)) of three-point
and two-point correlation functions asymptote to the bare quasi beam functions
in the limit $\\{\tau,t-\tau\\}\rightarrow\infty$, with contamination from
matrix elements in excited states present at finite $t$ and $\tau$. The $t$
and $\tau$-dependence of the ratios is fit precisely as defined in Appendix A
of Ref. Shanahan _et al._ (2020) to extract the bare quasi beam functions:
fits are performed for all different possible cuts on source/operator/sink
separations, with the AIC Akaike (1974) used to select the number of states
included in the spectral representation for each fit. The results are combined
via a weighted averaging procedure. Some examples of the results of this
fitting procedure are given in Fig. 16.
Figure 16: Examples of fits to ratios of three and two-point functions
$\mathcal{R}_{\Gamma}(t,\tau,b^{\mu},a,\eta,P^{z})$ defined in Eq. (11),
performed as described in the text. The shaded bands matching the color of
each set of points show 68% bootstrap confidence intervals of the fits from
the fit range that has the highest weight in the weighted average that
determines the final result, which is indicated by the gray horizontal bands.
These bands show the total uncertainty on the bare quasi beam functions,
including both the statistical uncertainty and the systematic uncertainty
which arises from variation of the results between different fit range
choices.
## Appendix B Additional beam function results
This section collates additional examples of results at intermediate states of
the numerical calculation and analysis presented in Sec. III.4:
* •
Bare quasi beam functions
$B_{\Gamma}^{\text{bare}}(b^{z},\vec{b}_{T},a,\eta,P^{z})$: supplementing Fig.
3 of the main text, additional examples of the bare quasi beam functions are
provided in Fig. 17 for $B_{\gamma^{4}}^{\text{bare}}$ and Fig. 18 for
$B_{\gamma^{3}}^{\text{bare}}$.
* •
Renormalized quasi beam functions
$B^{\overline{\mathrm{MS}}}_{\Gamma}(\mu,b^{z},\vec{b}_{T},a,\eta,P^{z})$:
examples of the modified $\overline{\mathrm{MS}}$-renormalized quasi beam
functions $B^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ and
${B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$, defined in Sec. III.3, are given
in Figs. 19 and 20 respectively.
* •
Renormalized quasi beam function asymmetry fits: supplementing Fig. 7 of the
main text, fits to the $b^{z}$-dependence of the asymmetry in the renormalized
quasi beam functions, performed as detailed in Sec. III.3, are illustrated in
Fig. 21.
* •
Asymmetry-corrected modified $\overline{\mathrm{MS}}$-renormalized quasi beam
functions
$B^{\overline{\mathrm{MS}};\text{corr}}_{\Gamma}(\mu,b^{z},\vec{b}_{T},a,\eta,P^{z})$:
an example of the dependence of
$B^{\overline{\mathrm{MS}};\text{corr}}_{\gamma^{3}}$ on $b_{T}^{R}$ and
$\eta$ is provided in Fig. 22, supplementing the analogous figure for
$B^{\overline{\mathrm{MS}};\text{corr}}_{\gamma^{4}}$ which is presented in
Fig. 9 in the main text.
* •
Averaged asymmetry-corrected modified $\overline{\mathrm{MS}}$-renormalized
quasi beam functions
$\overline{B}^{\overline{\mathrm{MS}}}_{\Gamma}(\mu,b^{z},b_{T},P^{z})$: in
addition to the example provided in Fig. 11 of the main text, Figs. 23 and 24
give examples of $\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ and
$\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$, including fits to the
$P^{z}b^{z}$-dependence of these functions and extrapolations to larger
$P^{z}b^{z}$, performed as described in Sec. III.4.
* •
Estimator $\hat{\gamma}^{q}_{\zeta}(\mu,b_{T};P_{1}^{z},P_{2}^{z},x)$ for the
Collins-Soper kernel (Eq. (28)): supplementing Fig. 12 of the main text, the
remaining numerical results for $\hat{\gamma}^{q}_{\zeta}$ as a function of
$x$ at different values of $b_{T}$ are displayed in Fig. 25.
Figure 17: Examples of the bare quasi beam functions
$B^{\text{bare}}_{\gamma^{4}}$ determined as described in Sec. III.1 (note
that $B^{\text{bare}}_{\gamma^{4}}$ for $b_{T}=0.12$ fm and $\eta=1.68$ fm is
shown in Fig. 3 in the main text).
## Appendix C Discrete Fourier transform analysis
As discussed in Sec. III.4, a model-independent lattice QCD extraction of the
Collins-Soper kernel by the approach followed here would require that Eq. (28)
is evaluated with a DFT of $\overline{B}^{\overline{\mathrm{MS}}}_{\Gamma}$
replacing the Fourier transform of analytic fits
$\hat{B}^{\overline{\mathrm{MS}}}_{\Gamma}$ to the $P^{z}b^{z}$-dependence of
the quasi beam functions, and that the results are stable under truncation of
the data in $P^{z}b^{z}$. The $P^{z}b^{z}$-range of the data presented here
is, however, not sufficient for the tails of the quasi beam functions at large
$|P^{z}b^{z}|$ to decay to plateaus consistent with zero, particularly at the
largest $b_{T}$ and smallest $P^{z}$ values used in this study. It is thus to
be expected that a DFT-based analysis has qualitative and quantitative
differences from the analytic model approach. These differences can be seen by
comparison of Fig. 26, which displays the results of a DFT-based analysis,
with Figs. 12 and 25, which show the results of the analysis of Sec. III.4. As
anticipated, the DFT approach yields numerical values which are significantly
different from those achieved by modeling rather than truncating the tails of
the quasi beam functions in $P^{z}b^{z}$, particularly for evaluations
including quasi beam functions computed with the smallest boost corresponding
to $n^{z}=3$. As a result, the values obtained with different choices of
$P^{z}$ are not consistent within uncertainties at intermediate values of $x$.
The differences are, naturally, less significant for results computed with the
largest choices of $P^{z}$, supporting the expectation that future studies
constraining larger values of $P^{z}b^{z}$ will achieve model-independent
results via the DFT approach.
## Appendix D Power corrections and higher-twist effects
The estimator $\hat{\gamma}^{q}_{\zeta}(\mu,b_{T};P_{1}^{z},P_{2}^{z},x)$ (Eq.
(28)) coincides with the Collins-Soper kernel up to power corrections, such as
higher-twist corrections in the factorization formula for the quasi TMDPDF,
and discretization artifacts; in the absence of contamination from these
effects, $\hat{\gamma}^{q}_{\zeta}$ should be independent of $x$, $P_{1}^{z}$
and $P_{2}^{z}$. Clearly, the results shown in Figs. 12 and 25 indicate that
this contamination is not negligible relative to the uncertainties of this
calculation. As discussed in Sec. III.4, it is natural to attempt to model,
fit, and subtract this contamination in order to determine a best value for
the Collins-Soper kernel.
One possible approach is to consider a simple model of corrections to the
factorization formula Ebert _et al._ (2019b); Ji _et al._ (2019c) for the
quasi TMDPDF, for example through the inclusion of free parameters
$\lambda_{1}$ and $\lambda_{2}$ parameterizing power corrections as:
$\displaystyle\tilde{f}_{{\text{ns}}}^{\mathrm{TMD}}(x,\vec{b}_{T},$
$\displaystyle\mu,P^{z})=\left[C^{\mathrm{TMD}}_{\text{ns}}(\mu,xP^{z})+{\lambda_{1}\Lambda_{\text{QCD}}^{2}\over(xP^{z})^{2}}\right]$
$\displaystyle\times\exp\left[{1\over
2}\gamma^{q}_{\zeta}(\mu,b_{T})\ln{\frac{(2xP^{z})^{2}}{\zeta_{0}}}\right]g_{S}^{q}(b_{T},\mu)$
$\displaystyle\times
f_{{\text{ns}}}^{\mathrm{TMD}}(x,\vec{b}_{T},\mu,\zeta_{0})\left[1+{\lambda_{2}\over(xP^{z}b_{T})^{2}}\right]\,$
(31)
(here $g_{S}^{q}(b_{T},\mu)$ is defined as in Ref. Ebert _et al._ (2019a) as
the mismatch between the lightlike and quasi soft factors). This form is
chosen since in the $\overline{\mathrm{MS}}$ scheme the higher-twist
corrections must appear in even powers, with a suppression through
$k_{T}^{2}/k_{z}^{2}$, which in Fourier space becomes $1/(xP^{z}b_{T})^{2}$.
At tree-level, the factor $\lambda_{2}$ is a constant. The $1/(xP^{z})^{2}$
power correction comes from the renormalon ambiguity in the perturbative
series for the matching coefficient.
The relationship between the quasi TMDPDF and the quasi beam functions (Eq.
(II)) then suggests a model of the Fourier transform of the quasi beam
functions, defined as
$\widetilde{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,x,b_{T},P^{z})\equiv\int
db^{z}\,e^{ib^{z}xP^{z}}P^{z}\hat{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}(\mu,b^{z},b_{T},P^{z}),$
(32)
of the form
$\displaystyle\widetilde{B}^{\text{fit}}(\mu,x,b_{T},P^{z})$
$\displaystyle=\left[C^{\mathrm{TMD}}_{\text{ns}}(\mu,xP^{z})+{\lambda_{1}\Lambda_{\rm
QCD}^{2}\over(xP^{z})^{2}}\right]\left({(2xP^{z})^{2}\over\zeta_{0}}\right)^{{1\over
2}\gamma_{\zeta}(\mu,b_{T})}$ $\displaystyle\quad\times
F(x,b_{T},\mu,\zeta_{0})\left[1+{\lambda_{2}\over(xP^{z}b_{T})^{2}}\right]\,,$
(33)
where $\gamma_{\zeta}$, $F$, $\lambda_{1}$ and $\lambda_{2}$ are free
parameters and $\zeta_{0}$ can be chosen freely. For each $x$, the model can
be fit to $\widetilde{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ (and
separately to $\widetilde{B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$) for all
choices of $P^{z}$ and $b_{T}$ simultaneously, and the Collins-Soper kernel
extracted as the fit parameter $\gamma_{\zeta}$.
The results of this procedure yield results for the Collins-Soper kernel which
are not more consistent with a constant in $x$ than the results without the
correction applied. A global fit at discretized $x$ values is of poor quality,
with $\chi^{2}/\text{d.o.f.}\gtrsim 2$. There are a range of reasons that the
model form above may not a good description of the numerical data; for
example, the assumption that the $1/(xP^{z}b_{T})^{2}$ corrections are
proportional to the leading power contribution in Eq. (D), may not be a good
approximation. This approach is thus not taken in the main analysis presented
here, but may be worthwhile to consider for future studies with larger values
of $P^{z}$ where the power corrections will be suppressed relative to those in
the current work.
## Appendix E NLO matching effects
A key difference between the approach followed to obtain the primary results
of this work and a number of the alternative approaches explored in Sec. III.4
is the inclusion of the perturbative matching coefficient
$C^{\mathrm{TMD}}_{\text{ns}}$ computed to NLO, instead of to LO, in the
calculation of the estimator
$\hat{\gamma}^{q}_{\zeta}(\mu,b_{T};P_{1}^{z},P_{2}^{z},x)$ via Eq. (28). To
illustrate the importance of this effect, Fig. 27 displays the relevant
contribution from the NLO matching coefficient to $\hat{\gamma}^{q}_{\zeta}$,
computed in Refs. Ebert _et al._ (2019a, b), for each of the momentum
combinations used in the numerical study of this work. Clearly this
contribution, which is of the order of the Collins-Soper kernel itself for
$x<0.5$, is significant, and its inclusion affects not only the value but also
the $x$-dependence of the estimator $\hat{\gamma}^{q}_{\zeta}$.
Figure 18: Examples of the bare quasi beam functions
$B^{\text{bare}}_{\gamma^{3}}$ determined as described in Sec. III.1.
Figure 19: Examples of the modified $\overline{\mathrm{MS}}$-renormalized
quasi beam functions $B^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ determined as
described in Sec. III.3.
Figure 20: Examples of the modified $\overline{\mathrm{MS}}$-renormalized
quasi beam functions $B^{\overline{\mathrm{MS}}}_{\gamma^{3}}$ determined as
described in Sec. III.3.
Figure 21: Fits to the $b^{z}$-dependent asymmetry in the modified
$\overline{\mathrm{MS}}$-renormalized quasi beam functions, as detailed in
Sec. III.3 (the asymmetry in the ratio of beam functions
$B^{\overline{\mathrm{MS}}}_{\gamma^{4}}$ with $b_{T}$=0.12 fm, $\eta=1.68$ fm
is provided in Fig. 7 of the main text).
Figure 22: Example of the asymmetry-corrected modified
$\overline{\mathrm{MS}}$-renormalized quasi beam function
$B^{\overline{\mathrm{MS}};\text{corr}}_{\gamma^{3}}$, including the results
of weighted averages of this quantity over choices of $b_{T}^{R}$ and $\eta$
(as a function of $b^{z}$, $b_{T}$, and $P^{z}$), as described in the main
text. Fig. 9 displays the analogous figure for
$B^{\overline{\mathrm{MS}};\text{corr}}_{\gamma^{4}}$.
Figure 23: Examples of the averaged asymmetry-corrected modified
$\overline{\mathrm{MS}}$-renormalized quasi beam functions
$\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{4}}$, including fits by Eqs.
(26) and (27) to the real and imaginary parts, shown as shaded bands. Fig. 11
of the main text shows the example for $b_{T}=0.12$ fm.
Figure 24: As in Fig. 23, for
$\overline{B}^{\overline{\mathrm{MS}}}_{\gamma^{3}}$.
Figure 25: $\hat{\gamma}^{q}_{\zeta}$ computed as defined in Eq. (28) for
momentum pairs $\\{P_{1}^{z},P_{2}^{z}\\}$, denoted by $P_{1}^{z}/P_{2}^{z}$
in the legend. The horizontal shaded band shows the total uncertainty of the
best result, and the corresponding $x$-window, determined as described in the
text. Fig. 12 of the main text shows the analogous results for $b_{T}=0.12$
fm.
Figure 26: $\hat{\gamma}^{q}_{\zeta}$ computed as defined in Eq. (28) with a
DFT of $\overline{B}^{\overline{\mathrm{MS}}}_{\Gamma}$ replacing the Fourier
transform of analytic fits $\hat{B}^{\overline{\mathrm{MS}}}_{\Gamma}$ to the
$P^{z}b^{z}$-dependence of the quasi beam functions, for momentum pairs
$\\{P_{1}^{z},P_{2}^{z}\\}$ denoted by $P_{1}^{z}/P_{2}^{z}$ in the legend.
Figure 27: Contribution of the NLO matching coefficient to the estimator
$\hat{\gamma}^{q}_{\zeta}$ for momentum pairs $\\{P_{1}^{z},P_{2}^{z}\\}$
denoted by $P_{1}^{z}/P_{2}^{z}$ in the legend. The contribution of this term
with LO matching, i.e., $C_{\text{ns}}(\mu,xP^{z})=1$, would be zero.
## References
* Collins and Soper (1981) J. C. Collins and D. E. Soper, Nucl. Phys. B193, 381 (1981), [Erratum: Nucl. Phys.B213,545(1983)].
* Collins and Soper (1982) J. C. Collins and D. E. Soper, Nucl. Phys. B197, 446 (1982).
* Collins _et al._ (1985) J. C. Collins, D. E. Soper, and G. F. Sterman, Nucl. Phys. B250, 199 (1985).
* Scimemi and Vladimirov (2019) I. Scimemi and A. Vladimirov, (2019), arXiv:1912.06532 [hep-ph] .
* Bacchetta _et al._ (2019) A. Bacchetta, V. Bertone, C. Bissolotti, G. Bozzi, F. Delcarro, F. Piacenza, and M. Radici, (2019), arXiv:1912.07550 [hep-ph] .
* Buffing _et al._ (2018) M. G. A. Buffing, Z.-B. Kang, K. Lee, and X. Liu, (2018), arXiv:1812.07549 [hep-ph] .
* Gutierrez-Reyes _et al._ (2019) D. Gutierrez-Reyes, I. Scimemi, W. J. Waalewijn, and L. Zoppi, JHEP 10, 031 (2019), arXiv:1904.04259 [hep-ph] .
* Gautheron _et al._ (2010) F. Gautheron _et al._ (COMPASS), (2010).
* Dudek _et al._ (2012) J. Dudek _et al._ , Eur. Phys. J. A48, 187 (2012), arXiv:1208.1244 [hep-ex] .
* Aschenauer _et al._ (2015) E.-C. Aschenauer _et al._ , (2015), arXiv:1501.01220 [nucl-ex] .
* Accardi _et al._ (2016) A. Accardi _et al._ , Eur. Phys. J. A52, 268 (2016), arXiv:1212.1701 [nucl-ex] .
* Abdul Khalek _et al._ (2021) R. Abdul Khalek _et al._ , (2021), arXiv:2103.05419 [physics.ins-det] .
* Musch _et al._ (2011) B. U. Musch, P. Hagler, J. W. Negele, and A. Schafer, Phys. Rev. D83, 094507 (2011), arXiv:1011.1213 [hep-lat] .
* Musch _et al._ (2012) B. U. Musch, P. Hagler, M. Engelhardt, J. W. Negele, and A. Schafer, Phys. Rev. D85, 094510 (2012), arXiv:1111.4249 [hep-lat] .
* Engelhardt _et al._ (2016) M. Engelhardt, P. Hägler, B. Musch, J. Negele, and A. Schäfer, Phys. Rev. D93, 054501 (2016), arXiv:1506.07826 [hep-lat] .
* Yoon _et al._ (2015) B. Yoon, T. Bhattacharya, M. Engelhardt, J. Green, R. Gupta, P. Hägler, B. Musch, J. Negele, A. Pochinsky, and S. Syritsyn, in _Proceedings, 33rd International Symposium on Lattice Field Theory (Lattice 2015): Kobe, Japan, July 14-18, 2015_ , SISSA (SISSA, 2015) arXiv:1601.05717 [hep-lat] .
* Yoon _et al._ (2017) B. Yoon, M. Engelhardt, R. Gupta, T. Bhattacharya, J. R. Green, B. U. Musch, J. W. Negele, A. V. Pochinsky, A. Schäfer, and S. N. Syritsyn, Phys. Rev. D96, 094508 (2017), arXiv:1706.03406 [hep-lat] .
* Shanahan _et al._ (2019) P. Shanahan, M. Wagman, and Y. Zhao, (2019), arXiv:1911.00800 [hep-lat] .
* Shanahan _et al._ (2020) P. Shanahan, M. Wagman, and Y. Zhao, Phys. Rev. D 102, 014511 (2020), arXiv:2003.06063 [hep-lat] .
* Zhang _et al._ (2020) Q.-A. Zhang _et al._ (Lattice Parton), Phys. Rev. Lett. 125, 192001 (2020), arXiv:2005.14572 [hep-lat] .
* Schlemmer _et al._ (2021) M. Schlemmer, A. Vladimirov, C. Zimmermann, M. Engelhardt, and A. Schäfer, (2021), arXiv:2103.16991 [hep-lat] .
* Li _et al._ (2021) Y. Li _et al._ , (2021), arXiv:2106.13027 [hep-lat] .
* Ji (2013) X. Ji, Phys. Rev. Lett. 110, 262002 (2013), arXiv:1305.1539 [hep-ph] .
* Ji (2014) X. Ji, Sci. China Phys. Mech. Astron. 57, 1407 (2014), arXiv:1404.6680 [hep-ph] .
* Ji _et al._ (2020) X. Ji, Y. Liu, Y.-S. Liu, J.-H. Zhang, and Y. Zhao, (2020), arXiv:2004.03543 [hep-ph] .
* Ebert _et al._ (2019a) M. A. Ebert, I. W. Stewart, and Y. Zhao, Phys. Rev. D99, 034505 (2019a), arXiv:1811.00026 [hep-ph] .
* Ebert _et al._ (2019b) M. A. Ebert, I. W. Stewart, and Y. Zhao, (2019b), arXiv:1901.03685 [hep-ph] .
* Ebert _et al._ (2020) M. A. Ebert, I. W. Stewart, and Y. Zhao, JHEP 03, 099 (2020), arXiv:1910.08569 [hep-ph] .
* Ji _et al._ (2015) X. Ji, P. Sun, X. Xiong, and F. Yuan, Phys. Rev. D91, 074009 (2015), arXiv:1405.7640 [hep-ph] .
* Ji _et al._ (2019a) X. Ji, L.-C. Jin, F. Yuan, J.-H. Zhang, and Y. Zhao, Phys. Rev. D99, 114006 (2019a), arXiv:1801.05930 [hep-ph] .
* Ji _et al._ (2019b) X. Ji, Y. Liu, and Y.-S. Liu, (2019b), arXiv:1910.11415 [hep-ph] .
* Ji _et al._ (2019c) X. Ji, Y. Liu, and Y.-S. Liu, (2019c), arXiv:1911.03840 [hep-ph] .
* Vladimirov and Schäfer (2020) A. A. Vladimirov and A. Schäfer, (2020), arXiv:2002.07527 [hep-ph] .
* Constantinou _et al._ (2019) M. Constantinou, H. Panagopoulos, and G. Spanoudes, Phys. Rev. D99, 074508 (2019), arXiv:1901.03862 [hep-lat] .
* Green _et al._ (2020) J. R. Green, K. Jansen, and F. Steffens, (2020), arXiv:2002.09408 [hep-lat] .
* Ji _et al._ (2021) Y. Ji, J.-H. Zhang, S. Zhao, and R. Zhu, (2021), arXiv:2104.13345 [hep-ph] .
* Bazavov _et al._ (2013) A. Bazavov _et al._ (MILC), Phys. Rev. D 87, 054505 (2013), arXiv:1212.4768 [hep-lat] .
* Lüscher (2010) M. Lüscher, JHEP 08, 071 (2010), [Erratum: JHEP03,092(2014)], arXiv:1006.4518 [hep-lat] .
* Bali _et al._ (2016) G. S. Bali, B. Lang, B. U. Musch, and A. Schäfer, Phys. Rev. D93, 094515 (2016), arXiv:1602.05525 [hep-lat] .
* Aoki _et al._ (2020) S. Aoki _et al._ (Flavour Lattice Averaging Group), Eur. Phys. J. C 80, 113 (2020), arXiv:1902.08191 [hep-lat] .
* Akaike (1974) H. Akaike, IEEE Transactions on Automatic Control 19, 716 (1974).
* Li and Zhu (2017) Y. Li and H. X. Zhu, Phys. Rev. Lett. 118, 022004 (2017), arXiv:1604.01404 [hep-ph] .
* Henn _et al._ (2020) J. M. Henn, G. P. Korchemsky, and B. Mistlberger, JHEP 04, 018 (2020), arXiv:1911.10174 [hep-th] .
* Edwards and Joo (2005) R. G. Edwards and B. Joo (SciDAC, LHPC, UKQCD), _Lattice field theory. Proceedings, 22nd International Symposium, Lattice 2004, Batavia, USA, June 21-26, 2004_ , Nucl. Phys. Proc. Suppl. 140, 832 (2005), [,832(2004)], arXiv:hep-lat/0409003 [hep-lat] .
* (45) A. Pochinsky, Qlua. https://usqcd.lns.mit.edu/qlua.
* Clark _et al._ (2010) M. Clark, R. Babich, K. Barros, R. Brower, and C. Rebbi, Comput. Phys. Commun. 181, 1517 (2010), arXiv:0911.3191 [hep-lat] .
* Babich _et al._ (2011) R. Babich, M. Clark, B. Joo, G. Shi, R. Brower, and S. Gottlieb, in _SC11 International Conference for High Performance Computing, Networking, Storage and Analysis_ (2011) arXiv:1109.2935 [hep-lat] .
* Clark _et al._ (2016) M. A. Clark, B. Joo, A. Strelchenko, M. Cheng, A. Gambhir, and R. Brower, (2016), arXiv:1612.07873 [hep-lat] .
* Winter _et al._ (2014) F. T. Winter, M. A. Clark, R. G. Edwards, and B. Joó, in _2014 IEEE 28th International Parallel and Distributed Processing Symposium_ (2014) pp. 1073–1082.
* Joó _et al._ (2016) B. Joó, D. D. Kalamkar, T. Kurth, K. Vaidyanathan, and A. Walden, in _High Performance Computing_ , edited by M. Taufer, B. Mohr, and J. M. Kunkel (Springer International Publishing, Cham, 2016) pp. 415–427.
* Harris _et al._ (2020) C. R. Harris, K. J. Millman, S. J. van der Walt, R. Gommers, P. Virtanen, D. Cournapeau, E. Wieser, J. Taylor, S. Berg, N. J. Smith, R. Kern, M. Picus, S. Hoyer, M. H. van Kerkwijk, M. Brett, A. Haldane, J. F. del Río, M. Wiebe, P. Peterson, P. Gérard-Marchant, K. Sheppard, T. Reddy, W. Weckesser, H. Abbasi, C. Gohlke, and T. E. Oliphant, Nature 585, 357 (2020).
* Bezanson _et al._ (2017) J. Bezanson, A. Edelman, S. Karpinski, and V. B. Shah, SIAM Review 59, 65 (2017).
* Mogensen and Riseth (2018) P. K. Mogensen and A. N. Riseth, Journal of Open Source Software 3, 615 (2018).
* (54) W. R. Inc., “Mathematica, Version 12.2,” Champaign, IL, 2020\.
| arxiv-papers | 2021-07-26T02:31:59 | 2024-09-04T03:07:17.464114 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Phiala Shanahan, Michael Wagman, Yong Zhao",
"submitter": "Phiala Shanahan",
"url": "https://arxiv.org/abs/2107.11930"
} |
2107.11932 | # Small data scattering of Dirac equations with Yukawa type potentials in
$L_{x}^{2}(\mathbb{R}^{2})$
Yonggeun Cho Department of Mathematics, and Institute of Pure and Applied
Mathematics, Jeonbuk National University, Jeonju 54896, Republic of Korea
[email protected] and Kiyeon Lee Department of Mathematics, Jeonbuk
National University, Jeonju 54896, Republic of Korea [email protected]
###### Abstract.
We revisit the Cauchy problem of nonlinear massive Dirac equation with Yukawa
type potentials $\mathcal{F}^{-1}\left[(b^{2}+|\xi|^{2})^{-1}\right]$ in 2
dimensions. The authors of [10, 4] obtained small data scattering and large
data global well-posedness in $H^{s}$ for $s>0$, respectively. In this paper
we show that the small data scattering occurs in $L_{x}^{2}(\mathbb{R}^{2})$.
This can be done by combining bilinear estimates and modulation estimates of
[12, 10].
2010 Mathematics Subject Classification. 35Q55, 35Q40.
Keywords and phrases. Dirac equations, Yukawa type potential, global well-
posedness, small data scattering, null structure, $U^{p}-V^{p}$ space.
## 1\. Introduction
We consider the following Cauchy problem for an nonlinear Dirac Hartree-type
equation:
(1.3) $\displaystyle\left\\{\begin{array}[]{l}(-i\partial_{t}+\alpha\cdot
D+m\beta)\psi=(V*\left<\psi,\beta\psi\right>)\beta\psi\;\;\mathrm{in}\;\;\mathbb{R}^{1+2}\\\
\psi(0)=\psi_{0}\in L_{x}^{2}(\mathbb{R}^{2}),\end{array}\right.$
where $D=-i\cdot\nabla$,
$\left<\cdot,\cdot\right>=\left<\cdot,\cdot\right>_{\mathbb{C}^{2}}$, and
$\psi:\mathbb{R}^{1+2}\to\mathbb{C}^{2}$ is the spinor field represented by a
column vector. We define the Dirac matrices $\alpha,\beta$ by dimensions as
follows:
$\displaystyle\alpha=(\alpha^{1},\alpha^{2}),\quad\alpha^{1}=\left(\begin{array}[]{ll}0&\;1\\\
1&\;0\end{array}\right),\quad\alpha^{2}=\left(\begin{array}[]{ll}0&\;-i\\\
i&\;\;\;0\end{array}\right),\quad\beta=\left(\begin{array}[]{ll}1&\;\;\;0\\\
0&\;-1\end{array}\right).$
The constant $m>0$ is a physical mass parameter and the symbol $*$ denotes
convolution in $\mathbb{R}^{2}$ and the potential $V$ is defined by
$\mathcal{F}^{-1}[(b^{2}+|\xi|^{2})^{-1}]$ for some fixed constant $b>0$. More
explicitly, for a constant $a>0$,
$V(x)=a\int_{0}^{\infty}e^{-b^{2}r-|x|^{2}/4r}\frac{dr}{r}\sim\left\\{\begin{array}[]{ll}e^{-b|x|}|bx|^{-\frac{1}{2}}&|x|\gtrsim
1,\\\ -\ln|x|&|x|\ll 1.\end{array}\right.$
The equation (1.3) with Yukawa potential was derived by uncoupling the Dirac-
Klein-Gordon system in $\mathbb{R}^{1+2}$:
(1.6) $\displaystyle\left\\{\begin{array}[]{l}(-i\partial_{t}+\alpha\cdot
D+m\beta)\psi=\phi\beta\psi,\\\
(\partial_{t}^{2}-\Delta+M^{2})\phi=\left<\psi,\beta\psi\right>.\end{array}\right.$
Let us assume that the scalar field $\phi$ is a standing wave of the form
$\phi(t,x)=e^{i\lambda t}\rho(x)$. Then the Klein-Gordon part of (1.6) becomes
$(-\Delta-\lambda^{2}+M^{2})\phi=\left<\psi,\beta\psi\right>.$
If $b^{2}:=M^{2}-\lambda^{2}>0$, then we get the equation (1.3).
The equation (1.3) obeys mass conservation law. If a solution $\psi$ is
sufficiently smooth, then the mass $\|\psi(t)\|_{L_{x}^{2}}^{2}$ is conserved,
that is, $\|\psi(t)\|_{L_{x}^{2}}^{2}=\|\psi_{0}\|_{L_{x}^{2}}^{2}$ for all
$t$ within an existence time interval. See [4].
Now let us consider a scaled function $\widetilde{\psi}$ defined by
$\widetilde{\psi}(t,x)=m^{-\frac{3}{2}}\psi\left(\frac{t}{m},\frac{x}{m}\right)$.
Then by a direct calculation $\widetilde{\psi}$ satisfies the equation:
$(-i\partial_{t}+\alpha\cdot
D+\beta)\widetilde{\psi}=(\widetilde{V}*\langle\psi,\beta\widetilde{\psi}\rangle)\beta\widetilde{\psi}$,
where $\widetilde{V}=\mathcal{F}^{-1}[(\frac{b^{2}}{m^{2}}+|\xi|^{2})^{-1}]$.
Since the changed potential is essentially the same type as $V$ up to
constant, for the Cauchy problem (1.3) we assume that $m=1$ in this paper.
We use the representation of solution based on the massive Klein-Gordon
equation. For this purpose, let us define the energy projection operators
$\Pi_{\pm}(D)$ by
$\Pi_{\pm}(D):=\frac{1}{2}\left(I\pm\frac{1}{\left<D\right>}[\alpha\cdot
D+\beta]\right),$
where $\left<D\right>:=\mathcal{F}^{-1}\langle\xi\rangle\mathcal{F}$ and
$\langle\xi\rangle:=(1+|\xi|^{2})^{\frac{1}{2}}$ for any
$\xi\in\mathbb{R}^{2}$. Then we get
(1.7) $\displaystyle\alpha\cdot
D+\beta=\left<D\right>(\Pi_{+}(D)-\Pi_{-}(D)),$
and
(1.8)
$\displaystyle\Pi_{\pm}(D)\Pi_{\pm}(D)=\Pi_{\pm}(D),\;\;\Pi_{\pm}(D)\Pi_{\mp}(D)=0.$
We denote $\Pi_{\pm}(D)\psi$ by $\psi_{\pm}$. Then the equation (1.3) becomes
the following system of semi-relativistic Hartree equations:
(1.9)
$\displaystyle(-i\partial_{t}\pm\left<D\right>)\psi_{\pm}=\Pi_{\pm}(D)[(V*\left<\psi,\beta\psi\right>)\beta\psi]$
with initial data $\psi_{\pm}(0,\cdot)=\psi_{0,\pm}:=\Pi_{\pm}(D)\psi_{0}$.
The free solutions of (1.9) are $e^{\mp it\left<D\right>}\psi_{0,\pm}$,
respectively, where
$e^{\mp it\langle D\rangle}f(x)=\mathcal{F}^{-1}(e^{\mp
it\langle\xi\rangle}\mathcal{F}f)=\frac{1}{(2\pi)^{2}}\int_{\mathbb{R}^{2}}e^{i(x\cdot\xi\mp
t\langle\xi\rangle)}\widehat{f}(\xi)\,d\xi.$
Here $\mathcal{F},\mathcal{F}^{-1}$ are Fourier transform, its inverse,
respectively. Then by Duhamel’s principle the Cauchy problem (1.9) is
equivalent to solving the integral equations:
(1.10) $\displaystyle\psi_{\pm}(t)=e^{\mp it\langle
D\rangle}\psi_{0,\pm}+i\int_{0}^{t}e^{\mp i(t-t^{\prime})\langle
D\rangle}\Pi_{\pm}(D)[(V*\langle\psi,\beta\psi\rangle)\beta\psi](t^{\prime})\,dt^{\prime}.$
We call that the solution $\psi$ scatters forward (or backward) in $H^{s}$ if
there exist $\psi^{\ell}\in C(\mathbb{R};H^{s})$, linear solutions to
$(-i\partial_{t}+\alpha\cdot D+\beta)\psi=0$, such that
(1.11) $\displaystyle\|\psi(t)-\psi^{\ell}(t)\|_{H^{s}}\to
0\;\;\mbox{as}\;\;t\to+\infty\;(-\infty,\;\;\mbox{respectively}).$
Equivalently, $\psi$ is said to scatter forward ( or backward) in $H^{s}$ if
there exist $\psi_{\pm}^{\ell}:=e^{\mp
it\left<D\right>}\varphi_{\pm}\;(\varphi_{\pm}\in H^{s})$ such that
(1.12)
$\displaystyle\|\psi_{\pm}(t)-\psi_{\pm}^{\ell}(t)\|_{H^{s}}\xrightarrow{t\to\pm\infty}0.$
Recently, Yang [12] and Tesfahun [11] showed, independently, small data
scattering results on $H^{s}(\mathbb{R}^{3})$ for $s>0$ in $3$ dimensions.
They developed the bilinear methods based on the null structure and
$U^{p}-V^{p}$ space. At the same time, Tesfahun [10] considered 2d problem
(1.3) and obtained the scattering in $H^{s}(\mathbb{R}^{2})(s>0)$. In [4] the
global well-posedness was shown in $H^{s}(\mathbb{R}^{2})$ for $s>0$ without
the smallness of initial data. In [5] the authors considered the global well-
posedness of 2d Dirac-Klein-Gordon system with data in $L_{x}^{2}\times
H^{\frac{1}{2}}\times H^{-\frac{1}{2}}$. There has not been known about the
global well-posedness and scattering in $L_{x}^{2}$ of the single equation
(1.3). In this paper, we tackle the scattering problem in
$L_{x}^{2}(\mathbb{R}^{2})$ and obtain the following theorem.
###### Theorem 1.1.
If $\|\psi_{0}\|_{L_{x}^{2}}$ is sufficiently small, then there exists a
unique global solution $\psi\in C(\mathbb{R};L_{x}^{2})$ to (1.3), which
scatters in $L_{x}^{2}$.
We show Theorem 1.1 by adopting the bilinear method of Yang and Tesfahun.
Tesfahun’s method relies on the logarithmic interpolation between $U^{p}$
spaces, which results in $\varepsilon$-regularity loss for the high-high-low
interaction part. To overcome it we use Yang’s bilinear estimates on the
$V^{2}$ space and fast decay in frequency of 2d Yukawa potential.
Unfortunately, our method cannot be applied to 3d problem directly because the
bilinear estimate is not strong enough to remove the $\varepsilon$-regularity
loss. The 3d scattering problem remains still open in $L_{x}^{2}$ and will be
treated as a future.
Notations.
$(1)$ $\|\cdot\|$ denotes $\|\cdot\|_{L_{t,x}^{2}}$.
$(2)$ (Mixed-normed spaces) For a Banach space $X$ and an interval $I$, $u\in
L_{I}^{q}X$ iff $u(t)\in X$ for a.e.$t\in I$ and
$\|u\|_{L_{I}^{q}X}:=\|\|u(t)\|_{X}\|_{L_{I}^{q}}<\infty$. Especially, we
denote $L_{I}^{q}L_{x}^{r}=L_{t}^{q}(I;L_{x}^{r}(\mathbb{R}^{2}))$,
$L_{I,x}^{q}=L_{I}^{q}L_{x}^{q}$,
$L_{t}^{q}L_{x}^{r}=L_{\mathbb{R}}^{q}L_{x}^{r}$.
$(3)$ (Littlewood-Paley operators) Let $\rho$ be a Littlewood-Paley function
such that $\rho\in C^{\infty}_{0}(B(0,2))$ with $\rho(\xi)=1$ for $|\xi|\leq
1$ and define
$\rho_{k}(\xi):=\rho\left(\frac{\xi}{2^{k}}\right)-\rho\left(\frac{\xi}{2^{k-1}}\right)$
for $k\in\mathbb{Z}$. Then we define the frequency projection $P_{k}$ by
$\mathcal{F}(P_{k}f)(\xi)=\rho_{k}(\xi)\widehat{f}(\xi)$, and also $P_{\leq
k}:=I-\sum_{k^{\prime}>k}P_{k^{\prime}}$. In addition $P_{k_{1}\leq\cdot\leq
k_{2}}:=\sum_{k_{1}\leq k\leq k_{2}}P_{k}$. For $k\in\mathbb{Z}$ we denote
$\widetilde{\rho_{k}}=\rho_{k-1}+\rho_{k}+\rho_{k+1}$. In particular,
$\widetilde{P_{k}}P_{k}=P_{k}\widetilde{P_{k}}=P_{k}$, where
$\widetilde{P_{k}}=\mathcal{F}^{-1}\widetilde{\rho_{k}}\mathcal{F}$. Next we
define a Fourier localization operators $P_{k}^{1}$ as follow:
$P_{k}^{1}f=\left\\{\begin{array}[]{ll}0&\;\;\;\mbox{if}\;\;k<0,\\\ P_{\leq
0}f&\;\;\;\mbox{if}\;\;k=0,\\\
P_{k}f&\;\;\;\mbox{if}\;\;k>0.\end{array}\right.$
Especially, we denote $P_{k}^{1}f$ by $f_{k}$ for any measurable function $f$.
$(4)$ As usual different positive constants depending only on $a,b$ are
denoted by the same letter $C$, if not specified. $A\lesssim B$ and $A\gtrsim
B$ mean that $A\leq CB$ and $A\geq C^{-1}B$, respectively for some $C>0$.
$A\sim B$ means that $A\lesssim B$ and $A\gtrsim B$.
## 2\. Function spaces
We explain concisely $U^{p}-V^{p}$ spaces. For more details, we refer the
readers to [6, 7, 8, 9]. Let $1\leq p<\infty$ and $\mathcal{I}$ be a
collection of finite partitions $\\{t_{0},\cdots,t_{N}\\}$ satisfying
$-\infty<t_{0}<\cdots<t_{N}\leq\infty$. If $t_{N}=\infty$, by convention,
$u(t_{N}):=0$ for any $u:\mathbb{R}\to L_{x}^{2}(\mathbb{R}^{2})$. Let us
define a $U^{p}$-atom by a step function $a:\mathbb{R}\to L_{x}^{2}$ of the
form
$a(t)=\sum_{k=1}^{N}\chi_{[t_{k-1},t_{k})}\phi(t)\;\;\mbox{with}\;\;\sum_{k=1}^{N}\|\phi\|_{L_{x}^{2}}^{p}=1.$
Then the $U^{p}$ space is defined by
$U^{p}=\left\\{u=\sum_{j=1}^{\infty}\lambda_{j}a_{j}:\mbox{$a_{j}$ are
$U^{p}$-atoms and $\\{\lambda_{j}\\}\in\ell^{1}$
},\|u\|_{U^{p}}<\infty\right\\},$
where the $U^{p}$-norm is defined by
$\|u\|_{U^{p}}:=\inf_{\mbox{representation of
$u$}}\;\;\sum_{j=1}^{\infty}|\lambda_{j}|.$
We next define $V^{p}$ as the space of all right-continuous functions
$v:\mathbb{R}\to L_{x}^{2}$ satisfying that
$\underset{t\to-\infty}{\lim}v(t)=0$ and the norm
$\|v\|_{V^{p}}:=\sup_{\\{t_{k}\\}\in\mathcal{I}}\left(\sum_{k=1}^{N}\|v(t_{k})-v(t_{k-1})\|_{L_{x}^{2}}^{p}\right)^{\frac{1}{p}}$
is finite.
We introduce several key properties of $U^{p}$ and $V^{p}$ spaces.
###### Lemma 2.1 ([6]).
Let $1\leq p<q<\infty$. Then the following holds.
$U^{p}$ and $V^{p}$ are Banach spaces.
The embeddings $U^{p}\hookrightarrow V^{p}\hookrightarrow U^{q}\hookrightarrow
L^{\infty}(\mathbb{R};L_{x}^{2})$ are continuous.
These spaces have the useful duality property.
###### Lemma 2.2 (Corollary of [9]).
Let $u\in U^{p}$ be absolutely continuous with $1<p<\infty$. Then
$\|u\|_{U^{p}}=\sup\left\\{\int\left<u^{\prime},v\right>_{L_{x}^{2}}dt:v\in
C_{0}^{\infty},\;\;\|v\|_{V^{p^{\prime}}}=1\right\\}.$
Now let us define the adapted function spaces $U_{\pm}^{p},\;V_{\pm}^{p}$ as
follows:
$\|u\|_{U_{\pm}^{p}}:=\|{e^{\pm
it\left<D\right>}}u\|_{U^{p}}\;\;\mbox{and}\;\;\|u\|_{V_{\pm}^{p}}:=\|{e^{\pm
it\left<D\right>}}u\|_{V^{p}}.$
###### Proposition 2.3 (Transfer principle, Proposition 2.19 of [6]).
Let
$T:L_{x}^{2}\times L_{x}^{2}\times\cdots\times L_{x}^{2}\to L_{loc}^{1}$
be a multilinear operator. If
$\left\|T\left(e^{\pm_{1}it\left<D\right>}f_{1},e^{\pm_{2}it\left<D\right>}f_{2},\cdots,e^{\pm_{k}it\left<D\right>}f_{k}\right)\right\|_{L_{t}^{q}L_{x}^{r}}\lesssim\prod_{j=1}^{k}\|f_{j}\|_{L_{x}^{2}}$
for some $1\leq q,r\leq\infty$ and $\pm_{j}\in\\{\pm\\}$, then we have
$\|T(u_{1},u_{2},\cdots,u_{k})\|_{L_{t}^{q}L_{x}^{r}}\lesssim\prod_{j=1}^{k}\|u_{j}\|_{U_{\pm_{j}}^{q}}.$
## 3\. Bilinear estimates
In this section, we list basic bilinear estimates based on the estimates of
[10, 11, 12].
###### Lemma 3.1.
Let $k_{j}\in\mathbb{Z}$, $\psi_{j}\in V_{\pm_{j}}^{2}\;(j=1,2)$, and
$\Pi_{\pm_{j}}(D)P_{k_{j}}^{1}\psi_{j}=\psi_{j}$. Then
$\displaystyle\left\|\left<\psi_{1},\beta\psi_{2}\right>\right\|\lesssim
2^{pk_{1}+(1-p)k_{2}}\|\psi_{1}\|_{V_{\pm_{1}}^{2}}\|\psi_{2}\|_{V_{\pm_{2}}^{2}}$
for any $0<p<1$.
###### Proof of Lemma 3.1.
For the proof we use the well-known Strichartz estimates (for instance see[2,
3]): Suppose $(q,r)$ satisfies that $2\leq r<\infty$ and
$\frac{1}{q}=\frac{1}{2}-\frac{1}{r}$. Then
(3.1) $\displaystyle\|{e^{\pm
it\left<D\right>}}{P_{k}^{1}}f\|_{L_{t}^{q}L_{x}^{r}}\lesssim\left<2^{k}\right>^{\frac{2}{q}}\|P_{k}^{1}f\|_{L_{x}^{2}}.$
From (3.1), Proposition 2.3, and Lemma 2.1 we get
(3.2) $\displaystyle\|{P_{k}^{1}}\psi\|_{L_{t}^{q}L_{x}^{r}}\lesssim
2^{\frac{2k}{q}}\|\psi\|_{U_{\pm}^{q}}\lesssim
2^{\frac{2k}{q}}\|\psi\|_{V_{\pm}^{2}}$
for $2<q<\infty$. Hence, by (3.2) we get
$\displaystyle\left\|\left<\psi_{1},\beta\psi_{2}\right>\right\|$
$\displaystyle\lesssim\|\psi_{1}\|_{L_{t}^{q}L_{x}^{r}}\|\psi_{2}\|_{L_{t}^{r}L_{x}^{q}}\lesssim
2^{\frac{2}{q}k_{1}+\left(1-\frac{2}{q}\right)k_{2}}\|\psi_{1}\|_{U_{\pm_{1}}^{q}}\|\psi_{2}\|_{U_{\pm_{2}}^{r}}$
$\displaystyle\lesssim
2^{\frac{2}{q}k_{1}+\left(1-\frac{2}{q}\right)k_{2}}\|\psi_{1}\|_{V_{\pm_{1}}^{2}}\|\psi_{2}\|_{V_{\pm_{2}}^{2}}.$
By setting $p=\frac{2}{q}$ the proof finishes. ∎
The following proposition is key estimate to be used in high-high-low
interaction.
###### Proposition 3.2 (Proposition 3.6, 3.7 of [12] and Proposition 3.7, 3.9
of [1]).
Let $\Pi_{\pm_{j}}(D)P_{k_{j}}\psi_{j}=\psi_{j}\in V_{\pm_{j}}^{2}$. Assume
that $k_{1},k_{2}\geq 0,\,k\in\mathbb{Z}$ and that $2^{k}\ll 2^{k_{1}}\sim
2^{k_{2}}$. Then we get the following:
1. $(i)$
If $\pm_{1}=\pm_{2}$, $\|P_{k}\langle\psi_{1},\beta\psi_{2}\rangle\|\lesssim
2^{k-\frac{k_{1}}{2}}\|\psi_{1}\|_{V_{\pm_{1}}^{2}}\|\psi_{2}\|_{V_{\pm_{2}}^{2}}.$
2. $(ii)$
If $\pm_{1}\neq\pm_{2}$,
$\|P_{k}\langle\psi_{1},\beta\psi_{2}\rangle\|\lesssim
2^{\frac{k}{2}}\|\psi_{1}\|_{V_{\pm_{1}}^{2}}\|\psi_{2}\|_{V_{\pm_{2}}^{2}}.$
## 4\. Proof of Theorem 1.1
We prove Theorem 1.1 by contraction argument. Let us define Banach spaces
$X_{\pm}$ and $X_{\pm,p}$ by
$X_{\pm}:=\left\\{\phi\in
C(\mathbb{R};L_{x}^{2}):\|\phi\|_{X_{\pm}}:=\left(\sum_{k\in\mathbb{Z}}\|{P_{k}^{1}}\phi\|_{U_{\pm}^{2}}^{2}\right)^{\frac{1}{2}}<\infty\right\\}$
and $X_{\pm,p}=\\{\psi=\chi_{[0,\infty)}(t)\phi:\phi\in X_{\pm}\\}$,
respectively. Then by the decomposition $\psi=\psi_{+}+\psi_{-}$, where
$\psi_{\pm}=\Pi_{\pm}(D)\psi$, we define a complete metric space
$X_{p}(\delta)$ as
$X_{p}(\delta):=\left\\{\psi\in
X_{\pm,p}:\|\psi\|_{X}:=\|\psi_{+}\|_{X_{+}}+\|\psi_{-}\|_{X_{-}}\leq\delta\right\\}$
with metric $\mathbf{d}(\psi,\phi):=\|\psi-\phi\|_{X}$ and a map $\mathcal{N}$
defined by
$\displaystyle\mathcal{N}(\psi)=\sum_{\pm}\left[\chi_{[0,\infty)}(t)e^{\mp
it\left<D\right>}\Pi_{\pm}(D)\psi_{0}+i\sum_{\pm_{j},j=1,2,3}N_{\pm}(\psi_{\pm_{1}},\psi_{\pm_{2}},\psi_{\pm_{3}})(t)\right],$
where
$\displaystyle N_{\pm}(\psi_{1},\psi_{2},\psi_{3})(t)=\int_{0}^{t}e^{\mp
i(t-t^{\prime})\left<D\right>}\Pi_{\pm}(D)[(V*\left<\psi_{1},\beta\psi_{2}\right>)\beta\psi_{3}]d\,t^{\prime}.$
Here $\sum_{\pm}A_{\pm}$ means that $A_{+}+A_{-}$.
The linear part of $\mathcal{N}(\psi)$ can be estimated as follows:
(4.1) $\displaystyle\left\|\chi_{[0,\infty)}{e^{\mp
it\left<D\right>}}\Pi_{\pm}(D)\psi_{0}\right\|_{X_{\pm}}^{2}=\sum_{k\in\mathbb{Z}}2^{2sk}\left\|\chi_{[0,\infty)}{P_{k}^{1}}\Pi_{\pm}(D)\psi_{0}\right\|_{U_{\pm}^{2}}^{2}\sim\|\psi_{0}\|_{H^{s}}^{2}.$
For the nonlinear parts for $N_{\pm}(\psi)(t)$ we prove
###### Proposition 4.1.
If $\psi_{j}\in X_{\pm_{j},p}$, then we have
$\displaystyle\|N_{\pm}(\psi_{1,\pm_{1}},\psi_{2,\pm_{2}},\psi_{3,\pm_{3}})\|_{X_{\pm}}\lesssim\prod_{j=1}^{3}\|\psi_{j,\pm_{j}}\|_{X_{\pm_{j}}}.$
The proof of Proposition 4.1 is placed in the next section.
If $\delta$ is small enough that $C\delta^{3}\leq\frac{\delta}{8}$ and
$\psi_{0}$ satisfies $C\|\psi_{0}\|_{L_{x}^{2}}\leq\frac{\delta}{2}$,
Proposition 4.1 together with linear estimate (4.1) leads us to
$\displaystyle\|\mathcal{N}(\psi)\|_{X}=\sum_{\pm}\|\Pi_{\pm}\mathcal{N}(\psi)\|_{X_{\pm}}\leq
C(\|\psi_{0}\|_{L_{x}^{2}}+\|\psi\|_{X}^{3})\leq\delta$
where $\|\phi\|_{X}:=\|\phi_{+}\|_{X_{+}}+\|\phi_{-}\|_{X_{-}}$. This yields
that $\mathcal{N}$ is a self-mapping on $X_{p}(\delta)$. In particular, we get
$\displaystyle\mathbf{d}\Big{(}\mathcal{N}(\psi),\mathcal{N}(\phi)\Big{)}=\|\mathcal{N}(\psi)-\mathcal{N}(\phi)\|_{X}$
$\displaystyle\leq
C\left(\|\psi\|_{X}+\|\phi\|_{X}\right)^{2}\|\psi-\phi\|_{X}\leq
4C\delta^{2}\|\psi-\phi\|_{X}\leq\frac{1}{2}\mathbf{d}(\psi,\phi).$
Hence $\mathcal{N}:X_{p}(\delta)\to X_{p}(\delta)$ is a contraction mapping
for sufficiently small $\delta$ and then we get a unique solution $\psi_{p}\in
L^{\infty}([0,\infty);L_{x}^{2})$ to (1.3). The time continuity and continuous
dependency on data follow readily from the formula
$\psi_{p}=\mathcal{N}(\psi_{p})$ and Proposition 4.1. By the time symmetry of
(1.3) we also obtain a unique solution $\psi_{n}\in C((-\infty,0],L_{x}^{2})$
with the continuous dependency on data. Defining $\psi=\psi_{p}+\psi_{n}$, we
get the global well-posedness of (1.3).
Now we move onto the scattering property of (1.9). Since the backward
scattering can be treated similarly to the forward one, we omit its proof. For
$k\geq 0$ let us define
$\varphi_{\pm}:={e^{\pm it\left<D\right>}}P_{k}^{1}\mathcal{N}_{\pm}(\psi),$
where
$\mathcal{N}_{\pm}(\psi)=\lim_{t\to\infty}\sum_{\pm_{j}}N_{\pm}(\psi_{\pm_{1}},\psi_{\pm_{2}},\psi_{\pm_{3}})(t)$.
Then Lemma 2.1 shows that
$\varphi_{\pm}\in V_{\pm}^{2}.$
Since $\sum_{k\geq 0}\|\varphi_{\pm}\|_{V_{\pm}^{2}}\lesssim 1$, we have
$\phi_{\pm}:=\lim_{t\to\infty}\varphi_{\pm}\in L_{x}^{2}$
and
$\|\psi_{\pm}(t)-{e^{\mp
it\left<D\right>}}\phi_{\pm}\|_{L_{x}^{2}}\xrightarrow{t\to\infty}0.$
This completes the proof of scattering part.
## 5\. Proof of Proposition 4.1
By duality we obtain
$\displaystyle\left\|P_{k_{4}}^{1}\int_{0}^{t}e^{\mp
i(t-t^{\prime})\left<D\right>}\Pi_{\pm}(D)[(V*\left<\psi_{1,\pm_{1}},\beta\psi_{2,\pm_{2}}\right>)\beta\psi_{3,\pm_{3}}]dt^{\prime}\right\|_{U_{\pm}^{2}}$
$\displaystyle\qquad\qquad=\left\|P_{k_{4}}^{1}\int_{0}^{t}e^{\pm
it^{\prime}\left<D\right>}\Pi_{\pm}(D)[(V*\left<\psi_{1,\pm_{1}},\beta\psi_{2,\pm_{2}}\right>)\beta\psi_{3,\pm_{3}}]dt^{\prime}\right\|_{U^{2}}$
$\displaystyle\qquad\qquad=\sup_{\begin{subarray}{ll}\|\phi\|_{V^{2}}=1\\\
\;\;\phi\in
C_{0}^{\infty}\end{subarray}}\left|\iint(V*\left<\psi_{1,\pm_{1}},\beta\psi_{2,\pm_{2}}\right>)\left<\beta\psi_{3,\pm_{3}},\Pi_{\pm}(D)P_{k_{4}}^{1}e^{\mp
it\left<D\right>}\phi\right>dtdx\right|$
$\displaystyle\qquad\qquad=\sup_{\|\psi_{4}\|_{V_{\pm_{4}}^{2}}=1}\left|\iint(V*\left<\psi_{1,\pm_{1}},\beta\psi_{2,\pm_{2}}\right>)\left<\beta\psi_{3,\pm_{3}},P_{k_{4}}^{1}\psi_{4,\pm_{4}}\right>dtdx\right|.$
Then by dyadic decomposition we have
$\displaystyle\|N_{\pm_{4}}(\psi_{1,\pm_{1}},\psi_{2,\pm_{2}},\psi_{3,\pm_{3}})\|_{X_{\pm_{4}}}^{2}$
$\displaystyle\qquad=\sum_{k_{4}\in\mathbb{Z}}\|P_{k_{4}}^{1}N_{\pm_{4}}(\psi_{1,\pm_{1}},\psi_{2,\pm_{2}},\psi_{3,\pm_{3}})\|_{U_{\pm_{4}}^{2}}^{2}$
$\displaystyle\qquad\lesssim\sum_{k_{4}\in\mathbb{Z}}\left(\sup_{\|\psi_{4}\|_{V_{\pm_{4}}^{2}}=1}\sum_{k,k_{1},k_{2},k_{3}\in\mathbb{Z}}\left|\iint
P_{k}\left(V*\left<\psi_{1,\pm_{1},k_{1}},\beta\psi_{2,\pm_{2},k_{2}}\right>\right)\widetilde{P_{k}}(\left<\beta\psi_{3,\pm_{3},k_{3}},\psi_{4,\pm_{4},k_{4}}\right>)dtdx\right|\right)^{2}$
$\displaystyle\qquad\lesssim\sum_{k_{4}\in\mathbb{Z}}\left(\sup_{\|\psi_{4}\|_{V_{\pm_{4}}^{2}}=1}(I_{1}+I_{2}+I_{3})\right)^{2},$
where $\psi_{j,\pm_{j},k_{j}}=P_{k_{j}}^{1}\Pi_{\pm_{j}}(D)\psi_{j}$ and
$\displaystyle I_{1}=\sum_{\begin{subarray}{c}k_{1},k_{2}\in\mathbb{Z}\\\
2^{k}\ll 2^{k_{3}}\sim 2^{k_{4}}\end{subarray}}|\cdots|,\quad
I_{2}=\sum_{\begin{subarray}{c}k_{1},k_{2}\in\mathbb{Z}\\\ 2^{k_{4}}\sim
2^{k}\gg 2^{k_{3}}\end{subarray}}|\cdots|,\quad
I_{3}=\sum_{\begin{subarray}{c}k_{1},k_{2}\in\mathbb{Z}\\\ 2^{k}\sim
2^{k_{3}}\gtrsim 2^{k_{4}}\end{subarray}}|\cdots|.$
We subdivide $I_{j}$ as follows:
$I_{1}=I_{11}+I_{12}+I_{13}:=\sum_{\begin{subarray}{c}2^{k}\lesssim
2^{k_{1}}\sim 2^{k_{2}}\\\ 2^{k}\ll 2^{k_{3}}\sim
2^{k_{4}}\end{subarray}}|\cdots|+\sum_{\begin{subarray}{c}2^{k_{2}}\ll
2^{k_{1}}\sim 2^{k}\\\ 2^{k}\ll 2^{k_{3}}\sim
2^{k_{4}}\end{subarray}}|\cdots|+\sum_{\begin{subarray}{c}2^{k_{1}}\ll
2^{k_{2}}\sim 2^{k}\\\ 2^{k}\ll 2^{k_{3}}\sim
2^{k_{4}}\end{subarray}}|\cdots|,$
$I_{2}=I_{21}+I_{22}+I_{23}:=\sum_{\begin{subarray}{c}2^{k}\lesssim
2^{k_{1}}\sim 2^{k_{2}}\\\ 2^{k_{4}}\sim 2^{k}\gg
2^{k_{3}}\end{subarray}}|\cdots|+\sum_{\begin{subarray}{c}2^{k}\sim
2^{k_{1}}\gg 2^{k_{2}}\\\ 2^{k_{4}}\sim 2^{k}\gg
2^{k_{3}}\end{subarray}}|\cdots|+\sum_{\begin{subarray}{c}2^{k_{1}}\ll
2^{k_{2}}\sim 2^{k}\\\ 2^{k_{4}}\sim 2^{k}\gg
2^{k_{3}}\end{subarray}}|\cdots|,$
$I_{3}=I_{31}+I_{32}+I_{33}:=\sum_{\begin{subarray}{c}2^{k}\lesssim
2^{k_{1}}\sim 2^{k_{2}}\\\ 2^{k}\sim 2^{k_{3}}\gtrsim
2^{k_{4}}\end{subarray}}|\cdots|+\sum_{\begin{subarray}{c}2^{k}\sim
2^{k_{1}}\gg 2^{k_{2}}\\\ 2^{k}\sim 2^{k_{3}}\gtrsim
2^{k_{4}}\end{subarray}}|\cdots|+\sum_{\begin{subarray}{c}2^{k_{1}}\ll
2^{k_{2}}\sim 2^{k}\\\ 2^{k}\sim 2^{k_{3}}\gtrsim
2^{k_{4}}\end{subarray}}|\cdots|.$
It suffices to show that for each $I_{ij}\;(i,j=1,2,3)$
(5.1)
$\displaystyle\mathcal{I}_{ij}:=\sum_{k_{4}\in\mathbb{Z}}\left(\sup_{\|\psi_{4}\|_{V_{\pm_{4}}^{2}}=1}[I_{ij}]^{2}\right)\lesssim\prod_{j=1}^{3}\|\psi_{j,\pm_{j}}\|_{X_{\pm_{j}}}^{2}.$
In fact, they can be handled as follows. By Proposition 3.2 we have
$\displaystyle\mathcal{I}_{11}$
$\displaystyle\lesssim\sum_{k_{4}\in\mathbb{Z}}\left(\sum_{\begin{subarray}{ll}2^{k}\lesssim
2^{k_{1}}\sim 2^{k_{2}}\\\ 2^{k}\ll 2^{k_{3}}\sim
2^{k_{4}}\end{subarray}}\left<2^{k}\right>^{-2}\left\|P_{k}\left<\psi_{1,k_{1}},\beta\psi_{2,k_{2}}\right>\right\|\left\|\widetilde{P_{k}}\left<\beta\psi_{3,k_{3}},\Pi_{\pm}(D)P_{k}^{1}\psi_{4}\right>\right\|\right)^{2}$
$\displaystyle\lesssim\sum_{k_{4}\in\mathbb{Z}}\left(\sum_{\begin{subarray}{ll}2^{k}\lesssim
2^{k_{1}}\sim 2^{k_{2}}\\\ 2^{k}\ll 2^{k_{3}}\sim
2^{k_{4}}\end{subarray}}2^{k}\left<2^{k}\right>^{-2}\|\psi_{1,k_{1}}\|_{V_{\pm_{1}}^{2}}\|\psi_{2,k_{2}}\|_{V_{\pm_{2}}^{2}}\|\psi_{3,k_{3}}\|_{V_{\pm_{3}}^{2}}\right)^{2}$
$\displaystyle\lesssim\|\psi_{1}\|_{X_{\pm_{1}}}^{2}\|\psi_{2}\|_{X_{\pm_{2}}}^{2}\sum_{k_{4}\in\mathbb{Z}}\|\psi_{3,k_{4}}\|_{V_{\pm_{3}}^{2}}^{2}\left(\sum_{k\in\mathbb{Z}}2^{k}\left<2^{k}\right>^{-2}\right)^{2}$
$\displaystyle\lesssim\prod_{j=1}^{3}\|\psi_{j}\|_{X_{\pm_{j}}}^{2}.$
Using Lemma 3.1 and Proposition 3.2,
$\displaystyle\mathcal{I}_{12}$
$\displaystyle\lesssim\sum_{k_{4}\in\mathbb{Z}}\left(\sum_{\begin{subarray}{ll}2^{k_{2}}\ll
2^{k_{1}}\sim 2^{k}\\\ 2^{k}\ll 2^{k_{3}}\sim
2^{k_{4}}\end{subarray}}\left<2^{k}\right>^{-2}2^{\frac{k_{1}+k_{2}}{2}}\|\psi_{1,k_{1}}\|_{V_{\pm_{1}}^{2}}\|\psi_{2,k_{2}}\|_{V_{\pm_{2}}^{2}}2^{\frac{k}{2}}\|\psi_{3,k_{3}}\|_{V_{\pm_{3}}^{2}}\right)^{2}$
$\displaystyle\lesssim\sum_{k_{4}\in\mathbb{Z}}\left(\sum_{\begin{subarray}{ll}2^{k_{2}}\ll
2^{k_{1}}\\\ 2^{k_{3}}\sim
2^{k_{4}}\end{subarray}}2^{\frac{3}{2}k_{1}}\left<2^{k_{1}}\right>^{-2}2^{\frac{1}{2}\left(k_{2}-k_{1}\right)}\|\psi_{1,k_{1}}\|_{V_{\pm_{1}}^{2}}\|\psi_{2,k_{2}}\|_{V_{\pm_{2}}^{2}}\|\psi_{3,k_{3}}\|_{V_{\pm_{3}}^{2}}\right)^{2}$
$\displaystyle\lesssim\|\psi_{3}\|_{X_{\pm_{3}}}^{2}\left(\sum_{2^{k_{2}}\ll
2^{k_{1}}}2^{\frac{3}{2}k_{1}}\left<2^{k_{1}}\right>^{-2}2^{\frac{1}{2}\left(k_{2}-k_{1}\right)}\|\psi_{1,k_{1}}\|_{V_{\pm_{1}}^{2}}\|\psi_{2,k_{2}}\|_{V_{\pm_{2}}^{2}}\right)^{2}$
$\displaystyle\lesssim\prod_{j=1}^{3}\|\psi_{j}\|_{X_{\pm_{j}}}^{2}.$
$\mathcal{I}_{13}$ and $\mathcal{I}_{21}$ can be handled by changing the role
of $\psi_{1},\psi_{2}$, and $(\psi_{1},\psi_{2}),(\psi_{4},\psi_{3})$,
respectively.
As for $\mathcal{I}_{22}$ we apply Lemma 3.1 to both $(\psi_{1},\psi_{2})$ and
$(\psi_{3},\psi_{4})$ to get
$\displaystyle\mathcal{I}_{22}$
$\displaystyle\lesssim\sum_{k_{4}\in\mathbb{Z}}\left(\sum_{\begin{subarray}{ll}2^{k_{2}}\ll
2^{k_{1}}\sim 2^{k}\\\ 2^{k_{3}}\ll 2^{k_{4}}\sim
2^{k}\end{subarray}}\left<2^{k}\right>^{-2}2^{\frac{k_{1}+k_{2}}{2}}\|\psi_{1,k_{1}}\|_{V_{\pm_{1}}^{2}}\|\psi_{2,k_{2}}\|_{V_{\pm_{2}}^{2}}2^{\frac{k_{3}+k_{4}}{2}}\|\psi_{3,k_{3}}\|_{V_{\pm_{3}}^{2}}\right)^{2}$
$\displaystyle\lesssim\sum_{k_{4}\in\mathbb{Z}}\|\psi_{1,k_{4}}\|_{V_{\pm_{2}}^{2}}^{2}\left(\sum_{2^{k_{2}}\ll
2^{k_{4}}}2^{k_{4}}\left<2^{k_{4}}\right>^{-1}2^{\frac{1}{2}(k_{2}-k_{4})}\|\psi_{2,k_{2}}\|_{V_{\pm_{1}}^{2}}\right)^{2}$
$\displaystyle\qquad\qquad\qquad\quad\times\left(\sum_{2^{k_{3}}\ll
2^{k_{4}}}2^{k_{4}}\left<2^{k_{4}}\right>^{-1}2^{\frac{1}{2}(k_{3}-k_{4})}\|\psi_{3,k_{3}}\|_{V_{\pm_{3}}^{2}}\right)^{2}$
$\displaystyle\lesssim\prod_{j=1}^{3}\|\psi_{j}\|_{X_{\pm_{j}}}^{2}.$
$\mathcal{I}_{23}$ is treated similarly by changing the role of
$\psi_{1},\psi_{2}$. The estimates of $\mathcal{I}_{3j}$ are symmetric to
those of $\mathcal{I}_{2j}$. We have only to change the role of $\psi_{3}$ and
$\psi_{4}$. This completes the proof of Theorem 1.1.
## Acknowledgements
This work was supported by NRF-2018R1D1A3B07047782 and
NRF-2021R1I1A3A04035040(Republic of Korea).
## References
* [1] Y. Cho, K. Lee, and T. Ozawa, Small data scattering of 2d Hartree type Dirac equations, preprint.
* [2] Y. Cho and T. Ozawa, On the semirelativistic Hartree-type equation, SIAM J. Math. Anal. 38 (2006), 1060–1074.
* [3] Y. Cho, T. Ozawa, and S. Xia, Remarks on some dispersive estimates, Commun. Pure Appl. Anal., 10 (2011), 1121–1128.
* [4] V. Georgiev and B. Shakarov, Global $H^{s},s>0$ large data solutions of 2D Dirac equation with Hartree type interaction, in preprint (arXiv:2005.06853).
* [5] A. Grünrock and H. Pecher, Global solutions for the Dirac-Klein-Gordon system in two space dimensions, Comm. Partial Differential Equations 35 (2010), no. 1, 89–112.
* [6] M. Hadac, S. Herr, and H. Koch Well-posedness and scattering for the KP-II equation in a critical space , Inst. H.Poincaré Anal. Non linéaire, 26 (2009), 917–941.
* [7] by same author, Erratum to ”Well-posedness and scattering for the KP-II equation in a critical space” [Inst. H.Poincaré Anal. Non linéaire, 26 (2009), 917-941] , Inst. H.Poincaré Anal. Non linéaire, 27 (2010), 971-972.
* [8] H. Koch and D. Tataru, A priori bounds for the 1D cubic NLS in negative Sobolev spaces, Int. Math. Res. Not. IMRN 2007, no. 16, Art. ID rnm053, 36 pp.
* [9] H. Koch, D. Tataru, and M. Visan, Dispersive Equations and Nonlinear Waves: Generalized Korteweg–de Vries, Nonlinear Schrödinger, Wave and Schrödinger Maps, Oberwolfach Seminars 45. Basel; Birkhäuser, (2014).
* [10] A. Tesfahun, Long-time behavior of solutions to cubic Dirac equation with Hartree type nonlinearity in $\mathbb{R}^{1+2}$, Int. Math. Res. Not. IMRN 2020, no. 19, 6489–6538.
* [11] by same author, Small data scattering for cubic Dirac equation with Hartree type nonlinearity in $\mathbb{R}^{1+3}$, SIAM J. Math. Anal. 52 (2020), no. 3, 2969–3003.
* [12] C. Yang, Scattering results for Dirac Hartree-type equations with small initial data, Communications on Pure and Applied Analysis, 18 (4) (2019), 1711-1734.
| arxiv-papers | 2021-07-26T02:55:41 | 2024-09-04T03:07:17.478730 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yonggeun Cho and Kiyoen Lee",
"submitter": "Kiyeon Lee",
"url": "https://arxiv.org/abs/2107.11932"
} |
2107.11933 | # A Partial Reproduction of A Guided Genetic Algorithm for Automated Crash
Reproduction
Philip Oliver, Michael Homer, Jens Dietrich, and Craig Anslow School of
Engineering and Computer Science
Victoria University of Wellington
Wellington, New Zealand
Email: {philip.oliver, michael.homer, jens.dietrich, craig.anslow}@vuw.ac.nz
###### Abstract
This paper is a partial reproduction of work by Soltani _et al._ which
presented EvoCrash, a tool for replicating software failures in Java by
reproducing stack traces. EvoCrash uses a guided genetic algorithm to generate
JUnit test cases capable of reproducing failures more reliably than existing
coverage-based solutions. In this paper, we present the findings of our
reproduction of the initial study exploring the effectiveness of EvoCrash and
comparison to three existing solutions: STAR, JCHARMING, and MuCrash. We
further explored the capabilities of EvoCrash on different programs to check
for selection bias. We found that we can reproduce the crashes covered by
EvoCrash in the original study while reproducing two additional crashes not
reported as reproduced. We also find that EvoCrash was unsuccessful in
reproducing several crashes from the JCHARMING paper, which were excluded from
the original study. Both EvoCrash and JCHARMING could reproduce 73% of the
crashes from the JCHARMING paper. We found that there was potentially some
selection bias in the dataset for EvoCrash. We also found that some crashes
had been reported as non-reproducible even when EvoCrash could reproduce them.
We suggest this may be due to EvoCrash becoming stuck in a local optimum.
###### Index Terms:
Automated crash reproduction, empirical software engineering, genetic
algorithms, reproduction, search-based software testing.
## I Introduction
When software failures occur, developers must manually investigate stack
traces and other post-crash information to understand and then replicate the
behaviour. Several tools aim to automate reproducing crashes; Tools such as
STAR, JCHARMING, and MuCrash leverage information produced from a crash to
create new unit tests to reproduce the crashes [1, 2, 3]. However, there are
issues with these tools: STAR cannot handle cases that have external
environment dependencies and is affected by the path explosion problem [1];
MuCrash mutates an existing test suite, so has some reliance on existing tests
exploring method sequences of interest [3]; and JCHARMING applies
computationally expensive model checking [2].
Soltani _et al._ presented EvoCrash, a tool using an evolutionary approach
that leverages a stack trace to reduce the search space [4].
EvoCrash111http://www.evocrash.com uses the automatic test generation tool,
EvoSuite222http://www.evosuite.org, to generate tests. EvoCrash is an altered
version of EvoSuite, which incorporates a novel fitness function developed by
Soltani _et al._ This fitness function is a piece-wise function that checks:
the target line number is reached, the correct exception is thrown, and the
generated stack trace is similar enough to the original trace [5]. The
function is a measure of error and gives a value of 0 when the stack traces
match. This fitness function is used in a guided genetic algorithm to generate
tests to replicate stack traces from software crashes.
The guided genetic algorithm uses three genetic operators developed by the
original authors. The first generates an initial population of tests, while
the remaining two are altered crossover and mutation operations. These
operators ensure a call to a method within the stack trace contained in each
unit test in the search population.
We looked to evaluate the effectiveness of EvoCrash based upon the original
paper presented by Soltani _et al._ [4]. We further extended the suite of
crashes used for evaluation to check for selection bias. Finally, we present
some evaluation of discrepancies in the results. A package containing the
supporting data from the original study and our experiments can be found at
https://doi.org/10.5281/zenodo.5139193.
## II Original Study
The authors of the original paper [4] use EvoCrash to conduct an empirical
study with the following two Original Research Questions:
* •
ORQ1: In which cases can EvoCrash successfully reproduce the targeted crashes,
and under what circumstances does it fail to do so?
* •
ORQ2: How does EvoCrash perform compared to state-of-the-art reproduction
approaches based on stack traces?
The initial study was conducted over 50 bugs from Apache Commons
Collections333https://commons.apache.org/proper/commons-collections/ (ACC),
Apache Ant444http://ant.apache.org (ANT), and Apache
Log4j555http://logging.apache.org/log4j/1.2 (LOG) [4]. The generation of tests
for each bug was repeated 50 times to account for the random nature of the
guided genetic algorithm. Soltani _et al._ selected widely used parameter
values for the evolutionary component of EvoCrash:
* •
Population size: Initially set to 50, but increased by 25 iteratively up to
300 if the fitness value does not reach 0.0.
* •
Crossover probability: Set to 0.75.
* •
Mutation probability: Set to 1/n, with n being the length of the current test
case.
* •
Search timeout: Set to 30 minutes with early stopping if the fitness value
reaches 0.0.
Regarding the mutation probability, we cross-referenced the paper referenced
in the original study. Fraser and Arcuri state the mutation probability in
EvoSuite is 1/n, with n being the size of the _test suite_ [6]. This
probability results in one test case in the suite being mutated on average,
rather than one statement in a test case being altered, as reported by Soltani
_et al._ It is not clear if Soltani _et al._ have altered the mutation
probability as such in EvoCrash.
Soltani _et al._ selected two metrics for ORQ1 proposed by Chen and Kim [1].
_Crash Coverage_ ensures that the crash has been successfully replicated by
comparing the exception type thrown and the source line from which it is
thrown. The original authors consider a crash to be covered when a fitness
value of 0.0 is reached. _Test Case Usefulness_ concludes that a test case is
useful if it reveals the bug which caused the crash. Two of the original
authors independently performed manual validation to decide if the test cases
produced by EvoCrash successfully reveal the bug. In the case of
disagreements, the conclusions were discussed. We do not assess the test case
usefulness as a part of our reproduction. This omission is primarily due to
the subjective nature of this metric.
ORQ2 was investigated through comparison with three other crash reproduction
technologies: STAR, MuCrash, and JCHARMING. Soltani _et al._ used published
data from these tools, as the artifacts for the tools were unavailable at the
time of writing. The comparison to STAR was completed using 50 of the 52 bugs
collected by Chen and Kim [1]. EvoCrash was compared to JCHARMING using 8 of
the 20 bugs collected by Nayrolls _et al._ [2]. Finally, the comparison to
MuCrash was performed using the 12 ACC bugs collected for testing STAR _et
al._ [3]. Several bugs were excluded from the original study.
EvoCrash Performance ORQ1. The original paper presents results for ORQ1, with
EvoCrash successfully replicating 41 of the 50 (82%) bugs [4]. EvoCrash
reproduced 10 out of 12 bugs for ACC, 14 out of 20 for ANT, and 17 out of 18
for LOG. EvoCrash does not support the six unreproducible cases for ANT due to
dependencies on missing external build.xml files. One of the cases from LOG is
unsupported due to a call to a static class initialiser. The two
unreproducible cases for ACC are due to the complexity of the bugs. Using the
_Test Case Usefulness_ criteria from Chen and Kim, the original authors
conclude that 34 of the 39 generated test cases were useful. The other 5 test
cases mainly were found to have dependencies on external files, which were not
available. In this study, we do not explore the usefulness of the test cases
generated by EvoCrash.
It is unclear what threshold the original authors have used to discern whether
a bug has been replicated. They state that “of the replicated cases, the crash
LOG-509 had the lowest rate of replications - 39 out of 50,” with these
numbers being 39 replications of the crash over 50 runs [4]. However, they
also state that for one of the non-reproducible cases (ACC-104), “EvoCrash
could replicate the case 4 times out of 50.” While this is a complex bug that
requires a specific order of method calls to trigger the crash, it would
appear that EvoCrash can successfully replicate the behaviour, albeit
occasionally.
Comparison to Other Tools ORQ2. Compared with STAR, EvoCrash has almost
identical results, except for ACC-104 (discussed above) [4]. EvoCrash is also
capable of replicating three additional cases which are prone to the path
explosion problem. Compared with MuCrash, EvoCrash can replicate all the
crashes replicated by MuCrash and an additional 3 cases, with one of these
cases marked as not useful. EvoCrash covers all the crashes successfully
reproduced by JCHARMING (6 out of 8) and can reproduce the two crashes
JCHARMING cannot. However, 3 of the test cases from EvoCrash are marked as not
useful, with two being crashes JCHARMING could reproduce. Nayrolles _et al._
do not identify if crashes reproduced by JCHARMING are useful; therefore, it
could be that the non-useful tests generated by EvoCrash are also not useful
when generated with JCHARMING [2].
## III Reproduction
For the reproduction in this study, we performed two experiments using the
publicly available reproduction package666https://github.com/STAMP-
project/EvoCrash/releases/tag/evocrash-refactored for the original paper. The
first experiment was run using the parameters and configuration as-is from the
package. Following the further investigation into the parameters used in the
package, we found that some did not match what was reported in the original
paper for population sizes. Many population sizes were initialised at 80,
which does not follow the experiment procedure outlined in the initial study.
We increased these to the next largest population size that fit the procedure
for population sizes that did not conform to the experimental procedure. The
experiment was rerun using these updated parameters. In the second experiment,
we followed the initial study’s guidelines to increase the population sizes by
25 repeatedly up to 300 for crashes which cannot be reproduced. All other
parameters used match the experiment procedure from the initial study.
There were a few issues when beginning the reproduction. Firstly, the website
for the package location in the original paper no longer exists. This issue
was circumvented by finding the publicly available release package on GitHub.
The second issue was that the scripts used to run EvoCrash for the 50 crashes
were not OS-agnostic. Classpath separators had been hardcoded as semicolons
(;) for use on a Windows machine. These separators were changed to run the
experiment on Arch linux successfully. Thirdly, some of the paths for the
binaries for the targeted programs were incorrect. For example, there were a
few cases of the LOG4jb-1.0.4/ directory being referenced as Log4jb-1.0.4/.
These paths were fixed for the experiment. Another issue was that some of the
results from the original study were missing from the reproduction package.
The 30th run is missing most of the results, while some other runs do not have
the results for some crashes. Finally, ACC-377 was missing from the crashes
and results in the reproduction package. This crash was added to the
experiment to ensure similarity between the original experiment and the
reproduction.
After replicating the main results from the study, we looked to evaluate
EvoCrash on some other crashes, including those from the STAR and JCHARMING
papers which were excluded from the original study. We also selected 7 crashes
from Apache Commons Lang777https://commons.apache.org/proper/commons-lang/
(ACL) and 6 crashes from Apache Commons
BeanUtils888https://commons.apache.org/proper/commons-beanutils/ (BEAN) to
check for selection bias in the initial dataset.
TABLE I: Results from original paper and reproduction. Percentages of 100% are
not reported for brevity
Project | Bug ID | Original | Experiment 1 | Experiment 2
---|---|---|---|---
ACC | 4 | Y | Y | Y
28 | Y | Y | Y
35 | Y | Y | Y
48 | Y | Y | Y
53 | Y | Y | Y
68 | N (0%) | N (0%) | N (0%)
70 | Y | Y (100%) | Y (98%)
77 | Y | Y | Y
104 | N (8%) | Y (2%) | Y (8%)
331 | Y (82%) | Y (52%) | Y (88%)
377 | Y | Y (90%) | Y (60%)
441 | Y | Y | Y
ANT | 28820 | N (0%) | N (0%) | N (0%)
33446 | Y | Y | Y
34722 | Y | Y | Y
34734 | Y | Y | Y
36733 | Y | Y | Y
38458 | Y (92%) | Y (90%) | Y (90%)
38622 | Y (80%) | Y (86%) | Y (82%)
42179 | Y | Y | Y
43292 | N (94%) | Y (96%) | Y
44689 | Y | Y | Y
44790 | Y | Y | Y
46747 | N (0%) | N (0%) | N (0%)
47306 | N (0%) | N (0%) | N (0%)
48715 | N (0%) | N (0%) | N (0%)
49137 | Y | Y | Y
49755 | Y (94%) | Y | Y
49803 | Y | Y | Y (98%)
50894 | Y | Y | Y
51035 | N (0%) | N (0%) | N (0%)
53626 | Y | Y | Y
LOG | 29 | Y (88%) | Y (90%) | Y (96%)
43 | N (0%) | N (0%) | N (0%)
509 | Y (74%) | Y (50%) | Y (78%)
10528 | Y | Y | Y
10706 | Y | Y | Y
11570 | Y | Y | Y
31003 | Y | Y | Y
40212 | Y | Y | Y
41186 | Y | Y | Y
44032 | Y | Y | Y
44899 | Y | Y | Y
45335 | Y (94%) | Y (94%) | Y (96%)
46144 | Y (82%) | Y (78%) | Y (86%)
46271 | Y (94%) | Y | Y
46404 | Y | Y | Y
47547 | Y | Y | Y
47912 | Y | Y | Y
47957 | Y | Y | Y
Y - Crash has been replicated at least once
N - Crash has not been replicated
Percentage values are the number of successful replications from 50 runs
### III-A Experimental Results
Table I presents the original study’s results alongside the results we have
achieved over our two runs of the experiment. It can be seen that our results
are mainly similar to those in the original study, with two notable
exceptions: ACC-104 and ANT-43292. As previously discussed, ACC-104 is
successfully reproduced by EvoCrash in the original study, albeit at a rate of
8%. In our experimental runs, we achieved success rates of 2% and 8%. The
original authors were looking to answer the research question of whether
EvoCrash could reproduce a crash. We argue that even a single success means
EvoCrash can reproduce the crash. We further argue that a low reproduction
rate could indicate issues within the initialisation of the genetic
programming parameters. It could be possible that EvoCrash becomes stuck in a
local optimum with not enough mutation occurring to allow the program to find
a better test case.
In the case of ANT-43292, the original study marked this crash as not
reproduced. We found 96% and 100% success rates for this crash in our
experiments. On closer inspection of the data from the original study, we
found that the crash was successfully reproduced. In the underlying data, we
found 47 successful reproductions, with two failures and one unreported
result. This data gives a success rate of 94% for ANT-43292 in the original
study. It could be that the original authors meant to mark this crash as _not
useful_. However, we do not confirm that this is the case.
TABLE II: Results from crashes excluded from original study, including
comparison to STAR and JCHARMING
Project | Bug ID | Results | STAR | JCHARMING
---|---|---|---|---
DnsJava | 38 | N (0%) | - | Y
Jfreechart | 434 | Y (98%) | - | Y
664 | N (0%) | - | Partial
916 | N (0%) | - | Y
Pdfbox | 1359 | N (0%) | - | N
1412 | Y (94%) | - | Partial
ANT | 41422 | Y (100%) | Y | N
Y - Crash has been replicated at least once
N - Crash has not been replicated
Percentage values are the number of successful replications from 50 runs
Table II presents the results of crashes from
DnsJava999https://github.com/dnsjava/dnsjava,
Jfreechart101010https://www.jfree.org/jfreechart/,
Pdfbox111111https://pdfbox.apache.org/, and ANT which were used in the STAR
and JCHARMING papers [1, 2]. In the JCHARMING paper there were also crashes
used from ArgoUML121212https://github.com/argouml-tigris-org and Open Mission
Control Software131313https://nasa.github.io/openmct/ [2]. However, we could
not find the stack traces for these crashes and thus have not included them.
The crashes excluded from the original study do not have a high success rate
of reproduction by EvoCrash, with 4 of the 13 crashes reproduced (43%). If
these crashes were included in the original study, EvoCrash would have
reproduced 44 out of 57 crashes (77%), rather than the 82% reported [4].
Table II also shows the comparison of the crashes excluded from the original
study to STAR and JCHARMING. The main comparisons here are between EvoCrash
and JCHARMING for the DnsJava, Jfreechart, Pdfbox, and ANT crashes. Of these
seven crashes, JCHARMING reproduced 5, while EvoCrash reproduced 3. Of the 15
crashes shared by EvoCrash and JCHARMING, JCHARMING successfully reproduced 11
(73%), while EvoCrash also reproduced 11 (73%). It is of particular interest
that JCHARMING is capable of reproducing DnsJava-38, Jfreechart-664, and
Jfreechart-916 where EvoCrash cannot. The original study found a significant
difference between the performances of EvoCrash and JCHARMING [4]. However, it
is clear that with other crashes from the JCHARMING paper, the performance is
similar.
Table III presents the results of our evaluation on crashes from Apache
Commons Lang and Apache Commons BeanUtils. We have selected these crashes to
identify any potential for selection bias in the original study. Of the ACL
crashes, EvoCrash successfully reproduced 4 out of 7 (57%). The three failing
tests use date formats or message formats, which require specifically
formatted strings as input. It is therefore unsurprising that EvoCrash cannot
reproduce these crashes, as it has not been created with the capability of
consistently generating strings that match the complex formats required by
these classes. Finally, given the complexity of configuration required to use
BeanUtils in a program, the 0% success rate is unsurprising. As most of these
BEAN crashes arise due to configuration issues, EvoCrash struggles to generate
a test case to initialise such a configuration.
## IV Discussion
The authors of the original paper set out to evaluate the tool, EvoCrash, on
several crashes and to compare these results with the existing tools: STAR,
MuCrash, and JCHARMING [4]. The original study successfully reproduced 41 of
50 (82%) crashes. We found that EvoCrash can successfully reproduce all the
crashes presented in the original study through our two main experiments. We
also found two crashes (ACC-104 and ANT-43292) which we reproduced with
EvoCrash, but are not reported as reproduced in the original study. We found
in the data underlying the original study that ANT-43292 has a 94%
reproduction rate, while our experiments have 96% and 100% reproduction rates.
This misidentified result in the original study likely occurred due to human
error. We consider a crash to be reproduced if it can be successfully
reproduced in at least one run. Crashes with low reproduction rates could
point to issues in the genetic parameters for EvoCrash, as there may not be
enough variability introduced to allow EvoCrash to escape local optima.
TABLE III: Results from additional crashes used in this study
Project | Bug ID | Results
---|---|---
ACL | 948 | N (0%)
1186 | N (0%)
1192 | N (0%)
1276 | Y (100%)
1292 | Y (100%)
1310 | Y (86%)
1385 | Y (100%)
BEAN | 276 | N (0%)
302 | N (0%)
351 | N (0%)
421 | N (0%)
541 | N (0%)
547 | N (0%)
Y - Crash has been replicated at least once
N - Crash has not been replicated
Percentage values are the number of successful replications from 50 runs
We present a comparison between EvoCrash, STAR, and JCHARMING for crashes
excluded from the original study. For ANT-41422, EvoCrash and STAR could both
successfully reproduce this crash; however, JCHARMING could not. For the other
crashes from DnsJava, Jfreechart, and Pdfbox, we found that JCHARMING
outperforms EvoCrash, contrasting with the original result that EvoCrash
outperformed JCHARMING. We find that EvoCrash and JCHARMING both reproduce 73%
of crashes once the full JCHARMING dataset is used. This result could
potentially point to some selection bias in the original study, as these
crashes were excluded. As JCHARMING, STAR, and MuCrash are not publicly
available, selection bias could be present in the dataset chosen for those
studies.
While we do not analyse the usefulness of the test cases generated by
EvoCrash, we did consider the suitability of the metric for this. The metric
requires that the buggy stack frame exists in the reproduced stack trace. A
number of the crashes reproduced by EvoCrash are attempting to reproduce only
one stack frame in a larger stack trace. A potential question for future work
is raised: whether this metric is suitable and if the crashes can be
considered reproduced if this metric is met. Furthermore, this metric is
subjective and cannot be easily reproduced. Comparisons between the crashes
reproduced by EvoCrash and the actual bug fixes committed to the source code
could be drawn to clarify that the tests generated correctly identify a bug
and relate to the bug-fix in the main project.
We conclude that EvoCrash is a tool that can be used to reproduce several
crashes in Java successfully. However, we are not sure the data presented in
the original paper is representative of the capabilities of EvoCrash. Several
low-performing crashes appear to have been excluded from the original study,
including those which contribute significantly to the original paper’s
conclusion that EvoCrash performs significantly better than JCHARMING. We also
suggest there may be issues with the parametric setup of the genetic part of
EvoCrash, leading to low variability and the system becoming stuck in local
optima. Future work could look into these issues and the usefulness of the
test cases produced by EvoCrash.
## References
* [1] N. Chen and S. Kim, “Star: Stack trace based automatic crash reproduction via symbolic execution,” _IEEE Transactions on Software Engineering_ , vol. 41, no. 2, pp. 198–220, 2015.
* [2] M. Nayrolles, A. Hamou-Lhadj, S. Tahar, and A. Larsson, “JCHARMING: A bug reproduction approach using crash traces and directed model checking,” in _2015 IEEE 22nd International Conference on Software Analysis, Evolution, and Reengineering (SANER)_ , 2015, pp. 101–110.
* [3] J. Xuan, X. Xie, and M. Monperrus, “Crash reproduction via test case mutation: Let existing test cases help,” in _Proceedings of the 2015 10th Joint Meeting on Foundations of Software Engineering_ , ser. ESEC/FSE 2015. New York, NY, USA: Association for Computing Machinery, 2015, p. 910–913. [Online]. Available: https://doi.org/10.1145/2786805.2803206
* [4] M. Soltani, A. Panichella, and A. van Deursen, “A guided genetic algorithm for automated crash reproduction,” in _2017 IEEE/ACM 39th International Conference on Software Engineering (ICSE)_ , 2017, pp. 209–220.
* [5] ——, “Evolutionary testing for crash reproduction,” in _2016 IEEE/ACM 9th International Workshop on Search-Based Software Testing (SBST)_ , 2016, pp. 1–4.
* [6] G. Fraser and A. Arcuri, “Whole test suite generation,” _IEEE Transactions on Software Engineering_ , vol. 39, no. 2, pp. 276–291, 2013.
| arxiv-papers | 2021-07-26T03:01:52 | 2024-09-04T03:07:17.489552 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Philip Oliver, Michael Homer, Jens Dietrich, Craig Anslow",
"submitter": "Philip Oliver",
"url": "https://arxiv.org/abs/2107.11933"
} |
2107.11936 |
Field-induced Bose-Einstein condensation and supersolid in the two-dimensional Kondo necklace
Wei-Lin Tu
Division of Display and Semiconductor Physics, Korea University, Sejong 30019, Korea
Eun-Gook Moon
Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 34141, Korea
Kwan-Woo Lee
Division of Display and Semiconductor Physics, Korea University, Sejong 30019, Korea
Department of Applied Physics, Graduate School, Korea University, Sejong 30019, Korea
Warren E. Pickett
Department of Physics, University of California, Davis, California 95616, USA
Hyun-Yong Lee
Division of Display and Semiconductor Physics, Korea University, Sejong 30019, Korea
Department of Applied Physics, Graduate School, Korea University, Sejong 30019, Korea
Interdisciplinary Program in E$\cdot$ICT-Culture-Sports Convergence, Korea University, Sejong 30019, Korea
The application of an external magnetic field of sufficient strength to a spin system composed of a localized singlet can overcome the energy gap and trigger bosonic condensation and so provide an alternative method to realize exotic phases of matter in real materials. Previous research has indicated that a spin Hamiltonian with on-site Kondo coupling may be the effective many-body Hamiltonian for $\text{Ba}_2\text{NiO}_2\text{(AgSe)}_2$ (BNOAS) and here we study such a Hamiltonian using a tensor network ansatz in two dimensions. Our results unveil a phase diagram which indicates the underlying phases of BNOAS. We propose, in response to the possible doping-induced superconductivity of BNOAS, a fermionic model for further investigation. We hope that our discovery can bring up further interest in both theoretical and experimental researches for related nickelate compounds.
§ INTRODUCTION
Bose-Einstein condensation (BEC) is a phenomenon where a finite fraction of the quasiparticles in the system condenses into a single quantum mechanical entity on a macroscopic scale as a consequence of quantum statistical effects <cit.>. It leads to an exotic phase of matter, superfluid, which is a fluid with zero viscosity. The superfluid was originally discovered in the liquid helium-4 <cit.>. On the other hand, it was found that the fraction of BEC is strongly suppressed due to the strong interaction between $^4$He atoms. Since then, great effort has been devoted to the search for weakly interacting or dilute Bose gases.
One of the most promising platforms is the quantum magnet <cit.> which hosts many bosonic excitations such as magnon, triplon, and spinon. Especially, one can tune the boson density by applying a magnetic field to induce condensation. Indeed, magnetic field-induced order is a widely studied phenomenon <cit.>. Experimentally, spin-singlet compounds such as $\text{TlCuCl}_3$ <cit.> and $\text{SrCu}_2\text{(BO}_3\text{)}_2$ (well-known for its field-induced solid orders) <cit.> with $\text{S}=1/2$ spin singlets, and $\text{CsFeBr}_3$ with $\text{S}=1$ singlets <cit.> have demonstrated such effects under magnetic field.
Theoretical studies <cit.> also unveiled the underlying mechanism for such effects. In a spin-singlet material, the local singlet state serves as the ground state with a finite gap, separating itself from triplet excitons. Upon applying a magnetic field, the three-fold excited states split into three triplon bands with $S^z$=$+1, 0, -1$. The branch with spins aligning along the external field becomes soft and gradually reduces the gap to complete closure <cit.>. After the gap closing, a condensation of triplons that breaks $\text{U}(1)$ symmetry takes place, leading to the effective spin superfluid (SSF) phase.
For such effects to take place, clearly we need a spin-singlet phase as the precursor. A recent study by Jin et al. <cit.> of a magnetic material with layered nickelate $\text{Ba}_2\text{NiO}_2\text{(AgSe)}_2$ (BNOAS), recently synthesized under high pressure and high temperature <cit.>, proposed the origin of its peculiar susceptibility $\chi_{\text{sp}}$.
It is constant above 150 K and the same constant below 110 K in zero field, with a peak at T$^*$=130 K. Thus there are no free moments at high or low temperature, yet a magnetic reconstruction occurs at 130 K giving the peak in $\chi_{\text{sp}}$.
This behavior can be explained as arising from local spin singlets (contributing nothing to susceptibility) at high and low T, with some reconstruction occurring at T$^*$ <cit.>.
Correlated first principles calculations predict a ground state consisting of a novel singlet within the Ni $\text{e}_\text{g}$ subshell, made of spins with the local “Kondo-like" spin texture: the $\text{d}_{\text{x}^2-\text{y}^2}$ electron (or hole, depending on viewpoint) is coupled with the $\text{d}_{\text{z}^2}$ electron (or hole) to an unusual spin singlet with internal orbital structure and highly anisotropic exchange coupling <cit.>, with the first signature of such an on-site, orbital entangled Lee-Pickett singlet having been seen in calculations on the infinite layer nickelate LaNiO$_2$ <cit.>. Due to the above-mentioned facts, in the studies of BNOAS' quantum effect one might need to focus on the area where spin singlet state serves as the ground state.
Jin et al. <cit.> proposed an effective spin Hamiltonian, named the Kondo sieve, for describing the spin behavior of BNOAS:
\begin{equation}
\begin{aligned}
H_{\text{KS}}=&J\sum_{\langle i,j \rangle}\boldsymbol{\sigma}_i \cdot \boldsymbol{\sigma}_j+K\sum_{i}\boldsymbol{\sigma}_i \cdot \boldsymbol{\tau}_i\\
&+J_z\sum_{\lbrack i,j \rbrack}\boldsymbol{\tau}_i \cdot \boldsymbol{\tau}_j-\sum_{i}\boldsymbol{S}_i \cdot \boldsymbol{h}\\
=&H_{\text{KN}}+J_z\sum_{\lbrack i,j \rbrack}\boldsymbol{\tau}_i \cdot \boldsymbol{\tau}_j-\sum_{i}\boldsymbol{S}_i \cdot \boldsymbol{h}.
\end{aligned}
\label{Kondo-sieve}
\end{equation}
The local spin moment $\boldsymbol{\sigma}_i$ (from the $\text{d}_{\text{x}^2-\text{y}^2}$ orbital of BNOAS) is Kondo-coupled with the $\boldsymbol{\tau}_i$ spin moment (from the same-site $\text{d}_{\text{z}^2}$ orbital) with exchange coupling $K$. $\boldsymbol{\sigma}_i$ and $\boldsymbol{\tau}_i$ fulfill the commutation relation that $[\xi^a,\xi^b]=\text{i}\epsilon_{abc}\xi^c$ with $\xi=\sigma$ or $\xi=\tau$, and $\epsilon_{abc}$ being the Levi-Civita symbol. Within a layer, for the nearest neighbor, denoted by $\langle i,j \rangle$, $\boldsymbol{\sigma}$ moments are coupled by the Heisenberg $J$ term. Due to the multilayered nature of BNOAS, neighboring NiO$_2$ layers have $J_z$ coupling between $\boldsymbol{\tau}$-spin neighbors $[i,j]$ along $\hat{\text{z}}$. The $i$-site total spin operator $\boldsymbol{S}_i=\boldsymbol{\sigma}_i+\boldsymbol{\tau}_i$ is coupled with the external field through a Zeeman field $\boldsymbol{h}$. Note that the model enjoys global $\text{U}(1)$ symmetry with $\text{e}^{\text{i}\alpha \sum_i S_i^z}$, for total spin and with an arbitrary $\alpha$.
Eq. (<ref>) as a whole stands for the Kondo sieve model $H_{\text{KS}}$, while its first part, for each layer, represents the Kondo-necklace model $H_{\text{KN}}$ in two dimensions (2D) <cit.>.
For the on-site $K$ term, we have $\langle\psi_\text{singlet}|K\boldsymbol{\sigma}_i \cdot \boldsymbol{\tau}_i|\psi_\text{singlet}\rangle=-\frac{3}{4}K$ and $\langle\psi_\text{triplet}|K\boldsymbol{\sigma}_i \cdot \boldsymbol{\tau}_i|\psi_\text{triplet}\rangle=\frac{1}{4}K$.
Therefore, an on-site singlet-triplet splitting with magnitude equal to $K$ takes place.
This system provides a good platform, by introducing an external magnetic field $\boldsymbol{h}$, for field-induced BEC phases.
After gap closing due to the magnetic field, the mechanism can be thought of as a bosonic system, which makes it intriguing to consider the possibility of hosting a state with coexisting diagonal and off-diagonal orders, the so-called spin supersolid state <cit.>. For the usual hard-core Bose-Hubbard-like Hamiltonian on a square lattice, one needs more than the nearest-neighbor interaction to stabilize the spin supersolid phase <cit.>. Various spin supersolid phases can be detected by introducing frustration <cit.> or dipole-dipole interaction <cit.>. Related researches for $\text{{S}r{C}u}_2\text{(B}\text{O}_3\text{)}_2$ which can be addressed by the Shastry-Sutherland model <cit.> or spin dimers <cit.> also indicated the formation of spin supersolid.
However, despite the recently proposed spin supersolid phase induced by the spin-orbital coupling <cit.>, due to the difficulty of experimental realization such spin supersolid states cannot be easily observed. On the other hand, field-induced condensation might provide a better platform for such exotic phases. It has been shown previously that with such a two-spin Hamiltonian, by breaking the $\text{SU}(2)$ symmetry with an anisotropic strength $\Delta$ that controls the coupling of $\sigma^z_i\sigma^z_j$, formation of a spin supersolid can be triggered by external field <cit.>. In this work, we study the Kondo necklace model $H_{\text{KN}}$ using a 2D tensor network ansatz called infinite projected entangled-pair states (iPEPS) <cit.>. As one will see, our results reveal that not only the BEC but also spin supersolid can be triggered by the magnetic field, suggesting a good potential of BNOAS for studying exotic phases of matter.
Infinite projected entangled-pair state (iPEPS) results at zero field. (a) Phase diagram versus $\theta=$tan$^{-1}(K/J)$ (for details, see Eqs. (<ref>) and (<ref>)) of 2D Kondo-necklace model ($\Delta=1.0$, given in Eq. (<ref>)) ground states without external field, demonstrated as a hollowed pie chart. Starting from $\theta=0$, we have in sequence the antiferromagnetic (AFM), spin singlet, $\sigma$-ferromagnetic ($\sigma$-FM), ferromagnetic (FM), and $\sigma$-antiferromagnetic ($\sigma$-FM) phases as the ground state. The schematic spin configuration of each phase is illustrated in (b). Blue arrows indicate $\boldsymbol{\sigma}$, while red arrows are the Kondo spin moments $\boldsymbol{\tau}$. Light blue ovals represent the local spin singlet on each site. (c) Plot of $|\tilde{S}|$ order parameter (Eq. (<ref>)) along with $\theta$. We also mark the phase transition points obtained by three other methods, effective analytical approach (EAA), quantum Monte Carlo (QMC), and stochastic series expansion (SSE), for comparison.
§ RESULTS
§.§ Ground States in Zero Field
For discussing the phase diagram, we first generalize to the 2D Kondo-necklace XXZ model in the following way:
\begin{equation}
\begin{aligned}
H= \text{cos}\theta\sum_{\langle i,j \rangle}(\boldsymbol{\sigma}_i \cdot \boldsymbol{\sigma}_j)_{\Delta}+\text{sin}\theta\sum_{i}\boldsymbol{\sigma}_i \cdot \boldsymbol{\tau}_i-h\sum_{i}S^z_i,
\end{aligned}
\label{Hamiltonian}
\end{equation}
\begin{equation}
\begin{aligned}
&J=\text{cos}\theta, K=\text{sin}\theta,\\
&(\boldsymbol{\sigma}_i \cdot \boldsymbol{\sigma}_j)_{\Delta}=\sigma^x_i\sigma^x_j+\sigma^y_i\sigma^y_j+\Delta\sigma^z_i\sigma^z_j.
\end{aligned}
\label{Hamiltonianxxz}
\end{equation}
When $\Delta$=$1$ in Eq. (<ref>), Eq. (<ref>) reverts to the ordinary Kondo-necklace model. We utilize iPEPS as the variational ansatz and optimize it for obtaining the ground state using automatic differentiation <cit.>. Properties in the thermodynamic limit can be attained by exploiting the corner transfer matrix renormalization group (CTMRG) <cit.>. In this work, we choose the virtual bond dimension $D=4$ and the dimension for environment tensors $\chi=20$, which are found to be sufficient to obtain the qualitative phase diagram. For demonstration, we perform the bond dimension scaling analysis to check the stability of each phase (see Supplementary Note 1 and Supplementary Figure <ref>).
Further information on the iPEPS method is provided in the Method section. Note that the interlayer coupling between $\tau$ field in Eq. (<ref>) is not included in Eq. (<ref>).
In Fig. <ref>(a), we demonstrate the zero-field phase diagram of 2D Kondo-necklace model ($\Delta$=$1$) with a pie chart. Starting from $\theta$=$0$, we obtain five different phases. In the antiferromagnetic (AFM) phase, the on-site $\boldsymbol{\sigma}$ and $\boldsymbol{\tau}$ moments are antiparallel, while nearest-neighbor $\boldsymbol{\sigma}$ ($\boldsymbol{\tau}$) moments also stay in antiparallel. With increasing $\theta$, ordering of both spin moments disappear and the system enters the spin-singlet phase through a continuous transition, with transition point at $\theta=0.31\uppi$ (recall that this is for $D=4$).
After leaving the first quadrant, the first term in Eq. (<ref>) favors ferromagnetic (FM) due to the sign change from plus to minus. With $\theta$ larger than 0.725$\uppi$ magnetic order continuously appears again but this time nearest-neighbor moments align in parallel, while $\boldsymbol{\sigma}$ and $\boldsymbol{\tau}$ remain antiparallel on each site. Thus we name this phase as $\sigma$-FM.
Entering the third quadrant of the phase diagram, both nearest-neighbor and local coupling terms become FM, leading to the FM phase where all spins align in the same direction. Finally, in fourth quadrant the local coupling term is FM while nearest-neighbor coupling term becomes AFM. As a result, nearest-neighbor spin moments align antiparallel, but on-site moments are in parallel, becoming the $\sigma$-AFM phase. The phase transitions from $\sigma$-FM to FM, from FM to $\sigma$-AFM, and from $\sigma$-AFM to AFM are all of the first order since they break different translational symmetries.
These phase transitions can be described by measuring the following order parameters:
\begin{eqnarray}
\bar{S} &=&\frac{1}{N}\sum_i\langle \boldsymbol{S}_i \rangle,\nonumber \\
\tilde{S}&=&\frac{1}{N}\sum_i (-1)^{i_x+i_y} \langle \boldsymbol{S}_i \rangle,\nonumber \\
S_- &=&\frac{1}{N}\sum_i\langle \boldsymbol{\sigma}_i-\boldsymbol{\tau}_i \rangle
= \langle\boldsymbol{\sigma}\rangle - \langle\boldsymbol{\tau}\rangle.
\label{Sorder}
\end{eqnarray}
Previous studies using an effective analytical approach (EAA) <cit.>, quantum Monte Carlo (QMC) <cit.>, and stochastic series expansion (SSE) <cit.> obtained the transition point from AFM to spin-singlet at $K_\text{c}/J=1.37\approx \text{tan}(0.2993\uppi)$, $K_\text{c}/J=1.3888(1)\approx \text{tan}(0.3013\uppi)$, and $K_\text{c}/J=1.4\approx \text{tan}(0.3026\uppi)$ (at low $T$=$0.05J$), and they are indicated in Fig. <ref>(b). Note that finite $D$ iPEPS tends to overemphasize the order parameter (see for example Figure 8 of the work by Hasik et al. <cit.>) and thus, with higher $D$ we expect that the transition point predicted by iPEPS would get closer to the rest three.
§.§ Field-induced BEC
The spin-singlet ground state near $\theta$=$\frac{\uppi}{2}$ provides a good platform for the field-induced BEC after turning on the magnetic field. To see this, note that the elementary excitations over the singlet ground state are the mobile triplons carrying the quantum numbers $S^z$=$0, \pm 1$. With increasing field strength, the triplon band with $S^z$=$+1$ becomes more favorable and finally crosses the energy of the spin-singlet ground state, leading to the condensation of the $S^z$=$+1$ triplon.
The local densities of triplons can be measured by the following operators:
\begin{align}
\rho_i^\pm \equiv \frac{1}{2}\langle S_i^z(S_i^z\pm 1)\rangle,\quad
\rho_i^0 \equiv \frac{1}{2} \langle \boldsymbol{S}_i^2 \rangle - \langle (S_i^z)^2 \rangle,
\label{rhoall}
\end{align}
where $\rho_i^{\pm}$ and $\rho_i^0$ are densities for the local triplon with $S_i^z$=$\pm 1$ and $S_i^z$=$0$ quantum numbers, respectively. The local density of the singlet is determined by
\begin{eqnarray}
\rho_i^{\rm singlet}=1-(\rho_i^+ +\rho_i^0 +\rho_i^-)=1- \frac{1}{2}\langle\boldsymbol{S}_i^2\rangle.
\end{eqnarray}
Utilizing the triplon density operator $\rho^+_i$, we can define an order parameter as follows:
\begin{equation}
\begin{aligned}
&\tilde{\rho}^+=\frac{1}{2N}\sum_i (-1)^{i_x+i_y} \langle S^z_i(S^z_i+1)\rangle,
\end{aligned}
\label{rhoplus}
\end{equation}
where $|\tilde{\rho}^+|>0$ reflects the translational symmetry breaking. For a complete characterization of the quantum phases, we define the condensate density order parameter that detects the $\text{U}(1)$ symmetry breaking:
\begin{equation}
n_0\equiv \left|\frac{1}{N}\sum_i \langle B^\dagger_i \rangle \right|^2,
\label{n0}
\end{equation}
with $B^\dagger_i\equiv(-1)^{i_x+i_y}(\sigma^+_i-\tau^+_i)$. It is certain that $B_i^\dagger$ is non-trivial under the $\text{U}(1)$ symmetry.
One can also see that its finite value reflects the mixture of the spin singlet and the triplon with $S_i^z$=$+1$, i.e., $|\langle B^\dagger_i \rangle| \propto \sqrt{\rho^+_i\rho^{\text{singlet}}_i}$ that occurs in the field-induced BEC. Recall that the magnetic field favors the $S^z$=$+1$ triplon band, causing the gap closing and eventually crossing with the singlet band.
This fulfills the general understanding of field-induced BEC that after band crossing, $S^z$=$+1$ band and singlet band are hybridized, resulting in BEC.
Field-induced Bose-Einstein condensation for $H_{\text{KN}}$ with $\theta$=$0.4\uppi$. $h$ stands for the strength of field while SSF and FM represent the spin superfluid and ferromagnetic phases distinctly.
$|\tilde{\rho}^+|$ and $n_0$ come from the definition in Eqs. (<ref>) and (<ref>).
The small inset demonstrates $n_0$ for bond dimension $D=4$ and $D=6$ (dimension for environment tensors $\chi=36$) separately near the transition point from spin singlet to SSF. We can see that the transition point is located at around $h=0.58$ from both bond dimensions.
Fig. <ref> displays two order parameters, i.e., $\tilde{\rho}^+$ and $n_0$, as a function of the external field strength at $\theta$=$0.4\uppi$ ($K\approx 3J$).
The spin-singlet phase remains stable before the ground state enters into the SSF phase around $h \approx 0.58$ at which the $S^z$=$+1$ triplon starts condensing. If we further enhance the magnetic field, finally spin moments are fully polarized and the asymptotic FM plateau appears, restoring $\text{U}(1)$ symmetry.
Note that the triplon density remains uniform ($\tilde{\rho}=0$), and both transitions are expected to be continuous due to the $\text{U}(1)$ symmetry breaking.
§.§ Combination of Field and Anisotropy
c]@c@ z-AFM
SS Solid SSF FM
Translation[$\widetilde{\rho}^{+}$] X X X $\bigcirc$ $\bigcirc$
$\text{U}(1)$[$n_0$] $\bigcirc$ X $\bigcirc$ X $\bigcirc$
The symmetry table for each phase.
z-antiferromagnetic, spin supersolid, spin superfluid, and ferromagnetic phases are abbreviated into z-AFM, SS, SSF, and FM separately.
$\bigcirc$ indicates the symmetry is present and X indicates it is broken.
Orders that appear after translation and $\text{U}(1)$ symmetry breaking are also indicated in the brackets and their definitions come from Eqs. (<ref>) and (<ref>).
Notice that the translational symmetry breaking denotes the appearance of spatial inhomogeneity in the triplon density, while the $\text{U}(1)$ symmetry breaking indicates the condensation of the $S^z$=$+1$ triplon.
z-AFM and Solid both possess $\text{U}(1)$ symmetry but have different quantum numbers $S^z$: zero for z-AFM while 0.5 for Solid. It is clear to see from the symmetry that a first-order transition takes place at the phase boundary between Solid and SSF, where phases break distinct symmetries on different sides.
In the previous sections we have demonstrated the phase diagram for the 2D Kondo-necklace model in zero field and the field-induced BEC out of the spin-singlet phase due to the magnetic field.
We expect a richer phase structure may emerge by introducing the XXZ anisotropy in the Heisenberg interaction. In the boson language, $\sigma_i^z \sigma_j^z$ is mapped to the repulsive interaction between neighboring bosons.
While the interaction prefers the density wave or low density of triplons, the external field stabilizes dense populations of the $S^z$=$+1$ triplon. Indeed, we find that these competing effects give rise to various quantum phases, and the phase diagram is presented in Fig. <ref>(a).
To characterize the phases, we also show the order parameters in Fig. <ref>(b) as a function of $h$ at $\Delta$=$3$.
In the absence of the field, the strong anisotropy results in a trivial magnetic state, named after z-antiferromagnetic (z-AFM) state, out of the spin-singlet phase where $\boldsymbol{\sigma}$ spins form the Néel configuration in $\hat{z}$ direction, and $\boldsymbol{\tau}$ spins align antiparallel to the on-site $\boldsymbol{\sigma}$ spin as well. The spin-singlet and z-AFM phases share the same $\text{U}(1)$ quantum number while the uniformity of the triplon density breaks seemingly continuously, suggesting the continuous transition (see Supplementary Note 1 and Supplementary Figure <ref>(b)).
On the other hand, as increasing $\Delta$ or the repulsive interaction between triplons, the system evolves into a Solid phase out of the SSF phase in a wide region of the phase diagram. Note that the Solid phase is characterized by a checkerboard pattern of the $S^z$=$+1$ triplon density with a fractional number per unit cell, i.e., $\rho^+$=$1/2$ in Fig. <ref>(b), which resembles the Mott phase for a fermionic system with large Hubbard $U$ interaction. Otherwise this is a factional magnetization plateau phase in the spin language. If we further enhance the strength of external field, the Solid state will melt down and SSF appears again. The first-order transition between Solid and SSF phases can be likely described by the XXZ model on the square lattice, which serves as the leading order mapping from the original Hamiltonian <cit.>.
It is worth noting that the $S^z$=$-1$ triplon is completely suppressed, i.e., $\rho^-$=$0$ throughout the phase (See Supplementary Note 1 and Supplementary Figure <ref>(a)). We also find that a spin supersolid phase, which breaks both the uniformity of the triplon density and $\text{U}(1)$ symmetry simultaneously, appears in a narrow region indicated in Fig. <ref>(a) and (b). The strong repulsive interaction introduced by XXZ anisotropy stabilizes the density wave of condensed triplons and thus gives rise to the translational symmetry breaking out of the SSF. The density wave is characterized by a $(\uppi,\uppi)$ wave vector. In order to visualize each phase, we illustrate the order parameters schematically in Fig. <ref>(c), and summarize the symmetry properties of phases in Table <ref>.
The full phase diagram and detailed patterns. (a) The anisotropy-field phase diagram for 2D XXZ Kondo necklace model with $\theta$=$0.4\uppi$ ($J\cong0.31$ and $K\cong 0.95$), as defined in Eqs. (<ref>) and (<ref>). $h$ stands for the field strength and $\Delta$ represents the strength of XXZ anisotropy.
z-antiferromagnetic, spin supersolid, spin superfluid, and ferromagnetic phases are abbreviated into z-AFM, SS, SSF, and FM separately. The SS phase lies in the range $0.57 \lesssim h\lesssim 0.7$ with $\Delta\gtrsim 2$. Filled and empty circles indicate the first-order and second-order phase boundaries, separately. A more careful investigation for those continuous boundaries is non-trivial and thus we will leave it for the future works. (b) Order parameters along with $h$ for $\Delta$=$3$. Their definitions can be found in Eqs. (<ref>), (<ref>), and (<ref>).
The inset shows the results obtained using bond dimension $D$=$5$ (dimension for environment tensors $\chi$=$25$), focusing on the SS area.
(c) The triplon configurations for each phase. Within the $2\times 2$ unit cell, the size of each lattice site stands for the magnitude of $\rho^+_i$. Blue (white) color reflects nonzero (zero) condensation ($n_0$). Here, with blue color it indicates $\text{U}(1)$ symmetry breaking.
Note that for z-AFM, $\rho^+_i$ has a checkerboard pattern with a small but nonzero on-site magnitude, and thus the homogeneity is broken and we have small but nonzero $\tilde{\rho}^+$ order.
§ RELATION TO BNOAS
Our work was stimulated by the report of the BNOAS insulator built on a $\text{d}^8$ Ni ion.
It was noted in the Introduction that the Kondo sieve model is the minimal spin Hamiltonian for BNOAS, which is three-dimensional (3D) but contains a layered structure. While one needs interlayer interaction for the 3D coupling, our 2D Kondo necklace model is expected to establish the underlying intralayer behavior. In addition, this is a good platform for study of the field-induced magnetic orders, besides the well-known examples $\text{TlCuCl}_3$ or $\text{SrCu}_2\text{(BO}_3\text{)}_2$. More interestingly, by introducing the symmetry required XXZ anisotropy the field-induced spin supersolid can be realized. Note that realization of supersolids has been an active research topic and recently reported to arise from a BEC made of dipolar atoms <cit.>. However, such realization with the cold-atom equipment is not an easy task and therefore we do not have many examples so far, considering the date when this concept of supersolid was first proposed <cit.>.
As suggested by Ng and Lee <cit.>, instead of searching for a supersolid phase at very low temperature, magnetic materials with spin singlets in their ground states provide a more promising scenario for its formation. For BNOAS, the “local” spin and “Kondo” spin moments both arise from electrons in $\text{e}_\text{g}$ subshell of Ni. Earlier work had revealed that the Ni ion in 2D materials is suitable for the study of XXZ-type antiferromagnetism <cit.>. These discoveries indicate that BNOAS has the potential to serve as a good platform for the realization of a spin supersolid phase, making it worthwhile to study BNOAS further, theoretically and experimentally, and exploit more of its underlying physics.
As mentioned by Jin et al. <cit.>, doping electrons into BNOAS leads towards the region of possible high-$\text{T}_\text{c}$ superconductivity, considering the similarities to hole-doped cuprates and Sr-doped $\text{NdNiO}_2$ superconductors <cit.>. In addition, the spin-singlet state serves as another scenario – an unusual one – for a self-doped Mott insulator <cit.>. For $\text{Nd}_{1-x}\text{Sr}_x\text{NiO}_2$, the sparse Nd $5\text{d}$ conduction carriers may couple with Ni $3\text{d}_{\text{x}^2-\text{y}^2}$ electrons to form Kondo singlets dynamically. Such singlets will suppress the AFM order, leading to a paramagnetic ground state which can be metallic. For BNOAS, on the other hand, without doping we have a magnetically inert ground state with a Kondo singlet occupying every site. Because of the hard-core nature of the on-site singlets, it should be an insulator. Upon doping BNOAS, however, the singlet density decreases while the long-range AFM order is hindered unless a high doping level. Therefore, with an intermediate doping level we suggest that a insulator-metal transition could also take place.
Extending these similarities, this self-doped superconducting transition can be modeled by a $t-J$-like Hamiltonian <cit.>. Here we propose an effective Hamiltonian for describing the microscopic mechanism for electron-doped BNOAS. We start from the $3\text{d}_{\text{x}^2-\text{y}^2}$ spins ($\boldsymbol{\sigma}$) and adopt an anisotropic $t-J$ model
\begin{equation}
\begin{aligned}
H_\text{J}=&-t\sum_{\langle ij \rangle \alpha}\text{P}_\text{G}(c^\dagger_{i\alpha}c_{j\alpha}+\text{H.C.})\text{P}_\text{G}+J\sum_{\langle ij \rangle}(\boldsymbol{\sigma}_i\cdot\boldsymbol{\sigma}_j)_{\Delta},
\end{aligned}
\label{HamiltonianJ}
\end{equation}
where $c_{i\alpha}$ ($c^\dagger_{i\alpha}$) is the annihilation (creation) operator for $3\text{d}_{\text{x}^2-\text{y}^2}$ electrons with $\alpha=\uparrow,\downarrow$ and H.C. denotes the Hermitian conjugate. The spin operator is connected to fermionic operator by $\sigma^\beta_i=\frac{1}{2}\sum_{\alpha,\alpha'}c^\dagger_{i\alpha}\rho^\beta_{\alpha,\alpha'}c_{i\alpha'}$ where $\rho^\beta$ is the Pauli matrix with $\beta$=$x,y,z$. $\text{P}_\text{G}$=$\Pi_i(1-n_{i\uparrow}n_{i\downarrow})$, with $n_{i\alpha}$=$c^\dagger_{i\alpha}c_{i\alpha}$, is the Gutzwiller projection operator to prevent the double occupancy on each site <cit.>. Longer-range hopping can be also included to better explain experimental observations but here we only demonstrate the nearest-neighbor hopping. While the $t-J$ model is often applied for hole-doped cuprate superconductors, its electron-doped counterpart only requires a sign change for the hopping constant due to the particle-hole transformation and thus we can directly borrow the same form here <cit.>. Additionally, to preserve the degree of freedom for the XXZ anisotropy, we retain the form of $($ $\cdot$ $)_{\Delta}$ for the superexchange term.
The $3\text{d}_{\text{z}^2}$ spin $\boldsymbol{\tau}$ is coupled to the $\text{d}_{\text{x}^2-\text{y}^2}$ spin $\boldsymbol{\sigma}$ and can be described by $H_\text{K}$:
\begin{equation}
\begin{aligned}
\end{aligned}
\label{HamiltonianK}
\end{equation}
The final effective Hamiltonian is the sum
$H_{\text{eff}}=H_\text{J}+H_\text{K}$. This Hamiltonian, which we call the $t-J-K$ model, can be numerically solved with various methodologies <cit.> by Monte Carlo <cit.> or renormalized mean-field theory <cit.> besides tensor network, for its properties in real and momentum space. Thus, we consider this to be our future challenge.
§ SUMMARY
In this work, we make use of iPEPS, a 2D tensor network ansatz, to solve the Kondo necklace model in two dimensions. Without the XXZ anisotropy, we obtain the zero-field phase diagram and locate the region of spin-singlet formation. Upon turning on an external magnetic field, the spin-singlet phase goes through a phase transition into spin superfluid, a well-known phenomenon called field-induced BEC. By adding XXZ anisotropy, we argue that now the triplet state with $S^z$=$0$ is more favorable and closes the gap with large enough anisotropy $\Delta$. With external field, an exotic spin supersolid phase appears between two magnetization plateaus. We provide a $\Delta$-$h$ phase diagram and relocate the region where we believe spin supersolid can be realized with 2D Kondo-necklace model.
Since BNOAS has (weakly) coupled infinite-layer nickelate planes, and adopting the 3D Kondo sieve model to be its effective Hamiltonian, we expect such field-induced BEC/spin supersolid phases can be realized within its nickel-oxide layer. For a more careful investigation in the future we will consider probing the continuous phase boundaries in more detail for their universality class or critical exponents. Moreover, QMC provides another useful tool, especially for finite temperature. Such thermalization can also be approached by iPEPS through a purification process and it shows good consistency with QMC <cit.>. It will be of great interest to study the thermal properties of Kondo-necklace model with both numerical techniques and thus one of our future works.
Looking toward extending theoretical work, we propose an effective $t-J-K$ Hamiltonian that can be used to describe the potential superconductivity arising in BNOAS after doping. Further studies for this effect from both experimental and theoretical sides are again of great interest and regarded as our future goal, too.
§ ACKNOWLEDGEMENT
W.-L.T. thanks the computational resources provided by the Kawashima research group from the Institute for Solid State Physics (ISSP), University of Tokyo. Many important inspirations were triggered during the International Workshop on Quantum Magnets in Extreme Conditions organized by ISSP on March 22-26, 2021.
This work was supported by National Research Foundation (NRF) of Korea under the grant numbers NRF-2020R1I1A3074769 (H.-Y.L. and W.-L.T.), NRF-2019R1A2C1009588 (K.-W.L.) and NRF-2020R1A4A3079707, NRF-2021R1A2C4001847 (E.-G.M.). H.-Y.L. was also supported by Basic Science Research Program of NRF funded by the Ministry of Education (MOE) of Korea (2014R1A6A1030732). This research was partially supported by the Fostering Outstanding Universities for Research (BK21 FOUR) project funded by the MOE and NRF of Korea.
W.E.P. acknowledges supported from National Science Foundation Grant No. DMR 1607139.
§ METHODS
Some basics for the infinite projected entangled-pair state ansatz. (a) The four bulk tensors $a_1$, $a_2$, $a_3$, and $a_4$, with bond dimension $D$ for each bond. After tracing out the physical bonds with their complex-conjugate tensors ($a_1^\dagger$ to $a_4^\dagger$), we obtain the double-layered tensors $A_1$, $A_2$, $A_3$, and $A_4$ shown in (b). Along with eight edge tensors ($\textsl{T}_1$ to $\textsl{T}_8$) and four corner tensors ($\textsl{K}_1$ to $\textsl{K}_4$), the norm of ansatz, $\langle \Psi|\Psi\rangle$, can be calculated as the tensor graph in (b). The black thick bond is of dimension $D^2$ while dotted bonds have dimension $\chi$. Area enclosed by red dashed line is the unit cell. In (c) we demonstrate the computation graph for the GS energy $E_{\text{GS}}$ from the initial input to be the bulk tensors. CTMRG stands for the corner transfer matrix renormalization group and RDM refers to the reduced density matrix. H is our target Hamiltonian.
§.§ Infinite Projected Entangled-pair State
In this work we adopt the 2D tensor network ansatz, the infinite projected entangled-pair states, for our calculation. The iPEPS tensor network ansatz is an effective numerical method for dealing with various quantum problems in two dimensions <cit.>. It has many merits that some other numerical techniques do not have, such as its attainability to the thermodynamic limit and freedom from the vicious sign problem in quantum Monte Carlo. This ansatz contains two parts, the bulk tensors and environmental tensors for achieving the infinite size. Technically, the number of bulk tensors can be freely chosen, but a better choice will allow it to be able to reflect the real space modulation for the ground state (GS). Thus, as shown in Fig. <ref>(a), in this work we apply a $2\times 2$ unit cell for the bulk (we have also tried other bulk sizes, see Supplementary Note 2), meaning that there are four different rank-5 bulk tensors from $a_1$ to $a_4$. For each tensor it has four virtual bonds (bonds that connect nearby tensors), capturing the entanglement between site to site, with bond dimension $D$. The remaining one leg of each tensor, connecting $a_n$ and $a_n^\dagger$, is the physical bond with dimension $d$, equal to the dimension of local Hilbert space. The accuracy of iPEPS method is determined by the bond dimension since larger $D$ captures better the entanglement among sites. For critical systems we usually need a larger $D$ since the correlation length diverges. However, for quantum states that are less entangled, such as the scenario in this work, we have finite correlation length and thus the results remain nearly the same after an adequate $D$.
With bulk tensors, the iPEPS ansatz is complete with the environmental tensors in Fig. <ref>(b), where rank-2 $\textsl{K}_n$ tensors stand for the corners and rank-3 $\textsl{T}_n$ tensors for the edges ($n=1$ to 4). $A_1$ to $A_4$ tensors correspond to double-layered tensors by tracing out the physical bonds, as indicated in Fig. <ref>(a). The dimension for K tensors is $\chi\cdot\chi$ while for T tensors it is $D^2\cdot\chi\cdot\chi$. The environmental tensors can be obtained from double-layered tensors through a process called CTMRG <cit.>. With corner and edge tensors, not only can we extrapolate the system size to the infinity, but the physical observables can also be calculated by constructing the corresponding reduced density matrix.
§.§ Tensor optimization
With the structure of iPEPS explained above, next we discuss how to optimize this ansatz. Since the environmental tensors come from bulk tensors, the number of variational parameters is decided by the bulk. Thus, optimization of iPEPS concerns the optimization of the bulk tensor. Traditionally, people make use of the technique called simple or full update based on the imaginary-time evolution for the optimization <cit.>. On the other hand, as a variational ansatz, a direct minimization of GS energy by changing variational parameters systematically based on the gradients might be a more direct approach. Nonetheless, it is not an easy job to evaluate the energy gradient of each variational parameter <cit.>.
Recently, a new way of calculating such energy gradients has been proposed by using the technique called automatic differentiation <cit.>. It is a numerical way of evaluating function derivatives to machine precision and is often applied for updating the neural network for machine learning <cit.>. The idea of automatic differentiation is based on the chain rule: it assumes that a numerical function is composed of elementary operations (addition, subtraction, multiplication, and division) and functions ($\mathrm{sin}$, $\mathrm{log}$, etc.), irrespective of the complexity. For a given function, we can visualize its computation process by constructing a computation graph composed of individual nodes, where each node represents a intermediate result. The inputs will go through the computation graph and produce the final output. Later, by applying the forward or backward propagation, we are able to obtain the derivatives of the outputs with respect to each input.
§.§ Working Flow
Since our problem here has multiple inputs (tensor elements as the variational parameters) and only one output (GS energy, $E_{\text{GS}}$), it is more natural to apply the backward mode. First we need to record down the computation graph from initial bulk tensors to the final energy, as demonstrated in Fig. <ref>(c). Starting from bulk tensors, we can construct double-layered tensors and initial environmental tensors ($\textsl{K}^0$ and $\textsl{T}^0$). By applying CTMRG until convergence, we obtain the effective final environment with $\textsl{K}^N$ and $\textsl{T}^N$. Then we construct the reduced density matrix with bulk tensors and environment. Finally, along with the Hamiltonian, we can compute $E_{\text{GS}}$. After completing one computation flow (one epoch), the energy gradients are evaluated by backward propagation, and we make use of these gradients to update the tensor elements with a desired degree (learning rate). After a large enough number of epochs, the energy converges and we obtain a good GS ansatz for further calculation of physical observables, or for other investigations of the mother Hamiltonian. Please refer to the open repository by Hasik et al. <cit.> for a practical package of this iPEPS method with automatic differentiation.
§ DATA AVAILABILITY
The authors declare that the main data supporting the findings of this study are available within the article and its Supplementary Discussion. All relevant data in this paper are available from the authors upon reasonable request. An open access repository for the basic codes of iPEPS and datasets is available at https://doi.org/10.5281/zenodo.6420017.
| arxiv-papers | 2021-07-26T03:20:03 | 2024-09-04T03:07:17.502290 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Wei-Lin Tu, Eun-Gook Moon, Kwan-Woo Lee, Warren E. Pickett, and\n Hyun-Yong Lee",
"submitter": "Wei-Lin Tu",
"url": "https://arxiv.org/abs/2107.11936"
} |
2107.11938 | # Wavefront’s stability with asymptotic phase in the delayed monostable
equations
Abraham Solar DMFA, Universidad Católica de la Santísima Concepción,
Concepción, Chile [email protected] and Sergei Trofimchuk Instituto de
Matemática, Universidad de Talca, Casilla 747, Talca, Chile trofimch@inst-
mat.utalca.cl
(Date: July 24, 2021 and, in revised form… )
###### Abstract.
We extend the class of initial conditions for scalar delayed reaction-
diffusion equations $u_{t}(t,x)=u_{xx}(t,x)+f(u(t,x),u(t-h,x))$ which evolve
in solutions converging to monostable traveling waves. Our approach allows to
compute, in the moving reference frame, the phase distortion $\alpha$ of the
limiting travelling wave with respect to the position of solution at the
initial moment $t=0$. In general, $\alpha\not=0$ for the Mackey-Glass type
diffusive equation. Nevertheless, $\alpha=0$ for the KPP-Fisher delayed
equation: the related theorem also improves existing stability conditions for
this model.
###### Key words and phrases:
Monostable equation, delay, traveling front, non-monotone response
###### 2020 Mathematics Subject Classification:
Primary 35C07, 35R10; Secondary 35K57
This work was supported by FONDECYT (Chile), projects 11190350 (A.S.), 1190712
(S.T.).
## 1\. Introduction: main results and applications
The previous studies (e.g. see [2, 3, 10, 14]) show that both minimal and non-
minimal positive traveling waves111By definition, the profile $\phi$ should
satisfy $\phi(-\infty)=0,$ $\liminf_{t\to+\infty}\phi(t)>0$,
$\sup_{t\in{\mathbb{R}}}\phi(t)<\infty$. $u(t,x)=\phi(x+ct)$ for the
monostable delayed reaction-diffusion equation
(1.1) $u_{t}(t,x)=u_{xx}(t,x)+f(u(t,x),u(t-h,x)),\quad t>0,\
x\in{\mathbb{R}},$
attract solutions222We assume everywhere that (i) $u_{0}(s,x)$ is bounded,
globally Lipschitz continuous in $x$ (uniformly in $s$) and (ii) the solution
$u(t,x)$ exists globally and is bounded on the strips
$[0,n]\times{\mathbb{R}},n\in{\mathbb{N}}$. Note that (ii) is satisfied
automatically for both models (KPP-Fisher and Nicholson’s) of the paper.
$u(t,x)$ whose initial segments $u_{0}(s,x)$ have the same leading asymptotic
terms at $x=-\infty$ as the shifted wave $\phi(x+cs)$, for all $s\in[-h,0]$.
The latter assumption implies that, for some positive $A_{0}$,
(1.2) $\lim_{x\to-\infty}\frac{u_{0}(s,x)}{\phi(x+cs)}=A_{0},\quad
s\in[-h,0].$
This observation concerns so-called pulled waves for equation (1.1) and smooth
traveling waves for delayed degenerate reaction-diffusion equations [8]. The
pushed and bistable waves have better stability properties [11, 12] and they
are not considered in this work.
Condition (1.2) seems to be excessively restrictive: for example, it excludes
initial segments asymptotically similar, in the spirit of (1.2), to
$\phi(x+\alpha(s)),$ $s\in[-h,0]$, with nonlinear shift $\alpha(s)$. This
circumstance is irrelevant for the non-delayed equations when $h=0$, however,
in the delayed case it restricts severely the range of possible applications.
Analysing this problem, in [11, Corollary 1] we have shown, under a quasi-
monotonicity condition on $f$, that the existence of the limit
(1.3) $\lim_{x\to-\infty}\frac{u_{0}(s,x)}{\phi(x+cs)}=A_{0}(s)>0,\quad
s\in[-h,0],$
with some continuous function $A_{0}(s)$ implies that solution $u(t,x)$
evolves in the middle of two shifted traveling waves constituting the lower
bound $u_{-}(t,x)=\phi(x+ct+a_{-}),$ and the upper bound
$u_{+}(t,x)=\phi(x+ct+a_{+})$. Condition (1.3) is easily verifiable. Indeed,
it is well known that under some natural restrictions (tacitly assumed in this
work) so-called non-critical waves have the following asymptotic
representation after an appropriate translation of the time variable:
(1.4) $\displaystyle\phi(t)$ $\displaystyle=$ $\displaystyle
e^{\lambda_{1}t}+e^{(\lambda_{1}+\sigma)t}r_{1}(t),\
\lambda_{1}+\sigma<\lambda_{2},$ $\displaystyle\phi^{\prime}(t)$
$\displaystyle=$
$\displaystyle\lambda_{1}e^{\lambda_{1}t}+e^{(\lambda_{1}+\sigma)t}r_{2}(t),\quad\quad
t\in{\mathbb{R}}.$
Here $\sigma$ is a positive number, $r_{1},\,r_{2}$ are smooth bounded
functions and $0<\lambda_{1}<\lambda_{2}$ are zeros of the characteristic
function $\chi_{0}(z)=z^{2}-cz+f_{1}(0,0)+f_{2}(0,0)e^{-zch}$. In the paper,
$f_{j}(u,v)$ denotes the partial derivative of $f$ with respect to $j$-th
argument. We will assume that $f_{j}(u,v)$ are locally Lipschitz continuous
functions.
A potential possibility that solution $u(t,x)$ can develop non-decaying
oscillations between the waves $u_{+}(t,x)$ and $u_{-}(t,x)$ was not discarded
in [11]. Another question left open in [11] is whether such $u(t,x)$ converges
to the traveling wave in form and in speed [9, 13], i.e. whether there exists
a function $\beta(t)$ such that $\beta(t)/t\to c$ and
$u(t,x-\beta(t))\to\phi(x)$ as $t\to+\infty$, uniformly on subsets
$(-\infty,n],$ $n\in{\mathbb{N}}$. In this work, we answer both questions
under rather realistic assumptions specified below.
Actually, assuming (1.3), we prove that the solution $u(t,x)$ converges to a
shifted wave $\phi(x+ct+a_{*})$, where $a_{*}$ is completely determined by the
function $A_{0}(s)$:
(1.5) $a_{*}=\frac{1}{\lambda_{1}}\ln A_{\infty},\quad\mbox{where}\
A_{\infty}:=\frac{A_{0}(0)+q\int_{-h}^{0}A_{0}(s)ds}{1+qh},\
q:=\frac{f_{2}(0,0)e^{-\lambda_{1}ch}}{\lambda_{1}}.$
We obtain $A_{\infty}$ as the limit value at $+\infty$ of the solution $A(t),\
t\geq 0$, to the initial value problem $A(s)=A_{0}(s)>0,$ $s\in[-h,0]$, for
the monotone scalar delay differential equation
(1.6) $\displaystyle A^{\prime}(t)$ $\displaystyle=$ $\displaystyle
q\left(A(t-h)-A(t)\right),\quad\quad t\geq 0.$
Indeed, it is clear that $A(t)>0$ for all $t\geq-h$. Since the characteristic
equation $z+q=qe^{-zh}$ for equation (1.6) with $f_{2}(0,0)>0$ has a unique
simple real root $z=0$, other (complex) roots $z_{j}$ satisfying the
inequality ${\mathbb{R}}e\,z_{j}<0$ (see Appendix), there are real numbers
$A_{\infty}\geq 0$ and $d<0$ (cf. [1, Theorem 3.2]) such that
(1.7) $|A(t)-A_{\infty}|\leq e^{dt},\quad t\geq 0.$
By integrating (1.6) on ${\mathbb{R}}_{+}$, we find that
$A_{\infty}(1+qh)=A_{0}(0)+q\int_{-h}^{0}A_{0}(s)ds>0.$
Now, (1.3), (1.4) imply that the initial function $u(s,x)$ evaluated at the
moment $s=0$ behaves as $\phi(x+a_{0}),$ where $a_{0}=\ln
A_{0}(0)/\lambda_{1}$. Therefore the total traveled distance $\delta_{a}$
between the initial (at the moment $t=0$) and final (as $t\to+\infty$)
positions of the solution in the moving reference frame is
$\delta_{a}=a_{*}-a_{0}=\frac{1}{\lambda_{1}}\ln\frac{1+q\int_{-h}^{0}A_{0}(s)/A_{0}(0)ds}{1+qh}.$
Note that the function $A(t)$ and $\delta_{a}$ are completely determined by
the speed $c$, the initial values $A_{0}(s)$ and the partial derivatives
$f_{1}(0,0),f_{2}(0,0)$. They do not depend on other characteristics of
solution $u(t,x)$ and wavefront $\phi(x+ct)$, including their bounds
$M_{1}\leq M_{3}\in{\mathbb{R}}\cup\\{+\infty\\},$ $M_{2}\leq 0$,
$0\leq\phi(x)\leq M_{1},\quad M_{2}\leq u(t,x)\leq
M_{3},\qquad(t,x)\in[-h,+\infty)\times{\mathbb{R}},$
and associated parameters $L_{2}\geq f_{2}(0,0)\geq 0$ and $D\in{\mathbb{R}}$
chosen to satisfy
$|f(w,v_{1})-f(w,v_{2})|\leq
L_{2}\,|v_{1}-v_{2}|,\quad\quad(w,v_{1},v_{2})\in[0,M_{1}]\times[M_{2},M_{3}]^{2},$
$D=\inf_{(w_{1},w_{2},v)\in[M_{2},M_{3}]^{3},w_{1}\not=w_{2}}\frac{f(w_{1},v)-f(w_{2},v)}{w_{2}-w_{1}}.$
###### Remark 1.1.
Clearly, $D=1$ for the Mackey-Glass type nonlinearity $f(w,v)=-w+b(v)$.
Considering monotone wavefronts for the KPP-Fisher delayed equation [2, 4, 5,
6], when $f(w,v)=w(1-v)$, we find that $L_{2}=M_{1}=1$, $M_{3}=+\infty$. In
the general case of non-monotone waves for the latter equation, we can take
$L_{2}=M_{1}=e^{ch}$, $M_{3}=+\infty$, cf. [2]. In both cases (monote and non-
monotone), we have that
$D=\inf_{(t,x)\in[0,+\infty)\times{\mathbb{R}}}u(t,x)-1.$ Hence, if $u_{0}\geq
0=M_{2}$ then $D=-1$.
First, we consider an easier situation when $f_{2}(0,0)>0$.
###### Theorem 1.2.
Assume that $f_{2}(0,0)>0$ and
(1.8) $\displaystyle\lambda^{2}-c\lambda-D-\gamma+L_{2}e^{-\lambda
ch}e^{-\gamma h}<0,$
for some $\lambda\in(\lambda_{1},\min\\{2\lambda_{1},\lambda_{2}\\})$ and
$\gamma\in(d,0)$. If, in addition, $u_{0}(s,x)$ verifies
(1.9) $\displaystyle|u_{0}(s,x)-\phi(x+cs+\alpha_{0}(s))|\leq Ke^{\lambda
x},\qquad(s,x)\in[-h,0]\times{\mathbb{R}},$
then, for some $K^{\prime}\geq K$, solution $u(t,x)$ of (1.1) with the initial
function $u_{0}$ satisfies
(1.10) $\displaystyle\sup_{x\in{\mathbb{R}}}\left(e^{-\lambda
x}|u(t,x-ct-\alpha(t))-\phi(x)|\right)\leq K^{\prime}e^{\gamma t},\quad
t\geq-h.$
Here $A(t)=e^{\lambda_{1}\alpha(t)}$ solves (1.6) with the initial datum
$A_{0}(s)=e^{\lambda_{1}\alpha_{0}(s)},$ $s\in[-h,0]$ so that
$\alpha(+\infty)=a_{*}\in[\min_{[-h,0]}\alpha_{0}(s),\max_{[-h,0]}\alpha_{0}(s)]$
is given by (1.5). Finally, $\delta_{a}=0$ if and only if
$A(0)=(1/h)\int_{-h}^{0}A_{0}(s)ds$.
Next, we consider the ‘degenerate’ situation when $f_{2}(0,0)=0$. From (1.6),
we can expect that $\alpha(t)\equiv\alpha(0)$ for $t\geq 0$. Below, we prove
that this is indeed the case for a class of the KPP-Fisher type
nonlinearities.
###### Theorem 1.3.
Assume that $f(u,v)=g(u)(\kappa-v)$ with $\kappa>0$, $g(0)=0$, that (1.8)
holds for some $\lambda\in(\lambda_{1},\lambda_{2})$ and $\gamma<0$, and that
$u_{0}(s,x)$ satisfies, for some $\lambda^{*}>\lambda_{1}$,
(1.11) $\displaystyle|u_{0}(s,x)-\phi(x+cs+\alpha_{0}(s))|\leq
Ke^{\lambda^{*}x},\qquad(s,x)\in[-h,0]\times{\mathbb{R}}.$
Set $\lambda_{*}=\min\\{\lambda^{*},\lambda,2\lambda_{1}\\}$. If
$\lambda_{*}^{2}-c\lambda_{*}-D-\gamma<0$, then, for some $K^{\prime}\geq K$,
solution $u(t,x)$ of equation (1.1) with the initial function $u_{0}(s,x)$
satisfies
(1.12)
$\displaystyle\sup_{x\in{\mathbb{R}}}\left(e^{-\lambda_{*}x}|u(t,x-ct-\alpha_{0}(0))-\phi(x)|\right)\leq
K^{\prime}e^{\gamma t},\quad t\geq-h.$
Figure 1. On the left: particular solution of (1.6) with $h=1$, $q=19$,
$A_{0}(s)=-s$. Horizontal line is the limit value $A_{\infty}=19/40$. On the
right, the graph of $c=c_{\\#}(h)$ from Corollary 1.5.
Theorems 1.2 and 1.3 say that the evolution of the initial phase deviation
$\alpha_{0}(s)$ is determined by the linear delay differential equation (1.6).
More detailed analysis of the eigenvalues $z_{j}$ to (1.6) (see the Appendix)
allows to have a better idea about the character of convergence of $\alpha(t)$
to its limit $\alpha(+\infty)$. We claim that, in the non-degenerate case
$hf_{2}(0,0)\not=0$, $\alpha_{0}(s)\not\equiv const$, generically $\alpha(t)$
develops ‘rapid’ oscillations around $\alpha(+\infty)$ (these oscillations can
be significant when $q$ is relatively large, see Figure 1). More precisely,
generically $\alpha(t)$ crosses two times the level $\alpha(+\infty)$ on each
half-open interval of the length $h$. Indeed, an application of the Laplace
transform to (1.6) yields the following representation
$A(t)=A_{\infty}+2\real(A_{1}e^{z_{1}t})(1+o(1)),\ \mbox{where}$
$A_{1}(1+h(z_{1}+q))=A_{0}(0)+qe^{-z_{1}h}\int_{-h}^{0}e^{-z_{1}s}A_{0}(s)ds$
with $z_{1}=x_{1}+iy_{1},y_{1}h\in(\pi,2\pi)$, being the leading complex
eigenvalue of (1.6).
In particular, $\alpha(t)$ is typically oscillating in the case of Nicholson’s
diffusive equation [3, 10, 11, 14]
(1.13) $u_{t}(t,x)=u_{xx}(t,x)-u(t,x)+b(u(t-h,x)),\ \ x\in{\mathbb{R}},\quad
b(u)=pue^{-u},\ p>1,$
In such a case, $L_{2}=b^{\prime}(0)=p$, $D=1$, and the solution $u(t,x),$
$t\geq 0,x\in{\mathbb{R}},$ is bounded once its initial fragment $u_{0}(s,x)$,
$s\in[-h,0],x\in{\mathbb{R}},$ is bounded. In addition, the formulae (1.4)
hold for each $c>c_{*}$, where $c_{*}$ is the minimal speed of propagation in
the model. In this way, we obtain the following conclusion:
###### Corollary 1.4.
Let $u=\phi(x+ct)$ be a non-critical wave for the Nicholson’s diffusive
equation. Denote by $u(t,x)$ solution of the initial problem
$u(s,x)=u_{0}(s,x),$ $s\in[-h,0],$ for (1.13) where non-negative function
$u_{0}$ satisfies
(1.14) $\displaystyle|u_{0}(s,x)-\phi(x+cs+\alpha_{0}(s))|\leq Ke^{\lambda
x},\qquad(s,x)\in[-h,0]\times{\mathbb{R}},$
for some $\lambda>\lambda_{1}$. Then there exist
$\mu\in(\lambda_{1},\lambda]$, $\gamma<0$ and $Q\geq K$ such that
$\displaystyle|u(t,x)-\phi(x+ct+\alpha(t))|\leq Qe^{\mu(x+ct)}e^{\gamma
t},\quad x\in{\mathbb{R}},\ t\geq-h.$
The function $\alpha(t)$ is converging at $+\infty$ and generically develops
‘rapid’ oscillations around its limiting value $\alpha(+\infty)$.
Other aforementioned model, the KPP-Fisher delayed equation
(1.15) $u_{t}(t,x)=u_{xx}(t,x)+u(t,x)(1-u(t-h,x)),\ u=u_{0}(s,x),s\in[-h,0],\
x\in{\mathbb{R}},$
has the reaction term satisfying the equality $f_{2}(0,0)=0$. In view of
Theorem 1.3 and Remark 1.1, in the general case of non-monotone waves we have
to consider the domain ${\mathcal{D}}$ (presented on the right panel of Fig. 1
as a strict epigraph for the decreasing function $c=c_{\\#}(h),\ $ $h\geq 0,$
$\ c_{\\#}(0)=2\sqrt{2},\ c_{\\#}(+\infty)=2$),
${\mathcal{D}}=\left\\{(h,c):\lambda^{2}-c\lambda+1+e^{-\lambda ch+ch}<0\
\mbox{for some}\ \lambda\right\\}=\left\\{(h,c):c>c_{\\#}(h),\ h\geq
0\right\\},$
where $c=c_{\\#}(h),\ h\geq 0,$ is defined implicitly by
$-2+\sqrt{c^{4}h^{2}-4c^{2}h^{2}+4}-c^{2}h^{2}\exp(ch\left(1-\frac{c}{2}+\frac{1}{ch}-\sqrt{\frac{c^{2}}{4}+\frac{1}{c^{2}h^{2}}-1}\right))=0.$
Then Theorem 1.3 yields the following conclusion.
###### Corollary 1.5.
Let $u=\phi(x+ct)$ be a traveling wave for KPP-Fisher delayed equation (1.15)
where $(h,c)\in{\mathcal{D}}$. Denote by $u(t,x)$ solution of the initial
problem (1.15) where non-negative function $u_{0}$, satisfies, for some
$\lambda^{*}>\lambda_{1}$,
(1.16) $\displaystyle|u_{0}(s,x)-\phi(x+cs+\alpha_{0}(s))|\leq
Ke^{\lambda^{*}x},\qquad(s,x)\in[-h,0]\times{\mathbb{R}}.$
Then there exist $\mu\in(\lambda_{1},2\lambda_{1})$, $\gamma<0$ and $Q\geq K$
such that
(1.17) $\displaystyle|u(t,x)-\phi(x+ct+\alpha_{0}(0))|\leq
Qe^{\mu(x+ct)}e^{\gamma t},\quad x\in{\mathbb{R}},\ t\geq 0.$
In this way, on the base of an alternative approach, Theorem 1.3 and Corollary
1.5 improve the stability result [2, Theorem 3] in the following two aspects:
a) in Corollary 1.5, the initial phase function $\alpha_{0}(s),s\in[-h,0]$ is
not necessarily constant; b) even if all mentioned results use the same domain
for the admissible parameters $(h,c)$, [2, Theorem 3] assumes additionally
that the exponent $\lambda^{*}$ in (1.16) should be larger than some minimal
value, specific for each pair $(h,c)$. Observe that for the delayed KPP-Fisher
equation it is still not clear whether a) the domain of all admissible
parameters can be extended to the quarter-plane $c\geq 2,h\geq 0$; b) the
estimate (1.17) with the bounded weight $\min\\{e^{\mu x},1\\}$ is true.
## 2\. Proof of Theorem 1.2
The estimation of the auxiliary function
$P=f(\phi(x+\alpha(t)),\phi(x-ch+\alpha(t)))-f(\phi(x+\alpha(t)),\phi(x-ch+\alpha(t-h)))+\alpha^{\prime}(t)\phi^{\prime}(x+\alpha(t))$
is instrumental for proving our first main result.
###### Lemma 2.1.
Assume all conditions of Theorem 1.2. Let $q$ and $d$ be defined by (1.5) and
(1.7), respectively. Then $|P(t,x)|\leq q_{0}\,e^{\lambda x}\,e^{dt}$ for some
$q_{0}\geq 0$ and all $x\in{\mathbb{R}}$, $t\geq 0$.
###### Proof.
We have that
$P(t,x)=\left(\alpha^{\prime}(t)\phi^{\prime}(x+\alpha(t))+f_{2}(0,0)[\phi(x-ch+\alpha(t))-\phi(x-ch+\alpha(t-h))]\right)+$
$\rho[\phi(x-ch+\alpha(t))-\phi(x-ch+\alpha(t-h))]=:{\mathcal{P}}_{1}+{\mathcal{P}}_{2},$
where
$\rho=f_{2}(\phi(x+\alpha(t)),\theta(x,t))-f_{2}(0,0)$
with $\theta(x,t)$ being some point between $\phi(x-ch+\alpha(t))$ and
$\phi(x-ch+\alpha(t-h))$. Since $|f_{2}(u,v)-f_{2}(0,0)|\leq C(|u|+|v|)$ on
the bounded subset $[0,M_{1}]^{2}\subset{\mathbb{R}}_{+}^{2}$ (in this proof,
we are using $C$ as a generic positive constant), we conclude that
$|{\mathcal{P}_{2}}|=|\rho\left[\phi(x-ch+\alpha(t))-\phi(x-ch+\alpha(t-h))\right]|\leq$
$Ce^{\lambda_{1}x}|\phi(x-ch+\alpha(t))-\phi(x-ch+\alpha(t-h))|\leq
Ce^{\lambda_{1}x}e^{\lambda_{1}x+dt}\leq Ce^{\lambda x+dt},\
x\in{\mathbb{R}},\ t\geq 0.$
Next, consider $B(z)=\phi(z)-e^{\lambda_{1}z}$, clearly $B(z)=O(e^{\lambda
z})$ at $z=-\infty$. Then (1.4) and (1.6) imply that
${\mathcal{P}}_{2}=f_{2}(0,0)[\phi(x-ch+\alpha(t))-\phi(x-ch+\alpha(t-h))]+{\alpha}^{\prime}(t)\phi^{\prime}(x+\alpha(t))=$
$e^{\lambda_{1}x}[f_{2}(0,0)(e^{\lambda_{1}(\alpha(t)-ch)}-e^{\lambda_{1}(\alpha(t-h)-ch)})+\lambda_{1}{\alpha}^{\prime}(t)e^{\lambda_{1}\alpha(t)}]+$
$f_{2}(0,0)[B(x-ch+\alpha(t))-B(x-ch+\alpha(t-h))]+{\alpha}^{\prime}(t)r_{2}(x+\alpha(t))e^{(\lambda_{1}+\sigma)(x+\alpha(t))}=$
$f_{2}(0,0)[B(x-ch+\alpha(t))-B(x-ch+\alpha(t-h))]+{\alpha}^{\prime}(t)r_{2}(x+\alpha(t))e^{(\lambda_{1}+\sigma)(x+\alpha(t))}.$
Next, we have that
$|\alpha(t)-\alpha(t-h)|=\lambda_{1}^{-1}\left|\ln\frac{A(t)}{A(t-h)}\right|\leq
C|A(t)-A(t-h)|\leq Ce^{dt},t\geq 0.$
As a consequence,
$|B(x-ch+\alpha(t))-B(x-ch+\alpha(t-h))|\leq Ce^{\lambda x+dt},\qquad
x\in{\mathbb{R}},\ t\geq 0.$
In this way, since
$\alpha^{\prime}(t)=\lambda_{1}^{-1}A^{\prime}(t)/A(t)=O(e^{dt}),\
t\to+\infty,$ we find that $|{\mathcal{P}}_{2}|\leq Ce^{\lambda x+dt},\
x\in{\mathbb{R}},\,t\geq 0.$ The obtained estimates for $|{\mathcal{P}}_{1}|$
and $|{\mathcal{P}}_{2}|$ show that, for some positive constant $q_{0}$,
$|P(t,x)|\leq q_{0}\,e^{\lambda x+dt},\ x\in{\mathbb{R}},\ t>0.$
This completes the proof of Lemma 2.1. ∎
###### Proof of Theorem 1.2.
Set $v(t,x)=u(t,x-ct)$. Then the problem (1.1), (1.9) takes the form
$0=v_{xx}(t,x)-cv_{x}(t,x)-v_{t}(t,x)+f(v(t,x),v(t-h,x-ch)),\quad t>0,\
x\in{\mathbb{R}},$ $|v_{0}(s,x)-\phi(x+\alpha_{0}(s))|\leq Ke^{\lambda
x},\qquad(s,x)\in[-h,0]\times{\mathbb{R}}.$
Take $q_{0}$ as in Lemma 2.1 and let $Q\geq K$ be sufficiently large to
satisfy
$-\lambda^{2}+c\lambda+D-L_{2}\,e^{-\lambda ch}e^{-\gamma
h}-\frac{q_{0}}{Q}+\gamma>0.$
For $\phi(x+\alpha(t))\not=v(t,x)$, set
$d(t,x):=\frac{f(\phi(x+\alpha(t)),v(t-h,x-ch))-f(v(t,x),v(t-h,x-ch))}{\phi(x+\alpha(t))-v(t,x)},$
and for $\phi(x+\alpha(t))=v(t,x)$, set
$d(t,x):=f_{1}(\phi(x+\alpha(t)),v(t-h,x-ch))$.
Then consider the linear differential operator
$\mathcal{L}v=v_{xx}-cv_{x}+d(t,x)v-v_{t}$
and the functions
$\delta_{\pm}(t,x)=\pm[v(t,x)-\phi(x+\alpha(t))]-Qe^{\gamma t}e^{\lambda x}.$
By our assumptions $\delta_{\pm}(t,x)\leq 0$ for
$(t,x)\in[-h,0]\times{\mathbb{R}}$. Let $\Pi=[-h,T]\times{\mathbb{R}}$,
$T\in{\mathbb{R}}_{+}\cup\\{+\infty\\}$, be the maximal strip where
$\delta_{\pm}(t,x)\leq 0$. Clearly, inequality (1.10) is satisfied for all
$(t,x)\in\Pi$. Theorem 1.2 will be proved if we establish that $T=+\infty$.
Suppose for a moment that $T$ is finite. Then we find that, for all
$t\in[T,T+h]$, $x\in{\mathbb{R}}$,
$(\mathcal{L}\,\delta_{\pm})(t,x)=\\{\pm(\mathcal{L}\,v)(t,x)\mp(\mathcal{L}\,\phi(\cdot+\alpha))(t,x)\\}-Q\,(\mathcal{L}e^{\gamma\cdot}e^{\lambda\cdot})(t,x)=$
$\pm\Big{\\{}f(\phi(x+\alpha(t)),\phi(x-ch+\alpha(t)))-f(v(t,x),v(t-h,x-ch))+\alpha^{\prime}(t)\phi^{\prime}(x+\alpha(t))$
$-d(t,x)[\phi(x+\alpha(t))-v(t,x))]\Big{\\}}+Qe^{\lambda x}e^{\gamma
t}[-\lambda^{2}+c\lambda-d(t,x)+\gamma]=$
$\pm[f(\phi(x+\alpha(t)),\phi(x-ch+\alpha(t)))-f(\phi(x+\alpha(t)),\phi(x-ch+\alpha(t-h)))+\alpha^{\prime}(t)\phi^{\prime}(x+\alpha(t))]$
$\pm\\{f(\phi(x+\alpha(t)),\phi(x-ch+\alpha(t-h)))-f(v(t,x),v(t-h,x-ch))-d(t,x)[\phi(x+\alpha(t))-v(t,x)]\\}$
$+Qe^{\lambda x}e^{\gamma t}[-\lambda^{2}+c\lambda-d(t,x)+\gamma]\geq$
$-q_{0}\,e^{\lambda x}e^{\gamma
t}\pm[f(\phi(x+\alpha(t)),\phi(x-ch+\alpha(t-h)))-f(\phi(x+\alpha(t)),v(t-h,x-ch))]+$
$Qe^{\lambda x}e^{\gamma t}[-\lambda^{2}+c\lambda-d(t,x)+\gamma]\geq$
$-q_{0}\,e^{\lambda x}e^{\gamma
t}-L_{2}\,|\phi(x-ch+\alpha(t-h))-v(t-h,x-ch)|+Qe^{\lambda x}e^{\gamma
t}[-\lambda^{2}+c\lambda-d(t,x)+\gamma]=$ $Qe^{\lambda x}e^{\gamma
t}[-\frac{q_{0}}{Q}-L_{2}e^{-\lambda ch}e^{-\gamma
h}-\lambda^{2}+c\lambda+D+\gamma]\geq 0.$
Invoking the Phragmèn-Lindelöf principle at this stage, we conclude that also
$\delta_{\pm}(t,x)\leq 0$ for all $t\in[T,T+h]$, $x\in{\mathbb{R}}$. This
contradicts the maximality of the strip $\Pi$ and completes the proof of the
theorem. ∎
## 3\. Proof of Theorem 1.3
The change of variables $v(t,x)=u(t,x-ct)$ transforms (1.1), (1.11) into
$0=v_{xx}(t,x)-cv_{x}(t,x)-v_{t}(t,x)+f(v(t,x),v(t-h,x-ch)),\quad t>0,\
x\in{\mathbb{R}},$ $|v_{0}(s,x)-\phi(x+\alpha_{0}(s))|\leq
Ke^{\lambda^{*}x},\qquad(s,x)\in[-h,0]\times{\mathbb{R}}.$
Without loss of generality, we can assume that $\alpha_{0}(0)=0$. Our first
goal is to obtain a similar estimate for $t\in[0,h]$: we will prove that, for
some $K_{1}\geq K$,
(3.1) $\displaystyle|v(t,x)-\phi(x)|\leq
K_{1}e^{\lambda_{*}x},\qquad(t,x)\in[0,h]\times{\mathbb{R}}.$
Indeed, the difference $w(t,x)=v(t,x)-\phi(x)$ solves the following linear
inhomogeneous equation
$\displaystyle w_{t}(t,x)$ $\displaystyle=$ $\displaystyle
w_{xx}(t,x)-cw_{x}(x,t)+a(t,x)w(t,x)+b(t,x),\ \ t\in[0,h],\,x\in{\mathbb{R}},$
$\displaystyle w(s,x)$ $\displaystyle=$ $\displaystyle
w_{0}(s,x):=v_{0}(s,x)-\phi(x),\qquad(s,x)\in[-h,0]\times{\mathbb{R}},$
where
$a(t,x)=\int_{0}^{1}f_{1}(sv(t,x)+(1-s)\phi(x),sv(t-h,x-ch)+(1-s)\phi(x-ch))ds$
$b(t,x)=-w(t-h,x-ch)\int_{0}^{1}g(sv(t,x)+(1-s)\phi(x))ds$
are Lipschitz continuous functions. Invoking the standard representation
formula for the solution of the above Cauchy problem (see [7, Theorem 12]), we
find that, for $(t,x)\in[0,h]\times{\mathbb{R}}$ it holds
$\displaystyle
w(t,x)=\int_{{\mathbb{R}}}\Gamma(t,x;0,\xi)w(0,\xi)d\xi+\int_{0}^{t}\int_{{\mathbb{R}}}\Gamma(t,x;\tau,\xi)b(\tau,\xi)d\xi
d\tau,$
where $\Gamma(t,x;\tau,\xi)$ is the fundamental solution for the respective
homogeneous equation. Using the estimates (for the first one, see inequality
(6.12) on p. 24 of [7])
$|\Gamma(t,x;\tau,\xi)|\leq\frac{C}{\sqrt{t-\tau}}e^{-\frac{k(x-\xi)^{2}}{4(t-\tau)}},\quad
x,\xi\in{\mathbb{R}},\ t>\tau,\ t,\tau\in[0,h],$ $|b(\tau,\xi)|+|w(0,\xi)|\leq
Ce^{\lambda_{*}\xi},\qquad(\tau,\xi)\in[0,h]\times{\mathbb{R}},$
where $C>0$ and $k\in(0,1)$ are some constants, we obtain, with some
$C^{\prime}>0$, that
$e^{-\lambda_{*}x}|\int_{{\mathbb{R}}}\Gamma(t,x;0,\xi)\,w(0,\xi)d\xi|\leq\int_{{\mathbb{R}}}\frac{C^{2}}{\sqrt{t}}e^{-\frac{k(x-\xi)^{2}}{4t}}e^{-\lambda_{*}(x-\xi)}d\xi=$
$=2C^{2}\int_{{\mathbb{R}}}e^{-ks^{2}}\,e^{2\lambda_{*}s\sqrt{t}}ds\leq
C^{\prime},\quad t\in[0,h],\ x\in{\mathbb{R}},$
$e^{-\lambda_{*}x}\left|\int_{0}^{t}\int_{{\mathbb{R}}}\Gamma(t,x;\tau,\xi)b(\tau,\xi)d\xi
d\tau\right|\leq
e^{-\lambda_{*}x}\left|\int_{0}^{t}\int_{{\mathbb{R}}}\frac{C^{2}}{\sqrt{t-\tau}}e^{-\frac{k(x-\xi)^{2}}{4(t-\tau)}}e^{\lambda_{*}\xi}d\xi
d\tau\right|=$
$|\int_{0}^{t}\int_{{\mathbb{R}}}\frac{C^{2}}{\sqrt{t-\tau}}e^{-\frac{k\xi^{2}}{4(t-\tau)}}e^{\lambda_{*}\xi}d\xi
d\tau|<C^{\prime},\quad t\in[0,h],\ x\in{\mathbb{R}}.$
Then (3.1) follows from these inequalities.
Next, take a sufficiently large negative number $x_{*}$ to have
$|g(\phi(x))|<-0.25\gamma e^{0.5\gamma h}\quad\mbox{for all}\ x\leq x_{*}.$
Consider a $C^{\infty}$-smooth non-decreasing function
$\lambda:{\mathbb{R}}\to{\mathbb{R}}$ defined, for some appropriate
$\theta>ch,$ as $\lambda(x)=\lambda_{*}x$ for $x\leq x_{*}-\theta$ and
$\lambda(x)=\lambda x$ for $x\geq x_{*}-ch$ and
$\lambda^{\prime}(x)\in[\lambda_{*},\lambda]$,
$\lambda^{\prime\prime}(x)<-\gamma/4$. Clearly, we can choose $K_{2}>K_{1}$ in
such a way that the functions
$\rho_{\pm}(t,x)=\pm[v(t,x)-\phi(x)]-K_{2}e^{0.5\gamma(t-h)}e^{\lambda(x)}$
satisfy $\rho_{\pm}(t,x)\leq 0$ for $(t,x)\in[0,h]\times{\mathbb{R}}$.
For $\phi(x)\not=v(t,x)$, set
$m(t,x):=\frac{f(\phi(x),v(t-h,x-ch))-f(v(t,x),v(t-h,x-ch))}{\phi(x)-v(t,x)},$
and for $\phi(x)=v(t,x)$, set $m(t,x):=f_{1}(\phi(x),v(t-h,x-ch))$.
Then consider the linear differential operator
$\mathcal{L}v=v_{xx}-cv_{x}+m(t,x)v-v_{t}$
and let $\Pi=[0,T]\times{\mathbb{R}}$, $T\in[h,+\infty]$ be the maximal strip
where $\rho_{\pm}(t,x)\leq 0$. Suppose for a moment that $T$ is finite. Then
we find that, for all $t\in[T,T+h]$, $x\in{\mathbb{R}}$,
$(\mathcal{L}\,\rho_{\pm})(t,x)=\\{\pm(\mathcal{L}\,v)(t,x)\mp(\mathcal{L}\,\phi(\cdot))(t,x)\\}-K_{2}e^{-0.5\gamma
h}\,(\mathcal{L}e^{0.5\gamma\cdot}e^{\lambda(\cdot)})(t,x)=$
$\pm\\{f(\phi(x),\phi(x-ch))-f(v(t,x),v(t-h,x-ch))-m(t,x)[\phi(x)-v(t,x)]\\}$
$+K_{2}e^{\lambda(x)}e^{0.5\gamma(t-h)}[-\lambda^{\prime\prime}(x)-(\lambda^{\prime}(x))^{2}+c\lambda^{\prime}(x)-m(t,x)+0.5\gamma]\geq$
$-|g(\phi(x))||\phi(x-ch)-v(t-h,x-ch)|+$
$K_{2}e^{\lambda(x)}e^{0.5\gamma(t-h)}[-\lambda^{\prime\prime}(x)-(\lambda^{\prime}(x))^{2}+c\lambda^{\prime}(x)+D+0.5\gamma]=:{\mathcal{E}}(t,x).$
Now, if $x\leq x_{*}$ then
${\mathcal{E}}(t,x)\geq-|g(\phi(x))|K_{2}e^{0.5\gamma(t-2h)}e^{\lambda(x-ch)}+$
$K_{2}e^{\lambda(x)}e^{0.5\gamma(t-h)}[-\lambda^{\prime\prime}(x)-(\lambda^{\prime}(x))^{2}+c\lambda^{\prime}(x)+D+0.5\gamma]\geq$
$K_{2}e^{\lambda(x)}e^{0.5\gamma(t-h)}[\gamma-(\lambda^{\prime}(x))^{2}+c\lambda^{\prime}(x)+D]>0.$
On the other hand, if $x\geq x_{*}$ then
${\mathcal{E}}(t,x)\geq
K_{2}e^{\lambda(x)}e^{0.5\gamma(t-h)}[-L_{2}e^{-0.5\gamma h}e^{-\lambda
ch}-\lambda^{2}+c\lambda+D+\gamma]>0.$
Invoking the Phragmèn-Lindelöf principle at this stage, we conclude that also
$\delta_{\pm}(t,x)\leq 0$ for all $t\in[T,T+h]$, $x\in{\mathbb{R}}$. This
contradicts the maximality of the strip $\Pi$ and completes the proof of the
theorem. ∎
## Appendix
Here we analyse the zeros of the entire function $z+q-qe^{-zh}$, where $q,h$
are positive parameters. It is convenient to include the case $q=+\infty$ by
introducing $\epsilon=1/q\geq 0$ and analysing $\chi(z)=\epsilon z+1-e^{-zh}$.
Clearly, $\chi$ has only one real zero $z=0$. Thus
$\chi^{\prime}(z_{j})=\epsilon+h(\epsilon z_{j}+1)\not=0$ at each zero $z_{j}$
of $\chi(z)$ so that $z_{j}=z_{j}(\epsilon)$ is a smooth function of
$\epsilon\geq 0$. Set $z_{j}=x+iy$ with $y>0$, then $\epsilon
x+1=e^{-xh}\cos(yh)$, $\epsilon y=-e^{-xh}\sin(yh)$ and therefore the unique
zero of $\chi(z)$ with non-negative real part is $z=0$. Moreover, the equality
$\epsilon y=-e^{-xh}\sin(yh)$ shows that $yh\in(\pi+2\pi k,2\pi+2\pi k)$,
$k\in{\mathbb{N}}\cup\\{0\\},$ whenever $\epsilon>0$. Next, $1=e^{-z_{j}(0)h}$
implies that $z_{j}(0)h=i(\pi+2\pi k)$. Since the relation
$z_{j}(\epsilon_{*}-)=\infty$ cannot happen for a finite $\epsilon_{*}>0$, we
conclude that $z_{j}(\epsilon)\in\\{z:h\imaginary z\in(\pi+2\pi k,2\pi+2\pi
k),\real z<0\\}$ is well defined for every $\epsilon>0$. Consequently, the
original function $z+q-qe^{-zh}$ has a unique zero $z_{k}$ at each horizontal
strip $(\pi+2\pi k)/h<\imaginary z<(2\pi+2\pi k)/h$ while its complete list of
zeros is given by $\\{z_{0}=0,z_{k},\bar{z}_{k},k\in{\mathbb{N}}\\}$. Since
$|z_{j}+q|=qe^{-\real z_{j}h}$ we conclude that $\real z_{j}$ is a strictly
decreasing sequence converging to $-\infty$.
## References
* [1] R. Bellman, K. L. Cooke, Differential-Difference Equations, Academic Press, New York and London, 1963.
* [2] R. Benguria and A. Solar, An iterative estimation for disturbances of semi-wavefronts to the delayed Fisher-KPP equation, Proc. Amer. Math. Soc. 147 (2019), 2495–2501.
* [3] I.-L. Chern, M. Mei, X.-F. Yang, and Q.-F. Zhang, Stability of non-monotone critical traveling waves for reaction-diffusion equations with time-delay, J. Differential Equations, 259 (2015), 1503–1541.
* [4] A. Ducrot and G. Nadin, Asymptotic behaviour of traveling waves for the delayed Fisher-KPP equation, J. Differential Equations, 256 (2014), 3115–3140.
* [5] J. Fang and X.-Q. Zhao, Monotone wavefronts of the nonlocal Fisher-KPP equation, Nonlinearity, 24 (2011), 3043–3054 .
* [6] T. Faria, W. Huang, and J. Wu, Traveling waves for delayed reaction-diffusion equations with non-local response, Proc. R. Soc. A, 462 (2006), 229–261.
* [7] Friedman, A. Partial Differential Equations of Parabolic Type. Prentice-Hall, Englewood Cliffs, NJ (1964)
* [8] R. Huang, C. Jin, M. Mei, and J. Yin, Existence and stability of traveling waves for degenerate reaction-diffusion equation with time delay. J Nonlinear Sci. 28 (2018), 1011–1042.
* [9] A. Kolmogorov, I. Petrovskii, and N. Piskunov, Study of a diffusion equation that is related to the growth of a quality of matter and its application to a biological problem, Byul. Mosk. Gos. Univ. Ser. A Mat. Mekh. 1 (1937), 1–26.
* [10] G. Lv and M. Wang, Nonlinear stability of travelling wave fronts for delayed reaction diffusion equations, Nonlinearity, 23 (2010), 845–873.
* [11] A. Solar and S. Trofimchuk, Speed selection and stability of wavefronts for delayed monostable reaction-diffusion equations, J. Dynam. Differential Equations, 28 (2016), 1265–1292.
* [12] A. Solar and S. Trofimchuk, Asymptotic convergence to pushed wavefronts in a monostable equation with delayed reaction, Nonlinearity, 28 (2015), 2027–2052.
* [13] K. Uchiyama, The behavior of solutions of some nonlinear diffusion equations for large time, J. Math. Kyoto Univ. 18 (1978), 453–508.
* [14] Z.-C. Wang, W. T. Li and S. Ruan, Traveling fronts in monostable equations with nonlocal delayed effects, J. Dynam. Differential Equations, 20 (2008), 573–607.
| arxiv-papers | 2021-07-26T03:21:11 | 2024-09-04T03:07:17.514673 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Abraham Solar and Sergei Trofimchuk",
"submitter": "Abraham Solar",
"url": "https://arxiv.org/abs/2107.11938"
} |
2107.11939 | TBD
Liu
Index Policy for Partially Observable RMAB
Relaxed Indexability and Index Policy for Partially Observable Restless
Bandits
Keqin Liu Department of Mathematics, Nanjing University, China, 210093,
[email protected]
This paper addresses an important class of restless multi-armed bandit (RMAB)
problems that finds a broad application area in operations research,
stochastic optimization, and reinforcement learning. There are $N$ independent
Markov processes that may be operated, observed and offer rewards. Due to the
resource constraint, we can only choose a subset of $M~{}(M<N)$ processes to
operate and accrue reward determined by the states of selected processes. We
formulate the problem as a partially observable RMAB with an infinite state
space and design an algorithm that achieves a near-optimal performance with
low complexity. Our algorithm is based on a generalization of Whittle’s
original idea of indexability. Referred to as the relaxed indexability, the
extended definition leads to the efficient online verifications and
computations of the approximate Whittle index under the proposed algorithmic
framework.
restless multi-armed bandit, partial observation, infinite state space,
relaxed indexability and index policy This paper was first submitted on August
19, 2021 and resubmitted on September 12, 2022.
## 1 Introduction
The first multi-armed bandit (MAB) problem was proposed in 1933 in the context
of clinical trial for adaptively selecting the best treatment over time
(Thompson 1933). Specifically, given two new medicines just invented for
curing some disease, we want to find out which medicine has the better effect
in long-run. When a new patient arrives, the doctor needs to decide which
medicine to use based on past observations on the recovery processes of the
previous patients after being treated with one of the medicines. Because the
effect of each medicine is often modeled as a random variable, the decision
problem involves a famous dilemma between “Exploitation” and “Exploration”
often appeared in reinforcement learning: choosing the medicine that seems to
be the best versus choosing the one less frequently used. In other words, the
choice of the medicine determines not only the immediate effect of the
treatment but also which medicine to observe for better estimation in the
future. In the following subsection, we formally state the classical MAB under
the Bayesian framework.
### 1.1 The Classical MAB and Gittins Index
In the classical Bayesian model of MAB, there are $N$ arms and a single
player. At each discrete time (decision epoch), a player chooses one arm to
operate and accrues certain amount of reward determined by the state of the
arm. The state of the chosen arm transits to a new one according to a known
Markovian rule while the states of other arms remain frozen. The observation
model is assumed to be complete, i.e., the states of all arms can be observed
before deciding which arm to choose. The objective is to maximize the total
discounted reward over the infinite horizon (Gittins et al. 2011). About 40
years later, Gittins (1979) solved the problem by showing that the optimal
policy has an index structure, i.e., at each time one can compute an index (a
real number) solely based on the current state of an arm and choosing the arm
associated with the highest index is optimal. Besides Gittins’ original proof
of optimality based on an interchange argument, Whittle (1980) gave a proof by
introducing retirement option which was further generalized to the restless
MAB model. Weber (1992) gave a beautiful proof without any mathematical
equation by an argument of fair charge, while Bertsimas and Niño-Mora (1996)
took the achievable region approach for a proof based on linear programming
and the duality theory. These four classical proofs of the optimality of
Gittins index were elegantly summarized and extended by Frostig and Weiss
(2016).
### 1.2 Whittle’s Generalization to Restless MAB
Whittle (1988) generalized the classical MAB to the restless bandit model,
where each unselected arm can also change state (accordingly to another known
Markovian rule) and offer reward. Furthermore, the player is not restricted to
select only one arm but can choose $M~{}(M<N)$ of them at each time. Either
extension of the above makes Gittins index suboptimal in general. Whittle
introduced an index policy based on the idea of subsidy, i.e., by focusing on
a single-armed bandit one can attach a fixed amount of reward (subsidy) to the
arm when it is unselected (made passive) and Whittle index is defined as the
minimum subsidy that makes selecting (activating) the arm or not equally
optimal at its current state. This subsidy decouples arms for computing
Whittle index and is reduced to Gittins index in the classical MAB model.
Whittle showed that the subsidy is essentially the Lagrangian multiplier
associated with a relaxed constraint on the expected number of arms to
activate over the infinite horizon, thus providing an upper bound for the
original problem. However, there is a great challenge before we can apply
Whittle index policy, namely, the indexability condition. In other words, we
require that the subsidy that makes actions indifferent exists and is uniquely
defined for each state of each arm. In this case, we call the RMAB is
indexable in which the Whittle index is well-defined. However, proving
indexability is generally difficult even for RMAB with finite state spaces
(Niño-Mora 2001). Furthermore, even the indexability is proved to hold,
solving for the Whittle index in closed-form is again a difficult problem in
the design of an implementable policy (Liu and Zhao 2010, Liu et al. 2011).
See Sec. 1.5 for more details.
### 1.3 Resource Constraint and Partial Observability
The restless MAB (RMAB) is a special class of Markov Decision Processes (MDP)
where system state vector is completely observed at the beginning of each
decision epoch. However, many problems do not possess such a perfect
observation model. Instead, only the selected arms will reveal their states to
the player after arm selection is determined. This category of problems
belongs to the class of Partially Observable MDP (POMDP), which encompasses a
much wider application range than MDP (Sondik 1978). In this paper, the $N$
processes (arms) are modeled as Markov chains evolving over time, according to
potentially different rules for state transitions and reward offering. At each
time, the player chooses only $M~{}(M<N)$ arms to observe and obtain reward
determined by the observed states of chosen arms. The states of other unchosen
arms remain unknown. To formulate the problem as an RMAB, we can use
information state as a sufficient statistics for optimal control that
characterizes the probability distribution of arm states based on past
observations. For the case that each Markov chain has only $2$ states, the
problem was solved near-optimally by Whittle index policy (Liu and Zhao 2010,
Liu et al. 2011). This paper extends those results to the case of $K$-state
Markov chains for $K>2$. As shown in the rest of this paper, this extension
makes the problem fundamentally more complex. Our approach is to embrace a
family of threshold policies that significantly simplifies the system dynamics
while keeping the major benefits from the fundamental structure of Whittle’s
relaxation. We summarize the main results of this paper in the next
subsection.
### 1.4 Main Results
First, we formulate the problem as a partially observable RMAB with an
infinite state space. Second, we establish an equivalent condition for
indexability in our problem which further leads to a proof of indexability
when the discount factor $\beta\leq 0.5$. Third, we extend the classical
indexability proposed by Whittle to the relaxed indexability. With this
generalization, we propose a threshold policy on a single arm that linearizes
the original decision boundary and leads to a closed-form expression of the
approximate Whittle index under the relaxed indexability. Meanwhile we show
that the relaxed indexability relative to the linearized threshold function is
reduced to the classical indexability with zero approximation error of the
Whittle index for $K=2$. Fourth, we establish an efficient algorithm based on
the relaxed indexability and the approximate Whittle index for general $K>2$.
Last, we consider the special case of $K=3$ and further optimize the
implementation of our algorithm with its near-optimal performance demonstrated
by numerical experiments.
### 1.5 Related Work
By considering a large deviation theory applied on Markov jump processes under
the time-average reward criterion, Weber and Weiss (1990) showed that Whittle
index policy implemented under the strict constraint (i.e., choosing exactly
$M$ arms with the highest indices at each time) converges to the upper bound
with the relaxed constraint per-arm-wise as $N\rightarrow\infty$ with $M/N$
fixed under a sufficient condition. This sufficient condition requires the
global stability of a deterministic fluid dynamic system approximating the
stochastic state evolution processes of all arms. Weber and Weiss (1991)
further showed that the sufficient condition is satisfied when the cardinality
of arm states is $2$ or $3$. Verloop (2016) extended these results to a wider
class of indexable and also non-indexable restless bandits with finite state
spaces. However, verifying this sufficient condition is very difficult without
a general theoretical approach. The existence of such an index policy (i.e.,
indexability) is part of the sufficient condition and is itself without a
general way to verify. For RMAB with finite state spaces, some sufficient
conditions for indexability were established (see, e.g., Weber and Weiss 1990,
1991, Niño-Mora 2001) as well as some necessary ones (see, e.g., Weber and
Weiss 1990, Niño-Mora 2007). For the indexable RMAB problems studied so far,
Whittle index policy has been shown a near-optimal performance in different
application areas (see, e.g., Niño-Mora 2001, Glazebrook et al. 2009, Liu and
Zhao 2010, Hodge and Glazebrook 2011, Verloop 2016). Furthermore, based on
Whittle’s original idea of arm-decoupling, various index policies have been
proposed for restless bandits with finite state spaces with asymptotic
optimality proved under certain conditions and a strong performance
numerically demonstrated in finite regimes (see, e.g., Bertsimas and Niño-Mora
2000, Hu and Frazier 2017, Zayas-Cabán et al. 2019, Brown and Smith 2020, Gast
et al. 2021). It is worth noticing that the general restless MAB with a finite
state space is PSPACE-HARD (Papadimitriou and Tsitsiklis 1999), making it
unlikely to discover an efficient optimal algorithm in general.
The partially observable restless bandit for the case of $K=2$ was first
formulated in the context of communications networks by Liu and Zhao (2008)
and in the context of unmanned aerial vehicles by Le Ny et al. (2008), where
the indexability and the closed-form Whittle index function were established
under the total discounted reward criterion in both of the two independent
papers. Liu and Zhao (2010) extended these results to the time-average reward
criteria and proved the structure, optimality and equivalence of the Whittle
index policy to the myopic policy for homogeneous arms (i.e., arms with the
same $2\times 2$ transition probability matrix and reward function). Following
these results, various partial observation and state transition models for
$K=2$ were studied in different application areas with the strong performance
of such an index policy successfully demonstrated (see, e.g., Liu et-al. 2010,
Lapiccirella et al. 2011, Liu and Zhao 2012, Wang et al. 2014, Elmaghraby et
al. 2018, Zhao 2019, Liu et al. 2022). This motivates us to consider the
general case of $K>2$ in this paper.
## 2 RMAB Formulation and Classical Indexability
In this section, we will formulate the multi-armed bandit problem as a
partially observable Markov decision process and introduce the concept of
Whittle Index. Consider a bandit machine with totally $N$ independent arms,
each of which is modelled as a Markov process. For the $n$-th arm
$(n\in\\{1,...,N\\})$, let
$\textbf{P}^{(n)}=\\{p_{i,j}^{(n)}\\}_{i,j\in\\{0,1,2,\ldots,K_{n}-1\\}}$
denote its state transition matrix and
$B_{n,i}~{}(i\in\\{0,1,2,\ldots,K_{n}-1\\})$ the reward that can be obtained
when the arm is observed in state $i$. Let
$B_{n}=[B_{n,0},B_{n,1},B_{n,2},\ldots,B_{n,K_{n}-1}]$ be the reward vector
for arm $n$. At each discrete time $t$, $M$ arms will be selected for
observation (activated). Let $U(t)\subseteq\\{1,...,N\\}~{}(|U(t)|=M)$ be the
set of arms that are observed at time $t$. The (random) reward obtained at
time $t$ is given by
$R_{U(t)}(t)=\sum_{n\in U(t)}B_{n,S_{n}(t)},$ (1)
where $S_{n}(t)\in\\{0,1,2,\ldots,K_{n}-1\\}$ denotes the state of arm $n$ at
time $t$. Our objective is to decide an optimal policy $\pi^{*}$ of choosing
$M$ arms at each time such that the long-term reward is maximized in
expectation. In this paper, we will focus on the expected total discounted
reward objective function:
$\pi^{*}=\arg\max_{\pi\in\Pi}\mathbb{E}_{\pi}[\sum_{t=1}^{\infty}\beta^{t-1}R_{U(t)}(t)],$
(2)
where $\beta\in(0,1)$ is the discount factor for the convergence of the sum in
the right-hand side of (2) and $\Pi$ the set of all feasible policies
satisfying $|U(t)|=M$ at each time $t$.
### 2.1 Belief Vector as System State
Since no arm state is observable before $U(t)$ is decided at time $t$, we need
an alternative representation of information for decision making. According to
the general POMDP theory, the conditional probability distribution of the
Markovian state given all past knowledge is a sufficient statistics for
decision making (Sondik 1978). Specifically, in our problem, the past
knowledge consists of the initial (a prior) probability distribution of the
state of each arm at $t=1$, the time of last observation of each arm, and the
observed state at the last observation of each arm. Then the conditional
probability distribution of each arm’s state given the past knowledge can be
written in the following equation and is referred to as the belief state (or
belief vector) of the arm. The belief states from all arms thus form a
sufficient statistics for our decision making process and are fully
observable.
Denoted by $\omega_{n}(t)$ the belief vector of arm $n$ at time $t$, we have
$\omega_{n}(t)=\left(\begin{matrix}{\rm
Pr}(S_{n}(t)=0|\omega_{n}(1),\tau_{n},S_{n}(\tau_{n}))\\\ {\rm
Pr}(S_{n}(t)=1|\omega_{n}(1),\tau_{n},S_{n}(\tau_{n}))\\\ \vdots\\\ {\rm
Pr}(S_{n}(t)=K_{n}-1|\omega_{n}(1),\tau_{n},S_{n}(\tau_{n}))\end{matrix}\right)^{\prime},\quad\Omega(t)=\left(\begin{matrix}\omega_{1}(t)\\\
\vdots\\\ \omega_{N}(t)\end{matrix}\right),$
where $A^{\prime}$ denotes the transpose of $A$ and $\tau_{n}$ the time of
last observation on arm $n$. If the arm has never been observed, we can set
$\tau_{n}=-\infty$ and remove $S_{n}(\tau_{n})$ from the condition. Thus the
initial belief vector $\omega_{n}(1)$ can be set as the stationary
distribution $\bar{\omega}_{n}$ of the internal Markov chain (corresponding to
the case of $\tau_{n}=-\infty$)111Here we assume the Markov chain with
transition matrix $\textbf{P}^{(n)}$ is irreducible and aperiodic.:
$\displaystyle\omega_{n}(1)=\bar{\omega}_{n}=\lim_{k\rightarrow\infty}\textbf{p}(\textbf{P}^{(n)})^{k},$
(3)
where $\bar{\omega}_{n}$ is the unique solution to
$\omega\textbf{P}^{(n)}=\omega$ and p an arbitrary probability distribution of
the state of arm $n$. The limit in (3) can be taken under any norm since
belief vectors are in a finite-dimensional vector space. It is also convenient
to update the belief vector of each arm at each time according to the
following Markovian rule:
$\omega_{n}(t+1)=\begin{cases}[p^{(n)}_{S_{n}(t),0},p^{(n)}_{S_{n}(t),1},\ldots,p^{(n)}_{S_{n}(t),K_{n}-1}],&n\in
U(t)\\\ \omega_{n}(t)\textbf{P}^{(n)},&n\notin U(t)\end{cases}\quad.$ (4)
So the POMDP problem is reduced to an MDP one by treating all belief vectors
of all arms as the system state of the decision problem. However, the state
space becomes infinite as a function space (consisting of probability
measures).
Note that the belief update is deterministic if the arm is not chosen for
observation at the time. For the case where the arm is not being observed for
a consecutive sequence of time, we define the following operator for updating
the belief vector continuously over $k$ consecutive slots without any
observation:
$\displaystyle\mathcal{T}^{k}_{n}(\omega_{n}(t))$
$\displaystyle=\left(\begin{matrix}{\rm Pr}(S_{n}(t+k)=0|\omega_{n}(t))\\\
{\rm Pr}(S_{n}(t+k)=1|\omega_{n}(t))\\\ \vdots\\\ {\rm
Pr}(S_{n}(t+k)=K_{n}-1|\omega_{n}(t))\end{matrix}\right)^{\prime}$
$\displaystyle=\omega_{n}(t)(\textbf{P}^{(n)})^{k}.$ (5)
Now the decision problem has a countable state space as modelled by the belief
vector for a fixed initial $\Omega(1)$ and an uncountable state space for an
arbitrarily chosen $\Omega(1)$. This infinite-dimensional optimization problem
can be formulated as
$\displaystyle\max_{\pi\in\Pi}$
$\displaystyle\mathbb{E}_{\pi}[\sum_{t=1}^{\infty}\beta^{t-1}\sum_{n=1}^{N}\mathbbm{1}(n\in
U(t))B_{n,S_{n}(t)}|\Omega(1)]$ (6) s. t.
$\displaystyle\sum_{n=1}^{N}\mathbbm{1}(n\in U(t))=M,\quad\forall~{}t\geq 1.$
(7)
It is clear that as the number of arms increases, the number of choices at
each time grows geometrically. Furthermore, different choices lead to
different updates of the belief vector, yielding a high complexity in solving
the problem. In the following, we will extend Whittle’s original idea of arm-
decoupling for an index policy to our model which has an infinite state space
consisting of belief vectors.
### 2.2 Definition of Indexability and Whittle Index
Whittle relaxed the strict constraint on the exact number of arms to choose at
each time to requiring only $M$ arms are chosen in expectation. Particularly,
we consider the following relaxed form of problem (6):
$\displaystyle\max_{\pi\in\Pi}$
$\displaystyle\mathbb{E}_{\pi}[\sum_{t=1}^{\infty}\beta^{t-1}\sum_{n=1}^{N}\mathbbm{1}(n\in
U(t))B_{n,S_{n}(t)}|\Omega(1)]$ (8) s. t.
$\displaystyle\mathbb{E}_{\pi}[\sum_{t=1}^{\infty}\beta^{t-1}\sum_{n=1}^{N}\mathbbm{1}(n\notin
U(t))|\Omega(1)]=\frac{N-M}{1-\beta}.$ (9)
Remark. For RMAB with finite state spaces and the time-average reward
criterion, Weber and Weiss (1990) showed that the performance gap from (7) to
(9) asymptotically tends to zero per-arm-wise as $N\rightarrow\infty$ with
$M/N$ fixed (Theorem 1 in Weber and Weiss 1990). They also showed that the
performance gap induced by the Whittle index policy is determined by the
stability of a high-dimensional nonlinear dynamic system (the fluid
approximation), which is still an open problem in general for arm state number
greater than $3$ (Weber and Weiss 1991, Verloop 2016). For RMAB with finite
state spaces and the discounted reward criterion, a general LP (linear
programming) relaxation with the performance region approach was proposed by
Bertsimas and Niño-Mora (2000) to numerically demonstrate the small
performance gap of the primal-dual index heuristic; while other index
heuristics under various relaxation methods for finite time horizons were
proposed with performance gap tending to zero (in the same sense as in Weber
and Weiss 1991) under certain conditions (Hu and Frazier 2017, Zayas-Cabán et
al. 2019, Brown and Smith 2020, Gast et al. 2021). However, these approaches
cannot be directly applied to analyze our problem which has an infinite state
space.
Whittle’s relaxation from (7) to (9) allows us to analyze the dual problem
with arms decoupled as detailed below. Applying the Lagrangian multiplier
$\lambda$ to (9), we arrive at the following unconstrained optimization
problem:
$\displaystyle\max_{\pi\in\Pi}$
$\displaystyle\mathbb{E}_{\pi}[\sum_{t=1}^{\infty}\beta^{t-1}\sum_{n=1}^{N}[\mathbbm{1}(n\in
U(t))B_{n,S_{n}(t)}+\lambda\mathbbm{1}(n\notin U(t))]|\Omega(1)].$ (10)
The above unconstrained optimization is equivalent to $N$ independent
optimization problem as shown below:
$\displaystyle\max_{\pi\in\Pi}$
$\displaystyle\mathbb{E}_{\pi}[\sum_{t=1}^{\infty}\beta^{t-1}[\mathbbm{1}(n\in
U(t))B_{n,S_{n}(t)}+\lambda\mathbbm{1}(n\notin U(t))]|\omega_{n}(1)],\quad
n=1,2,\ldots,N.$ (11)
Therefore, it is sufficient to consider a single arm for solving problem (10).
Note that the action applied on a single arm is either “selected (activated)”
or “unselected (made passive)” at each time. We can thus focus on the single-
armed problem (with Lagrangian multiplier $\lambda$) with state space
consisting of all probability measures on the Markov chain and a binary action
space.
For simplicity, we will drop the subscript $n$ in consideration of a single-
armed bandit without loss of generality. The Lagrangian multiplier $\lambda$
introduces a reward for passive actions on this arm. Referred to as the
subsidy for passivity by Whittle, we will denote it by $m$ as a variable
dependent only on this arm, in distinction to $\lambda$ shared by all arms in
the relaxed problem (10). Let $V_{\beta,m}(\omega)$ denote the value of (11)
with $\omega_{n}(1)=\omega$. It is straightforward to write out the dynamic
equation of the single-armed bandit problem as follows:
$V_{\beta,m}(\omega)=\max\\{V_{\beta,m}(\omega;u=1);V_{\beta,m}(\omega;u=0)\\},$
(12)
where $V_{\beta,m}(\omega;u=1)$ and $V_{\beta,m}(\omega;u=0)$ denote,
respectively, the maximum expected total discounted reward that can be
obtained if the arm is activated or made passive at the current belief state
$\omega$, followed by an optimal policy in subsequent slots. Since we consider
the infinite-horizon problem, a stationary optimal policy can be chosen and
the time index $t$ is not needed in (12). Let
$p_{i\cdot}=[p_{i0},p_{i1},\ldots,p_{i(K-1)}],(i=0,1,\ldots,K-1)$ denote the
$i$-th row of P, we have
$\displaystyle V_{\beta,m}(\omega;u=1)=\omega
B^{\prime}+\beta\omega\left(\begin{matrix}V_{\beta,m}(p_{0})\\\
V_{\beta,m}(p_{1})\\\ \vdots\\\ V_{\beta,m}(p_{K-1})\end{matrix}\right),$ (13)
$\displaystyle V_{\beta,m}(\omega;u=0)=m+\beta
V_{\beta,m}(\mathcal{T}^{1}(\omega)),$ (14)
where $\mathcal{T}^{1}(\omega)$ is the one-step belief update as defined in
(2.1). Without loss of generality, we assume $0=B_{0}\leq B_{1}\leq\cdots\leq
B_{K-1}$. Note that $V_{\beta,m}(\omega;u=1)$ is linear in $\omega$ while
$V_{\beta,m}(\omega;u=0)$ is convex in $\omega$ as shown by Lemma 2.2 in Sec.
2.3.
Define passive set $P(m)$ as the set of all belief states such that taking the
passive action $u=0$ is optimal:
$\displaystyle
P(m){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\\{\omega:~{}V_{\beta,m}(\omega;u=1)\leq
V_{\beta,m}(\omega;u=0)\\}.$ (15)
It is clear that $P(m)$ changes from the empty set to the whole space of
probability measures as $m$ increases from $-\infty$ to $\infty$. However,
such change may not be monotonic as $m$ increases (see Sec. 2.3 for more
discussions). If the passive set $P(m)$ increases monotonically with $m$, then
for each value $\omega$ of the belief state, one can define the unique $m$
that makes it join $P(m)$ and stay in the set forever. Intuitively, this $m$
measures how attractive it is to activate the arm at the belief state $\omega$
compared to other belief states in a well-ordered manner: the larger $m$
required for it to be passive, the more incentives to activate at the belief
state without $m$. This value of $m$ (if well-defined) thus yields a priority
index of the belief state. In the following, we present the formal definition
of indexability and Whittle index (Whittle 1988).
###### Definition 2.1
A restless multi-armed bandit is indexable if for each single-armed bandit
with subsidy, the passive set of arm states increases monotonically from
$\emptyset$ to the whole state space as $m$ increases from $-\infty$ to
$+\infty$. Under indexability, the Whittle index of an arm state is defined as
the infimum subsidy $m$ such that the state remains in the passive set.
Note that if the indexability condition is verified and the Whittle index
solved as a function of the state of each arm, the Lagrangian relaxation
problem (10) may be solved with the optimal $\lambda^{*}$: for each arm at
each time, we choose to activate the arm if its current Whittle index is
greater than $\lambda^{*}$ or make it passive otherwise. There is some
randomization technique involved to ensure the satisfaction of constraint (9)
when the Whittle index is equal to $\lambda^{*}$. But that is not the main
focus of this paper and we will give some brief discussions following Theorem
2.4 in Sec. 2.4.
### 2.3 Threshold Structure of The Optimal Policy
For our model in which the arm state is given by the belief vector, the
indexability is equivalent to the following:
$\displaystyle\mbox{If}~{}V_{\beta,m}(\omega;u=1)\leq
V_{\beta,m}(\omega;u=0),~{}\mbox{then}~{}\forall~{}m^{\prime}>m,~{}V_{\beta,m^{\prime}}(\omega;u=1)\leq
V_{\beta,m^{\prime}}(\omega;u=0).$ (16)
Under indexability, the Whittle index $W(\omega)$ of arm state $\omega$ is
defined as
$\displaystyle
W(\omega){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\inf\\{m:~{}V_{\beta,m}(\omega;u=1)\leq
V_{\beta,m}(\omega;u=0)\\}.$ (17)
Before we proceed, it helps to emphasize on the recursive nature in defining
the value functions given in (13) and (14) conditional on the active and
passive actions, respectively. We know that the indexability condition
essentially requires a once only rank change of the two value functions as $m$
increases. Although it is intuitive that the larger subsidy causes more states
to join the passive set, we cannot conclude this by merely comparing the
immediate rewards obtained by active and passive actions ($\omega B^{\prime}$
vs. $m$) respectively: the future total expected reward is again in the form
of value functions that are dependent on our current action (which affects the
belief update) and the subsidy $m$. To evaluate indexability, we need to have
sufficient knowledge about the value functions (13) and (14) to determine
their rank (as functions of the current belief state and the subsidy). In
general, the value functions are hard to solve due to the dilemma between
exploitation and exploration mentioned at the beginning of this paper.
However, for the problem at hand, we can show that the value function (12)
implies a threshold structure of the problem, which generalizes the case of
$K=2$ and further inspires for an efficient algorithm as detailed below.
Now we prove a crucial lemma that gives some fundamental properties of the
value function $V_{\beta,m}(\omega)$.
###### Lemma 2.2
The value function $V_{\beta,m}(\omega)$ for the single-armed bandit with
subsidy is convex and Lipschitz continuous in both $\omega$ and $m$.
The proof will be given in the e-companion to this paper.
Remark
* •
Note that if $m\leq 0$, it is optimal to always activate the arm (since all
extreme points of a convex function under the passive action are below those
of a linear one under the active action) and $V_{\beta,m}(\omega)$ does not
depend on $m$ and is thus Lipschitz continuous in $m$. If $m\geq B_{K-1}$, it
is optimal to always make the arm passive so
$V_{\beta,m}(\omega)=\frac{m}{1-\beta}$ and is thus Lipschitz continuous as
well. The interesting case is when $0<m<B_{K-1}$ as focused in the rest of the
paper. The monotonic property of $V_{\beta,m}(\omega)$ as a nondecreasing
function of $m$ is clear.
* •
Since $V_{\beta,m}(\omega)$ is Lipschitz continuous in $m$, it is also
absolutely continuous and differentiable almost everywhere in $m$. Assume
$m_{0}$ is a point where the derivative exists, a small increase to
$m_{0}+\Delta m$ should cause $V_{\beta,m_{0}}(\omega)$ to boost at a ratio at
least equal to the expected total discounted time of being passive, since the
subsidy $m_{0}$ for passivity is being paid for such a duration of time
(passive time in short). The passive time is not necessarily unique and we
will give a rigorous formulation of its relation to the (right) derivative of
$V_{\beta,m}(\omega)$ in Theorem 2.4 in Sec. 2.4.
* •
Since $V_{\beta,m}(\omega)$ is also Lipschitz continuous in $\omega$, for
sufficiently small $\beta$, a change of $\omega$ that makes the immediate
reward $\omega B^{\prime}$ vary may play a dominating role in determining the
order of (13) and (14) as the value function $V_{\beta,m}(\omega)$ varies in
bounded ratios with $\omega$. This motivates us to consider the family of
linearized threshold policies: following the trajectory of
$\mathcal{T}^{k}(\omega)$ until some linear function
$r(\cdot):~{}\mathbb{R}^{K}\rightarrow\mathbb{R}$ (e.g., the projection
$r(\omega)=\omega B^{\prime}$) maps $\mathcal{T}^{k}(\omega)$ to a value
greater than a given one, we activate the arm and reset the value function to
one of $V_{\beta,m}(p_{0}),V_{\beta,m}(p_{1}),\cdots,V_{\beta,m}(p_{K-1})$
(See (13)). Linearized threshold policies are suboptimal in general,
especially when $\beta$ is large. However, they provide an efficient way in
solving the approximated value functions and leads to a computable Whittle
index function in low-complexity with the near-optimal performance even when
$\beta$ is close to $1$, as elaborated in Sec. 3.2 and Sec. 4.
Next, we show that the optimal single-arm policy has a general threshold
structure. Let $\mathbb{X}$ denote the belief state space as a
$(K-1)$-simplex. It is a $(K-1)$-dimensional space of probability measures.
For convenience, we still use the $K$-dimensional vector
$(\omega_{1},\omega_{2},\ldots,\omega_{K})$ to denote a point in $\mathbb{X}$
by keeping in mind that $\sum_{i=1}^{K}\omega_{k}=1$. Now consider an extreme
point $\omega=[0,0,\cdots,1,\cdots,0]$ of the belief state space where it is
known that the arm’s internal state is $k$ for some
$k\in\\{0,1,\cdots,K-1\\}$. In this case, the next belief state is
deterministically $p_{k}$, independent of the current action, i.e.,
$\displaystyle\arg\max\\{V_{\beta,m}(\omega;u=1);V_{\beta,m}(\omega;u=0)\\}$
$\displaystyle=$ $\displaystyle\arg\max\left\\{B_{k},~{}m\right\\}.$ (18)
From the above, each extreme point successively joins the passive set as $m$
increases from $0$ to $B_{K-1}$. Consider an $m\in(0,B_{K-1})$ such that
$0=B_{0}\leq\cdots\leq m<B_{j}\leq\cdots\leq B_{K-1}$. The first $j$ states
are in the passive set while states $j,\cdots,K-1$ are in the active set
defined as
$\displaystyle
A(m){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\mathbb{X}-P(m)=\\{\omega:~{}V_{\beta,m}(\omega;u=1)>V_{\beta,m}(\omega;u=0)\\}.$
(19)
The following lemma shows that the active set $A(m)$ is an open convex region
in $\mathbb{X}$ with a decision boundary $C(m)$ shared by the passive set
$P(m)$:
$\displaystyle
C(m){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\\{\omega:~{}V_{\beta,m}(\omega;u=1)=V_{\beta,m}(\omega;u=0)\\}.$
(20)
###### Lemma 2.3
The active set $A(m)$ is an open convex $(K-1)$-dimensional subspace of
$\mathbb{X}$. The decision boundary $C(m)$ is a compact and simply connected
$(K-2)$-dimensional subspace of $\mathbb{X}$ that partitions $\mathbb{X}$ into
two disjoint and connected subspaces: $A(m)$ and $P(m)$ with $C(m)\subset
P(m)$.
The proof will be given in the e-companion to this paper.
According to Lemma 2.3, we can treat $C(m)$ as a $(K-2)$-dimensional threshold
without any holes or discontinuities for the optimal decision making process.
One can visualize it as a curve for $K=3$ or a surface for $K=4$. Higher
dimensions are analogous to compact $(K-2)$-manifolds. If indexability holds,
the boundary $C(m)$ should (continuously) move in a direction such that $A(m)$
shrinks as $m$ increases. For each $\omega$, there exists an $m$ such that
$C(m)$ reaches $\omega$ for the first time and this $m$ is the Whittle index
$W(\omega)$ of $\omega$:
$\displaystyle
W(\omega){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\inf\\{m:V_{\beta,m}(\omega;u=1)\leq
V_{\beta,m}(\omega;u=0)\\}=\min\\{m:\omega\in C(m)\\}.$ (21)
In the above, we have used the minimization operator instead of the infimum by
observing that the closure of the nontrivial region $(0,B_{K-1})$ for the
subsidy $m$ is compact. A sufficient and necessary condition of indexability
for our model with an infinite state space is given in the next subsection.
### 2.4 An Equivalent Condition for Indexability
In this subsection, we establish a sufficient and necessary condition for
indexability by requiring the decision boundary $C(m)$ to satisfy certain
properties. Furthermore, we verify this equivalent condition to prove the
indexability of our problem when $\beta\leq 0.5$.
###### Theorem 2.4
Let $\Pi^{*}_{sa}(m)$ denote the set of all optimal single-arm policies
achieving $V_{\beta,m}(\omega)$ with initial belief state $\omega$. Define the
passive time
$\displaystyle
D_{\beta,m}(\omega){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\max_{\pi^{*}_{sa}(m)\in\Pi^{*}_{sa}(m)}\mathbb{E}_{\pi^{*}_{sa}(m)}[\sum_{t=1}^{\infty}\beta^{t-1}\mathbbm{1}(u(t)=0)|\omega(1)=\omega].$
(22)
The right derivative of the value function $V_{\beta,m}(\omega)$ with $m$,
denoted by $\frac{dV_{\beta,m}(\omega)}{(dm)^{+}}$, exists at every value of
$m$ and
$\displaystyle\left.\frac{dV_{\beta,m}(\omega)}{(dm)^{+}}\right|_{m=m_{0}}=D_{\beta,m_{0}}(\omega).$
(23)
Furthermore, the single-armed bandit is indexable if and only if for all
values of $\omega$ and $m_{\omega}$ such that $\omega\in C(m_{\omega})$, we
have
$\displaystyle\left.\frac{dV_{\beta,m}(\omega;u=0)}{(dm)^{+}}\right|_{m=m_{\omega}}\geq\left.\frac{dV_{\beta,m}(\omega;u=1)}{(dm)^{+}}\right|_{m=m_{\omega}},$
(24)
and for any $\omega\in C(m_{\omega})$ with the equality true in (24), there
exists a $\Delta m(\omega)>0$ such that
$\displaystyle V_{\beta,m}(\omega;u=0)\geq
V_{\beta,m}(\omega;u=1),~{}\quad\forall~{}m\in(m_{\omega}+\Delta m(\omega)).$
(25)
The proof will be given in the e-companion to this paper.
Remark
* •
Theorem 2.4 establishes a crucial relation between the value function
$V_{\beta,m}(\omega)$ and the passive time $D_{\beta,m}(\omega)$ as its right
derivative. The convexity established in Lemma 2.2 then implies the monotonic
property of $D_{\beta,m}(\omega)$ as $m$ increases. However, the increase of
$D_{\beta,m}(\omega)$ needs not to be continuous. In the proof of Theorem 2.4,
we have shown the right continuity of $D_{\beta,m}(\omega)$ but not the left
one. These jumping points are essentially caused by the case where the points
in the belief state space may not join the passive set $P(m)$ in a continuous
sense. Specifically, if we fix the initial belief state $\omega$, the arm
state will move in a countable set as a discrete process. Under the optimal
policy that achieves the passive time defined in (22) and indexability, it is
possible that when $m$ increases by a sufficiently small amount, the policy
remains unchanged, i.e., the partition of active and passive sets for the
countable state space is the same. Consequently, the passive time
$D_{\beta,m}(\omega)$ remains a constant during this increasing period of the
subsidy. However, as $m$ keeps increasing, new states would join the passive
set and cause a jump in $D_{\beta,m}(\omega)$. The discontinuity of
$D_{\beta,m}(\omega)$ poses a question: how should one make the continuation
of $D_{\beta,m}(\omega)$ such that constraint (9) must be satisfied for the
relaxed version of the multi-armed bandit problem? The technique is to use
nondeterministic optimal policies: for believe states in the decision boundary
$C(m)$ that causes discontinuities in $D_{\beta,m}(\omega)$, we activate the
arm with certain probability $\rho\in[0,1]$ and make it passive with
probability $1-\rho$. As $\rho$ decreases from $1$ to $0$, the corresponding
policies provide a continuation of $D_{\beta,m}(\omega)$. For a detailed
exposition of this randomization technique in solving the original multi-armed
bandit problem under the relaxed constraint, see Liu 2020 that considers a
more general model of infinite arm state spaces.
###### Theorem 2.5
The restless bandit is indexable if $\beta\leq 0.5$.
The proof will be given in the e-companion to this paper.
However, it is difficult to verify $\eqref{eq:diffIdx}$ and
$\eqref{eq:diffIdx1}$ when $\beta>0.5$. This requires further analysis on the
passive time $D_{\beta,m}(\omega)$ as well as the value function
$V_{\beta,m}(\omega)$. If we can characterize the boundary function $C(m)$ of
subsidy $m$, then for each $\omega$, we may obtain the first crossing time
$L(\omega,C(m))$ when it enters the active set under consecutive passive
actions:
$\displaystyle
L(\omega,C(m)){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\min_{0\leq
k<\infty}\\{k:~{}~{}\mathcal{T}^{k}(\omega)\in A(m)\\}.$ (26)
Define
$\mathcal{T}^{0}(\omega){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\omega$ and
if $\mathcal{T}^{k}(\omega)\notin A(m)$ for all $k\geq 0$, we set
$L(\omega,C(m))=+\infty$. It is clear that for any $\omega\in C(m)$, we have
$\displaystyle V_{\beta,m}(\omega)$ $\displaystyle=\omega
B^{\prime}+\beta\omega(V_{\beta,m}(p_{0}),\cdots,V_{\beta,m}(p_{K-1}))^{\prime}{}$
(27)
$\displaystyle=\frac{1-\beta^{L(\omega,C(m))}}{1-\beta}m+\beta^{L(\omega,C(m))}V_{\beta,m}\left(\mathcal{T}^{L(\omega,C(m))}(\omega);u=1\right),$
$\displaystyle
V_{\beta,m}\left(\mathcal{T}^{L(\omega,C(m))}(\omega);u=1\right)$
$\displaystyle=\mathcal{T}^{L(\omega,C(m))}(\omega)B^{\prime}+\beta\mathcal{T}^{L(\omega,C(m))}(\omega)(V_{\beta,m}(p_{0}),\cdots,V_{\beta,m}(p_{K-1}))^{\prime},$
(30) $\displaystyle V_{\beta,m}(p_{k})$
$\displaystyle=\frac{1-\beta^{L(p_{k},C(m))}}{1-\beta}m+\beta^{L(p_{k},C(m))}V_{\beta,m}\left(\mathcal{T}^{L(p_{k},C(m))}(p_{k});u=1\right),{}$
$\displaystyle\quad\forall~{}k\in\\{0,\cdots,K-1\\},$ $\displaystyle
V_{\beta,m}\left(\mathcal{T}^{L(p_{k},C(m))}(p_{k});u=1\right)$
$\displaystyle=\mathcal{T}^{L(p_{k},C(m))}(p_{k})B^{\prime}+\beta\mathcal{T}^{L(p_{k},C(m))}(\omega)(V_{\beta,m}(p_{0}),\cdots,V_{\beta,m}(p_{K-1}))^{\prime},{}$
$\displaystyle\quad\forall~{}k\in\\{0,\cdots,K-1\\}.$
With both $\omega$ and $L(\cdot,C(m))$ fixed and known, the above equation set
is linear and has $2K+3$ equations with $2K+3$ unknowns (value functions and
$m$), so an exact solution for the value function $V_{\beta,m}(\omega)$ is
possible to obtain, as well as the passive time $D_{\beta,m}(\omega)$, and the
subsidy $m$, in terms of $\omega$ and $L(\cdot,C(m))$. However, even if
$L(\cdot,C(m))$ is solved for, such a way in checking indexability and solving
for Whittle index is complex since $L(\cdot,C(m))$ itself is a nonlinear
function and appears as an exponent in the expressions of the value functions.
Furthermore, the function $L(\cdot,C(m))$ may be solved only if $C(m)$ is
sufficiently analyzed which involves dynamic programming on an uncountable
state space. To circumvent these difficulties, we consider a family of
threshold policies that simplifies the analysis of the value functions and
establish an approximation of Whittle index under a relaxed requirement for
indexability, as detailed in Sec. 3.2.
Before concluding this subsection, let us have a brief review on the case of
$K=2$ as considered in Liu and Zhao (2010). In this case, our decision
boundary $C(m)$ is reduced to a single point ($0$-dimensional as also proven
in Lemma 2 in Liu and Zhao 2010)! Given any $\omega^{*}$ in the
$1$-dimensional belief space (i.e., homeomorphic to the interval $[0,1]$), the
solution to $L(\omega,\omega^{*})$ is straightforwardly obtained in closed-
form (Equations (16) and (17) in Liu and Zhao 2010). Consequently, the
indexability and closed-form Whittle index can be established with the closed-
form solutions of the value functions. But these proofs are highly nontrivial
even with the closed-form solutions of the value functions (Liu and Zhao
2010).
For the general case of $K>2$, we do not know $C(m)$ solely by fixing an
$\omega^{*}\in C(m)$ since $C(m)$ contains other (uncountable) points with
unknown locations. Henceforth the first-crossing time $L(\cdot,C(m))$ is not
solved. Nevertheless, we are free to impose some relations among the points in
$C(m)$ to approximate $L(\cdot,C(m))$. This is the key motivation for
generalizing the classical indexability to a relaxed one, as elaborated in the
next subsection.
## 3 Threshold Policies and Relaxed Indexability
As mentioned in Sec. 2.4, the key to analyze the indexability is to solve for
the first crossing time $L(\omega,C(m))$ and subsequently the set of linear
equations for every fixed $\omega$ and $L(\omega,C(m))$ (26–30). Note that the
linear equation set has a coefficient matrix nonlinearly dependent on
$L(\omega,C(m))$ and thus on the belief state. Therefore each belief state
requires a different set of linear equations to solve for its Whittle index,
i.e., the system of these equations is nonlinear over the belief space in
general. Nevertheless, the first step is still to solve for $L(\omega,C(m))$.
In this subsection, we approximate $C(m)$ by a family of linearized threshold
policies to solve for the approximate Whittle index under the framework of
relaxed indexability.
We first give a general definition of threshold policies. A threshold policy
is defined by a mapping $r(\cdot)$ from the belief state space $\mathbb{X}$ to
a space $\mathbb{Y}$ with the order topology such that the binary action
(activate or make passive) at any state $\omega\in\mathbb{X}$ depends only on
the order between $r(\omega)$ and $r(\omega^{*}_{\beta}(m))$ for a fixed
$\omega^{*}_{\beta}(m)\in\mathbb{X}$. This $\omega^{*}_{\beta}(m)$ is called
to be in the threshold of the belief state space with respect to $r(\cdot)$.
Furthermore, we require that the set
$\\{\omega\in\mathbb{X}:r(\omega)=r(\omega^{*}_{\beta}(m))\\}$ to be simply
connected, i.e., it is without any holes or disconnectedness but as a whole
solid piece. Then we call this set as the threshold and the mapping $r(\cdot)$
the threshold function that specifies the threshold policy. In our problem,
the optimal single-arm policy with a fixed subsidy $m$ is a threshold policy
with its threshold function given by
$V_{\beta,m}(\omega;u=1)-V_{\beta,m}(\omega;u=0)$, mapping the belief simplex
space $\mathbb{X}$ to the real line $\mathbb{R}$. Recall that the original
decision boundary $C(m)$ defined in (20) is thus a nonlinear
$(K-2)$-dimensional threshold as it is simply connected (Lemma 2.3).
To approximate the decision boundary $C(m)$, we consider the following linear
threshold function $r(\cdot)$ defined as
$\displaystyle r(\omega){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\omega
B^{\prime}.$ (31)
We immediately observe that the above threshold function linearizes the
original decision boundary $C(m)$: fixing any
$\omega^{*}_{\beta}(m)\in\mathbb{X}$ as a point in the threshold, the entire
threshold is specified by the $(K-2)$-dimensional hyperplane
$\displaystyle\\{\omega\in\mathbb{X}:~{}~{}\omega
B^{\prime}=\omega^{*}_{\beta}(m)B^{\prime}\\}.$ (32)
It is easy to see the hyperplane is reduced to a point when $K=2$ which is
just $\omega^{*}_{\beta}(m)$ itself. In this case, the linearized threshold
policy is equivalent to the optimal policy (Liu and Zhao 2010).
The threshold function $r(\cdot)$ defined in (31) is a simple and intuitive
definition which is identical to the immediate reward function by activating
the arm. As the belief state $\omega$ varies in the $(K-1)$-dimensional
probability space, we measure the attractiveness of activating the arm by the
expected reward that can be immediately obtained under activation. For the
original problem with multiple arms, if they share the same parameters
(homogeneous arms), i.e., the transition matrix $P$ and reward vector $B$ are
arm-independent, this threshold policy on a single arm with subsidy
corresponds to the myopic policy: at each time we activate the $M$ arms that
will yield the highest expected reward. However, for inhomogeneous arms, the
myopic policy may yield a significant performance loss (see Sec. 4.4). It is
thus important to precisely characterize the attractiveness of a state as a
function of the arm parameters. Our attempt is to solving for the subsidy $m$
that makes a belief state $\omega$ as a point in the threshold and define this
$m$ (if exists) as its approximate Whittle index $W(\omega)$. Given the
initial belief state $\omega$ as the threshold, the action
$u_{\beta,m}^{*}(\omega(t))$ to take at $t\geq 1$ is given by:
$u_{\beta,m}^{*}(\omega(t))=\begin{cases}1~{}\text{(active)},\quad\text{if
}r(\omega(t))>r(\omega^{*}_{\beta}(m))\\\ 0~{}\text{(passive)},\quad\text{if
}r(\omega(t))\leq r(\omega^{*}_{\beta}(m))\end{cases}.$ (33)
It is important to observe that when the current arm state is equal to the
threshold, e.g., at $t=1$, we always make the arm passive (for now). This is
because activating the arm does not necessarily yield the same performance
when confined in the family of linearized threshold policies. Nevertheless,
the suboptimality of this threshold policy is alleviated if the belief update
has a sharp slope projected into the real line by (31) and the discount factor
$\beta$ is small, in which case the comparison in (12) is dominated by the
order between the expected immediate reward $\omega B^{\prime}$ and the
subsidy $m$.
### 3.1 The Value Function
Consider the linearized threshold policy $\pi_{\beta,m}$ with
$\omega^{*}_{\beta}(m)$ fixed as a point in the threshold and the belief
points $p_{0},p_{1},\cdots p_{K-1}$. Define
$\displaystyle
L(\omega_{1},\omega^{*}_{\beta}(m)){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\min_{0\leq
k<\infty}\\{k:~{}~{}\mathcal{T}^{k}(\omega_{1})B^{\prime}>\omega^{*}_{\beta}(m)B^{\prime}\\}.$
(34)
The above is just a simplified expression by using $\omega^{*}_{\beta}(m)$ to
delegate the entire decision boundary (compare (26)) because the threshold
function is specified now. If
$\mathcal{T}^{k}(\omega_{1})B^{\prime}\leq\omega_{2}B^{\prime}$ for all $k\geq
0$, we set $L(\omega_{1},\omega_{2})=+\infty$. Under $\pi_{\beta,m}$, we have
$\displaystyle\hat{V}_{\beta,m}(p_{k})$
$\displaystyle=\frac{1-\beta^{L(p_{k},\omega)}}{1-\beta}m+\beta^{L(p_{k},\omega)}\hat{V}_{\beta,m}\left(\mathcal{T}^{L(p_{k},\omega)}(p_{k});u=1\right),{}$
(36) $\displaystyle\quad\forall~{}k\in\\{0,\cdots,K-1\\},$
$\displaystyle\hat{V}_{\beta,m}\left(\mathcal{T}^{L(p_{k},\omega)}(p_{k});u=1\right)$
$\displaystyle=\mathcal{T}^{L(p_{k},\omega)}(p_{k})B^{\prime}+\beta\mathcal{T}^{L(p_{k},\omega)}(\omega)(\hat{V}_{\beta,m}(p_{0}),\cdots,\hat{V}_{\beta,m}(p_{K-1}))^{\prime},{}$
$\displaystyle\quad\forall~{}k\in\\{0,\cdots,K-1\\},$
where the value function $\hat{V}_{\beta,m}(\omega_{1})$ denotes the expected
total discounted reward under $\pi_{\beta,m}$, starting from a belief state
$\omega_{1}$. If $L(\cdot,\omega)$ is solved, the above equation set is linear
and has $2K$ unknowns with $2K$ equations. In this case, we show (36) and (36)
yield a unique solution consisting of
$\\{\hat{V}_{\beta,m}(p_{k})\\}_{k=0}^{K-1}$.
###### Lemma 3.1
Given the first crossing time function $L(\cdot,\omega)$ with
$\omega^{*}_{\beta}(m)=\omega$ fixed as a point in the threshold, the linear
equation set (36) and (36) has a unique solution consisting of the value
functions $\\{\hat{V}_{\beta,m}(p_{k})\\}_{k=0}^{K-1}$ in terms of $\omega$
and $m$.
The proof will be given in the e-companion to this paper.
Remark
* •
The proof of Lemma 3.1 does not require any particular form of
$L(\cdot,\cdot)$ so for any optimal single-arm policy, equations (30) and (30)
have a unique solution consisting of $\\{V_{\beta,m}(p_{k})\\}_{k=0}^{K-1}$ in
terms of $m$ and $\omega$. For equation (27) that solves for the Whittle index
$m$ for a given belief state $\omega$, the existence of the decision boundary
$C(m)$ under the optimal policy leads to its validity. For the linearized
threshold policy with $\omega^{*}_{\beta}(m)=\omega$ fixed as a point in the
threshold, if we add to (36) and (36) the following additional constraint
similar to (27), then the subsidy $m$ may be solved as an approximated Whittle
index under the threshold policy.
$\displaystyle\hat{V}_{\beta,m}(\omega)$ $\displaystyle=\omega
B^{\prime}+\beta\omega(\hat{V}_{\beta,m}(p_{0}),\cdots,\hat{V}_{\beta,m}(p_{K-1}))^{\prime}=m+\beta\hat{V}_{\beta,m}(\mathcal{T}^{1}(\omega)).$
(37)
Equation (37) essentially requires that there exists a subsidy $m$ such that
taking active and passive actions at the threshold $\omega$ achieve the same
performance by following the threshold policy. In general, this might not hold
and we need to redefine the approximated Whittle index as detailed in Sec.
3.2.
* •
The value function $\hat{V}_{\beta,m}(\omega)$ with the fixed threshold
$\omega^{*}_{\beta}(m)=\omega$ is a linear function of $m$ as
$\displaystyle\hat{V}_{\beta,m}(\omega)$
$\displaystyle=\frac{1-\beta^{L(\omega,\omega)}}{1-\beta}m+\beta^{L(\omega,\omega)}(\mathcal{T}^{L(\omega,\omega)}(\omega)B^{\prime}+\beta\mathcal{T}^{L(\omega,\omega)}(\omega)(\hat{V}_{\beta,m}(p_{0}),\cdots,\hat{V}_{\beta,m}(p_{K-1}))^{\prime})$
(38)
and $\\{\hat{V}_{\beta,m}(p_{k})\\}_{k=0}^{K-1}$ are linear in $m$ as well,
since $L(\cdot,\omega)$ is independent of $m$ with $\omega$ fixed as a point
in the linearized threshold. Furthermore, the coefficient of $m$ in the linear
expression of $\hat{V}_{\beta,m}(\omega)$ is equal to the expected total
discounted passive time starting from $\omega$ under the threshold policy.
With the indifference at $\omega$ as given in (37), the passive time must be
unique and equal to the derivative of $\hat{V}_{\beta,m}(\omega)$ with $m$
under the threshold policy with $\omega^{*}_{\beta}(m)=\omega$ fixed as a
point in the threshold.
### 3.2 Relaxed Indexability and Approximate Whittle Index
To have a well-defined value function $\hat{V}_{\beta,m}(\omega)$ to calculate
the approximated Whittle index of a belief state $\omega$, we need one more
equation (that is, (37)) that makes active and passive actions
indistinguishable at $\omega$ fixed as a point in the threshold (Lemma 3.1).
Now we introduce the following definition of relaxed indexability:
###### Definition 3.2
A restless multi-armed bandit satisfies the relaxed indexability with respect
to a threshold policy if for each arm state there exists a unique subsidy $m$
such that, when making this state as a point in the threshold, then taking the
passive and active actions at this state followed by the threshold policy
achieve the same performance.
For our model, relaxed indexability is equivalent to the unique solution of
(36), (36) and (37). Recall the linearity of the value function
$\hat{V}_{\beta,m}(\omega_{1})$ with $m$ given a belief state $\omega_{1}$
fixed as a point in the threshold, we take the derivatives of the value
functions in (37) with $m$ and arrive at the following equivalent condition
for relaxed indexability.
###### Theorem 3.3
Define the passive time $\hat{D}_{\beta,m}(\omega_{1})$ under a threshold
policy $\pi_{\beta,m}$ as
$\displaystyle\hat{D}_{\beta,m}(\omega_{1}){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\mathbb{E}_{\pi_{\beta,m}}[\sum_{t=1}^{\infty}\beta^{t-1}\mathbbm{1}(u(t)=0)|\omega(1)=\omega_{1}]=\frac{d\hat{V}_{\beta,m}(\omega_{1})}{dm}.$
(39)
By fixing $\omega^{*}_{\beta}(m)=\omega$ as a point in the threshold and an
$m$-independent function $r(\cdot)$ in (31), the passive time starting from
any initial belief state $\omega_{1}$ is independent of $m$ and denoted by
$\hat{D}_{\beta}(\omega_{1})$. The restless bandit of POMDP satisfies the
relaxed indexability if and only if for any arm, the corresponding single-
armed bandit problem with subsidy $m$ and with any belief state $\omega$ fixed
as a point in the threshold on the arm state space, we have
$\displaystyle\beta\omega(\hat{D}_{\beta}(p_{0}),\cdots,\hat{D}_{\beta}(p_{K-1}))^{\prime}\neq
1+\beta\hat{D}_{\beta}(\mathcal{T}^{1}(\omega)).$ (40)
Under the relaxed indexability, the approximated Whittle index
$\hat{W}(\omega)$ for a belief state $\omega$ is given by
$\hat{W}(\omega)=\frac{\omega B^{\prime}-\beta
g(\omega\textbf{P})\biggl{[}\textbf{I}_{K}+\beta
H(\textbf{P})G(\textbf{P})\biggr{]}B^{\prime}+\beta\omega
H(\textbf{P})G(\textbf{P})B^{\prime}}{1+\beta
f(\omega\textbf{P})+\beta\biggl{[}\beta
g(\omega\textbf{P})-\omega\biggr{]}H(\textbf{P})F(\textbf{P})},$ (41)
where $L(\cdot):=L(\cdot,\omega),\
f(\cdot):=\frac{1-\beta^{L(\cdot)}}{1-\beta},\
g(\omega):=\beta^{L(\omega)}\mathcal{T}^{L(\omega)}(\omega)=\beta^{L(\omega)}\omega\textbf{P}^{L(\omega)}$,
and
$F(\textbf{P}):=\left(\begin{matrix}f(p_{0})\\\ f(p_{1})\\\ \cdots\\\
f(p_{K-1})\end{matrix}\right),\quad
G(\textbf{P}):=\left(\begin{matrix}g(p_{0})\\\ g(p_{1})\\\ \cdots\\\
g(p_{K-1})\end{matrix}\right),\quad
H(\textbf{P})=\biggl{(}\textbf{I}_{K}-\beta G(\textbf{P})\biggr{)}^{-1}.$
The proof will be given in the e-companion to this paper.
Remark
* •
Fixing any $\omega$ as in the threshold and an initial $\omega_{1}$, Lemma 3
allows us to solve for $\hat{V}_{\beta,m}(\omega_{1})$ as a linear function of
$m$ and its coefficient (derivative) is just $\hat{D}_{\beta,m}(\omega_{1})$.
Thus the relaxed indexability condition (40) is equivalent to requiring that
the denominator of the Whittle index (41) obtained from (37) is nonzero. If
there exists a belief state $\omega$ such that (40) does not hold, we can
simply use $\omega B^{\prime}$ as a substitute for its Whittle index to
measure the attractiveness of activating the arm. For the original multi-armed
bandit problem (6) and (7), starting from the initial belief states for all
arms $\Omega(1)$, we only need to calculate the (approximate) Whittle index
for the state of each arm and select the $M$ arms with the highest Whittle
indices at each time. Since solving for the Whittle index as well as verifying
condition (40) for each arm has a complexity determined by the process of
solving the set of linear equations (36), (36) and (37), we have an efficient
online algorithm for arm selections with a polynomial running time of the arm
internal state size $K$ and a linear running time of the number of arms $N$ at
each time $t$. Specifically, our algorithm has complexity $O(K^{3}NT)$, given
that the first-crossing function $L(\cdot,\omega)$ is solved for any $\omega$
fixed as a point in the threshold.
* •
Recall the definition of $L(\cdot,\omega)$ in (34). Since
$\mathcal{T}^{k}(\omega_{1})=\omega_{1}\textbf{P}^{k}=\omega_{1}QJ^{k}Q^{-1}$
with $\textbf{P}=QJQ^{-1}$ in its Jordan canonical form, it is possible to
have an analytical solution for $L(\cdot,\omega)$. In Sec 4, we focus on the
case of $K=3$ and obtain detailed forms of $L(\cdot,\omega)$ in various
scenarios. In general, one could use the exhaustion method to search for the
first crossing time with an upper bound on the number of steps. If the number
of search steps exceeds the upper bound, we simply set
$L(\cdot,\omega)=\infty$. As $\beta^{k}$ decreases geometrically with $k$ and
$\omega_{1}\textbf{P}^{k}$ with any belief state $\omega_{1}$ also converges
geometrically with $k$ for regular transition matrices, such a numerical
exhaustion has its practical convenience.
* •
The relaxed indexability is reduced to the classical one given the threshold
function $V_{\beta,m}(\omega;u=1)-V_{\beta,m}(\omega;u=0)$. First, the
classical indexability implies the unique existence of the minimum $m$ for any
belief state $\omega$ at which the active and passive actions are
indifferent222In case of an interval, we choose the minimum $m$.. On the other
hand, the unique existence of $m$ under the relaxed indexability implies the
monotonic property of the passive set, for otherwise there will be two
different values of $m$ that pushes some $\omega$ to the passive set as $m$
increases from $-\infty$ to $+\infty$ due to the continuity of the value
functions. For $K=2$, the relaxed indexability relative to the linearized
threshold function is equivalent to the classical indexability as the
threshold consists of only one point.
### 3.3 The General Algorithm
In this subsection, we present the general algorithm based on the approximate
Whittle index under the relaxed indexability relative to the linearized
threshold function, as detailed in Algorithm 1.
Algorithm 1 Whittle Index Policy
Input: time period $T$, arm number $N$, active arm number $M$
Input: initial belief state $\omega_{n}(1)$, transition matrix
$\textbf{P}^{(n)}$, reward vector $B_{n},~{}n=1,...,N$
Input: discount factor $\beta$, first-crossing search maximum $l_{max}$
1:for $t=1,2,...,T$ do
2: for $n=1,...,N$ do
3: For each $i$, do a binary search for
$L\left(\omega_{n}(t)\textbf{P}^{(n)},\omega_{n}(t)\right)$ and
$L\left(p^{(n)}_{i},\omega_{n}(t)\right)$ in $\\{1,\ldots,l_{max}\\}$
4: For any value of $L(\cdot,\cdot)$ not found in $\\{1,\ldots,l_{max}\\}$,
set it to $+\infty$
5: Compute
$f(p^{(n)}_{i})=\frac{1-\beta^{L\left(p^{(n)}_{i},\omega_{n}(t)\right)}}{1-\beta},\quad
i=0,1,2,\cdots,K-1$
6: Set
$F(\textbf{P}^{(n)})=[f(p^{(n)}_{0}),f(p^{(n)}_{1}),f(p^{(n)}_{2}),\cdots,f(p^{(n)}_{K-1})]^{\prime}$
7: Compute
$g(p^{(n)}_{i})=\beta^{L\left(p^{(n)}_{i},\omega_{n}(t)\right)}\omega_{n}(t)(\textbf{P}^{(n)})^{L\left(p^{(n)}_{i},\omega_{n}(t)\right)},\quad
i=0,1,2,\cdots,K-1$
8: Set
$G(\textbf{P}^{(n)})=[g(p^{(n)}_{0}),g(p^{(n)}_{1}),g(p^{(n)}_{2}),\cdots,g(p^{(n)}_{K-1})]^{\prime}$
and $H(\textbf{P}^{(n)})=\left(\textbf{I}_{K}-\beta
G(\textbf{P}^{(n)})\right)^{-1}$
9: Compute
$f(\omega_{n}(t)\textbf{P}^{(n)})=\frac{1-\beta^{L\left(\omega_{n}(t)\textbf{P}^{(n)},\omega_{n}(t)\right)}}{1-\beta}$
10: Compute
$g(\omega_{n}(t)\textbf{P}^{(n)})=\beta^{L\left(\omega_{n}(t)\textbf{P}^{(n)},\omega_{n}(t)\right)}\omega_{n}(t)(\textbf{P}^{(n)})^{L\left(\omega_{n}(t)\textbf{P}^{(n)},\omega_{n}(t)\right)+1}$
11: Define $A{\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,1+\beta
f(\omega_{n}(t)\textbf{P}^{(n)})+\beta\biggl{[}\beta
g(\omega_{n}(t)\textbf{P}^{(n)})-\omega_{n}(t)\biggr{]}H(\textbf{P}^{(n)})F(\textbf{P}^{(n)})$
12: Set $W(\omega_{n}(t))=\omega_{n}(t)B_{n}^{\prime}$ and skip Step 13 if
$A=0$
13: Compute $W(\omega_{n}(t))=\frac{\omega_{n}(t)B_{n}^{\prime}-\beta
g(\omega_{n}(t)\textbf{P}^{(n)})\biggl{[}\textbf{I}_{K}+\beta
H(\textbf{P}^{(n)})G(\textbf{P}^{(n)})\biggr{]}B_{n}^{\prime}+\beta\omega_{n}(t)H(\textbf{P}^{(n)})G(\textbf{P}^{(n)})B_{n}^{\prime}}{1+\beta
f(\omega_{n}(t)\textbf{P}^{(n)})+\beta\biggl{[}\beta
g(\omega_{n}(t)\textbf{P}^{(n)})-\omega_{n}(t)\biggr{]}H(\textbf{P}^{(n)})F(\textbf{P}^{(n)})}$
14: Choose the top $M$ arms with the largest Whittle indices
$W(\omega_{n}(t))$
15: Observe the states $S_{n}(t)$ of the selected $M$ arms and accrue the
reward
16: for $n=1,...,N$ do
17: if arm $n$ is active then
18:
$\omega_{n}(t+1)=\left[p^{(n)}_{S_{n}(t),0},p^{(n)}_{S_{n}(t),1},p^{(n)}_{S_{n}(t),2},\cdots,p^{(n)}_{S_{n}(t),K-1}\right]$
19: else
20: $\omega_{n}(t+1)=\omega_{n}(t)\textbf{P}^{(n)}$
## 4 The Case of $K=3$
In this section, we consider the case that an arm has a $2$-dimensional belief
state space, i.e., the internal Markov chain has 3 states. For simplicity of
presentation, we assume that the Markov chain is irreducible and aperiodic,
thus having a unique stationary (limiting) distribution.
### 4.1 The Jordan Canonical Form
To compute $L(\cdot,\omega)$, it is crucial to analyze the form of
$\textbf{P}^{k}$ with $k$. A general approach is to use the Jordan canonical
form of the stochastic matrix when computing its power. It is well known that
any $K\times K$ square matrix P can be written in its Jordan form as
$\displaystyle\textbf{P}=QJQ^{-1}=Q\left(\begin{matrix}J_{0}&\\\ ~{}&\ddots\\\
~{}&~{}&J_{V-1}\end{matrix}\right)Q^{-1},\quad
J_{v}=\left(\begin{matrix}\lambda_{v}&~{}1&\\\ &~{}\lambda_{v}&~{}\ddots&\\\
&&~{}~{}\ddots&~{}1\\\ &&&~{}~{}\lambda_{v}\end{matrix}\right),$ (42)
where $Q$ is a square matrix of full rank $K$ and the upper diagonal
$K_{v}\times K_{v}$ matrix $J_{v}$ is the $v$-th Jordan block of size
$K_{v}~{}(1\leq K_{v}\leq K)$ with the eigenvalue $\lambda_{v}$ and
$\sum_{v=0}^{V-1}=K$. Note that if $K_{v}=1$ then the Jordan block is simply a
scalar $(\lambda_{v})$ and different blocks can have the same eigenvalue,
i.e., there may exist $0\leq v_{1}\neq v_{2}\leq V-1$ such that
$\lambda_{v_{1}}=\lambda_{v_{2}}$. The $k$th power of P can thus be computed
as
$\displaystyle\textbf{P}^{k}=Q\left(\begin{matrix}J_{0}^{k}&\\\ ~{}&\ddots\\\
~{}&~{}&J_{V-1}^{k}\end{matrix}\right)Q^{-1},\quad
J_{v}^{k}=\left(\begin{matrix}\lambda_{v}^{k}&\binom{k}{1}\lambda_{v}^{k-1}&\binom{k}{2}\lambda_{v}^{k-2}&\cdots&\binom{k}{K_{v}-1}\lambda_{v}^{k-K_{v}+1}\\\
0&\lambda_{v}^{k}&\binom{k}{1}\lambda_{v}^{k-1}&\cdots&\binom{k}{K_{v}-2}\lambda_{v}^{k-K_{v}+2}\\\
\vdots&\vdots&\ddots&\ddots&\vdots\\\
0&0&\cdots&\lambda_{v}^{k}&\binom{k}{1}\lambda_{v}^{k-1}\\\
0&0&\cdots&0&\lambda_{v}^{k}\\\ \end{matrix}\right).$ (43)
For a finite irreducible and aperiodic Markov chain, the transition matrix P
is regular, i.e., there exists an integer $k\geq 1$ such that
$\textbf{P}^{k}>0$ (element-wise). Therefore its Perron-Frobenius eigenvalue
$\lambda_{pf}=1$ has algebraic multiplicity $1$, i.e., the Jordan block
associated with the eigenvalue $1$ is unique and of size $1$. Furthermore, any
other eigenvalue $\lambda_{v}\neq 1~{}(1\leq v\leq K)$ of P satisfies
$|\lambda_{v}|<1$. In this case, the Markov chain has a unique stationary
distribution to which $\omega_{1}\textbf{P}^{k}$ converges at a geometric rate
as $k\rightarrow\infty$ for any belief sate $\omega_{1}$.
In the case of $K=3$, the Jordan canonical form of the transition matrix P
takes one of the following two forms (assuming irreducible and aperiodic
Markov chains):
* 1.
P has $3$ linearly independent eigenvectors: there exist
$\lambda_{1},\lambda_{2}\in\mathbb{R}$ or
$\lambda_{1}=\overline{\lambda_{2}}\in\mathbb{C}$ with
$|\lambda_{1}|,|\lambda_{2}|\in[0,1)$,
$J_{(1)}=\left(\begin{matrix}1&0&0\\\ 0&\lambda_{1}&0\\\
0&0&\lambda_{2}\end{matrix}\right);$ (44)
* 2.
P has $2$ linearly independent eigenvectors: there exists
$\lambda_{1}\in\mathbb{R},~{}|\lambda_{1}|\in[0,1)$,
$J_{(2)}=\left(\begin{matrix}1&0&0\\\ 0&\lambda_{1}&1\\\
0&0&\lambda_{1}\end{matrix}\right).$ (45)
Since the eigenvalue $1$ corresponds to a single Jordan block of size $1$
under our assumption, the matrix P has at least $2$ linearly independent
eigenvectors.
### 4.2 The $k$-Step Reward Function
Fix a belief state $\omega$. Define the $k$-step reward function as
$\displaystyle
h(k)=\mathcal{T}^{k}(\omega)B^{\prime}=\omega\textbf{P}^{k}B^{\prime},\quad
k\geq 0.$ (46)
To analyze $L(\omega,\omega^{*})$ for any threshold $\omega^{*}$, we only need
to find out the maximum of $h(k)$ and if it exceeds $\omega^{*}B^{\prime}$,
the first $k$ that makes $h(k)>\omega^{*}B^{\prime}$. In the following lemma,
we show that $h(k)$ can only take three forms and then establish a detailed
form of $L(\omega,\omega^{*})$ for the three cases respectively in Sec. 4.3.
###### Lemma 4.1
The $k$-step reward function $h(k)$ takes one of the following three forms:
* 1.
P has only real eigenvalues and $3$ linearly independent eigenvectors:
$h(k)=a_{1}b_{1}^{k}+a_{2}b_{2}^{k}+c,\quad
a_{1},b_{1},a_{2},b_{2},c\in\mathbb{R},\ |b_{1}|,|b_{2}|<1;$
* 2.
P has only real eigenvalues and $2$ linearly independent eigenvectors:
$h(k)=ab^{k}+ckb^{k-1}+d,\quad a,b,c,d\in\mathbb{R},\ |b|<1;$
* 3.
P has a pair of conjugate complex eigenvalues:
$h(k)=a^{\prime}A^{k}\sin(k\theta+b^{\prime})+c^{\prime},\quad
a^{\prime},A,b^{\prime},c^{\prime},\theta\in\mathbb{R},\ A\in(0,1),\
a^{\prime}\geq 0,\ \theta\in(0,2\pi),\ b^{\prime}\in[0,2\pi).$
The proof will be given in the e-companion to this paper.
### 4.3 The Computation of $L(\omega,\omega^{*})$
In the following theorem, we give the forms of the first crossing time
$L(\omega,\omega^{*})$ for various cases mentioned in Lemma 4.1.
###### Theorem 4.2
Fix $\omega$ and $\omega^{*}$. Let
$r^{*}{\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\omega^{*}B^{\prime}$. The
first crossing time $L(\omega,\omega^{*})$ takes following forms:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}0,\quad\text{if}~{}h(0)>r^{*}\\\
1,\quad\text{if}~{}h(1)>r^{*}\geq h(0)\\\
2,\quad\text{if}~{}h(2)>r^{*}\geq\max\\{h(0),h(1)\\}\end{cases},$ (47)
where $h(k)$ is the $k$-step reward function that depends on $\omega$. The
other cases are summarized below.
* 1.
P has only real eigenvalues and $3$ linearly independent eigenvectors:
$h(k)=a_{1}b_{1}^{k}+a_{2}b_{2}^{k}+c$.
* 1.1
$b_{1}=b_{2}\neq 0~{}\&\&~{}b_{1}>0~{}\&\&~{}a_{1}+a_{2}<0$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\lfloor\log_{b_{1}}^{\frac{c-r^{*}}{-(a_{1}+a_{2})}}\rfloor+1,\quad\text{if}~{}h(0)\leq
r^{*}<c\\\ \infty,\quad\text{if}~{}r^{*}\geq c\end{cases};$ (48)
* 1.2
$b_{1}=b_{2}\neq 0~{}\&\&~{}(b_{1}<0~{}||~{}a_{1}+a_{2}\geq 0)$:
$L(\omega,\omega^{*})=\infty$ if $r^{*}\geq\max\\{h(0),h(1)\\}$;
* 1.3
$a_{1}b_{1}=0~{}\&\&~{}b_{2}>0~{}\&\&~{}a_{2}<0$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\lfloor\log_{b_{2}}^{\frac{c-r^{*}}{-a_{2}}}\rfloor+2,\quad\text{if}~{}\max\\{h(0),h(1)\\}\leq
r^{*}<c\\\ \infty,\quad\text{if}~{}r^{*}\geq\max\\{h(0),c\\}\end{cases};$ (49)
* 1.4
$a_{1}b_{1}=0~{}\&\&~{}(b_{2}\leq 0~{}||~{}a_{2}\geq 0)$:
$L(\omega,\omega^{*})=\infty$ if $r^{*}\geq\max\\{h(0),h(1),h(2)\\}$;
* 1.5
$a_{2}b_{2}=0~{}\&\&~{}b_{1}>0~{}\&\&~{}a_{1}<0$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\lfloor\log_{b_{1}}^{\frac{c-r^{*}}{-a_{1}}}\rfloor+2,\quad\text{if}~{}\max\\{h(0),h(1)\\}\leq
r^{*}<c\\\ \infty,\quad\text{if}~{}r^{*}\geq\max\\{h(0),c\\}\end{cases};$ (50)
* 1.6
$a_{2}b_{2}=0~{}\&\&~{}(b_{1}\leq 0~{}||~{}a_{1}\geq 0)$:
$L(\omega,\omega^{*})=\infty$ if $r^{*}\geq\max\\{h(0),h(1),h(2)\\}$;
* 1.7
$a_{1},a_{2},b_{1},b_{2}>0$: $L(\omega,\omega^{*})=\infty$ if $r^{*}\geq
h(0),h(1)$;
* 1.8
$a_{1}<0,a_{2}>0,b_{1}>b_{2}>0$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}k>\max\\{\lceil\log_{\frac{b_{1}}{b_{2}}}^{-\frac{a_{2}(1-b_{2})}{a_{1}(1-b_{1})}}\rceil,0\\},~{}h(k)>r^{*}\\},\quad\text{if}~{}h(0)\leq
r^{*}<c\\\ \infty,\quad\text{if}~{}r^{*}\geq\max\\{h(0),c\\}\end{cases};$ (51)
* 1.9
$a_{1}<0,a_{2}>0,b_{2}>b_{1}>0$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}0<k\leq\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{0}}\rfloor+1,~{}h(k)>r^{*}\\},\quad\text{if}~{}z_{0}<1~{}\&\&~{}h(\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{0}}\rfloor+1)>r^{*}\geq
h(0)\\\ \infty,\quad\text{if}~{}(z_{0}\geq 1\&\&r^{*}\geq
h(0))~{}||~{}(z_{0}<1~{}\&\&~{}h(\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{0}}\rfloor+1)\leq
r^{*})\end{cases}$ (52)
where $z_{0}=-\frac{a_{2}(1-b_{2})}{a_{1}(1-b_{1})}$;
* 1.10
$b_{1}<0,a_{1},a_{2},b_{2}>0$: $L(\omega,\omega^{*})=\infty$ if $r^{*}\geq
h(0)$;
* 1.11
$a_{1},b_{1}<0,a_{2},b_{2}>0$: $L(\omega,\omega^{*})=\infty$ if
$r^{*}\geq\max\\{h(0),h(1)\\}$;
* 1.12
$a_{2},b_{1}<0,a_{1},b_{2}>0,|b_{1}|>b_{2}$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}0<k\leq\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{1}}\rfloor+2,~{}h(k)>r^{*}\\},\quad\text{if}~{}z_{1}\geq
1~{}\&\&~{}h(\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{1}}\rfloor+2)>r^{*}\geq
h(0)\\\ \infty,\quad\text{if}~{}(z_{1}<1\&\&r^{*}\geq h(0))~{}||~{}(z_{1}\geq
1~{}\&\&~{}h(\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{1}}\rfloor+2)\leq
r^{*})\end{cases}$ (53)
where $z_{1}=-\frac{a_{2}(1-b_{2}^{2})}{a_{1}(1-b_{1}^{2})}$;
* 1.13
$a_{2},b_{1}<0,a_{1},b_{2}>0,|b_{1}|<b_{2}$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}k\geq\max\\{\lceil\log_{\frac{b_{1}}{b_{2}}}^{-\frac{a_{2}(1-b_{2}^{2})}{a_{1}(1-b_{1}^{2})}}\rceil,1\\},~{}h(k)>r^{*}\\},\quad\text{if}~{}h(0)\leq
r^{*}<c\\\ \infty,\quad\text{if}~{}\max\\{h(0),c\\}\leq r^{*}\end{cases};$
(54)
* 1.14
$a_{2},b_{1}<0,a_{1},b_{2}>0,|b_{1}|=b_{2}$:
$\displaystyle L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}k\geq
1,~{}h(k)>r^{*}\\},\quad\text{if}~{}h(0)\leq r^{*}<c\\\
\infty,\quad\text{if}~{}\max\\{h(0),c\\}\leq r^{*}\end{cases};$ (55)
* 1.15
$b_{1},b_{2}<0,a_{1},a_{2}>0$: $L(\omega,\omega^{*})=\infty$ if $r^{*}\geq
h(0)$;
* 1.16
$b_{1},b_{2}>0,a_{1},a_{2}<0$:
$\displaystyle L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}k\geq
0,~{}h(k)>r^{*}\\},\quad\text{if}~{}r^{*}<c\\\ \infty,\quad\text{if}~{}c\leq
r^{*}\end{cases};$ (56)
* 1.17
$a_{1},a_{2},b_{1}<0,b_{2}>0,|b_{1}|>b_{2}$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}0<k\leq\lfloor\log_{\frac{-b_{1}}{b_{2}}}^{z_{2}}\rfloor+2,~{}h(k)>r^{*}\\},\quad\text{if}~{}z_{2}>\frac{-b_{1}}{b_{2}}~{}\&\&~{}h(\lfloor\log_{\frac{-b_{1}}{b_{2}}}^{z_{2}}\rfloor+2)>r^{*}\geq
h(0)\\\ \infty,\quad\text{if}~{}(z_{2}\leq\frac{-b_{1}}{b_{2}}\&\&r^{*}\geq
h(1))~{}||~{}(z_{2}>\frac{-b_{1}}{b_{2}}~{}\&\&~{}h(\lfloor\log_{\frac{-b_{1}}{b_{2}}}^{z_{2}}\rfloor+2)\leq
r^{*})\end{cases}$ (57)
where $z_{2}=\frac{a_{2}(b_{2}^{2}-1)}{a_{1}(b_{1}^{2}-1)}$;
* 1.18
$a_{1},a_{2},b_{1}<0,b_{2}>0,|b_{1}|<b_{2}$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}k\geq\max\\{\lceil\log_{\frac{-b_{1}}{b_{2}}}^{z_{2}}\rceil,1\\},~{}h(k)>r^{*}\\},\quad\text{if}~{}h(1)\leq
r^{*}<c\\\ \infty,\quad\text{if}~{}\max\\{h(1),c\\}\leq r^{*}\end{cases}$ (58)
where $z_{2}=\frac{a_{2}(b_{2}^{2}-1)}{a_{1}(b_{1}^{2}-1)}$;
* 1.19
$a_{1},a_{2},b_{1}<0,b_{2}>0,|b_{1}|=b_{2}$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}k>1,~{}h(k)>\omega^{*}\\},\quad\text{if}~{}h(1)\leq
r^{*}<c\\\ \infty,\quad\text{if}~{}\max\\{h(1),c\\}\leq r^{*}\end{cases};$
(59)
* 1.20
$a_{2},b_{1},b_{2}<0,a_{1}>0,|b_{1}|>|b_{2}|$:
$\displaystyle L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}1\leq
k\leq\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{1}}\rfloor_{e}+2,~{}h(k)>r^{*}\\},\quad\text{if}~{}z_{1}>1~{}\&\&~{}h(0)\leq
r^{*}<h(\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{1}}\rfloor_{e}+2)\\\
\infty,\quad\text{if}~{}(z_{1}>1~{}\&\&~{}\max\\{h(0),h(1),h(\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{1}}\rfloor_{e}+2)\\}\leq
r^{*})~{}||~{}(z_{1}\leq 1~{}\&\&~{}h(0)\leq r^{*})\end{cases}$ (60)
where $z_{1}=-\frac{a_{2}(1-b_{2}^{2})}{a_{1}(1-b_{1}^{2})}$ and $\lfloor
n\rfloor_{e}$ denotes the maximum even integer not exceeding $n$;
* 1.21
$a_{2},b_{1},b_{2}<0,a_{1}>0,|b_{1}|<|b_{2}|$:
$\displaystyle L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}1\leq
k\leq\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{1}}\rfloor_{o}+2,~{}h(k)>r^{*}\\},\quad\text{if}~{}z_{1}<\frac{b_{1}}{b_{2}}~{}\&\&~{}h(0)\leq
r^{*}<h(\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{1}}\rfloor_{o}+2)\\\
\infty,\quad\text{if}~{}(z_{1}\geq\frac{b_{1}}{b_{2}}~{}\&\&~{}\max\\{h(0),h(1)\\}\leq
r^{*})~{}||~{}(z_{1}<\frac{b_{1}}{b_{2}}~{}\&\&~{}\\{h(0),h(1),h(\lfloor\log_{\frac{b_{1}}{b_{2}}}^{z_{1}}\rfloor_{o}+2)\\}\leq
r^{*})\end{cases}$ (61)
where $z_{1}=-\frac{a_{2}(1-b_{2}^{2})}{a_{1}(1-b_{1}^{2})}$ and $\lfloor
n\rfloor_{o}$ denotes the maximum odd integer not exceeding $n$;
* 1.22
$a_{2},b_{1},b_{2}<0,a_{1}<0$: $L(\omega,\omega^{*})=\infty$ if
$\omega^{*}\geq h(1)$;
* 1.23
any other case, which is symmetric to one of the above and omitted here.
* 2.
P has only real eigenvalues and $2$ linearly independent eigenvectors:
$h(k)=ab^{k}+ckb^{k-1}+d$.
* 2.1
$b,c>0$:
$\displaystyle L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}0\leq k<\lceil
z_{3}\rceil+1,~{}h(k)>r^{*}\\},\quad\text{if}~{}z_{3}>0~{}\&\&~{}h(0)\leq
r^{*}<h(z_{3}+1)\\\ \infty,\quad\text{if}~{}(z_{3}>0~{}\&\&~{}h(z_{3}+1)\leq
r^{*})~{}||~{}(z_{3}\leq 0~{}\&\&~{}h(0)\leq r^{*})\end{cases}$ (62)
where $z_{3}=\frac{ab-ab^{2}-cb}{c(b-1)}$;
* 2.2
$b>0,c<0$:
$\displaystyle
L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}k\geq\max\\{\lceil
z_{3}\rceil+1,0\\},~{}h(k)>r^{*}\\},\quad\text{if}~{}h(0)\leq r^{*}<d\\\
\infty,\quad\text{if}~{}\max\\{h(0),d\\}\leq r^{*}\end{cases}$ (63)
where $z_{3}=\frac{ab-ab^{2}-cb}{c(b-1)}$;
* 2.3
$b<0,c<0$:
$\displaystyle L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}1\leq
k\leq\lfloor
z_{4}\rfloor_{e}+2,~{}h(k)>r^{*}\\},\quad\text{if}~{}z_{4}>0~{}\&\&~{}h(0)\leq
r^{*}<h(\lfloor z_{4}\rfloor_{e}+2)\\\
\infty,\quad\text{if}~{}(z_{4}>0~{}\&\&~{}\max\\{h(0),h(1),h(\lfloor
z_{4}\rfloor_{e}+2)\\}\leq r^{*})~{}||~{}(z_{4}\leq 0~{}\&\&~{}h(0)\leq
r^{*})\end{cases}$ (64)
where $z_{4}=\frac{ab-ab^{3}-2cb^{2}}{c(b^{2}-1)}$ and $\lfloor n\rfloor_{e}$
denotes the maximum even integer not exceeding $n$;
* 2.4
$b<0,c>0$:
$\displaystyle L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}1\leq
k\leq\lfloor
z_{4}\rfloor_{o}+2,~{}h(k)>r^{*}\\},\quad\text{if}~{}z_{4}>1~{}\&\&~{}h(0)\leq
r^{*}<h(\lfloor z_{4}\rfloor_{o}+2)\\\ \infty,\quad\text{if}~{}(z_{4}\leq
1~{}\&\&~{}\max\\{h(0),h(1)\\}\leq
r^{*})~{}||~{}(z_{4}>1~{}\&\&~{}\\{h(0),h(1),h(\lfloor
z_{4}\rfloor_{o}+2)\\}\leq r^{*})\end{cases}$ (65)
where $z_{4}=\frac{ab-ab^{3}-2cb^{2}}{c(b^{2}-1)}$ and $\lfloor n\rfloor_{o}$
denotes the maximum odd integer not exceeding $n$;
* 2.5
$bc=0$: $h(k)$ is reduced to forms similar to those in (1.1)-(1.6) so details
are omitted .
* 3.
P has a pair of conjugate complex eigenvalues:
$h(k)=a^{\prime}A^{k}\sin(k\theta+b^{\prime})+c^{\prime}$.
* 3.1
$d^{\prime}=\frac{r^{*}-c^{\prime}}{a^{\prime}}>0$:
$\displaystyle L(\omega,\omega^{*})=\begin{cases}\min\\{k:~{}0\leq
k<\lceil\log_{A}^{d^{\prime}}\rceil,~{}h(k)>r^{*}\\},\quad\text{if}~{}\log_{A}^{d^{\prime}}>0~{}\&\&~{}\max\\{h(k):~{}0\leq
k<\lceil\log_{A}^{d^{\prime}}\rceil\\}>r^{*}\\\
\infty,\quad\text{if}~{}\log_{A}^{d^{\prime}}\leq
0~{}||~{}(\log_{A}^{d^{\prime}}>0~{}\&\&~{}\max\\{h(k):~{}0\leq
k<\lceil\log_{A}^{d^{\prime}}\rceil\\}\leq r^{*})\end{cases}$ (66)
* 3.2
$d^{\prime}=\frac{r^{*}-c^{\prime}}{a^{\prime}}<0$:
$L(\omega,\omega^{*})=\min\\{k:~{}k\geq 0,~{}h(k)>r^{*}\\}$;
* 3.3
$d^{\prime}=\frac{r^{*}-c^{\prime}}{a^{\prime}}=0,b^{\prime}\in(0,\pi)$:
$L(\omega,\omega^{*})=0$;
* 3.4
$d^{\prime}=\frac{r^{*}-c^{\prime}}{a^{\prime}}=0,\theta=\pi,b^{\prime}\in\\{0,\pi\\}$:
$L(\omega,\omega^{*})=\infty$;
* 3.5
$d^{\prime}=\frac{r^{*}-c^{\prime}}{a^{\prime}}=0,\theta\neq\pi,b^{\prime}=0$:
$L(\omega,\omega^{*})=\lfloor\frac{\pi}{2\pi-\theta}\rfloor+1$;
* 3.6
$d^{\prime}=\frac{r^{*}-c^{\prime}}{a^{\prime}}=0,\theta\neq\pi,b^{\prime}=\pi$:
$L(\omega,\omega^{*})=\lfloor\frac{\pi}{\theta}\rfloor+1$;
* 3.7
$d^{\prime}=\frac{r^{*}-c^{\prime}}{a^{\prime}}=0,\theta\in(0,\pi],b^{\prime}\in(\pi,2\pi)$:
$L(\omega,\omega^{*})=\lfloor\frac{2\pi-b}{\theta}\rfloor+1$;
* 3.8
$d^{\prime}=\frac{r^{*}-c^{\prime}}{a^{\prime}}=0,\theta\in(\pi,2\pi),b^{\prime}\in(\pi,2\pi)$:
$L(\omega,\omega^{*})=\lfloor\frac{b-\pi}{2\pi-\theta}\rfloor+1$.
The proof will be given in the e-companion to this paper.
### 4.4 Numerical Examples
In this section, we demonstrate the near-optimality of the (approximate)
Whittle index policy. Through extensive numerical examples, we compute the
performance of the optimal policy by dynamic programming and simulate the low-
complexity Whittle index policy by Monte-Carlo runs. The performance of
Whittle index policy has been observed to be quite close to the optimal one
from all these numerical trials. Here we list a few examples in Figures 2, 2,
4, 4, 6 and 6 with their system parameters shown in Tables 1, 2 and 3. The
comparison between the Whittle index policy and the myopic policy in Figures 6
and 6 demonstrates the superiority of the former.
To better illustrate the efficiency of the Whittle index policy, we further
plot its performance versus the myopic policy for large number of arms and
long time horizon. The optimal policy was not plotted due to the curse of
dimensionality for large systems. As observed in Figures 8, 8, 10, 10, 12, 12,
14, 14, 16 and 16 with time horizon $T=100$ and 1000 monte-carlo runs for
smoothing each curve, the Whittle index policy clearly shows a stronger
performance for arm number $N=20,~{}30,~{}40,50~{}\mbox{and}~{}60$,
respectively (two figures for each case). For larger systems or longer time
horizons in consideration, the Whittle index policy becomes more significant
since it has only a linear complexity with the number of arms (as well as with
the length of time horizon), while solving for the optimal policy has an
exponential complexity as the joint-state space grows geometrically with the
number of arms. As times goes, the (approximate) Whittle index policy
maintains a better balance between exploitation and exploration than the
myopic one which only maximizes the immediate reward.
## 5 Conclusion and Future Work
In this paper, we proposed an efficient algorithm to achieve a strong
performance for a class of restless multi-armed bandits arisen in the general
POMDP framework. By formulating the problem with a $(K-1)$-dimensional belief
state space, we extended the Whittle index policy previously studied for the
case of $K=2$ to $K>2$ by introducing the concept of relaxed indexability. An
interesting finding is that through the online computation process for the
first crossing time, all our numerical studies have shown that the relaxed
indexability relative to the linearized threshold function was satisfied.
Future work includes the extensions of Whittle index to more general POMDP
models, e.g., those with observation errors or different state transition
dynamics. Furthermore, the approximation of the decision boundary can be
readily implemented by the classical $t$-step lookahead approach in dynamic
programming with $t$ chosen to control the the tradeoff between the
approximation accuracy and the time complexity (Bertsekas 1987). Specifically,
the $t$-step threshold function is defined as
$\displaystyle
r_{t}(\omega){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,V_{\beta,m,[1,\cdots,t]}(\omega;u=1)-V_{\beta,m,[1,\cdots,t]}(\omega;u=0),$
(67)
where $V_{\beta,m,[1,\cdots,t]}(\cdot)$ denotes the maximum expected reward
obtained over $t$ steps. Obviously our linearized threshold function is
equivalent to the case of $t=1$. The larger $t$ is, the better approximation
to the optimal threshold function since
$\displaystyle r_{t}(\cdot)\rightarrow
V_{\beta,m}(\omega;u=1)-V_{\beta,m}(\omega;u=0),\
\mbox{as}~{}t\rightarrow\infty.$ (68)
So the approximation error to the original decision boundary $C(m)$ converges
to zero as $t\rightarrow\infty$. Nevertheless, the algorithmic complexity
definitely increases with $t$.
Future work also includes a theoretical verification of the relaxed
indexability relative to the linearized threshold function (i.e., $t=1$), the
systematic categorization of threshold functions to build efficient algorithms
for general partially observable RMAB problems, and the analysis on the
duality gap introduced by the relaxation for arm-decoupling (the relaxation to
the expected number of arms to activate).
Figure 1: Experiment 1: machine 1
Figure 2: Experiment 1: machine 2
Figure 3: Experiment 2: machine 1
Figure 4: Experiment 2: machine 2
Figure 5: Experiment 2: machine 3
Figure 6: Experiment 2: machine 4
Figure 7: Large System 1: $N=20$
Figure 8: Large System 2: $N=20$
Figure 9: Large System 3: $N=30$
Figure 10: Large System 4: $N=30$
Figure 11: Large System 5: $N=40$
Figure 12: Large System 6: $N=40$
Figure 13: Large System 7: $N=50$
Figure 14: Large System 8: $N=50$
Figure 15: Large System 7: $N=60$
Figure 16: Large System 8: $N=60$
Table 1: Experiment setting 1 ($\beta=0.9999,B_{i}=[0,2,3],i=1,...,7$) arm machine | 1 | 2
---|---|---
1 | $\textbf{P}^{(1)}=\left(\begin{matrix}0.514&0.321&0.165\\\ 0.123&0.159&0.718\\\ 0.420&0.195&0.385\end{matrix}\right)$ | $\textbf{P}^{(1)}=\left(\begin{matrix}0.519&0.445&0.036\\\ 0.188&0.292&0.520\\\ 0.043&0.292&0.665\end{matrix}\right)$
| $\omega_{1}(1)=$(0.279, 0.618, 0.103) | $\omega_{1}(1)=$(0.354, 0.164, 0.482)
2 | $\textbf{P}^{(2)}=\left(\begin{matrix}0.372&0.543&0.085\\\ 0.103&0.633&0.264\\\ 0.417&0.301&0.282\end{matrix}\right)$ | $\textbf{P}^{(2)}=\left(\begin{matrix}0.193&0.534&0.273\\\ 0.275&0.485&0.240\\\ 0.234&0.694&0.072\end{matrix}\right)$
| $\omega_{2}(1)=$(0.688, 0.024, 0.288) | $\omega_{2}(1)=$(0.426, 0.188, 0.386)
3 | $\textbf{P}^{(3)}=\left(\begin{matrix}0.405&0.129&0.466\\\ 0.413&0.328&0.259\\\ 0.327&0.502&0.171\end{matrix}\right)$ | $\textbf{P}^{(3)}=\left(\begin{matrix}0.250&0.274&0.476\\\ 0.600&0.242&0.158\\\ 0.271&0.199&0.530\end{matrix}\right)$
| $\omega_{3}(1)=$(0.489, 0.408, 0.103) | $\omega_{3}(1)=$(0.333, 0.498, 0.169)
4 | $\textbf{P}^{(4)}=\left(\begin{matrix}0.461&0.272&0.267\\\ 0.555&0.431&0.014\\\ 0.058&0.689&0.253\end{matrix}\right)$ | $\textbf{P}^{(4)}=\left(\begin{matrix}0.721&0.203&0.076\\\ 0.201&0.621&0.178\\\ 0.444&0.319&0.237\end{matrix}\right)$
| $\omega_{4}(1)=$(0.554, 0.061 , 0.385) | $\omega_{4}(1)=$(0.455, 0.285, 0.260)
5 | $\textbf{P}^{(5)}=\left(\begin{matrix}0.339&0.427&0.234\\\ 0.161&0.469&0.370\\\ 0.265&0.296&0.439\end{matrix}\right)$ | $\textbf{P}^{(5)}=\left(\begin{matrix}0.161&0.445&0.394\\\ 0.249&0.394&0.357\\\ 0.164&0.363&0.473\end{matrix}\right)$
| $\omega_{5}(1)=$(0.313, 0.297, 0.390) | $\omega_{5}(1)=$(0.352, 0.424, 0.224)
6 | $\textbf{P}^{(6)}=\left(\begin{matrix}0.071&0.556&0.373\\\ 0.158&0.308&0.534\\\ 0.412&0.459&0.129\end{matrix}\right)$ | $\textbf{P}^{(6)}=\left(\begin{matrix}0.080&0.279&0.641\\\ 0.027&0.780&0.193\\\ 0.418&0.265&0.317\end{matrix}\right)$
| $\omega_{6}(1)=$(0.332, 0.305, 0.363) | $\omega_{6}(1)=$(0.102, 0.893, 0.005)
7 | $\textbf{P}^{(7)}=\left(\begin{matrix}0.427&0.324&0.249\\\ 0.478&0.356&0.166\\\ 0.326&0.490&0.184\end{matrix}\right)$ | $\textbf{P}^{(7)}=\left(\begin{matrix}0.130&0.536&0.334\\\ 0.377&.253&0.370\\\ 0.334&0.120&0.546\end{matrix}\right)$
| $\omega_{7}(1)=$(0.234, 0.722, 0.044) | $\omega_{7}(1)=$(0.367, 0.276, 0.357)
Table 2: Experiment setting 2-1 ($\beta=0.9999$) arm machine | 1 | 2
---|---|---
1 | $\textbf{P}^{(1)}=\left(\begin{matrix}0.036&0.607&0.357\\\ 0.053&0.126&0.821\\\ 0.579&0.359&0.062\end{matrix}\right)$ | $\textbf{P}^{(1)}=\left(\begin{matrix}0.538&0.305&0.157\\\ 0.575&0.097&0.328\\\ 0.346&0.168&0.486\end{matrix}\right)$
| $\omega_{1}(1)=$(0.284, 0.404, 0.312) | $\omega_{1}(1)=$(0.462, 0.418, 0.120)
| $B_{1}=$(0, 1.004, 2.186) | $B_{1}=$(0, 2.422, 2.698)
2 | $\textbf{P}^{(2)}=\left(\begin{matrix}0.447&0.021&0.532\\\ 0.485&0.164&0.351\\\ 0.461&0.409&0.130\end{matrix}\right)$ | $\textbf{P}^{(2)}=\left(\begin{matrix}0.367&0.114&0.519\\\ 0.798&0.089&0.113\\\ 0.367&0.354&0.279\end{matrix}\right)$
| $\omega_{2}(1)=$(0.297, 0.361, 0.342) | $\omega_{2}(1)=$(0.459, 0.528, 0.013)
| $B_{2}=$(0, 1.155, 2.761) | $B_{2}=$(0, 2.745, 2.754)
3 | $\textbf{P}^{(3)}=\left(\begin{matrix}0.407&0.200&0.393\\\ 0.435&0.180&0.385\\\ 0.245&0.465&0.290\end{matrix}\right)$ | $\textbf{P}^{(3)}=\left(\begin{matrix}0.218&.015&0.767\\\ 0.849&0.129&0.022\\\ 0.405&0.151&0.444\end{matrix}\right)$
| $\omega_{3}(1)=$(0.043, 0.421, 0.536) | $\omega_{3}(1)=$(0.519, 0.413, 0.068)
| $B_{3}=$(0, 0.437, 0.7826) | $B_{3}=$(0, 2.917, 2.916)
4 | $\textbf{P}^{(4)}=\left(\begin{matrix}0.087&0.454&0.459\\\ 0.181&0.672&0.147\\\ 0.462&0.492&0.046\end{matrix}\right)$ | $\textbf{P}^{(4)}=\left(\begin{matrix}0.428&0.294&0.278\\\ 0.431&0.022&0.547\\\ 0.011&0.511&0.478\end{matrix}\right)$
| $\omega_{4}(1)=$(0.642, 0.026, 0.332) | $\omega_{4}(1)=$(0.113, 0.499, 0.388)
| $B_{4}=$(0, 0.568, 0.619) | $B_{4}=$(0, 0.051, 0.503)
5 | $\textbf{P}^{(5)}=\left(\begin{matrix}0.331&0.181&0.488\\\ 0.347&0.117&0.536\\\ 0.245&0.197&0.558\end{matrix}\right)$ | $\textbf{P}^{(5)}=\left(\begin{matrix}0.317&0.413&0.270\\\ 0.376&0.333&0.291\\\ 0.351&0.203&0.446\end{matrix}\right)$
| $\omega_{5}(1)=$(0.606, 0.017, 0.377) | $\omega_{5}(1)=$(0.555, 0.400, 0.045)
| $B_{5}=$(0, 2.448, 2.63 ) | $B_{5}=$(0, 1.51 , 2.688)
6 | $\textbf{P}^{(6)}=\left(\begin{matrix}0.449&0.008&0.543\\\ 0.782&0.198&0.020\\\ 0.338&0.614&0.048\end{matrix}\right)$ | $\textbf{P}^{(6)}=\left(\begin{matrix}0.320&0.649&0.031\\\ 0.112&0.037&0.851\\\ 0.377&0.364&0.259\end{matrix}\right)$
| $\omega_{6}(1)=$(0.362, 0.560, 0.078) | $\omega_{6}(1)=$(0.348, 0.212, 0.440)
| $B_{6}=$(0, 1.327, 1.945) | $B_{6}=$(0, 1.623, 1.777)
7 | $\textbf{P}^{(7)}=\left(\begin{matrix}0.067&0.435&0.498\\\ 0.334&0.290&0.376\\\ 0.258&0.483&0.259\end{matrix}\right)$ | $\textbf{P}^{(7)}=\left(\begin{matrix}0.046&0.213&0.741\\\ 0.031&0.430&0.539\\\ 0.238&0.238&0.524\end{matrix}\right)$
| $\omega_{7}(1)=$(0.296, 0.298, 0.406) | $\omega_{7}(1)=$(0.483, 0.050, 0.467)
| $B_{7}=$(0, 1.858, 2.033) | $B_{7}=$(0, 0.897, 2.443)
Table 3: Experiment setting 2-2 ($\beta=0.9999$) arm machine | 3 | 4
---|---|---
1 | $\textbf{P}^{(1)}=\left(\begin{matrix}0.488&0.258&0.254\\\ 0.012&0.790&0.198\\\ 0.681&0.208&0.111\end{matrix}\right)$ | $\textbf{P}^{(1)}=\left(\begin{matrix}0.413&0.329&0.258\\\ 0.089&0.511&0.400\\\ 0.086&0.309&0.605\end{matrix}\right)$
| $\omega_{1}(1)=$(0.405,0.415,0.180) | $\omega_{1}(1)=$(0.486, 0.028, 0.486)
| $B_{1}=$(0, 2.146, 2.491) | $B_{1}=$(0, 0.233, 2.853)
2 | $\textbf{P}^{(2)}=\left(\begin{matrix}0.354&0.311&0.335\\\ 0.278&0.027&0.695\\\ 0.502&0.341&0.157\end{matrix}\right)$ | $\textbf{P}^{(2)}=\left(\begin{matrix}0.031&0.171&0.798\\\ 0.678&0.134&0.188\\\ 0.597&0.358&0.045\end{matrix}\right)$
| $\omega_{2}(1)=$(0.551,0.328,0.121) | $\omega_{2}(1)=$(0.408, 0.496, 0.096)
| $B_{2}=$(0, 1.579, 2.444) | $B_{2}=$(0, 2.358, 2.632)
3 | $\textbf{P}^{(3)}=\left(\begin{matrix}0.342&0.036&0.622\\\ 0.451&0.219&0.330\\\ 0.471&0.073&0.456\end{matrix}\right)$ | $\textbf{P}^{(3)}=\left(\begin{matrix}0.358&0.263&0.379\\\ 0.264&0.249&0.487\\\ 0.400&0.364&0.236\end{matrix}\right)$
| $\omega_{3}(1)=$(0.555,0.315,0.130) | $\omega_{3}(1)=$(0.014, 0.247, 0.739)
| $B_{3}=$(0, 0.286, 0.644) | $B_{3}=$(0, 0.378, 1.241)
4 | $\textbf{P}^{(4)}=\left(\begin{matrix}0.304&0.639&0.057\\\ 0.457&0.380&0.163\\\ 0.262&0.357&0.381\end{matrix}\right)$ | $\textbf{P}^{(4)}=\left(\begin{matrix}0.598&0.028&0.374\\\ 0.762&0.109&0.129\\\ 0.313&0.391&0.296\end{matrix}\right)$
| $\omega_{4}(1)=$(0.495,0.117,0.388) | $\omega_{4}(1)=$(0.490, 0.256, 0.254)
| $B_{4}=$(0, 2.391, 2.852) | $B_{4}=$(0, 2.002, 2.374)
5 | $\textbf{P}^{(5)}=\left(\begin{matrix}0.404&0.282&0.314\\\ 0.621&0.106&0.273\\\ 0.204&0.657&0.14\end{matrix}\right)$ | $\textbf{P}^{(5)}=\left(\begin{matrix}0.323&0.177&0.500\\\ 0.174&0.138&0.688\\\ 0.416&0.310&0.274\par\end{matrix}\right)$
| $\omega_{5}(1)=$(0.474,0.239,0.287) | $\omega_{5}(1)=$(0.358, 0.501, 0.141)
| $B_{5}=$(0, 0.111, 1.420) | $B_{5}=$(0, 1.502, 2.258)
6 | $\textbf{P}^{(6)}=\left(\begin{matrix}0.586&0.024&0.390\\\ 0.455&0.027&0.518\\\ 0.365&0.464&0.171\end{matrix}\right)$ | $\textbf{P}^{(6)}=\left(\begin{matrix}0.424&0.442&0.134\\\ 0.301&0.182&0.517\\\ 0.164&0.360&0.476\end{matrix}\right)$
| $\omega_{6}(1)=$(0.413,0.388,0.199) | $\omega_{6}(1)=$(0.263, 0.502, 0.235)
| $B_{6}=$(0, 0.324, 0.755) | $B_{6}=$(0, 0.715, 1.022)
7 | $\textbf{P}^{(7)}=\left(\begin{matrix}0.612&0.335&0.053\\\ 0.333&0.486&0.181\\\ 0.483&0.513&0.004\end{matrix}\right)$ | $\textbf{P}^{(7)}=\left(\begin{matrix}0.613&0.136&0.251\\\ 0.454&0.383&0.163\\\ 0.287&0.693&0.020\end{matrix}\right)$
| $\omega_{7}(1)=$(0.369,0.262,0.369) | $\omega_{7}(1)=$(0.707, 0.226, 0.067)
| $B_{7}=$(0, 0.491, 0.797) | $B_{7}=$(0, 2.013, 2.436)
The author gratefully acknowledges the help from his students, Jiale Zha and
Chengzhong Zhang, for the numerical analysis and figures. The author’s
colleague, Prof. Ting Wu, provided very helpful comments for improving this
paper.
## References
* Bertsekas (1987) Bertsekas DP (1987) Dynamic Programming: Deterministic and Stochastic Models (Prentice Hall).
* Bertsimas and Niño-Mora (1996) Bertsimas D, Niño-Mora J (1996) Conservation laws, extended polymatroids and multi-armed bandit problems. Mathematics of Operations Research 21:257–306.
* Bertsimas and Niño-Mora (2000) Bertsimas D, Niño-Mora J (2000) Restless bandits, linear programming relaxations, and a primal-dual index heuristic. Operations Research 48(1):80–90.
* Brown and Smith (2020) Brown DB, Simth JE (2020) Index policies and performance bounds for dynamic selection problems. Management Science 66(7):3029–3050.
* Elmaghraby et al. (2018) Elmaghraby HM, Liu K, Ding Z (2008) Femtocell scheduling as a restless multiarmed bandit problem using partial channel state observation. Proc. of IEEE International Conference on Communications (ICC) 1–6.
* Frostig and Weiss (2016) Frostig E, Weiss G (2016) Four proofs of Gittins’ multiarmed bandit theorem. Ann. Oper. Res. 241:127–165.
* Gast et al. (2021) Gast N, Gaujal B, Yan C (2021, working paper) (Close to) Optimal policies for finite horizon restless bandits. https://hal.inria.fr/hal-03262307/file/LP_paper.pdf.
* Ges (2012) Geschke S (2012) Convex open subsets of $\mathbb{R}^{n}$ are homeomorphic to $n$-dimensional open balls. http://relaunch.hcm.uni-bonn.de/fileadmin/geschke/papers/ConvexOpen.pdf
* Gittins (1979) Gittins JC (1979) Bandit processes and dynamic allocation indices. J. R. Stat. Soc. 41(2):148–177.
* Gittins et al. (2011) Gittins JC, Glazebrook KD, Weber RR (2011) Multi-Armed Bandit Allocation Indices (Wiley, Chichester).
* Gittins and Jones (1974) Gittins JC, Jones DM (1974) A dynamic allocation index for the sequential design of experiments. Progr. Stat. 241–266.
* Glazebrook et al. (2009) Glazebrook KD, Kirkbride C, Ouenniche J (2009) Index policies for the admission control and routing of impatient customers to heterogeneous service stations. Operations Research 57:975–989.
* Hodge and Glazebrook (2011) Hodge DJ, Glazebrook KD (2011) Dynamic resource allocation in a multi-product make-to-stock production system, Queueing Syst 67:333–364.
* Hu and Frazier (2017) Hu W, Frazier PI (2017, working paper) An asymptotically optimal index policy for finite-horizon restless bandits. arXiv preprint https://arxiv.org/abs/1707.00205.
* Lapiccirella et al. (2011) Lapiccirella FE, Liu K, Ding Z (2011) Multi-channel opportunistic access based on primary ARQ messages overhearing. Proc. of IEEE International Conference on Communications (ICC) 1–5.
* Le Ny et al. (2008) Le Ny J, Dahleh M, Feron E (2008) Multi-UAV dynamic routing with partial observations using restless bandit allocation indices. Proc. Amer. Control Conf. 4220–4225.
* Liu (2020) Liu K (2020) Whittle index for restless bandits with expanding state spaces. Numerical Mathematics: A Journal of Chinese Universities 42(4):372–384.
* Liu et al. (2022) Liu K, Weber RR, Wu T, Zhang C (2022) Low-complexity algorithm for restless bandits with imperfect observations. arXiv preprint https://arxiv.org/abs/2108.03812.
* Liu et al. (2011) Liu K, Weber RR, Zhao Q (2011) Indexability and Whittle index for restless bandit problems involving reset processes. Proc. of the 50th IEEE Conference on Decision and Control 7690–7696.
* Liu and Zhao (2008) Liu K, Zhao Q (2008) A restless bandit formulation of opportunistic access: indexability and index Policy. Proc. of IEEE Workshop on Networking Technologies for Software Defined Radio (SDR) Networks 1–5.
* Liu and Zhao (2010) Liu K, Zhao Q (2010) Indexability of restless bandit problems and optimality of Whittle index for dynamic multichannel access. IEEE Trans. Inform. Theory 56(11):5547–5567.
* Liu and Zhao (2012) Liu K, Zhao Q (2012) Dynamic intrusion detection in resource-constrained cyber networks. IEEE International Symposium on Information Theory Proceedings 970–974.
* Liu et-al. (2010) Liu K, Zhao Q, Krishnamachari B (2010) Dynamic multichannel access with imperfect channel state detection. IEEE Trans. Signal Process. 58(5):2795–2808.
* Munkres (2003) Munkres JR (2003) Topology (Pearson).
* Niño-Mora (2001) Niño-Mora J (2001) Restless bandits, partial conservation laws and indexability. Adv. Appl. Probab., 33:76–98.
* Niño-Mora (2007) Niño-Mora J (2007) Dynamic priority allocation via restless bandit marginal productivity indices. TOP, 15:161–198.
* Papadimitriou and Tsitsiklis (1999) Papadimitriou CH, Tsitsiklis JN (1999) The complexity of optimal queueing network control. Math. Oper. Res. 24(2):293–305.
* Sondik (1978) Sondik EJ (1978) The optimal control of partially observable Markov processes over the infinite horizon: discounted costs. Operations Research 26(2):282–304.
* Thompson (1933) Thompson WR (1933) On the likelihood that one unknown probability exceeds another in view of the evidence of two samples. Biometrika 25(3/4):275–294.
* Verloop (2016) Verloop IM (2016) Asymptotically optimal priority policies for indexable and nonindexable restless bandits. The Annals of Applied Probability 26(4):1947-1995.
* Wang et al. (2014) Wang K, Chen L, Liu Q (2014) On optimality of myopic policy for opportunistic access with nonidentical channels and imperfect sensing. IEEE Trans. Veh. Technol. 63(5):2478–2483.
* Weber (1992) Weber RR (1992) On the Gittins index for multiarmed bandits. Annals of Probability 2:1024–1033.
* Weber and Weiss (1990) Weber RR, Weiss G (1990) On an index policy for restless bandits. J. Appl. Probab. 27:637–648.
* Weber and Weiss (1991) Weber RR, Weiss G (1991) Addendum to ‘On an index policy for restless bandits’. Adv. Appl. Prob. 23:429–430.
* Whittle (1980) Whittle P (1980) Multi-armed bandits and the Gittins index. J. R. Stat. Soc., Series B 42:143–149.
* Whittle (1988) Whittle P (1988) Restless bandits: Activity allocation in a changing world. J. Appl. Probab. 25:287–298.
* Zayas-Cabán et al. (2019) Zayas-Cabán G, Jasin S, Wang G (2019) An asymptotically optimal heuristic for general nonstationary finite-horizon restless multi-armed, multi-action bandits. Advanced in Applied Probability 51:745–772.
* Zhao (2019) Zhao Q (2019) Multi-Armed Bandits: Theory and Applications to Online Learning in Networks (Morgan & Claypool publishers).
* Zhao et al. (2008) Zhao Q, Krishnamachari B, Liu K (2008) On myopic sensing for multichannel opportunistic access: structure, optimality, and performance. IEEE Trans. Wireless Commun. 7(3):5413–5440.
Proofs of Lemmas and Theorems
## 6 Proof of Lemma 2.2.
###### Proof 6.1
Consider a horizon of $T~{}(T\geq 1)$ time slots and define
$V_{\beta,m,1}(\omega)$ as the maximum expected total discounted reward over
$T$ slots that can be obtained starting from initial state $\omega$ at $t=1$:
$\displaystyle V_{\beta,m,1}(\omega)=\max_{\pi\in\Pi_{sa}(T)}$
$\displaystyle\mathbb{E}_{\pi}[\sum_{t=1}^{T}\beta^{t-1}\sum_{n=1}^{N}\\{B_{n,S_{n}(t)}\mathbbm{1}(u(t)=1)+m\mathbbm{1}(u(t)=0)\\}],$
(69)
where $\Pi_{sa}(T)$ is the set of single-arm policies that map the belief
state $\omega(t)$ to the action
$u(t)\in\\{1~{}\mbox{(active)},~{}0~{}\mbox{(passive)}\\}$ for
$t=1,2,\cdots,T$. Note that $\omega(1)=\omega$ and an optimal policy
$\pi^{*}_{sa}(T)$ achieving $V_{\beta,m,T}(\omega)$ is generally non-
stationary, i.e., the mapping from $\omega(t)$ to $u(t)$ is dependent on $t$.
Especially when $t=T$, we have only one more step to go and the myopic policy
that maximizes the immediate reward is obviously optimal:
$\displaystyle
u^{*}(T)=\arg\max_{u\in\\{0,1\\}}\\{u\cdot\omega(T)B^{\prime}+(1-u)\cdot
m\\}.$ (70)
Let $V_{\beta,m,t}(\cdot)$ denote the maximum expected total discounted reward
accumulated from slot $t$ to $T$ under $\pi^{*}_{sa}(T)$. We have the
following dynamic equations:
$\displaystyle V_{\beta,m,t}(\omega(t))$ $\displaystyle=$
$\displaystyle\max\left\\{\omega
B^{\prime}+\beta\omega\left(\begin{matrix}V_{\beta,m,t+1}(p_{0})\\\
V_{\beta,m,t+1}(p_{1})\\\ \vdots\\\
V_{\beta,m,t+1}(p_{K-1})\end{matrix}\right),~{}m+V_{\beta,m,t+1}(\mathcal{T}^{1}(\omega(t)))\right\\},\
t\leq T,$ (71) $\displaystyle V_{\beta,m,T+1}(\cdot)$ $\displaystyle\equiv$
$\displaystyle 0.$ (72)
We first prove the properties of $V_{\beta,m}(\omega)$ regarding to $\omega$
with $m$ fixed. Our approach is based on backward induction on $t$ with $T$
fixed and then taking the limit $T\rightarrow\infty$. When $t=T$, it is clear
that $V_{\beta,m,T}(\omega)$ is the maximum of a linear function of $\omega$
and a constant function ($m$), and is thus continuous, convex and piecewise
linear. By the induction hypothesis that $V_{\beta,m,t+1}(\omega)$ is
continuous, convex and piecewise linear, we again have that
$V_{\beta,m,t}(\omega)$ is the maximum of two continuous, convex and piecewise
linear functions and is thus continuous, convex and piecewise linear.
Therefore $V_{\beta,m,t}(\omega)$ is continuous, convex and piecewise linear
in $\omega$ for all $t\in\\{1,2,\cdots,T\\}$. Using
$\|\cdot\|{\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\|\cdot\|_{1}$ norm on
$\mathbb{R}^{K}$ and consider two states $\omega_{1},\omega_{2}$ such that
$\|\omega_{1}-\omega_{2}\|>0$. At $t=T$, we have
$\displaystyle|V_{\beta,m,T}(\omega_{1})-V_{\beta,m,T}(\omega_{2})|$
$\displaystyle=$
$\displaystyle\max\\{\omega_{1}B^{\prime},m\\}-\max\\{\omega_{2}B^{\prime},m\\}.$
(73)
Without loss of generality, assume
$\omega_{1}B^{\prime}\leq\omega_{2}B^{\prime}$. We consider the following 3
cases:
i) if $m<\omega_{1}B^{\prime}$, then
$|V_{\beta,m,T}(\omega_{1})-V_{\beta,m,T}(\omega_{2})|=|\omega_{1}B^{\prime}-\omega_{2}B^{\prime}|\leq
B_{K}\|\omega_{1}-\omega_{2}\|;$
ii) if $\omega_{1}B^{\prime}\leq m\leq\omega_{2}B^{\prime}$, then
$|V_{\beta,m,T}(\omega_{1})-V_{\beta,m,T}(\omega_{2})|\leq
B_{K}\|\omega_{1}-\omega_{2}\|;$
iii) if $m>\omega_{2}B^{\prime}$, then
$|V_{\beta,m,T}(\omega_{1})-V_{\beta,m,T}(\omega_{2})|=0.$
From the above, we have that
$\displaystyle|V_{\beta,m,T}(\omega_{1})-V_{\beta,m,T}(\omega_{2})|$
$\displaystyle\leq$ $\displaystyle B_{K}\|\omega_{1}-\omega_{2}\|.$ (74)
At time $t+1$, we make the following induction hypothesis that
$|V_{\beta,m,t+1}(\omega_{1})-V_{\beta,m,t+1}(\omega_{2})|\leq\frac{1-\beta^{T-t}}{1-\beta}B_{K}\|\omega_{1}-\omega_{2}\|.$
At time $t$, we have, by a similar case analysis as above, that
$\displaystyle|V_{\beta,m,t}(\omega_{1})-V_{\beta,m,t}(\omega_{2})|\leq\frac{1-\beta^{T-t+1}}{1-\beta}B_{K}\|\omega_{1}-\omega_{2}\|.$
(75)
Note that we have used the fact that
$\|\mathcal{T}^{1}(\omega_{1})-\mathcal{T}^{1}(\omega_{2})\|\leq\|\omega_{1}-\omega_{2}\|$.
Therefore, we have that
$\displaystyle|V_{\beta,m,1}(\omega_{1})-V_{\beta,m,1}(\omega_{2})|\leq\frac{1-\beta^{T}}{1-\beta}B_{K}\|\omega_{1}-\omega_{2}\|\leq\frac{1}{1-\beta}B_{K}\|\omega_{1}-\omega_{2}\|.$
(76)
Furthermore, for all $t\in\\{1,2,\cdots,T\\}$, we have that
$\displaystyle|V_{\beta,m,t}(\omega_{1})-V_{\beta,m,t}(\omega_{2})|\leq\frac{1}{1-\beta}B_{K}\|\omega_{1}-\omega_{2}\|.$
(77)
This proves that the finite-horizon value function $V_{\beta,m,t}(\omega)$ is
Lipschitz continuous in $\omega$ with constant $\frac{1}{1-\beta}B_{K}$,
independent of horizon length $T$ and starting point $t$. Fix $t=1$, if we can
show as $T$ goes to infinity $V_{\beta,m,1}(\cdot)$ converges to
$V_{\beta,m}(\cdot)$ pointwise, then $V_{\beta,m}(\cdot)$ must be Lipschitz
continuous with the same constant. This is because that given any two states
$\omega_{1},\omega_{2}$ and any $\epsilon>0$, there exists a positive integer
$T_{0}$ such that
$|V_{\beta,m}(\omega_{1})-V_{\beta,m}(\omega_{2})|\leq
2\epsilon+|V_{\beta,m,1}(\omega_{1})-V_{\beta,m,1}(\omega_{2})|\leq
2\epsilon+\frac{1}{1-\beta}B_{K}\|\omega_{1}-\omega_{2}\|.$
Since $\epsilon>0$ is arbitrary, the Lipschitz continuity of
$V_{\beta,m}(\cdot)$ follows. To prove the convergence of
$V_{\beta,m,1}(\cdot)$ with $T$, we first apply the optimal policy
$\pi_{sa}^{*}(T)$ to the first $T$ slots followed by an (stationary) optimal
policy $\pi^{*}_{sa}$ for the infinite-horizon problem in subsequent time
slots $t>T$, then
$\displaystyle V_{\beta,m}(\omega)\geq
V_{\beta,m,1}(\omega)+\beta^{T}\mathbb{E}[V_{\beta,m}(\omega(T+1))],$ (78)
where the expectation is taken with respect to $\omega(T+1)$ which is
determined by the past observations and actions in the first $T$ slots. It is
clear that $V_{\beta,m}(\cdot)$ is bounded:
$\displaystyle 0\leq V_{\beta,m}(\cdot)\leq\frac{\max\\{B_{K},m\\}}{1-\beta}.$
(79)
From (78) and (79), we know that
$\displaystyle V_{\beta,m,1}(\omega)-V_{\beta,m}(\omega)\leq 0.$ (80)
Now we apply $\pi^{*}_{sa}$ to the finite-horizon problem with length $T$ and
compare the reward accumulated in the $T$ slots:
$\displaystyle V_{\beta,m,1}(\omega)\geq
V_{\beta,m}(\omega)-\beta^{T}\mathbb{E}[V_{\beta,m}(\omega(T+1))].$ (81)
From (79), (80) and (81), we have, for any initial value of $\omega$ at $t=1$,
$\displaystyle-\beta^{T}\frac{\max\\{B_{K},m\\}}{1-\beta}\leq
V_{\beta,m,1}(\omega)-V_{\beta,m}(\omega)\leq 0.$ (82)
Taking the limit $T\rightarrow\infty$, we proved the (uniform) convergence of
$V_{\beta,m,1}(\cdot)$ to $V_{\beta,m}(\cdot)$. Consequently
$V_{\beta,m}(\cdot)$ is Lipschitz continuous. Its convexity is clear as a
limiting function of convex functions.
Now we consider the properties of $V_{\beta,m}(\omega)$ regarding to $m$ with
$\omega$ fixed. By a similar argument as above, we have that
$V_{\beta,m,1}(\omega)$ is convex, continuous and piecewise linear in $m$.
Furthermore, it is Lipschitz continuous in $m$ with constant
$\frac{1}{1-\beta}$, i.e., , for any $m_{1}$ and $m_{2}$,
$|V_{\beta,m_{1},1}(\omega)-V_{\beta,m_{2},1}(\omega)|\leq\frac{1}{1-\beta}|m_{1}-m_{2}|.$
It remains to show the pointwise convergence of $V_{\beta,m,1}(\omega)$ to
$V_{\beta,m}(\omega)$ for every fixed $m$ as $T\rightarrow\infty$. However, it
is a direct result of (82).
## 7 Proof of Lemma 2.3.
###### Proof 7.1
We prove the lemma step-by-step.
Step 1. We first show that $A(m)$ is convex. From (12), (13), (14) and Lemma
2.2, given any $\omega_{1},\omega_{2}\in A(m)$ and $\lambda\in(0,1)$, we have
$\displaystyle V_{\beta,m}(\lambda\omega_{1}+(1-\lambda)\omega_{2};u=1)$
$\displaystyle=$ $\displaystyle\lambda
V_{\beta,m}(\omega_{1};u=1)+(1-\lambda)V_{\beta,m}(\omega_{2};u=1)$ (83)
$\displaystyle>$ $\displaystyle\lambda
V_{\beta,m}(\omega_{1};u=0)+(1-\lambda)V_{\beta,m}(\omega_{2};u=0)$ (84)
$\displaystyle\geq$ $\displaystyle
V_{\beta,m}(\lambda\omega_{1}+(1-\lambda)\omega_{2};u=0).$ (85)
The first equality in the above is due to the linearity of
$V_{\beta,m}(\cdot;u=1)$ by (13), the second last inequality is by Definition
(19), and the last inequality is due to the convexity of $V_{\beta,m}(\cdot)$
established in Lemma 2.2 and the linearity of $\mathcal{T}^{1}(\cdot)$ by (4).
Therefore, the active set $A(m)$ is convex.
Step 2. The openness of $A(m)$ is due to the strict inequality in (19) and the
continuity of the value function established in Lemma 2.2. Since every point
in $A(m)$ has an $\epsilon$-neighborhood as a $(K-1)$-dimensional ball of
$\mathbb{R}^{K-1}$ in $A(m)$, the dimension of $A(m)$ is $K-1$.
Step 3. It is obvious that the closure $\overline{A(m)}$ of the open convex
set $A(m)$ in $\mathbb{X}$ is formed by the linear boundaries of the simplex
space $X$ and $C(m)$. Therefore $C(m)$ is closed and bounded and thus compact
as a subspace of $\mathbb{R}^{K-1}$. The proof that $C(m)$ is a simply
connected $(K-2)$-dimensional subspace of $X$ requires familiarity to the
theory of algebraic topology and is concisely sketched as follows. Since
$\overline{A(m)}$ is also convex, it is homeomorphic to the open
$(K-1)$-dimensional unit ball in $\mathbb{R}^{K-1}$ (Ges 2012). Let $h$ denote
this homeomorphism. Choose the extreme point
$x_{0}=(0,0,\ldots,1)\in\overline{A(m)}-C(m)$. Note that the boundary of
$A(m)$ consists of parts of the $(K-2)$-dimensional linear boundaries
(hyperplanes) of $X$ and $C(m)$. Let $P$ be any of such hyperplanes that
intersect with $C(m)$. Then there is a deformation retract from $P-x_{0}$ to
the intersection $P\cap C(m)$ (i.e., a continuous map from $P-x_{0}$ to $P\cap
C(m)$ that is homotopic to the identity map on $P-x_{0}$ with $P\cap C(m)$
fixed during the homotopy). Followed by $h$, this induces a deformation
retract from the punctured $(K-2)$-sphere $\mathbb{S}^{K-2}-h(x_{0})$ to
$h(C(m))$. Therefore the fundamental group of $C(m)$ is isomorphic to that of
$\mathbb{S}^{K-2}-h(x_{0})$ by the deformation retract (Theorem 58.3 on
Munkres 2003). Since the punctured sphere $\mathbb{S}^{K-2}-h(x_{0})$ is
homeomorphic to $\mathbb{R}^{K-2}$ (by the stereographic projection) which is
simply connected, we proved that $C(m)$ is also simply connected. Finally, the
homeomorphism $h$ shows that $C(m)$ is a $(K-2)$-dimensional subspace of
$\mathbb{X}$ with $h(C(m))$ as a subset with a nonempty interior in
$\mathbb{S}^{K-2}$.
Step 4. Now it should be clear that $C(m)$ and the linear boundaries of the
simplex space $X$ containing the extreme points in $A(m)$ form the closure of
the active set. While $C(m)$ and the linear boundaries of $X$ containing the
rest of extreme points in $P(m)$ form the passive set. Therefore $C(m)$
partitions $X$ into two disjoint and connected subspaces: the active set and
the passive set.
Step 5. There is a small point in the above argument worth a little more
discussion. Since we include $C(m)$ in the passive set $P(m)$ by definition,
is it possible that $C(m)$ is a thick boundary as a $(K-1)$-dimensional space
(bulged in the direction to the interior of the passive set)? The answer is
no. Because in this case the convex value function conditional on $u=0$ will
always lie above the linear value function conditional on $u=1$, then the
problem is reduced to the trivial scenario.
## 8 Proof of Theorem 2.4.
###### Proof 8.1
The existence of the right (or left) derivative follows directly from the
convexity of $V_{\beta,m}(\omega)$. Fix an $m_{0}$ and apply a change $\Delta
m$ to the single-armed bandit, we have
$\displaystyle V_{\beta,m_{0}+\Delta m}(\omega)\geq
V_{\beta,m_{0}}(\omega)+D_{\beta,m}(\omega){\Delta m}.$ (86)
Now if we apply an optimal policy for the arm with subsidy $m=m_{0}+\Delta m$
to the case of $m=m_{0}$, we have
$\displaystyle V_{\beta,m_{0}}(\omega)\geq V_{\beta,m_{0}+\Delta
m}(\omega)-D_{\beta,m+\Delta m}(\omega){\Delta m}.$ (87)
From (86) and (87), it is clear that
$\displaystyle D_{\beta,m}(\omega)\leq\frac{V_{\beta,m_{0}+\Delta
m}(\omega)-V_{\beta,m_{0}}(\omega)}{\Delta m}\leq D_{\beta,m+\Delta
m}(\omega),~{}\quad\forall~{}\Delta m>0.$ (88)
Note that the above implies the monotonically nondecreasing property of
$D_{\beta,m}(\omega)$ with $m$. To prove (23), we only need to show that
$D_{\beta,m}(\omega)$ is right continuous in $m$. Assume this is not true so
there exists a decreasing sequence $\\{m_{k}\\}$ converging to $m_{0}$ and an
$\epsilon>0$ such that
$\displaystyle D_{\beta,m_{k}}(\omega)-D_{\beta,m_{0}}(\omega)>\epsilon.$ (89)
Since $D_{\beta,m_{k}}(\omega)$ has a value ranging in the compact set
$[0,\frac{1}{1-\beta}]$, we can find a convergent subsequence
$\\{m_{k_{i}}\\}$ of $\\{m_{k}\\}$ such that
$\displaystyle\lim_{i\rightarrow\infty}D_{\beta,m_{k_{i}}}(\omega)=D>D_{\beta,m_{0}}(\omega),$
(90)
where $D\in(0,\frac{1}{1-\beta}]$ is the limit of the passive time as
$m_{k_{i}}\rightarrow m_{0}$. If we can show that $D$ can be achieved by a
policy $\pi^{*}\in\Pi^{*}_{sa}(m_{0})$, then we have a contradiction to (22).
To construct $\pi^{*}$ with passive time $D$ and achieving
$V_{\beta,m_{0}}(\omega)$, we look at a finite horizon $T$. Starting from the
initial belief state $\omega$, the possible belief states within $T$ must be
finite, leading to a finite set of possible policies. Specifically, if the
number of possible states to observe is $h(T)$, the number of policies up to
time $T$ is at most $2^{h(T)}$ as each state is applied with either $u=0$ or
$u=1$. We can thus choose a subsequence $\\{m_{j}(T)\\}$ of $\\{m_{k_{i}}\\}$
such that the optimal policy achieving $D_{\beta,m_{m_{j}(T)}}$ under
$m_{j}(T)$ is the same for all $j$ within the first $T$ slots. Repeat the
process for slots $T+1$ up to $2T$ and keep doubling the time horizon, we
arrive at a policy for all states that may happen at any time. For any time
horizon $T^{\prime}$, this policy coincides with the optimal policies for a
subsequence $\\{m_{j}(T^{\prime\prime})\\}$ of $\\{m_{k_{i}}\\}$ for some
$T^{\prime\prime}>T^{\prime}$ and by taking $T^{\prime}$ large enough, this
policy achieves a passive time at least $D-\epsilon_{1}$ and a total reward
$V_{\beta,m_{0}}(\omega)-\epsilon_{1}$ for any arbitrarily small
$\epsilon_{1}>0$ due to (90) and the continuity of $V_{\beta,\cdot}(\omega)$.
This policy is thus optimal for the infinite-horizon single-armed bandit
problem with subsidy $m_{0}$ with passive time $D$, as desired for $\pi^{*}$.
To prove the sufficiency of (24) and (25), we assume that the arm is not
indexable, i.e., there exists $m_{0}$ and $\omega\in C(m_{0})\subset P(m_{0})$
such that for any $\epsilon>0$, we can find an
$m_{1}~{}(m_{0}<m_{1}<m_{0}+\epsilon)$ with $\omega\in A(m_{1})$. This means
that as the boundary $C(m)$ moves (continuously) as $m$ increases, some belief
state moves from the passive set to the active set. Under this scenario, we
have
$\displaystyle V_{\beta,m_{0}}(\omega;u=1)=V_{\beta,m_{0}}(\omega;u=0).$ (91)
$\displaystyle V_{\beta,m_{1}}(\omega;u=1)>V_{\beta,m_{1}}(\omega;u=0).$ (92)
According to (13) and (14), both $V_{\beta,m}(\omega;u=1)$ and
$V_{\beta,m}(\omega;u=0)$ are right differentiable with $m$ for any belief
state $\omega$, so is their difference. Therefore, by (91) and (92),
$\displaystyle\left.\frac{dV_{\beta,m}(\omega;u=1)}{(dm)^{+}}\right|_{m=m_{0}}$
$\displaystyle=$ $\displaystyle\lim_{m_{1}\rightarrow
m_{0}}\frac{V_{\beta,m_{1}}(\omega;u=1)-V_{\beta,m_{0}}(\omega;u=1)}{m_{1}-m_{0}}$
(93) $\displaystyle\geq$ $\displaystyle\lim_{m_{1}\rightarrow
m_{0}}\frac{V_{\beta,m_{1}}(\omega;u=0)-V_{\beta,m_{0}}(\omega;u=0)}{m_{1}-m_{0}}=\left.\frac{dV_{\beta,m}(\omega;u=0)}{(dm)^{+}}\right|_{m=m_{0}}.$
(94)
This would contradict (24) unless the equality in (94) holds, which would
contradict (25) given (92) and that $\epsilon$ can be chosen arbitrarily
small.
To prove the necessity of (24) and (25), assume there exists an $\omega\in
C(m_{\omega})$ such that
$\displaystyle\left.\frac{dV_{\beta,m}(\omega;u=0)}{(dm)^{+}}\right|_{m=m_{\omega}}<\left.\frac{dV_{\beta,m}(\omega;u=1)}{(dm)^{+}}\right|_{m=m_{\omega}},$
(95)
and when
$\displaystyle\left.\frac{dV_{\beta,m}(\omega;u=0)}{(dm)^{+}}\right|_{m=m_{\omega}}=\left.\frac{dV_{\beta,m}(\omega;u=1)}{(dm)^{+}}\right|_{m=m_{\omega}},$
(96)
for any $\epsilon_{1}>0$, there exists an
$m_{2}~{}(m_{\omega}<m_{2}<m_{\omega}+\epsilon_{1})$ such that
$\displaystyle V_{\beta,m_{2}}(\omega;u=0)<V_{\beta,m_{2}}(\omega;u=1).$ (97)
By (95), there exists $\Delta m>0$ such that
$\displaystyle V_{\beta,m(\omega)+\Delta
m}(\omega;u=0)-V_{\beta,m(\omega)}(\omega;u=0)<V_{\beta,m(\omega)+\Delta
m}(\omega;u=1)-V_{\beta,m(\omega)}(\omega;u=1).$ (98)
Together with the fact that
$\displaystyle
V_{\beta,m(\omega)}(\omega;u=0)=V_{\beta,m(\omega)}(\omega;u=1),$ (99)
we have that $\omega\in A(m(\omega)+\Delta m)$ and obtained a contradiction to
indexability as $\omega\in C(\omega)\subset P(m(\omega))$. Furthermore, when
(96) holds, it is straightforward that (97) contradicts (25).
## 9 Proof of Theorem 2.5.
###### Proof 9.1
Note that (24) is equivalent to
$\displaystyle\beta\sum_{k=0}^{K-1}\omega_{k}D_{\beta,m}(p_{k})\leq 1+\beta
D_{\beta,m}(\mathcal{T}^{1}(\omega)),~{}\quad\forall~{}\omega\in C(m).$ (100)
The above clearly holds if $\beta\leq 0.5$ as $D_{\beta,m}(\cdot)$ is lower
and upper bounded by $0$ and $1-\beta$, respectively. The strict inequality in
(100) holds if $\beta<0.5$, satisfying $\eqref{eq:diffIdx}$. When $\beta=0.5$,
the equality in (100) holds if
$\beta\sum_{k=0}^{K-1}\omega_{k}D_{\beta,m}(p_{k})=\frac{\beta}{1-\beta}=1$
and $D_{\beta,m}(\mathcal{T}^{1}(\omega))=0$. In this case, as $m$ keeps
increasing, the left-hand side of (100) can not increase while the right-hand
side cannot decrease. Apply any $\Delta m>0$ to $\omega\in C(m)$, we have
$\displaystyle V_{\beta,m+\Delta m}(\omega;u=0)$ $\displaystyle\geq$
$\displaystyle\Delta m(1+\beta
D_{\beta,m}(\mathcal{T}^{1}(\omega)))+V_{\beta,m}(\omega;u=0)$ (101)
$\displaystyle=$ $\displaystyle\Delta m(1+\beta
D_{\beta,m}(\mathcal{T}^{1}(\omega)))+V_{\beta,m}(\omega;u=1)$ (102)
$\displaystyle=$ $\displaystyle\Delta m(1+\beta
D_{\beta,m}(\mathcal{T}^{1}(\omega)))+\omega
B^{\prime}+\beta\frac{m}{1-\beta}$ (103) $\displaystyle=$ $\displaystyle\omega
B^{\prime}+\beta\frac{m+\Delta m}{1-\beta}$ (104) $\displaystyle=$
$\displaystyle V_{\beta,m+\Delta m}(\omega;u=1),$ (105)
where the second last equality is due to that
$D_{\beta,m}(\mathcal{T}^{1}(\omega))=0$ and $\beta=0.5$. The last equality is
due to the fact that any future state $p_{k}$ after activating at $\omega$
must remain in the passive set as $m$ increases due to the monotonic
nondecreasing property of $D_{\beta,m}(p_{k})$ with $m$ and that
$D_{\beta,m}(p_{k})$ is already equal to the upper bound $\frac{1}{1-\beta}$.
Therefore $\eqref{eq:diffIdx1}$ is satisfied as well.
## 10 Proof of Lemma 3.1.
###### Proof 10.1
It is helpful to rewrite (36) and (36) in the following matrix form $AX=b$:
$\displaystyle\left[\begin{matrix}\textbf{I}_{K}-\beta\left(\begin{matrix}\beta^{L(p_{0},\omega)}p_{0}\textbf{P}^{L(p_{0},\omega)}\\\
\beta^{L(p_{1},\omega)}p_{1}\textbf{P}^{L(p_{1},\omega)}\\\ \vdots\\\
\beta^{L(p_{K-1},\omega)}p_{K}\textbf{P}^{L(p_{K-1},\omega)}\\\
\end{matrix}\right)\end{matrix}\right]\times\left(\begin{matrix}V_{\beta,m}(p_{0})\\\
V_{\beta,m}(p_{1})\\\ \vdots\\\
V_{\beta,m}(p_{K-1})\end{matrix}\right)=\left[\left(\begin{matrix}\frac{1-\beta^{L(p_{0},\omega)}}{1-\beta}\\\
\frac{1-\beta^{L(p_{1},\omega)}}{1-\beta}\\\ \vdots\\\
\frac{1-\beta^{L(p_{K-1},\omega)}}{1-\beta}\\\
\end{matrix}\right)m+\left(\begin{matrix}\beta^{L(p_{0},\omega)}p_{0}\textbf{P}^{L(p_{0},\omega)}\\\
\beta^{L(p_{1},\omega)}p_{1}\textbf{P}^{L(p_{1},\omega)}\\\ \vdots\\\
\beta^{L(p_{K-1},\omega)}p_{K-1}\textbf{P}^{L(p_{K-1},\omega)}\\\
\end{matrix}\right)B^{\prime}\right]$ (106)
To prove the claim, we only need to show the coefficient matrix is invertible.
By the Perron-Frobenius theorem, the eigenvalues $\\{\lambda_{i}\\}_{i=1}^{h}$
of the following matrix satisfy $|\lambda_{i}|\leq 1$ for all
$i\in\\{1,\cdots,h\\}$ since it is a transition matrix with nonnegative
elements and the sum of each row is equal to $1$:
$\displaystyle\left(\begin{matrix}p_{0}\textbf{P}^{L(p_{0},\omega)}\\\
p_{1}\textbf{P}^{L(p_{1},\omega)}\\\ \vdots\\\
p_{K-1}\textbf{P}^{L(p_{K-1},\omega)}\\\
\end{matrix}\right)=Q\left(\begin{matrix}\lambda_{1}&\\\ &~{}\ddots\\\
&~{}&~{}~{}~{}\lambda_{i}&~{}~{}1\\\ &~{}&~{}&~{}~{}~{}~{}\lambda_{i}\\\
&~{}&~{}&~{}&~{}&~{}~{}\ddots\\\
&~{}&~{}&~{}&~{}&~{}&~{}~{}~{}~{}\lambda_{h}\end{matrix}\right)Q^{-1},$ (107)
where the above equation shows the Jordan canonical form of the matrix and the
square matrix $Q$ has full rank $K$. Therefore we can rewrite the coefficient
matrix $A$ as
$\displaystyle A$
$\displaystyle=\left[\begin{matrix}\textbf{I}_{K}-\beta\left(\begin{matrix}\beta^{L(p_{0},\omega)}p_{0}\textbf{P}^{L(p_{0},\omega)}\\\
\beta^{L(p_{1},\omega)}p_{1}\textbf{P}^{L(p_{1},\omega)}\\\ \vdots\\\
\beta^{L(p_{K-1},\omega)}p_{K}\textbf{P}^{L(p_{K-1},\omega)}\\\
\end{matrix}\right)\end{matrix}\right]{}$
$\displaystyle=Q\left(\begin{matrix}1-\beta^{L(p_{0},\omega)+1}\lambda_{1}&\\\
&\ddots\\\
&~{}&1-\beta^{L(p_{j},\omega)+1}\lambda_{i}&-\beta^{L(p_{i},\omega)+1}\\\
&~{}&~{}&1-\beta^{L(p_{j},\omega)+1}\lambda_{i}\\\ &~{}&~{}&~{}&~{}&\ddots\\\
&~{}&~{}&~{}&~{}&~{}&1-\beta^{L(p_{K-1},\omega)+1}\lambda_{h}\end{matrix}\right)Q^{-1}{}$
$\displaystyle=QJQ^{-1}.$ (108)
It is easy to see that no eigenvalue of $J$ can be zero so it has a full rank,
leading to the full rank of $A$ as it is similar to $J$.
## 11 Proof of Theorem 3.3.
###### Proof 11.1
According to the remark following Lemma 3.1, the value function
$\hat{V}_{\beta,m}(\omega_{1})$ is linear in $m$ for any $\omega_{1}$ because
the threshold policy is independent of $m$ if $\omega^{*}_{\beta}(m)$ is
fixed. Since the subsidy $m$ is paid if and only if the arm is made passive,
the linear coefficient of $m$ in $\hat{V}_{\beta,m}(\omega_{1})$ is simply
$\hat{D}_{\beta}(\omega_{1})$. The passive time $\hat{D}_{\beta}(\omega_{1})$
is clearly independent of $m$ conditional on the fixed threshold. Since (37)
has a unique solution for $m$ if and only if its left and right hand sides
have different coefficients of $m$, we proved the equivalence of (40) to the
relaxed indexability. The expression (41) of the approximated Whittle index
follows directly from the unique solution of (36), (36) and (37) under the
relaxed indexability.
## 12 Proof of Lemma 4.1.
###### Proof 12.1
Case $1$ and $2$ follow directly from the power of Jordan matrices with
$b_{1}=\lambda_{1}$, $b_{2}=\lambda_{2}$, or $b=\lambda_{1}=\lambda_{2}$:
$J_{(1)}^{k}=\left(\begin{matrix}1&0&0\\\ 0&\lambda_{1}^{k}&0\\\
0&0&\lambda_{2}^{k}\end{matrix}\right),\quad
J_{(2)}^{k}=\left(\begin{matrix}1&0&0\\\
0&\lambda_{1}^{k}&k\lambda_{1}^{k-1}\\\
0&0&\lambda_{1}^{k}\end{matrix}\right).$
For Case $3$, write $\textbf{P}=QJ_{(1)}Q^{-1}$. We have that
$\lambda_{2}=\overline{\lambda_{1}}$. Let $Q=\\{q_{ij}\\}_{i,j=0,1,2},\
Q^{-1}=\\{\tilde{q}_{ij}\\}_{i,j=0,1,2}$ and
$Q_{i}=(q_{0i},q_{1i},q_{2i})^{\prime},\
\tilde{Q}_{i}=(\tilde{q}_{i0},\tilde{q}_{i1},\tilde{q}_{i2}),\ i=0,1,2$. Then
$Q_{2}=\overline{Q}_{1},~{}\tilde{Q}_{2}=\overline{\tilde{Q}_{1}}$ and
$Q_{0},\tilde{Q}^{\prime}_{0}\in\mathbb{R}^{3}$ (since they are respectively
the right and left eigenvectors of P corresponding to the eigenvalue $1$):
$\displaystyle h(k)$
$\displaystyle=\omega\left(Q_{0}\tilde{Q}_{0}+\lambda_{1}^{k}Q_{1}\tilde{Q}_{1}+\overline{\lambda_{1}^{k}}Q_{2}\tilde{Q}_{2}\right)B^{\prime}$
$\displaystyle=\omega Q_{0}\tilde{Q}_{0}B^{\prime}+2Re(\lambda_{1}^{k}\omega
Q_{1}\tilde{Q}_{1}B^{\prime})\quad(\mbox{Let}~{}r+si=\omega
Q_{1}\tilde{Q}_{1}B^{\prime},~{}\lambda_{1}=Ae^{i\theta})$
$\displaystyle=\omega Q_{0}\tilde{Q}_{0}B^{\prime}+2A^{k}(r\cos k\theta-s\sin
k\theta)\quad(\mbox{Let}~{}a^{\prime}\sin(k\theta+b^{\prime})=2(r\cos
k\theta-s\sin k\theta),~{}c^{\prime}=\omega Q_{0}\tilde{Q}_{0}B^{\prime})$
$\displaystyle=a^{\prime}A^{k}\sin(k\theta+b^{\prime})+c^{\prime},$
where $\lambda_{1}=Ae^{i\theta},\ A\in(0,1),\ \theta\in(0,2\pi)$. Without loss
of generality, we choose $a^{\prime}\geq 0,\ b^{\prime}\in[0,2\pi)$.
## 13 Proof of Theorem 4.2.
###### Proof 13.1
The base case (47) is clear. We prove the rest case by case in the same order
as appeared in the theorem.
* 1.
P has only real eigenvalues and $3$ linearly independent eigenvectors:
$h(k)=a_{1}b_{1}^{k}+a_{2}b_{2}^{k}+c$.
* 1.1
$b_{1}=b_{2}\neq 0~{}\&\&~{}b_{1}>0~{}\&~{}a_{1}+a_{2}<0$:
$h(k)=(a_{1}+a_{2})b_{1}^{k}+c$ is monotonically increasing over $k\geq 0$ and
the result follows.
* 1.2
$b_{1}=b_{2}\neq 0~{}\&\&~{}(b_{1}<0~{}||~{}a_{1}+a_{2}\geq 0)$:
$L(\omega,\omega^{*})$ achieves the maximum value at either $h(0)$ or $h(1)$
and the result follows.
* 1.3
$a_{1}b_{1}=0~{}\&\&~{}b_{2}>0~{}\&\&~{}a_{2}<0$: $h(k)=a_{2}b_{2}^{k}+c$
which is monotonically increasing over $k\geq 1$ and the result follows.
* 1.4
$a_{1}b_{1}=0~{}\&\&~{}(b_{2}\leq 0~{}||~{}a_{2}\geq 0)$:
$L(\omega,\omega^{*})$ achieves the maximum value at one of
$\\{h(0),h(1),h(2)\\}$ and the result follows.
* 1.5
$a_{2}b_{2}=0~{}\&\&~{}b_{1}>0~{}\&~{}a_{1}<0$: similar to (1.3).
* 1.6
$a_{2}b_{2}=0~{}\&\&~{}(b_{1}\leq 0~{}||~{}a_{1}\geq 0)$: similar to (1.4).
* 1.7
$a_{1},a_{2},b_{1},b_{2}>0$: $h(k)$ achieves the maximum value at $h(0)$ and
the result follows.
* 1.8
$a_{1}<0,a_{2}>0,b_{1}>b_{2}>0$: observe that
$h(k+1)-h(k)>0\Leftrightarrow
z(k){\,\stackrel{{\scriptstyle\Delta}}{{=}}}\,\left(\frac{b_{1}}{b_{2}}\right)^{k}>-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}(>0).$
If there exists a $k_{1}\geq 0$ satisfying the above, then $h(k)$ is
monotonically decreasing until $k_{1}$ after which it increases. So the
supremum of $h(k)$ is achieved at either $0$ or $\infty$. If such $k_{1}$ does
not exist, $h(k)$ is monotonically increasing for all $k\geq 0$ and achieves
its supremum at $\infty$. The result thus follows.
* 1.9
$a_{1}<0,a_{2}>0,b_{2}>b_{1}>0$: contrary to (1.8), if there exists a
$k_{1}\geq 0$ such that $h(k_{1}+1)-h(k_{1})>0$, then $h(k)$ is monotonically
increasing until $k_{1}+1$ after which it decreases to the stationary reward
$c$ (see Fig. 17). So the maximum of $h(k)$ is achieved at either $0$ or
$k_{1}+1$. If such $k_{1}$ does not exist, $h(k)$ is monotonically decreasing
for all $k\geq 0$ and achieves its maximum at $0$. The result thus follows.
Figure 17: $h(k)=-0.5\times 0.5^{k}+0.4\times 0.7^{k}$,
$z(k)=\left(\frac{0.5}{0.7}\right)^{k}$
* 1.10
$b_{1}<0,a_{1},a_{2},b_{2}>0$: since
$h(k+1)<h(k),\ h(k+2)<h(k),\quad\forall\text{even number }k\geq 0,$
so $h(k)$ achieves its maximum at $0$ and the result follows.
* 1.11
$a_{1},b_{1}<0,a_{2},b_{2}>0$: observe that
$h(k+1)-h(k)>0\Leftrightarrow
z(k)=\left(\frac{b_{1}}{b_{2}}\right)^{k}>-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}(>0)$
$h(k+2)-h(k)>0\Leftrightarrow
z(k)=\left(\frac{b_{1}}{b_{2}}\right)^{k}>-\frac{a_{2}(b_{2}^{2}-1)}{a_{1}(b_{1}^{2}-1)}(>0)$
which directly lead to the following properties:
$f(k+1)<f(k),\ f(k+2)<f(k),\quad\forall\text{odd number }k\geq 1.$
So $h(k)$ achieves its maximum at $0$ or $1$ and the result follows. See Fig.
18 for an example.
Figure 18: $h(k)=-0.5\times(-0.5)^{k}+0.4\times 0.7^{k}$
* 1.12
$a_{2},b_{1}<0,a_{1},b_{2}>0,|b_{1}|>b_{2}$: observe that
$h(k+1)-h(k)>0\Leftrightarrow
z(k)=\left(\frac{b_{1}}{b_{2}}\right)^{k}<-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}(>0),$
$h(k+2)-h(k)>0\Leftrightarrow
z(k)=\left(\frac{b_{1}}{b_{2}}\right)^{k}<-\frac{a_{2}(b_{2}^{2}-1)}{a_{1}(b_{1}^{2}-1)}(>0).$
Let $k_{1}$ and $k_{2}$ be the maximum even integers achieving the above
inequalities, respectively. Note that $k_{2}\geq k_{1}$. If both of them are
nonnegative, then $h(k)$ is monotonically increasing until $k_{1}+2$, then
moving up with oscillations until $k_{2}+2$ and finally moving downward to
converge to $c$. If $k_{1}<0\leq k_{2}$, then $h(k)$ still achieves its
maximum $k_{2}+2$. Finally, if $k_{2}<0$, $h(k)$ has its maximum at $0$. The
result thus follows. See Figs. 19 and 20 for an example.
Figure 19: $h(k)=0.2\times(-0.7)^{k}-2\times 0.4^{k}$ Figure 20:
$z(k)=\left(-\frac{0,7}{0.4}\right)^{k}$
* 1.13
$a_{2},b_{1}<0,a_{1},b_{2}>0,|b_{1}|<b_{2}$: this case is sort of the reversed
version to (1.12). Let $k_{1}\geq 0$ and $k_{2}\geq 0$ be the minimum even
integers achieving the two inequalities in (1.12), respectively. In this case,
$h(k)$ moves down with oscillations until $k_{2}$, then it moves up with
oscillations until $k_{1}$ and finally increases to the stationary reward $c$.
Therefore $h(k)$ achieves its supremum at $0$ or $\infty$. The result thus
follows.
* 1.14
$a_{2},b_{1}<0,a_{1},b_{2}>0,|b_{1}|=b_{2}$: under this case, the following
holds
$h(k+1)-h(k)>0\Leftrightarrow(-1)^{k}<-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}(>0),$
$h(k+2)-h(k)>0\Leftrightarrow(-1)^{k}<-\frac{a_{2}(b_{2}^{2}-1)}{a_{1}(b_{1}^{2}-1)}(>0).$
If $-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}\geq 1$, then $h(k)$ is
monotonically increasing to the stationary reward $c$. If
$-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}<1$ and
$-\frac{a_{2}(b_{2}^{2}-1)}{a_{1}(b_{1}^{2}-1)}\leq 1$, then $h(k)$ oscillates
but its maximum value cannot exceed $h(0)$. If
$-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}<1$ and
$-\frac{a_{2}(b_{2}^{2}-1)}{a_{1}(b_{1}^{2}-1)}>1$, then $h(k)$ moves up with
oscillations to its supremum $c$. The result thus follows.
* 1.15
$b_{1},b_{2}<0,a_{1},a_{2}>0$: the maximum of $h(k)$ clearly happens at $0$
and the result thus follows.
* 1.16
$b_{1},b_{2}>0,a_{1},a_{2}<0$: $h(k)$ monotonically converges to $c$ from
below and the result thus follows.
* 1.17
$a_{1},a_{2},b_{1}<0,b_{2}>0,|b_{1}|>b_{2}$: under this case, the following
holds
$h(k+1)-h(k)>0\Leftrightarrow
z(k)=\left(\frac{b_{1}}{b_{2}}\right)^{k}>-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}(<0),$
$h(k+2)-h(k)>0\Leftrightarrow
z(k)=\left(\frac{b_{1}}{b_{2}}\right)^{k}>-\frac{a_{2}(b_{2}^{2}-1)}{a_{1}(b_{1}^{2}-1)}(<0).$
Any even $k$ clear satisfies the above two inequalities. Let $k_{1}\geq 1$ and
$k_{2}\geq 1$ be the maximum odd integers achieving the above, respectively.
If $k_{1},k_{2}$ exist, then $k_{1}\leq k_{2}$ and $h(k)$ monotonically
increases until $k_{1}+2$ after which it goes up with oscillations until
$k_{2}+2$, and finally it falls with oscillations and converges to $c$. As
long as $k_{2}$ exists, $h(k)$ has its maximum at $k_{2}+2$. When $k_{2}$ does
not exist, it is clear that $h(k)$ achieves its maximum at $1$ and the result
follows.
* 1.18
$a_{1},a_{2},b_{1}<0,b_{2}>0,|b_{1}|<b_{2}$: let $k_{1}\geq 1$ and $k_{2}\geq
1$ be the minimum odd integers achieving the two inequalities in (1.17),
respectively. Note that $k_{2}\leq k_{1}$. Then $h(k)$ moves down with
oscillations until $k_{2}$ after which it goes up with oscillations until
$k_{1}$ and finally $h(k)$ monotonically increases to $c$. The result thus
follows.
* 1.19
$a_{1},a_{2},b_{1}<0,b_{2}>0,|b_{1}|=b_{2}$: similar to (1.14), if
$-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}\leq-1$, then $h(k)$ is monotonically
increasing to the stationary reward $c$. If
$-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}>-1$ and
$-\frac{a_{2}(b_{2}^{2}-1)}{a_{1}(b_{1}^{2}-1)}<-1$, then $h(k)$ moves up with
oscillations to $c$. If $-\frac{a_{2}(b_{2}-1)}{a_{1}(b_{1}-1)}>-1$ and
$-\frac{a_{2}(b_{2}^{2}-1)}{a_{1}(b_{1}^{2}-1)}\geq-1$, then $h(k)$ achieves
its maximum at $1$. The result thus follows.
* 1.20
$a_{2},b_{1},b_{2}<0,a_{1}>0,|b_{1}|>|b_{2}|$: let
$\displaystyle k_{o1}$ $\displaystyle=$
$\displaystyle\min\\{k:h(k+1)>h(k),k\text{ is positive and odd}\\}-2,{}$
$\displaystyle k_{o2}$ $\displaystyle=$
$\displaystyle\min\\{k:h(k+2)>h(k),k\text{ is positive and odd}\\}-2,{}$
$\displaystyle k_{e1}$ $\displaystyle=$
$\displaystyle\max\\{k:h(k+1)>h(k),k\text{ is nonnegative and even}\\},{}$
$\displaystyle k_{e2}$ $\displaystyle=$
$\displaystyle\max\\{k:h(k+2)>h(k),k\text{ is nonnegative and even}\\}.{}$
If $k_{e1}$ exists, then $|k_{o1}-k_{e1}|=1$ and $|k_{o2}-k_{e2}|=1$.
Furthermore, we have that $k_{o1}\leq k_{o2}$ and $k_{e1}\leq k_{e2}$ (see
Fig. 21 for an example). Let $k_{1}=\max\\{k_{o1},k_{e1}\\}$. From the origin
$0$ to $k_{1}+1$, we have that $\max_{0\leq k\leq k_{1}+1}h(k)=h(1)$. Then
from $k_{1}+1$ to $k_{e2}+2$, it reaches a local maximum
$\max_{k>k_{1}}h(k)=h(k_{e2}+2)$ after which it moves down to the stationary
reward $c$ (see Fig. 22 for an example). If $k_{e1}$ does not exist but
$k_{e2}$ does, $h(k)$ attains its maximum value at either $0$ or
$h(k_{e2}+2)$. If $k_{e2}$ does not exist, then $h(k)$ attains its maximum
value at $0$. The result thus follows.
Figure 21: $z(k)=\left(\frac{-0.8}{-0.4}\right)^{k}$
Figure 22: $h(k)=0.2\times(-0.8)^{k}-2\times(-0.4)^{k}$
* 1.21
$a_{2},b_{1},b_{2}<0,a_{1}>0,|b_{1}|<|b_{2}|$: let
$\displaystyle k_{o1}$ $\displaystyle=$
$\displaystyle\max\\{k:h(k+1)>h(k),k\text{ is positive and odd}\\},{}$
$\displaystyle k_{o2}$ $\displaystyle=$
$\displaystyle\max\\{k:h(k+2)>h(k),k\text{ is positive and odd}\\},{}$
$\displaystyle k_{e1}$ $\displaystyle=$
$\displaystyle\min\\{k:h(k+1)>h(k),k\text{ is nonnegative and even}\\}-2,{}$
$\displaystyle k_{e2}$ $\displaystyle=$
$\displaystyle\min\\{k:h(k+2)>h(k),k\text{ is nonnegative and even}\\}-2.{}$
Similar to (1.20), if $k_{o1}$ exists, then $|k_{o1}-k_{e1}|=1$,
$|k_{o2}-k_{e2}|=1$, $k_{o1}\leq k_{o2}$, and $k_{e1}\leq k_{e2}$. Let
$k_{1}=\max\\{k_{o1},k_{e1}\\}$. We have that $h(0)=\max_{0\leq k\leq
k_{1}+1}h(k)$ and $\max_{k\geq k_{1}+2}h(k)=h(k_{o2})$. If $k_{o1}$ does not
exist but $k_{o2}$ does, then $\arg\max_{k}h(k)$ is one of
$\\{0,1,k_{o2}+2\\}$ (see Fig. 23 for an example). If $k_{o2}$ does not exist,
then $\arg\max_{k}h(k)$ is either $0$ or $1$. The result thus follows.
Figure 23: $h(k)=0.7\times(-0.4)^{k}-0.6\times(-0.8)^{k},\
g(k)=\left(\frac{-0.4}{-0.8}\right)^{k}$
* 1.22
$a_{2},b_{1},b_{2}<0,a_{1}<0$: obviously $h(k)$ achieves its maximum at $1$
and the result follows.
* 2.
P has only real eigenvalues and $2$ linearly independent eigenvectors:
$h(k)=ab^{k}+ckb^{k-1}+d$.
* 2.1
$b,c>0$: observe that
$h(k+1)>h(k)\Leftrightarrow k<\frac{ab-ab^{2}-cb}{c(b-1)}.$
Let $k_{1}\geq 0$ be the maximum integer satisfying the above inequality. If
it exists, then $h(k)$ will keep increasing until $(k_{1}+1)$ after which it
turns to be monotonically decreasing to the stationary reward $d$. Hence,
$k_{1}+1=\arg\max_{k}h(k)$ (see Fig. 24 for an example). If $k_{1}$ does not
exist, then $h(k)$ is monotonically decreasing and $\arg\max_{k}h(k)=0$. The
result thus follows.
Figure 24: $h(k)=2\times 0.7^{k}+3k\times 0.7^{k-1}$
* 2.2
$b>0,c<0$: observe that
$h(k+1)>h(k)\Leftrightarrow k>\frac{ab-ab^{2}-cb}{c(b-1)}.$
Let $k_{1}\geq 0$ be the minimum integer satisfying the above inequality.
Clearly $h(k)$ is monotonically decreasing until $k_{1}$ after which it keeps
increasing to $d$. So $h(k)$ achieves its supremum at either $0$ or $\infty$
and the result follows.
* 2.3
$b<0,c<0$: the proof is similar to that of (1.20) and omitted here (see Fig.
25 for an example).
Figure 25: $h(k)=-3\times(-0.8)^{k}-0.7k\times(-0.8)^{k-1}$
* 2.4
$b<0,c>0$: the proof is similar to that of (1.21) and omitted here.
* 3.
P has a pair of conjugate complex eigenvalues:
$h(k)=a^{\prime}A^{k}\sin(k\theta+b^{\prime})+c^{\prime}$.
* 3.1
$d^{\prime}=\frac{r^{*}-c^{\prime}}{a^{\prime}}>0$: clearly $h(k)$ will be
smaller than $d^{\prime}$ as $k$ becomes sufficiently large and the result
follows.
* 3.2
$d^{\prime}=\frac{r^{*}-c^{\prime}}{a^{\prime}}<0$: clearly $h(k)$ will be
larger than $d^{\prime}$ as $k$ becomes sufficiently large and the exhaustion
stops in finite time.
* 3.3-3.8
These cases follow directly by finding the first $k\geq 0$ such that
$\sin(k\theta+b^{\prime})>0$ and we omit the details here.
| arxiv-papers | 2021-07-26T03:22:14 | 2024-09-04T03:07:17.527652 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Keqin Liu",
"submitter": "Keqin Liu",
"url": "https://arxiv.org/abs/2107.11939"
} |
2107.11944 | # New thought on Matsumura-Nishida theory in the $L_{p}$-$L_{q}$ maximal
regularity framework
Yoshihiro Shibata Department of Mathematics, Waseda University, Ohkubo 3-4-1,
Shinjuku-ku, Tokyo 169-8555, Japan. e-mail address: [email protected] Adjunct
faculty member in the Department of Mechanical Engineering and Materials
Scinece, University of Pittsburgh partially supported by Top Global University
Project and JSPS Grant-in-aid for Scientific Research (A) 17H0109.
###### Abstract
This paper is devoted to proving the global well-posedness of initial-boundary
value problem for Navier-Stokes equations describing the motion of viscous,
compressible, barotropic fluid flows in a three dimensional exterior domain
with non-slip boundary conditions. This was first proved by an excellent paper
due to Matsumura and Nishida [10] in 1983. In [10], they used energy method
and their requirement was that space derivatives of the mass density up to
third order and space derivatives of the velocity fields up to fourth order
belong to $L_{2}$ in space-time, detailed statement of Matsumura and Nishida
theorem is given in Theorem 1 of Sect. 1 of context. This requirement is
essentially used to estimate the $L_{\infty}$ norm of necessary order of
derivatives in order to enclose the iteration scheme with the help of Sobolev
inequalities and also to treat the material derivatives of the mass density.
On the other hand, this paper gives the global wellposedness of the same
problem as in [10] in $L_{2}$ in time and $L_{2}\cap L_{6}$ in space maximal
regularity class, which is an improvement of the Matsumura and Nishida theory
in [10] from the point of view of the minimal requirement of the regularity of
solutions. In fact, after changing the material derivatives to time
derivatives by Lagrange transformation, enough estimates obtained by
combination of the maximal $L_{2}$ in time and $L_{2}\cap L_{6}$ in space
regularity and $L_{p}$-$L_{q}$ decay estimate of the Stokes equations with
non-slip conditions in the compressible viscous fluid flow case enable us to
use the standard Banach’s fixed point argument.
Moreover, one of the purposes of this paper is to present a framework to prove
the $L_{p}$-$L_{q}$ maximal regularity for parabolic-hyperbolic type equations
with non-homogeneous boundary conditions and how to combine the maximal
$L_{p}$-$L_{q}$ regularity and $L_{p}$-$L_{q}$ decay estimates of linearized
equations to prove the global well-posedness of quasilinear problems in
unbounded domains, which gives a new thought of proving the global well-
posedness of the initial-boundary value problem for a system of parabolic or
parabolic-hyperbolic equations with non-homogeneous boundary conditions.
2020 Mathematics Subject Classification. 35Q30, 76N10
Key words and phrases. Navier-Stokes equations, compressible viscous
barotropic fluid, global well-posedness,
the maximal $L_{p}$ space
## 1 Introduction
A. Matsumura and T. Nishida [10] proved the existence of unique solutions of
equations governing the flow of viscous, compressible, and heat conduction
fluids in an exterior domain of 3 dimensional Euclidean space
${\mathbb{R}}^{3}$ for all times, provided the initial data are sufficiently
small. Although Matsumura and Nishida [10] considered the the viscous,
barotropic, and heat conductive fluid, in this paper we only consider the
viscous, compressible, barotropic fluid for simplicity and reprove the
Matsumura and Nishida theory in view of the $L_{2}$ in time and $L_{2}\cap
L_{6}$ in space maximal regularity theorem.
To describe in more detail, we start with description of equations considered
in this paper. Let $\Omega$ be a three dimensional exterior domain, that is
the complement, $\Omega^{c}$, of $\Omega$ is a bounded domain in the three
dimensional Euclidean space ${\mathbb{R}}^{3}$. Let $\Gamma$ be the boundary
of $\Omega$, which is a compact $C^{2}$ hypersurface. Let $\rho=\rho(x,t)$ and
${\mathbb{v}}=(v_{1}(x,t),v_{2}(x,t),v_{3}(x,t))^{\top}$ be respective the
mass density and the velocity field, where $M^{\top}$ denotes the transposed
$M$, $t$ is a time variable and $x=(x_{1},x_{2},x_{3})\in\Omega$. Let
${\mathfrak{p}}={\mathfrak{p}}(\rho)$ be the fluid pressure, which is a smooth
function defined on $(0,\infty)$ such that ${\mathfrak{p}}^{\prime}(\rho)>0$
for $\rho>0$. We consider the following equations:
$\displaystyle\partial_{t}\rho+{\rm div}\,(\rho{\mathbb{v}})=0$
$\displaystyle\text{in $\Omega\times(0,T)$},$ (1)
$\displaystyle\rho(\partial_{t}{\mathbb{v}}+{\mathbb{v}}\cdot\nabla{\mathbb{v}})-{\rm
Div}\,(\mu{\mathbb{D}}({\mathbb{v}})+\nu{\rm
div}\,{\mathbb{v}}{\mathbb{I}}-{\mathfrak{p}}(\rho){\mathbb{I}})=0$
$\displaystyle\text{in $\Omega\times(0,T)$},$
$\displaystyle{\mathbb{v}}|_{\Gamma}=0,\quad(\rho,{\mathbb{v}})|_{t=0}=(\rho_{*}+\theta_{0},{\mathbb{v}}_{0})$
$\displaystyle\text{in $\Omega$}.$
Here, $\partial_{t}=\partial/\partial t$,
${\mathbb{D}}({\mathbb{v}})=\nabla{\mathbb{v}}+(\nabla{\mathbb{v}})^{\top}$ is
the deformation tensor, ${\rm div}\,{\mathbb{v}}=\sum_{j=1}^{3}\partial
v_{j}/\partial x_{j}$, for a $3\times 3$ matrix $K$ with $(i,j)$ th component
$K_{ij}$, ${\rm Div}\,K=(\sum_{j=1}^{3}\partial K_{1j}/\partial
x_{j},\sum_{j=1}^{3}\partial K_{2j}/\partial x_{j},\sum_{j=1}^{3}\partial
K_{3j}/\partial x_{j})^{\top}$, $\mu$ and $\nu$ are two viscous constants such
that $\mu>0$ and $\mu+\nu>0$, and $\rho_{*}$ is a positive constant describing
the mass density of a reference body.
According to Matsumura and Nishida [10], we have the global well-posedness of
equations (1) in the $L_{2}$ framework stated as follows:
###### Theorem 1 ([10]).
Let $\Omega$ be a three dimensional exterior domain, the boundary of which is
a smooth $2$ dimensional compact hypersurface. Then, there exsits a small
number $\epsilon>0$ such that for any initial data
$(\theta_{0},{\mathbb{v}}_{0})\in H^{3}(\Omega)^{4}$ satisfying smallness
condition: $\|(\theta_{0},{\mathbb{v}}_{0})\|_{H^{3}(\Omega)}\leq\epsilon$ and
compatibility conditions of order 1, that is ${\mathbb{v}}_{0}$ and
$\partial_{t}{\mathbb{v}}|_{t=0}$ vanish at $\Gamma$, problem (1) admits
unique solutions $\rho=\rho_{*}+\theta$ and ${\mathbb{v}}$ with
$\displaystyle\theta\in C^{0}((0,\infty),H^{3}(\Omega))\cap
C^{1}((0,\infty),H^{2}(\Omega)),\quad\nabla\rho\in
L_{2}((0,\infty),H^{2}(\Omega)^{3}),$ $\displaystyle{\mathbb{v}}\in
C^{0}((0,\infty),H^{3}(\Omega)^{3})\cap
C^{1}((0,\infty),H^{1}(\Omega)^{3}),\quad\nabla{\mathbb{v}}\in
L_{2}((0,\infty),,H^{3}(\Omega)^{9}).$
Matsumura and Nishida [10] proved Theorem 1 essentially by energy method. One
of key issues in [10] is to estimate
$\sup_{t\in(0,\infty)}\|{\mathbb{v}}(\cdot,t)\|_{H^{1}_{\infty}(\Omega)}$ by
Sobolev’s inequality, namely
$\sup_{t\in((0,\infty)}\|{\mathbb{v}}(\cdot,t)\|_{H^{1}_{\infty}(\Omega)}\leq
C\sup_{t\in(0,\infty)}\|{\mathbb{v}}(\cdot,t))\|_{H^{3}(\Omega)}.$ (2)
Recently, Enomoto and Shibata [8] proved the global wellposedness of equations
(1) for $(\theta_{0},{\mathbb{v}}_{0})\in H^{2}(\Omega)^{4}$ with small norms.
Namely, they proved the following theorem.
###### Theorem 2 ([8]).
Let $\Omega$ be a three dimensional exterior domain, the boundary of which is
a smooth $2$ dimensional compact hypersurface. Then, there exsits a small
number $\epsilon>0$ such that for any initial data
$(\theta_{0},{\mathbb{v}}_{0})\in H^{2}(\Omega)^{4}$ satisfying
$\|(\theta_{0},{\mathbb{v}}_{0})\|_{H^{2}(\Omega)}\leq\epsilon$ and
compatibility condition: ${\mathbb{v}}_{0}|_{\Gamma}=0$, problem (1) admits
unique solutions $\rho=\rho_{*}+\theta$ and ${\mathbb{v}}$ with
$\displaystyle\theta\in C^{0}((0,\infty),H^{2}(\Omega))\cap
C^{1}((0,\infty),H^{1}(\Omega)),\quad\nabla\rho\in
L_{2}((0,\infty),H^{1}(\Omega)^{3}),$ $\displaystyle{\mathbb{v}}\in
C^{0}((0,\infty),H^{2}(\Omega)^{3})\cap
C^{1}((0,\infty),L_{2}(\Omega)^{3}),\quad\nabla{\mathbb{v}}\in
L_{2}((0,\infty),H^{2}(\Omega)^{9}).$
The method used in the proof of Enomoto and Shibata [8] is essentially the
same as that in Matsumura and Nishida [10]. Only the difference is that (2) is
replaced by
$\int^{\infty}_{0}\|\nabla{\mathbb{v}}\|_{L_{\infty}(\Omega)}^{2}\,dt\leq
C\int^{\infty}_{0}\|\nabla{\mathbb{v}}\|_{H^{2}(\Omega)}^{2}\,dt$ in [8]. As a
conclusion, in the $L_{2}$ framework the least regularity we need is that
$\nabla\rho\in L_{2}((0,\infty),H^{1}(\Omega)^{3})$ and $\nabla{\mathbb{v}}\in
L_{2}((0,\infty),H^{2}(\Omega)^{9})$. In this paper, we improve this point by
solving the equations (1) in the $L_{p}$-$L_{q}$ maximal regularity class,
that is the following theorem is a main result of this paper.
###### Theorem 3.
Let $\Omega$ be an exterior domain in ${\mathbb{R}}^{3}$, whose boundary
$\Gamma$ is a compact $C^{2}$ hypersurface and $T\in(0,\infty)$. Let
$0<\sigma<1/6$ and $p=2$ or $p=1+\sigma$. Let $b$ be a number defined by
$b=(3-\sigma)/2(2+\sigma)$ when $p=2$ and $b=(1-\sigma)/2(2+\sigma)$ when
$p=1+\sigma$. Let $r=2(2+\sigma)/(4+\sigma)=(1/2+1/(2+\sigma))^{-1}$. Set
$\displaystyle{\mathcal{I}}=\\{(\theta_{0},{\mathbb{v}}_{0})\mid\theta_{0}\in(\bigcap_{q=r,2,2+\sigma,6}H^{1}_{q}(\Omega)),\quad{\mathbb{v}}_{0}\in(\bigcap_{q=2,2+\sigma,6}B^{2(1-1/p)}_{q,p}(\Omega)^{3})\cap
L_{r}(\Omega)^{3}\\},$
$\displaystyle\|(\theta_{0},{\mathbb{v}}_{0})\|_{{\mathcal{I}}}=\sum_{q=2,2+\sigma,6}\|\theta_{0}\|_{H^{1}_{q}(\Omega)}+\sum_{q=2,2+\sigma,6}\|{\mathbb{v}}_{0}\|_{B^{2(1-1/p)}_{q,p}(\Omega)}+\|(\theta_{0},{\mathbb{v}}_{0})\|_{H^{1,0}_{r}(\Omega)}.$
Here and hereafter, we write
$\|(\theta,{\mathbb{v}})\|_{H^{\ell,m}_{q}(\Omega)}=\|\theta\|_{H^{\ell}_{q}(\Omega)}+\|{\mathbb{v}}\|_{H^{m}_{q}(\Omega)}$
and $H^{0}_{q}(\Omega)=L_{q}(\Omega)$. Then, there exists a small constant
$\epsilon\in(0,1)$ independent of $T$ such that if initial data
$(\theta_{0},{\mathbb{v}}_{0})\in{\mathcal{I}}$ satisfy the compatibility
condition: ${\mathbb{v}}_{0}|_{\Gamma}=0$ and the smallness condition :
$\|(\theta_{0},{\mathbb{v}}_{0})\|_{{\mathcal{I}}}\leq\epsilon^{2}$, then
problem (1) admits unique solutions $\rho=\rho_{*}+\theta$ and ${\mathbb{v}}$
with
$\displaystyle\theta$ $\displaystyle\in H^{1}_{p}((0,T),L_{2}(\Omega))\cap
L_{6}(\Omega))\cap L_{p}((0,T),H^{1}_{2}(\Omega))\cap H^{1}_{6}(\Omega)),$ (3)
$\displaystyle{\mathbb{v}}$ $\displaystyle\in
H^{1}_{p}((0,T),L_{2}(\Omega)^{3}\cap L_{6}(\Omega)^{3})\cap
L_{p}((0,T),H^{2}_{2}(\Omega)^{3}\cap H^{2}_{6}(\Omega)^{3}).$
Moreover, setting
$\displaystyle{\mathcal{E}}_{T}(\theta,{\mathbb{v}})$
$\displaystyle=\|<t>^{b}(\theta,{\mathbb{v}})\|_{L_{\infty}((0,T),L_{2}(\Omega)\cap
L_{6}(\Omega))}+\|<t>^{b}\nabla(\theta,{\mathbb{v}})\|_{L_{p}((0,T),H^{0,1}_{2}(\Omega)\cap
H^{0,1}_{2+\sigma}(\Omega))}$
$\displaystyle+\|<t>^{b}(\theta,{\mathbb{v}})\|_{L_{p}((0,T),H^{1,2}_{6}(\Omega))}+\|<t>^{b}\partial_{t}(\theta,{\mathbb{v}})\|_{L_{p}((0,T),L_{2}(\Omega)\cap
L_{6}(\Omega))},$
we have ${\mathcal{E}}_{T}(\theta,{\mathbb{v}})\leq\epsilon$.
###### Remark 4.
1 $T>0$ is taken arbitrarily and $\epsilon>0$ is chosen independently of $T$,
and so Theorem 3 tells us the global wellposedness of equations (1) for
$(0,\infty)$ time inverval.
2 In the case $p=2$, Theorem 3 gives an extension of Matsumura and Nishida
theorem [10]. Roughly speaking, if we assume that
$(\theta_{0},{\mathbb{v}}_{0})\in H^{3}_{2}(\Omega)^{4}$, then
$(\theta_{0},{\mathbb{v}}_{0})\in(H^{1}_{2}(\Omega)\cap
H^{1}_{6}(\Omega))\times(B^{1}_{2,2}(\Omega)\cap B^{1}_{6,2}(\Omega))$, and so
the global wellposedness holds in the class as
$\theta\in H^{1}_{2}((0,T),H^{1}_{2}(\Omega)\cap
H^{1}_{6}(\Omega)),\quad{\mathbb{v}}\in H^{1}_{2}((0,T),L_{2}(\Omega)^{3}\cap
L_{6}(\Omega)^{3})\cap L_{2}((0,T),H^{2}_{2}(\Omega)^{3}\cap
H^{2}_{6}(\Omega)^{3})$
under the additional condition: $(\theta_{0},{\mathbb{v}}_{0})\in
H^{1,0}_{r}(\Omega)$.
On the other hand, choosing $p=1+\sigma$ gives the minimal regularity
assumption of initial velocity field in the $L_{2}\cap L_{6}$ framework.
As related topics, we consider the Cauchy problem, that is
$\Omega={\mathbb{R}}^{3}$ without boundary condition. A. Matsumura and T.
Nishida [9] proved the global wellposedness theorem, the statement of which is
essentially the same as in Theorem 1 and the proof is based on energy method.
R. Danchin [4] proved the global wellposedness in the critical space by using
the Littlewood-Paley decomposition.
###### Theorem 5 ([4]).
Let $\Omega={\mathbb{R}}^{N}$ $(N\geq 2)$. Assume that $\mu>0$ and
$\mu+\nu>0$. Let $B^{s}=\dot{B}^{s}_{2,1}({\mathbb{R}}^{N})$ and
$F^{s}=(L_{2}((0,\infty),B^{s})\cap C((0,\infty),B^{s}\cap
B^{s-1}))\times(L_{1}((0,\infty),B^{s+1})\cap C((0,\infty),B^{s-1}))^{N}.$
Then, there exists an $\epsilon>0$ such that if initial data $\theta_{0}\in
B^{N/2}({\mathbb{R}}^{N})\cap B^{N/2-1}({\mathbb{R}}^{N})$ and
${\mathbb{v}}_{0}\in B^{N/2-1}({\mathbb{R}}^{N})^{N}$ satisfy the condition:
$\|\theta_{0}\|_{B^{N/2}({\mathbb{R}}^{N})\cap
B^{N/2-1}({\mathbb{R}}^{N})}+\|{\mathbb{v}}_{0}\|_{B^{N/2-1}({\mathbb{R}}^{N})}\leq\epsilon,$
then problem (1) with $\Omega={\mathbb{R}}^{N}$ and $T=\infty$ admits a unique
solution $\rho=\rho_{*}+\theta$ and ${\mathbb{v}}$ with
$(\theta,{\mathbb{v}})\in F^{N/2}$.
In the case where $\Omega={\mathbb{R}}^{3}$ or ${\mathbb{R}}^{N}$, there are a
lot of works concerning (1), but we do not mention them any more, because we
are interested only in the global wellposedness in exterior domains. For more
information on references, refer to Enomoto and Shibata [7].
Concerning the $L_{1}$ in time maximal regularity in exterior domains, the
incompressible viscous fluid flows has been treated by Danchin and Mucha [5].
To obtain $L_{1}$ maximal regularity in time, we have to use
$\dot{B}^{s}_{q,1}$ in space, which is slightly regular space than
$H^{s}_{q}$, and the decay estimates for semigroup on $\dot{B}^{s}_{q,1}$ must
be needed to controle terms arising from the cut-off procedure near the
boundary. Detailed arguments related with thses facts can be found in [5]. To
treat (1) in an exterior domain in the $L_{1}$ in time maximal regularity
framework, we have to prepare not only $L_{1}$ maximal regularity for model
problems in the whole space and the half space but also decay properties of
semigroup in $\dot{B}^{s}_{q,1}$, and so this will be a future work. From
Theorem 3, we may say that problem (1) can be solved in $L_{1+\sigma}$ in time
and $L_{2}\cap L_{6}$ in space maximal regularity class for any small
$\sigma\in(0,1/6)$.
The paper is organized as follows. In Sect. 2, equations (1) are rewriten in
Lagrange coordinates to eliminate ${\mathbb{v}}\cdot\nabla\rho$ and a main
result for equations with Lagrangian description is stated. In Sect. 3, we
give a $L_{p}$-$L_{q}$ maximal regularity theorem in some abstract setting. In
Sect. 4, we give estimates of nonlinear terms. In Sect. 5, we prove main
results stated in Sect. 2. In Sect. 6, Theorem 3 is proved by using a main
result in Sect. 2. In Sect. 7, we discuss the $N$ dimensonal case.
The main point of our proof is to obtain maximal regularity estimates with
decay properties of solutions to linearized equations, the Stokes equations
with non-slip conditions. To explain the idea, we write linearized equations
as $\partial_{t}u-Au=f$ and $u|_{t=0}=u_{0}$ symbolically, where $f$ is a
function corresponding to nonlinear terms and $A$ is an closed linear operator
with domain $D(A)$. We write $u=u_{1}+u_{2}$, where $u_{1}$ is a solution to
time shifted equations: $\partial_{t}u_{1}+\lambda_{1}u_{1}-Au_{1}=f$ and
$u_{1}|_{t=0}=u_{0}$ with some large positive number $\lambda_{1}$ and $u_{2}$
is a solution to compensating equations:
$\partial_{t}u_{2}-Au_{2}=\lambda_{1}u_{1}$ and $u_{2}|_{t=0}=0$. Since the
fundamental solutions to shifted equations have exponential decay properties,
$u_{1}$ has the same decay properties as these of nonlinear terms $f$.
Moreover $u_{1}$ belongs to the domain of $A$ for all positive time. By
Duhamel principle $u_{2}$ is given by
$u_{2}=\lambda_{1}\int^{t}_{0}T(t-s)u_{1}(s)\,ds$, where $\\{T(t)\\}_{t\geq
0}$ is a continuous analytic semigroup associated with $A$. By using
$L_{p}$-$L_{q}$ decay properties of $\\{T(t)\\}_{t\geq 0}$ in the interval
$0<s<t-1$ and standard estimates of $C_{0}$ analytic semigroup:
$\|T(t-s)u_{0}\|_{D(A)}\leq C\|u_{0}\|_{D(A)}$ for $t-1<s<t$, where
$\|\cdot\|_{D(A)}$ denotes a domain norm, we obtain maximal $L_{p}$-$L_{q}$
regularity of $u_{2}$ with decay properties. This method seems to be a new
thought to prove the global wellposedness and to be applicable to many
quasilinear problems of parabolic type or parabolic-hyperbolic mixture type
appearing in mathematical physics.
To end this section, symbols of functional spaces used in this paper are
given. Let $L_{p}(\Omega)$, $H^{m}_{p}(\Omega)$ and $B^{s}_{q,p}(\Omega)$
denote the standard Lebesgue spaces, Sobolev spaces and Besov spaces, while
their norms are written as $\|\cdot\|_{L_{p}(\Omega)}$,
$\|\cdot\|_{H^{m}_{p}(\Omega)}$ and $\|\cdot\|_{B^{s}_{q,p}(\Omega)}$. We
write $H^{m}(\Omega)=H^{m}_{2}(\Omega)$, $H^{0}_{q}(\Omega)=L_{q}(\Omega)$ and
$W^{s}_{q}(\Omega)=B^{s}_{q,q}(\Omega)$. For any Banach space $X$ with norm
$\|\cdot\|_{X}$, $L_{p}((a,b),X)$ and $H^{m}_{p}((a,b),X)$ denote respective
the standard $X$-valued Lebesgue spaces and Sobolev spaces, while their time
weighted norms are defined by
$\|<t>^{b}f\|_{L_{p}((a,b),X)}=\begin{cases}\Bigl{(}\int^{b}_{a}(<t>^{b}\|f(t)\|_{X})^{p}\,dt\Bigr{)}^{1/p}\quad&(1\leq
p<\infty),\\\ {\rm
esssup}_{t\in(a,b)}<t>^{b}\|f(t)\|_{X}\quad&(p=\infty),\end{cases}$
where $<t>=(1+t^{2})^{1/2}$. Let
$X^{n}=\\{{\mathbb{v}}=(u_{1},\ldots,u_{n}))\mid u_{i}\in
X\enskip(i=1,\ldots,n)\\}$, but we write $\|\cdot\|_{X^{n}}=\|\cdot\|_{X}$ for
simplicity. Let $H^{\ell,m}_{q}(\Omega)=\\{(\rho,{\mathbb{v}})\mid\rho\in
H^{\ell}_{q}(\Omega),{\mathbb{v}}\in H^{m}_{q}(\Omega)^{3}\\}$ and
$\|(\rho,{\mathbb{v}})\|_{H^{\ell,m}_{q}(\Omega)}=\|\rho\|_{H^{\ell}_{q}(\Omega)}+\|{\mathbb{v}}\|_{H^{m}_{q}(\Omega)}$.
The letter $C$ denotes generic constants and $C_{a,b,\cdots}$ denotes that
constants depend on quantities $a$, $b$, $\ldots$. $C$ and $C_{a,b,\cdots}$
may change from line to line.
## 2 Equations in Lagrange coordinates and statment of main results
To prove Theorem 3, we write equations (1) in Lagrange coordinates $\\{y\\}$.
Let $\zeta=\zeta(y,t)$ and ${\mathbb{u}}={\mathbb{u}}(y,t)$ be the mass
density and the velocity field in Lagrange coordinates $\\{y\\}$, and for a
while we assume that
${\mathbb{u}}\in H^{1}_{p}((0,T),L_{6}(\Omega)^{3})\cap
L_{p}((0,T),H^{2}_{6}(\Omega)^{3}),.$ (4)
and the quantity:
$\|<t>^{b}\nabla{\mathbb{u}}\|_{L_{p}((0,T),H^{1}_{6}(\Omega)}$ is small
enough for some $b>0$ with $bp^{\prime}>1$, where $1/p+1/p^{\prime}=1$. We
consider the Lagrange transformation:
$x=y+\int^{t}_{0}{\mathbb{u}}(y,s)\,ds$ (5)
and assume that
$\int^{T}_{0}\|\nabla{\mathbb{u}}(\cdot,t)\|_{L_{\infty}(\Omega)}\,dt<\delta$
(6)
with some small number $\delta>0$. If $0<\delta<1$, then for
$x_{i}=y_{i}+\int^{t}_{0}{\mathbb{u}}(y_{i},s)\,ds$ we have
$|x_{1}-x_{2}|\geq(1-\int^{T}_{0}\|\nabla{\mathbb{u}}(\cdot,t)\|_{L_{\infty}(\Omega)}\,dt)|y_{1}-y_{2}|,$
and so the correspondence (5) is one to one. Moreover, applying a method due
to Ströhmer [12], we see that the correspondence (5) is a $C^{1+\omega}$
($\omega\in(0,1/2)$) diffeomorphism from $\overline{\Omega}$ onto itself for
any $t\in(0,T)$. In fact, let
$J={\mathbb{I}}+\int^{t}_{0}\nabla{\mathbb{u}}(y,s)\,ds$, which is the
Jacobian of the map defined by (5), and then by Sobolev’s imbedding theorem
and Hölder’s inequality for $\omega\in(0,1/2)$ we have
$\sup_{t\in(0,T)}\|\int^{t}_{0}\nabla{\mathbb{u}}(\cdot,s)\,ds\|_{C^{\omega}(\overline{\Omega})}\leq
C_{\omega}\Bigl{(}\int^{T}_{0}<s>^{-bp^{\prime}}\,ds\Bigr{)}^{1/p^{\prime}}\Bigl{(}\int^{T}_{0}\|<s>\nabla{\mathbb{u}}(\cdot,s)\|_{H^{1}_{6}(\Omega)}^{p}\,ds\Bigr{)}^{1/p}<\infty$
(7)
and we may assume that the right hand side of (7) is small enough and (6)
holds in the process of constructing a solution. By (5), we have
$\frac{\partial x}{\partial
y}={\mathbb{I}}+\int^{t}_{0}\frac{\partial{\mathbb{u}}}{\partial y}(y,s)\,ds,$
and so choosing $\delta>0$ small enough, we may assume that there exists a
$3\times 3$ matrix ${\mathbb{V}}_{0}({\mathbb{k}})$ of $C^{\infty}$ functions
of variables ${\mathbb{k}}$ for $|{\mathbb{k}}|<\delta$, where ${\mathbb{k}}$
is a corresponding variable to $\int^{t}_{0}\nabla{\mathbb{u}}\,ds$, such that
$\frac{\partial y}{\partial x}={\mathbb{I}}+{\mathbb{V}}_{0}({\mathbb{k}})$
and ${\mathbb{V}}_{0}(0)=0$. Let $V_{0ij}({\mathbb{k}})$ be the $(i,j)$ th
component of $3\times 3$ matrix $V_{0}({\mathbb{k}})$, and then we have
$\frac{\partial}{\partial x_{j}}=\frac{\partial}{\partial
y_{j}}+\sum_{j=1}^{3}V_{0ij}({\mathbb{k}})\frac{\partial}{\partial y_{j}}.$
(8)
Let $X_{t}(x)=y$ be the inverse map of Lagrange transform (5) and set
$\rho(x,t)=\zeta(X_{t}(x),t)$ and
${\mathbb{v}}(x,t)={\mathbb{u}}(X_{t}(x),t)$. Setting
${\mathcal{D}}_{\rm
div}\,({\mathbb{k}})\nabla{\mathbb{u}}=\sum_{i,j=1}^{3}V_{0ij}({\mathbb{k}})\frac{\partial
u_{i}}{\partial y_{j}},$
we have ${\rm div}\,{\mathbb{v}}={\rm div}\,{\mathbb{u}}+{\mathcal{D}}_{\rm
div}\,({\mathbb{k}}){\mathbb{u}}$. Let $\zeta=\rho_{*}+\eta$, and then
$\displaystyle\frac{\partial}{\partial t}\rho+{\rm
div}\,(\rho{\mathbb{u}})=\frac{\partial\eta}{\partial t}+(\rho_{*}+\eta)({\rm
div}\,{\mathbb{u}}+{\mathcal{D}}_{\rm
div}\,({\mathbb{k}})\nabla{\mathbb{u}}).$
Setting
${\mathcal{D}}_{\mathbb{D}}({\mathbb{k}})\nabla{\mathbb{u}}={\mathbb{V}}_{0}({\mathbb{k}})\nabla{\mathbb{u}}+({\mathbb{V}}_{0}({\mathbb{k}})\nabla{\mathbb{u}})^{\top},$
(9)
we have
${\mathbb{D}}({\mathbb{v}})=\nabla{\mathbb{v}}+(\nabla{\mathbb{v}})^{\top}=({\mathbb{I}}+{\mathbb{V}}_{0}({\mathbb{k}}))\nabla{\mathbb{u}}+(({\mathbb{I}}+{\mathbb{V}}_{0}({\mathbb{k}}))\nabla{\mathbb{u}})^{\top}={\mathbb{D}}({\mathbb{u}})+{\mathcal{D}}_{\mathbb{D}}({\mathbb{k}})\nabla{\mathbb{u}}$.
Moreover,
$\displaystyle{\rm Div}\,(\mu{\mathbb{D}}({\mathbb{v}})+\nu{\rm
div}\,{\mathbb{v}}{\mathbb{I}})$
$\displaystyle=({\mathbb{I}}+{\mathbb{V}}_{0}({\mathbb{k}}))\nabla(\mu({\mathbb{D}}({\mathbb{u}})+{\mathcal{D}}_{\mathbb{D}}({\mathbb{k}})\nabla{\mathbb{u}})+\nu({\rm
div}\,{\mathbb{u}}+{\mathcal{D}}_{\rm div}\,({\mathbb{k}})\nabla{\mathbb{u}})$
$\displaystyle={\rm Div}\,(\mu{\mathbb{D}}({\mathbb{u}})+\nu{\rm
div}\,{\mathbb{u}}{\mathbb{I}})+{\mathbb{V}}_{1}({\mathbb{k}})\nabla^{2}{\mathbb{u}}+({\mathbb{V}}_{2}({\mathbb{k}})\int^{t}_{0}\nabla^{2}{\mathbb{u}}\,ds)\nabla{\mathbb{u}}$
with
$\displaystyle{\mathbb{V}}_{1}({\mathbb{k}})\nabla^{2}{\mathbb{u}}$
$\displaystyle=\mu{\mathcal{D}}_{\mathbb{D}}({\mathbb{k}})\nabla^{2}{\mathbb{u}}+\nu{\mathcal{D}}_{\rm
div}\,({\mathbb{k}})\nabla^{2}{\mathbb{u}}{\mathbb{I}}$ (10)
$\displaystyle+{\mathbb{V}}_{0}({\mathbb{k}})(\mu\nabla{\mathbb{D}}({\mathbb{u}})+\nu\nabla{\rm
div}\,{\mathbb{u}}{\mathbb{I}}+\mu{\mathcal{D}}_{\mathbb{D}}({\mathbb{k}})\nabla^{2}{\mathbb{u}}+\nu{\mathcal{D}}_{\rm
div}\,({\mathbb{k}})\nabla^{2}{\mathbb{u}}{\mathbb{I}}),$
$\displaystyle({\mathbb{V}}_{2}({\mathbb{k}})\int^{t}_{0}\nabla{\mathbb{u}}\,ds)\nabla{\mathbb{u}}$
$\displaystyle=({\mathbb{I}}+{\mathbb{V}}_{0}({\mathbb{k}}))(\mu(d_{\mathbb{k}}{\mathcal{D}}_{\mathbb{D}}({\mathbb{k}})\int^{t}_{0}\nabla^{2}{\mathbb{u}}\,ds)\nabla{\mathbb{u}}+\nu(d_{\mathbb{k}}{\mathcal{D}}_{\rm
div}\,({\mathbb{k}})\int^{t}_{0}\nabla^{2}{\mathbb{u}}\,ds\nabla{\mathbb{u}}){\mathbb{I}}.$
Here, $d_{\mathbb{k}}F({\mathbb{k}})$ denotes the derivative of $F$ with
respect to ${\mathbb{k}}$. Note that ${\mathbb{V}}_{1}(0)=0$. Moreover, we
write
$\nabla{\mathfrak{p}}(\rho)={\mathfrak{p}}^{\prime}(\rho_{*})\nabla\eta+({\mathfrak{p}}^{\prime}(\rho_{*}+\eta)-{\mathfrak{p}}^{\prime}(\rho_{*}))\nabla\eta+{\mathfrak{p}}^{\prime}(\rho_{*}+\eta){\mathbb{V}}_{0}({\mathbb{k}})\nabla\theta.$
(11)
The material derivative
$\partial_{t}{\mathbb{v}}+{\mathbb{v}}\cdot\nabla{\mathbb{v}}$ is changed to
$\partial_{t}{\mathbb{u}}$.
Summing up, we have obtained
$\displaystyle\partial_{t}\eta+\rho_{*}{\rm
div}\,{\mathbb{u}}=F(\eta,{\mathbb{u}})$ $\displaystyle\text{in
$\Omega\times(0,T)$},$ (12)
$\displaystyle\rho_{*}\partial_{t}{\mathbb{u}}-{\rm
Div}\,(\mu{\mathbb{D}}({\mathbb{u}})+\nu{\rm
div}\,{\mathbb{u}}{\mathbb{I}}-{\mathfrak{p}}^{\prime}(\rho_{*})\eta)={\mathbb{G}}(\eta,{\mathbb{u}})$
$\displaystyle\text{in $\Omega\times(0,T)$},$
$\displaystyle{\mathbb{u}}|_{\Gamma}=0,\quad(\eta,{\mathbb{u}})|_{t=0}=(\theta_{0},{\mathbb{v}}_{0})$
$\displaystyle\text{in $\Omega$}.$
Here, we have set
$\displaystyle{\mathbb{k}}$
$\displaystyle=\int^{t}_{0}\nabla{\mathbb{u}}(\cdot,s)\,ds,$ (13)
$\displaystyle F(\eta,{\mathbb{u}})$ $\displaystyle=\rho_{*}{\mathcal{D}}_{\rm
div}\,({\mathbb{k}})\nabla{\mathbb{u}}+\eta({\rm
div}\,{\mathbb{u}}+{\mathcal{D}}_{\rm
div}\,({\mathbb{k}})\nabla{\mathbb{u}}),$
$\displaystyle{\mathbb{G}}(\eta,{\mathbb{u}})$
$\displaystyle=\eta\partial_{t}{\mathbb{u}}+{\mathbb{V}}_{1}({\mathbb{k}})\nabla^{2}{\mathbb{u}}+({\mathbb{V}}_{2}({\mathbb{k}})\int^{t}_{0}\nabla^{2}{\mathbb{u}}\,ds)\nabla{\mathbb{u}}$
$\displaystyle\qquad-({\mathfrak{p}}^{\prime}(\rho_{*}+\eta)-{\mathfrak{p}}^{\prime}(\rho_{*}))\nabla\eta-{\mathfrak{p}}^{\prime}(\rho_{*}+\eta){\mathbb{V}}_{0}({\mathbb{k}})\nabla\eta$
and ${\mathcal{D}}_{\rm div}\,({\mathbb{k}})\nabla{\mathbb{u}}$,
${\mathbb{V}}_{1}({\mathbb{k}})$ and ${\mathbb{V}}_{2}({\mathbb{k}})$ have
been defined in (9), (10) and (11). Note that
${\mathcal{D}}_{\mathbb{k}}(0)=0$, ${\mathbb{V}}_{1}(0)=0$ and $g(0,0)=0$. The
following theorem is a main result in this paper.
###### Theorem 6.
Let $\Omega$ be an exterior domain in ${\mathbb{R}}^{3}$, whose boundary
$\Gamma$ is a compact $C^{2}$ hypersurface. Let $0<\sigma<1/6$ and $p=2$ or
$p=1+\sigma$. Let $b$ be a number defined by $b=(3-\sigma)/2(2+\sigma)$ when
$p=2$ and $b=(1-\sigma)/2(2+\sigma)$ when $p=1+\sigma$. Let
$r=2(2+\sigma)/(4+\sigma)$ and let $T\in(0,\infty]$. Set
$\displaystyle{\mathcal{I}}=\\{(\theta_{0},{\mathbb{v}}_{0})\mid\theta_{0}\in(\bigcap_{q=r,2,2+\sigma,6}H^{1}_{q}(\Omega))\quad{\mathbb{v}}_{0}\in(\bigcap_{q=2,2+\sigma,6}B^{2(1-1/p)}_{q,p}(\Omega)^{3})\cap
L_{r}(\Omega)^{3}\\},$
$\displaystyle\|(\theta_{0},{\mathbb{v}}_{0})\|_{{\mathcal{I}}}=\sum_{q=2,2+\sigma,6}\|\theta_{0}\|_{H^{1}_{q}(\Omega)}+\sum_{q=2,2+\sigma,6}\|{\mathbb{v}}_{0}\|_{B^{2(1-1/p)}_{q,p}(\Omega)}+\|(\theta_{0},{\mathbb{v}}_{0})\|_{H^{1,0}_{r}(\Omega)}.$
Then, there exists a small constant $\epsilon\in(0,1)$ independent of $T$ such
that if initial data $(\theta_{0},{\mathbb{v}}_{0})\in X$ satisfy the
compatibility condition: ${\mathbb{v}}_{0}|_{\Gamma}=0$ and the smallness
condition :
$\|(\theta_{0},{\mathbb{v}}_{0})\|_{{\mathcal{I}}}\leq\epsilon^{2}$, then
problem (12) admits unique solutions $\zeta=\rho_{*}+\eta$ and ${\mathbb{u}}$
with
$\displaystyle\eta$ $\displaystyle\in H^{1}_{p}((0,T),H^{1}_{2}(\Omega))\cap
H^{1}_{6}(\Omega)),$ (14) $\displaystyle{\mathbb{u}}$ $\displaystyle\in
H^{1}_{p}((0,T),L_{2}(\Omega)^{3}\cap L_{6}(\Omega)^{3})\cap
L_{p}((0,T),H^{2}_{2}(\Omega)^{3}\cap H^{2}_{6}(\Omega)^{3})$
possessing the estimate $E_{T}(\eta,{\mathbb{u}})\leq\epsilon$. Here, we have
set
$E_{T}(\eta,{\mathbb{u}})={\mathcal{E}}_{T}(\eta,{\mathbb{u}})+\|<t>^{b}\partial_{t}\nabla(\eta,{\mathbb{u}})\|_{L_{p}((0,T),L_{q}(\Omega))}$
and ${\mathcal{E}}_{T}(\eta,{\mathbb{u}})$ is the quantity defined in Theorem
3.
###### Remark 7.
1 The choice of $\epsilon$ is independent of $T>0$, and so solutions of
equations (12) exist for any time $t\in(0,\infty)$.
2 For any natural number $m$, $B^{m}_{q,2}(\Omega)\subset H^{m}_{q}(\Omega)$
for $2<q<\infty$ and $B^{m}_{2,2}=H^{m}$.
3 The condition: $0<\sigma<1/6$ guarantees that $bp^{\prime}>1$.
4 Letting $\sigma>0$ be taken a small number such that $C^{1+\sigma}\subset
H^{2}_{6}$, we see that Theorem 6 implies
$\int^{T}_{0}\|{\mathbb{u}}(\cdot,s)\|_{C^{1+\sigma}(\Omega)}\,ds<\delta$
with some small number $\delta>0$, which guarantees that Lagrange transform
given in (5) is a $C^{1+\sigma}$ diffeomorphism on $\Omega$. Moreover, Theorem
3 follows from Theorem 6, the proof of which will be given in Sect. 6 below.
## 3 ${\mathcal{R}}$-bounded solution operators
This section gives a general framework of proving the maximal $L_{p}$
regularity ($1<p<\infty$), and so problem is formulated in an abstract
setting. Let $X$, $Y$, and $Z$ be three UMD Banach spaces such that $X\subset
Z\subset Y$ and $X$ is dense in $Y$, where the inclusions are continuous. Let
$A$ be a closed linear operator from $X$ into $Y$ and let $B$ be a linear
operator from $X$ into $Y$ and also from $Z$ into $Y$. Moreover, we assume
that
$\|Ax\|_{Y}\leq C\|x\|_{X},\quad\|Bx\|_{Z}\leq C\|x\|_{X},\quad\|Bz\|_{Y}\leq
C\|z\|_{Z}$
with some constant $C$ for any $x\in X$ and $z\in Z$. Let $\omega\in(0,\pi/2)$
be a fixed number and set
$\displaystyle\Sigma_{\omega}$
$\displaystyle=\\{\lambda\in{\mathbb{C}}\setminus\\{0\\}\mid|\arg\lambda|<\pi-\omega\\},\quad\Sigma_{\omega,\lambda_{0}}=\\{\lambda\in\Sigma_{\omega}\mid|\lambda|\geq\lambda_{0}\\}.$
We consider an abstract boundary value problem with parameter
$\lambda\in\Sigma_{\omega,\lambda_{0}}$:
$\lambda u-Au=f,\quad Bu=g.$ (15)
Here, $Bu=g$ represents boundary conditions, restrictions like divergence
condition for Stokes equations in the incompressible viscous fluid flows case,
or both of them. The simplest example is the following:
$\lambda u-\Delta u=f\enskip\text{in $\Omega$},\quad\frac{\partial
u}{\partial\nu}=g\enskip\text{on $\Gamma$},\\\ $
where $\Omega$ is a uniform $C^{2}$ domain in ${\mathbb{R}}^{N}$, $\Gamma$ its
boundary, $\nu$ the unit outer normal to $\Gamma$, and
$\partial/\partial\nu=\nu\cdot\nabla$ with $\nabla=(\partial/\partial
x_{1},\ldots,\partial/\partial x_{N})$ for
$x=(x_{1},\ldots,x_{N})\in{\mathbb{R}}^{N}$. In this case, it is standard to
choose $X=H^{2}_{q}(\Omega)$, $Y=L_{q}(\Omega)$, $Z=H^{1}_{q}(\Omega)$ with
$1<q<\infty$, $A=\Delta$, and $B=\partial/\partial\nu$.
Problem formulated in (15) is corresponding to parameter elliptic problems
which have been studied by Agmon [1], Agmon, Douglis and Nirenberg [2],
Agranovich and Visik [3], Denk and Volevich [6] and references there in, and
their arrival point is to prove the unique existence of solutions possessing
the estimate:
$|\lambda|\|u\|_{Y}+\|u\|_{X}\leq
C(\|f\|_{Y}+|\lambda|^{\alpha}\|g\|_{Y}+\|g\|_{Z})$
for some $\alpha\in{\mathbb{R}}$. From this estimate, we can derive the
generation of a $C^{0}$ analytic semigroup associated with $A$ when $Bu=0$.
But to prove the maximal $L_{p}$ regularity with $1<p<\infty$ for the
corresponding nonstationary problem:
$\displaystyle\partial_{t}v-Av=f,\quad Bv=g\quad\text{for $t>0$},\quad
v|_{t=0}=v_{0},$ (16)
especially in the cases where $Bv=g\not=0$, further consideration is needed.
Below, we introduce a framework based on the Weis operator valued Fourier
multiplier theorem. To state this theorem, we make a preparation.
###### Definition 8.
Let $E$ and $F$ be two Banach spaces and let ${\mathcal{L}}(E,F)$ be the set
of all bounded linear operators from $E$ into $F$. We say that an operator
family ${\mathcal{T}}\subset{\mathcal{L}}(E,F)$ is ${\mathcal{R}}$ bounded if
there exist a constant $C$ and an exponent $q\in[1,\infty)$ such that for any
integer $n$, $\\{T_{j}\\}_{j=1}^{n}\subset{\mathcal{T}}$ and
$\\{f_{j}\\}_{j=1}^{n}\subset E$, the inequality:
$\int^{1}_{0}\|\sum_{j=1}^{n}r_{j}(u)T_{j}f_{j}\|_{F}^{q}\,du\leq
C\int^{1}_{0}\|\sum_{j=1}^{n}r_{j}(u)f_{j}\|_{E}^{q}\,du$
is valid, where the Rademacher functions $r_{k}$, $k\in{\mathbb{N}}$, are
given by $r_{k}:[0,1]\to\\{-1,1\\}$; $t\mapsto{\rm sign}(\sin 2^{k}\pi t)$.
The smallest such $C$ is called ${\mathcal{R}}$ bound of ${\mathcal{T}}$ on
${\mathcal{L}}(E,F)$, which is denoted by
${\mathcal{R}}_{{\mathcal{L}}(E,F)}{\mathcal{T}}$.
For $m(\xi)\in L_{\infty}({\mathbb{R}}\setminus\\{0\\},{\mathcal{L}}(E,F))$,
we set
$T_{m}f={\mathcal{F}}^{-1}_{\xi}[m(\xi){\mathcal{F}}[f](\xi)]\quad
f\in{\mathcal{S}}({\mathbb{R}},E),$
where ${\mathcal{F}}$ and ${\mathcal{F}}_{\xi}^{-1}$ denote respective Fourier
transformation and inverse Fourier transformation.
###### Theorem 9 (Weis’s operator valued Fourier multiplier theorem).
Let $E$ and $F$ be two UMD Banach spaces. Let $m(\xi)\in
C^{1}({\mathbb{R}}\setminus\\{0\\},{\mathcal{L}}(E,F))$ and assume that
$\displaystyle{\mathcal{R}}_{{\mathcal{L}}(E,F)}(\\{m(\xi)$
$\displaystyle\mid\xi\in{\mathbb{R}}\setminus\\{0\\}\\})\leq r_{b}$
$\displaystyle{\mathcal{R}}_{{\mathcal{L}}(E,F)}(\\{\xi m^{\prime}(\xi)$
$\displaystyle\mid\xi\in{\mathbb{R}}\setminus\\{0\\}\\})\leq r_{b}$
with some constant $r_{b}>0$. Then, for any $p\in(1,\infty)$,
$T_{m}\in{\mathcal{L}}(L_{p}({\mathbb{R}},E),L_{p}({\mathbb{R}},F))$ and
$\|T_{m}f\|_{L_{p}({\mathbb{R}},F)}\leq
C_{p}r_{b}\|f\|_{L_{p}({\mathbb{R}},E)}$
with some constant $C_{p}$ depending solely on $p$.
###### Remark 10.
For a proof, refer to Weis [13].
We introduce the following assumption. Recall that $\omega$ is a fixed number
such that $0<\omega<\pi/2$.
###### Assumption 11.
Let $X$, $Y$ and $Z$ be UMD Banach spaces. There exist a constant
$\lambda_{0}$, $\alpha\in{\mathbb{R}}$, and an operator family
${\mathcal{S}}(\lambda)$ with
${\mathcal{S}}(\lambda)\in{\rm
Hol}\,(\Sigma_{\omega,\lambda_{0}},{\mathcal{L}}(Y\times Y\times Z,X))$
such that for any $f\in Y$ and $g\in Z$,
$u={\mathcal{S}}(\lambda)(f,\lambda^{\alpha}g,g)$ is a solution of equations
(15), and the estimates:
$\displaystyle{\mathcal{R}}_{{\mathcal{L}}(Y\times Y\times
Z,X)}(\\{(\tau\partial_{\tau})^{\ell}{\mathcal{S}}(\lambda)\mid\lambda\in\Sigma_{\omega,\lambda_{0}}\\})$
$\displaystyle\leq r_{b}$ $\displaystyle{\mathcal{R}}_{{\mathcal{L}}(Y\times
Y\times
Z,Y)}(\\{(\tau\partial_{\tau})^{\ell}(\lambda{\mathcal{S}}(\lambda))\mid\lambda\in\Sigma_{\omega,\lambda_{0}}\\})$
$\displaystyle\leq r_{b}$
for $\ell=0,1$ are valid, where
$\lambda=\gamma+i\tau\in\Sigma_{\omega,\lambda_{0}}$. ${\mathcal{S}}(\lambda)$
is called an ${\mathcal{R}}$-bounded solution operator or an ${\mathcal{R}}$
solver of equations (15).
We now consider an initial-boundary value problem:
$\partial_{t}u-Au=f\quad Bu=g\quad(t>0),\quad u|_{t=0}=u_{0}.$ (17)
This problem is divided into the following two equations:
$\displaystyle\partial_{t}u-Au$ $\displaystyle=f$ $\displaystyle\quad Bu$
$\displaystyle=g$ $\displaystyle(t\in{\mathbb{R}});$ (18)
$\displaystyle\partial_{t}u-Au$ $\displaystyle=0$ $\displaystyle\quad Bu$
$\displaystyle=0$ $\displaystyle(t>0),\quad u|_{t=0}=u_{0}.$ (19)
From the definition of ${\mathcal{R}}$-boundedness with $n=1$ we see that
$u={\mathcal{S}}(\lambda)({\mathbb{f}},0,0)$ satisifes equations:
$\lambda u-Au=f,\quad Bu=0,$
and the estimate:
$|\lambda|\|u\|_{Y}+\|u\|_{X}\leq C\|f\|_{Y}.$
Thus, $A$ generates a $C^{0}$ analytic semigroup $\\{T(t)\\}_{t\geq 0}$ such
that $u=T(t)u_{0}$ solves equations (19) uniquely and
$\|u(t)\|_{Y}\leq
r_{b}e^{\lambda_{0}t}\|u_{0}\|_{Y},\quad\|\partial_{t}u(t)\|_{Y}\leq
r_{b}e^{\lambda_{0}t}\|u_{0}\|_{Y},\quad\|\partial_{t}u(t)\|_{Y}\leq
r_{b}e^{\lambda_{0}t}\|u_{0}\|_{X}.$ (20)
These estimates and trace method of real-interpolation theory yield the
following theorem.
###### Theorem 12 (Maximal regularity for initial value problem).
Let $1<p<\infty$ and set ${\mathcal{D}}=(Y,X_{B})_{1-1/p,p}$, where
$X_{B}=\\{u_{0}\in X\mid Bu_{0}=0\\}$, and $(\cdot,\cdot)_{1-1/p,p}$ denotes a
real interpolation functor. Then, for any $u_{0}\in{\mathcal{D}}$, problem
(19) admits a unique solution $u$ with
$e^{-\lambda_{0}t}u\in L_{p}({\mathbb{R}}_{+},X)\cap
H^{1}_{p}({\mathbb{R}}_{+},Y)\quad({\mathbb{R}}_{+}=(0,\infty))$
possessing the estimate:
$\|e^{-\lambda_{0}t}\partial_{t}u\|_{L_{p}({\mathbb{R}}_{+},Y)}+\|e^{-\lambda_{0}t}u\|_{L_{p}({\mathbb{R}}_{+},X)}\leq
C\|u_{0}\|_{(Y,X)_{1-1/p,p}}.$
The ${\mathcal{R}}$-bounded solution operator plays an essential role to prove
the following theorem.
###### Theorem 13 (Maximal regularity for boundary value problem).
Let $1<p<\infty$. Then for any $f$ and $g$ with $e^{-\gamma t}f\in
L_{p}({\mathbb{R}},Y)$ and $e^{-\gamma t}g\in L_{p}({\mathbb{R}},Z)\cap
H^{\alpha}_{p}({\mathbb{R}},Y)$ for any $\gamma>\lambda_{0}$, problem (18)
admits a unique solution $u$ with $e^{-\gamma t}u\in L_{p}({\mathbb{R}},X)\cap
H^{1}_{p}({\mathbb{R}},Y)$ for any $\gamma>\lambda_{0}$ possessing the
estimate:
$\displaystyle\|e^{-\lambda_{0}t}\partial_{t}u\|_{L_{p}({\mathbb{R}}_{+},Y)}+\|e^{-\lambda_{0}t}u\|_{L_{p}({\mathbb{R}}_{+},X)}\leq
C(\|e^{-\gamma t}f\|_{L_{p}({\mathbb{R}},Y)}$
$\displaystyle\quad+(1+\gamma)^{\alpha}\|e^{-\gamma
t}g\|_{H^{\alpha}_{p}({\mathbb{R}},Y)}+\|e^{-\gamma
t}g\|_{L_{p}({\mathbb{R}},Z)})$
for any $\gamma>\lambda_{0}$. Here, the constant $C$ may depend on
$\lambda_{0}$ but independent of $\gamma$ whenever $\gamma>\lambda_{0}$, and
we have set
$H^{\alpha}_{p}({\mathbb{R}},Y)=\\{h\in{\mathcal{S}}^{\prime}({\mathbb{R}},Y)\mid\|h\|_{H^{\alpha}_{p}({\mathbb{R}},Y)}:=\|{\mathcal{F}}^{-1}_{\xi}[(1+|\xi|^{2})^{\alpha/2}{\mathcal{F}}[f](\xi)]\|_{L_{p}({\mathbb{R}},Y)}<\infty\\}.$
###### Proof.
Let ${\mathcal{L}}$ and ${\mathcal{L}}^{-1}$ denote respective Laplace
transformation and inverse Laplace transformation defined by setting
$\displaystyle{\mathcal{L}}[f](\lambda)$
$\displaystyle=\int_{\mathbb{R}}e^{-\lambda
t}f(t)\,dt=\int_{\mathbb{R}}e^{-i\tau t}(e^{-\gamma
t}f(t))\,dt={\mathcal{F}}[e^{-\gamma
t}f(t)](\tau)\quad(\lambda=\gamma+i\tau),$
$\displaystyle{\mathcal{L}}^{-1}[f](t)$
$\displaystyle=\frac{1}{2\pi}\int_{\mathbb{R}}e^{\lambda
t}f(\tau)\,d\tau=\frac{e^{\gamma t}}{2\pi}\int_{\mathbb{R}}e^{-i\tau
t}f(\tau)\,d\tau=e^{\gamma t}{\mathcal{F}}^{-1}[f](\tau).$
We consider equations:
$\partial_{t}u-Au=f,\quad Bu=g\quad\text{for $t\in{\mathbb{R}}$}.$
Applying Laplace transformation yields that
$\lambda{\mathcal{L}}[u](\lambda)-A{\mathcal{L}}[u](\lambda)={\mathcal{L}}[f](\lambda),\quad
B{\mathcal{L}}[u](\lambda)={\mathcal{L}}[g](\lambda).$
Applying ${\mathcal{R}}$-bounded solution operator ${\mathcal{S}}(\lambda)$
yields that
${\mathcal{L}}[u](\lambda)={\mathcal{S}}(\lambda)({\mathcal{L}}[f](\lambda),\lambda^{\alpha}{\mathcal{L}}[g](\lambda),{\mathcal{L}}[g](\lambda)),$
and so
$u={\mathcal{L}}^{-1}[{\mathcal{S}}(\lambda){\mathcal{L}}[(f,\Lambda^{\alpha}g,g)](\lambda)],$
where
$\Lambda^{\alpha}g={\mathcal{L}}^{-1}[\lambda^{\alpha}{\mathcal{L}}[g]]$.
Moreover,
$\partial_{t}u={\mathcal{L}}^{-1}[\lambda{\mathcal{S}}(\lambda){\mathcal{L}}[f,\Lambda^{\alpha}g,g)](\lambda)].$
Using Fourier transformation and inverse Fourier transformation, we rewrite
$\displaystyle u$ $\displaystyle=e^{\gamma
t}{\mathcal{F}}^{-1}[{\mathcal{S}}(\lambda){\mathcal{F}}[e^{-\gamma
t}(f,\Lambda^{\alpha}g,g)](\tau)](t),$ $\displaystyle\partial_{t}u$
$\displaystyle=e^{\gamma
t}{\mathcal{F}}^{-1}[\lambda{\mathcal{S}}(\lambda){\mathcal{F}}[e^{-\gamma
t}(f,\Lambda^{\alpha}g,g)](\tau)](t).$
Applying the assumption of ${\mathcal{R}}$-bounded solution operators and
Weis’s operator valued Fourier multiplier theorem yields that
$\displaystyle\|e^{-\gamma
t}\partial_{t}u\|_{L_{p}({\mathbb{R}},Y)}+\|e^{-\gamma
t}u\|_{L_{p}({\mathbb{R}},X)}$ $\displaystyle\quad\leq C_{p}r_{b}(\|e^{-\gamma
t}f\|_{L_{p}({\mathbb{R}},Y)}+(1+\gamma)^{\alpha}\|e^{-\gamma
t}g\|_{H^{\alpha}_{p}({\mathbb{R}},Y)}+\|e^{-\gamma
t}g\|_{L_{p}({\mathbb{R}},Z)})$
for any $\gamma>\lambda_{0}$. The uniqueness follows from the generation of
analytic semigroup and Duhamel’s principle. ∎
We now consider a time shifted equations:
$\partial_{t}u+\lambda_{1}u-Au=f,\quad Bu=g\quad\text{for
$t\in(0,\infty)$},\quad u|_{t=0}=u_{0}.$ (21)
As a first step, we consider the following time shifted equations without
initial data
$\partial_{t}u+\lambda_{1}u-Au=f,\quad Bu=g\quad\text{for
$t\in{\mathbb{R}}$}.$ (22)
Then, we have the following theorem which guarantees the polynomial decay of
solutions.
###### Theorem 14.
Let $\lambda_{0}$ be a constant appearing in Assumption 11 and let
$\lambda_{1}>\lambda_{0}$. Let $1<p<\infty$ and $b\geq 0$. Then, for any $f$
and $g$ with $<t>^{b}f\in L_{p}({\mathbb{R}},Y)$ and $<t>^{b}g\in
L_{p}({\mathbb{R}},Z)\cap H^{\alpha}_{p}({\mathbb{R}},X)$, problem (22) admits
a unique solution $w\in H^{1}_{p}((0,\infty),Y)\cap L_{p}((0,\infty),X)$
possessing the estimate:
$\displaystyle\|<t>^{b}w\|_{L_{p}((0,\infty),X)}+\|<t>^{b}\partial_{t}w\|_{L_{p}((0,\infty),Y)}$
(23) $\displaystyle\quad\leq
C(\|<t>^{b}f\|_{L_{p}({\mathbb{R}},Y)}+\|<t>^{b}g\|_{H^{\alpha}_{p}({\mathbb{R}},Y)}+\|<t>^{b}g\|_{L_{p}({\mathbb{R}},Z)}).$
###### Proof.
Since $ik+\lambda_{1}\in\Sigma_{\omega,\lambda_{0}}$, for $k\in{\mathbb{R}}$
we set
$w={\mathcal{F}}^{-1}[{\mathcal{M}}(ik+\lambda_{1})({\mathcal{F}}[f],(ik)^{\alpha}{\mathcal{F}}[g],{\mathcal{F}}[g])]$,
and then $w$ satisfies equations:
$\partial_{t}w+\lambda_{1}w-Aw=f,\quad Bw=g\quad\text{for
$t\in{\mathbb{R}}$},$
and the estimate:
$\|\partial_{t}w\|_{L_{p}({\mathbb{R}},Y)}+\|w\|_{L_{p}({\mathbb{R}},X)}\leq
C(\|f\|_{L_{p}({\mathbb{R}},Y)}+\|g\|_{H^{\alpha}_{p}({\mathbb{R}},Y)}+\|g\|_{L_{p}({\mathbb{R}},Z)}).$
(24)
This prove the theorem in the case where $b=0$. When $0<b\leq 1$, we observe
that
$\partial_{t}(<t>^{b}w)+\lambda_{1}(<t>^{b}w)-A(<t>^{b}w)=<t>^{b}f+<t>^{b-2}tw,\quad
B(<t>^{b}w)=<t>^{b}g,$
and so noting that $\|<t>^{b-2}tw\|_{Y}\leq C\|w\|_{Y}\leq C\|w\|_{X}$, we
have
$\displaystyle\|<t>^{b}w\|_{L_{p}((0,\infty),X)}+\|<t>^{b}\partial_{t}w\|_{L_{p}((0,\infty),Y)}$
$\displaystyle\quad\leq
C(\|<t>^{b-2}tw\|_{L_{p}({\mathbb{R}},Y)}+\|<t>^{b}f\|_{L_{p}({\mathbb{R}},Y)}+\|<t>^{b}g\|_{H^{\alpha}_{p}({\mathbb{R}},Y)}+\|<t>^{b}g\|_{L_{p}({\mathbb{R}},Z)})$
$\displaystyle\quad\leq
C(\|<t>^{b}f\|_{L_{p}({\mathbb{R}},Y)}+\|<t>^{b}g\|_{H^{\alpha}_{p}({\mathbb{R}},Y)}+\|<t>^{b}g\|_{L_{p}({\mathbb{R}},Z)}).$
If $b>1$, then repeated use of this argument yields the theorem, which
completes the proof of Theorem 14. ∎
Finally, we consider equations (21). Let $w$ be a solution of (22), the unique
existence of which is guaranteed by Theorem 14. Let $v=u-w$, and then $v$
satisifes equations:
$\partial_{t}v+\lambda_{1}v-Av=0,\quad Bv=0\quad\text{for
$t\in(0,\infty)$},\quad v|_{t=0}=u_{0}-w|_{t=0}$ (25)
Let $\\{T(t)\\}_{t\geq 0}$ be a continuous analytic semigroup satisfying (20).
Set $u_{1}=u_{0}-w|_{t=0}$ and $v=e^{-\lambda_{1}t}T(t)u_{1}$, and then
$\displaystyle\partial_{t}v+\lambda_{1}v-Av=0,\quad Bv=0,\quad
v|_{t=0}=u_{1},$ (26) $\displaystyle\|v(t)\|_{Y}\leq
r_{b}e^{-(\lambda_{1}-\lambda_{0})t}\|u_{1}\|_{Y},\,\|\partial_{t}v(t)\|_{Y}\leq
r_{b}e^{-(\lambda_{1}-\lambda_{0})t}\|u_{1}\|_{Y},\,\|\partial_{t}v(t)\|_{Y}\leq
r_{b}e^{-(\lambda_{1}-\lambda_{0})t}\|u_{1}\|_{X}.$ (27)
Thus, the trace method of real interpolation theory yields the following
theorem.
###### Theorem 15.
Let $1<p<\infty$ and $b>0$. Let ${\mathcal{D}}$ be the same space as in
Theorem 12. If $u_{1}\in{\mathcal{D}}$ and $f$ and $g$ satisfy the same
condition as in Theorem 14, then problem (21) admits a unique solution $u\in
L_{p}({\mathbb{R}}_{+},X)\cap H^{1}_{p}({\mathbb{R}}_{+},Y)$
$({\mathbb{R}}_{+}=(0,\infty))$ possessing the estimate:
$\displaystyle\|<t>^{b}\partial_{t}u\|_{L_{p}({\mathbb{R}}_{+},Y)}+\|<t>^{b}u\|_{L_{p}({\mathbb{R}}_{+},X)}$
(28) $\displaystyle\quad\leq
C(\|u_{0}\|_{(Y,X)_{1-1/p,p}}+\|<t>^{b}f\|_{L_{p}({\mathbb{R}},Y)}+\|<t>^{b}g\|_{H^{\alpha}_{p}({\mathbb{R}},Y)}+\|<t>^{b}g\|_{L_{p}({\mathbb{R}},Z)}).$
###### Proof.
Let $v=e^{-\lambda_{1}t}\,T(t)u_{1}$, and then $v$ satisfies equations (26).
Since $u_{1}\in{\mathcal{D}}$, by trace method of real interpolation theorem
and (27), we have
$\|e^{(\lambda_{1}-\lambda_{0})}v\|_{L_{p}((0,\infty),X)}+\|e^{(\lambda_{1}-\lambda_{0})}\partial_{t}v\|_{L_{p}((0,\infty),Y)}\leq
C\|u_{1}\|_{(Y,X)_{1-1/p,p}}.$ (29)
Since $w$ satisfies (23), trace method of real interpolation theory yields
that
$\displaystyle\|w\|_{(Y,X)_{1-1/p,p}}$ $\displaystyle\leq
C(\|w\|_{L_{p}((0,\infty),X)}+\|\partial_{t}w\|_{L_{p}((0,\infty),Y)})$ (30)
$\displaystyle\leq
C(\|<t>^{b}f\|_{L_{p}({\mathbb{R}},Y)}+\|<t>^{b}g\|_{H^{\alpha}_{p}({\mathbb{R}},Y)}+\|<t>^{b}g\|_{L_{p}({\mathbb{R}},Z)}),$
because $b\geq 1$. Thus, $u=v+w$ satisfies equations (21) and the estimate
(28). The uniqueness of solutions follows from the generation of continous
analytic semigroup and Duhamel’s principle. This completes the proof of
Theorem 15. ∎
## 4 Estimates of nonlinear terms
In what follows, let $T>0$ be any positive time and let $b$ and $p$ be
positive numbers and an exponents given in Theorem 3 and Theorem 6. Let
${\mathcal{U}}^{i}_{\epsilon}$ ($i=1,2$) be underlying spaces for linearized
equations of equations (12), which is defined by
$\displaystyle{\mathcal{U}}^{1}_{T}=\\{\theta\in
H^{1}_{p}((0,T),H^{1}_{2}(\Omega)\cap
H^{1}_{6}(\Omega))\mid\theta|_{t=0}=\theta_{0},\quad\sup_{t\in(0,T)}\|\theta(\cdot,t)\|_{L_{\infty}(\Omega)}\leq\rho_{*}/2\\},$
(31) $\displaystyle{\mathcal{U}}^{2}_{T}=\\{{\mathbb{v}}\in
L_{p}((0,T),H^{2}_{2}(\Omega)^{3}\cap H^{2}_{6}(\Omega)^{3})\cap
H^{1}_{p}((0,T),L_{2}(\Omega)^{3}\cap L_{6}(\Omega)^{3})\mid$
$\displaystyle\hskip
190.63338pt{\mathbb{v}}|_{t=0}={\mathbb{v}}_{0},\quad\int^{T}_{0}\|\nabla{\mathbb{v}}(\cdot,s)\|_{L_{\infty}(\Omega)}\,ds\leq\delta\\}.$
Recall that our energy $E_{T}(\eta,{\mathbb{u}})$ has been defined by
$\displaystyle E_{T}(\eta,{\mathbb{u}})$
$\displaystyle=\|<t>^{b}\nabla(\eta,{\mathbb{u}})\|_{L_{p}((0,T),H^{0,1}_{2}(\Omega)\cap
H^{0,1}_{2+\sigma}(\Omega))}+\|<t>^{b}(\eta,{\mathbb{u}})\|_{L_{\infty}((0,T),L_{2}(\Omega)\cap
L_{6}(\Omega))}$
$\displaystyle+\|<t>^{b}\partial_{t}(\eta,{\mathbb{u}})\|_{L_{p}((0,T),H^{1,0}_{2}(\Omega)\cap
H^{1,0}_{6}(\Omega))}+\|<t>^{b}(\eta,{\mathbb{u}})\|_{L_{p}((0,T),H^{2}_{6}(\Omega))}.$
Note that by using a standard interpolation inequality we have
$\|f\|_{L_{2+\sigma}(\Omega)}\leq\|f\|_{L_{2}(\Omega)}^{1-\sigma/4}\|f\|_{L_{6}(\Omega)}^{\sigma/4}.$
(32)
And therefore, for
$(\theta,{\mathbb{v}})\in{\mathcal{U}}^{1}_{T}\times{\mathcal{U}}^{2}_{T}$, we
know that
$\displaystyle\|<t>^{b}(\theta,{\mathbb{v}})\|_{L_{\infty}((0,T),L_{2+\sigma}(\Omega)}$
$\displaystyle\leq
C_{\sigma}\sum_{q=2,6}\|<t>^{b}(\theta,{\mathbb{v}})\|_{L_{\infty}((0,T),L_{q}(\Omega))},$
(33)
$\displaystyle\|<t>^{b}\partial_{t}(\theta,{\mathbb{v}})\|_{L_{p}((0,T),H^{1,0}_{2+\sigma}(\Omega)}$
$\displaystyle\leq
C_{\sigma}\sum_{q=2,6}\|<t>^{b}\partial_{t}(\theta,{\mathbb{v}})\|_{L_{p}((0,T),H^{1,0}_{q}(\Omega))},$
where $bp^{\prime}>1$. Notice that for any $\theta\in{\mathcal{U}}^{1}_{T}$ we
have
$\rho_{*}/2\leq|\rho_{*}+\tau\theta(y,t)|\leq 3\rho_{*}/2\quad\text{for
$(y,t)\in\Omega\times(0,T)$ and $|\tau|\leq 1$}.$ (34)
For ${\mathbb{v}}\in{\mathcal{U}}^{2}_{T}$ let
${\mathbb{k}}_{\mathbb{v}}=\int^{t}_{0}\nabla{\mathbb{v}}(\cdot,s)\,ds$, and
then $|{\mathbb{k}}_{\mathbb{v}}(y,t)|\leq\delta$ for any
$(y,t)\in\Omega\times(0,T)$. Moreover, for $q=2,2+\sigma$ and $6$ by Hölder’s
inequality
$\sup_{t\in(0,T)}\|{\mathbb{k}}_{\mathbb{v}}\|_{H^{1}_{q}(\Omega)}\leq\int^{T}_{0}\|\nabla{\mathbb{v}}(\cdot,t)\|_{H^{1}_{q}(\Omega)}\leq
C\Bigl{(}\int^{\infty}_{0}<t>^{-p^{\prime}b}\Bigr{)}^{1/p^{\prime}}\|<t>^{b}\nabla{\mathbb{v}}\|_{L_{p}((0,T),H^{1}_{q}(\Omega))},$
(35)
where $bp^{\prime}>1$.
In what follows, for notational simplicity we use the following abbreviation:
$\|f\|_{H^{1}_{q}(\Omega)}=\|f\|_{H^{1}_{q}}$,
$\|f\|_{L_{q}(\Omega)}=\|f\|_{L_{q}}$,
$\|f\|_{L_{\infty}((0,T),X)}=\|f\|_{L_{\infty}(X)}$, and
$\|<t>^{b}f\|_{L_{p}((0,T),X)}=\|f\|_{L_{p,b}(X)}$. Let
$(\theta,{\mathbb{v}})\in{\mathcal{U}}^{1}_{T}\times{\mathcal{U}}^{2}_{T}$ and
$(\theta_{i},{\mathbb{v}}_{i})\in{\mathcal{U}}^{1}_{T}\times{\mathcal{U}}^{2}_{T}$
($i=1,2$). The purpose of this section is to give necessary estimates of
$(F(\theta,{\mathbb{v}}),{\mathbb{G}}(\theta,{\mathbb{v}}))$ and difference:
$(F(\theta_{1},{\mathbb{v}}_{1})-F(\theta_{2},{\mathbb{v}}_{2}),{\mathbb{G}}(\theta_{1},{\mathbb{v}}_{1})-{\mathbb{G}}(\theta_{2},{\mathbb{v}}_{2})))$
to prove the global wellposedness of equations (12). Recall that
$\displaystyle F(\theta,{\mathbb{v}})$
$\displaystyle=\rho_{*}{\mathcal{D}}_{\rm
div}\,({\mathbb{k}})\nabla{\mathbb{v}}+\theta{\rm
div}\,{\mathbb{v}}+\theta{\mathcal{D}}_{\rm
div}\,({\mathbb{k}})\nabla{\mathbb{v}},$ (36)
$\displaystyle{\mathbb{G}}(\theta,{\mathbb{v}})$
$\displaystyle=\theta\partial_{t}{\mathbb{v}}+{\mathbb{V}}_{1}({\mathbb{k}})\nabla^{2}{\mathbb{v}}+({\mathbb{V}}_{2}({\mathbb{k}})\int^{t}_{0}\nabla^{2}{\mathbb{v}}\,ds)\nabla{\mathbb{v}}$
$\displaystyle\qquad-({\mathfrak{p}}^{\prime}(\rho_{*}+\theta)-{\mathfrak{p}}^{\prime}(\rho_{*}))\nabla\theta-{\mathfrak{p}}^{\prime}(\rho_{*}+\theta){\mathbb{V}}_{0}({\mathbb{k}})\nabla\theta.$
We start with estimating $\|F(\theta,{\mathbb{v}})\|_{L_{p,b}(H^{1}_{r})}$.
Recall that $r^{-1}=2^{-1}+(2+\sigma)^{-1}$ and we use the estimates:
$\displaystyle\|fg\|_{L_{p,b}(H^{1}_{r})}$ $\displaystyle\leq
C\|f\|_{L_{\infty}(H^{1}_{2+\sigma})}\|g\|_{L_{p,b}(H^{1}_{2})},$ (37)
$\displaystyle\|fgh\|_{L_{p,b}(H^{1}_{r})}$ $\displaystyle\leq
C(\|f\|_{L_{\infty}(H^{1}_{6})}\|g\|_{L_{\infty}(H^{1}_{2+\sigma})}+\|f\|_{L_{\infty}(H^{1}_{2+\sigma})}\|g\|_{L_{\infty}(H^{1}_{6})})\|h\|_{L_{p,b}(H^{1}_{2})},$
as follows from Hölder’s inequality and Sobolev’s inequality :
$\|f\|_{L_{\infty}}\leq C\|f\|_{H^{1}_{6}}$. Let $dG({\mathbb{k}})$ denote the
derivative of $G({\mathbb{k}})$ with respect to ${\mathbb{k}}$ and $C_{\rm
div}\,$ be a constan such that
$\sup_{|{\mathbb{k}}|<\delta}|{\mathcal{D}}_{\rm div}\,({\mathbb{k}})|<C_{\rm
div}\,$, $\sup_{|{\mathbb{k}}|<\delta}|d{\mathcal{D}}_{\rm
div}\,({\mathbb{k}})|<C_{\rm div}\,$, and
$\sup_{|{\mathbb{k}}|<\delta}|d(d{\mathcal{D}}_{\rm
div}\,)({\mathbb{k}})|<C_{\rm div}\,$. Then, noting ${\mathcal{D}}_{\rm
div}\,(0)=0$, by (35) we have
$\displaystyle\|{\mathcal{D}}_{\rm
div}\,({\mathbb{k}}_{{\mathbb{v}}})\|_{H^{1}_{q}}$ $\displaystyle\leq C_{\rm
div}\,\|{\mathbb{k}}_{{\mathbb{v}}}\|_{H^{1}_{q}}\leq
C\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{q})}\quad\text{for
${\mathbb{v}}\in{\mathcal{U}}^{2}_{T}$ and $q=2,2+\sigma$ and $6$}.$ (38)
Moreover, for ${\mathbb{v}}_{1}$, ${\mathbb{v}}_{2}\in{\mathcal{U}}^{2}_{T}$
writing
${\mathcal{D}}_{\rm div}\,({\mathbb{k}}_{{\mathbb{v}}_{1}})-{\mathcal{D}}_{\rm
div}\,({\mathbb{k}}_{{\mathbb{v}}_{2}})=\int^{t}_{0}d{\mathcal{D}}_{\rm
div}\,({\mathbb{k}}_{{\mathbb{v}}_{2}}+\tau({\mathbb{k}}_{{\mathbb{v}}_{1}}-{\mathbb{k}}_{{\mathbb{v}}_{2}}))\,d\tau\,({\mathbb{k}}_{{\mathbb{v}}_{1}}-{\mathbb{k}}_{{\mathbb{v}}_{2}}),$
and noting that
$|{\mathbb{k}}_{{\mathbb{v}}_{2}}+\tau({\mathbb{k}}_{{\mathbb{v}}_{1}}-{\mathbb{k}}_{{\mathbb{v}}_{2}})|=|(1-\tau){\mathbb{k}}_{{\mathbb{v}}_{2}}+\tau{\mathbb{k}}_{{\mathbb{v}}_{1}}|\leq(1-\tau)\delta+\tau\delta=\delta$,
we have
$\displaystyle\|{\mathcal{D}}_{\rm
div}\,({\mathbb{k}}_{{\mathbb{v}}_{1}})-{\mathcal{D}}_{\rm
div}\,({\mathbb{k}}_{{\mathbb{v}}_{2}})\|_{H^{1}_{q}}$ (39)
$\displaystyle\quad\leq C_{\rm
div}\,(\|{\mathbb{k}}_{{\mathbb{v}}_{1}}-{\mathbb{k}}_{{\mathbb{v}}_{2}}\|_{L_{\infty}(H^{1}_{q})}+\sum_{i=1,2}\|\nabla{\mathbb{k}}_{{\mathbb{v}}_{i}}\|_{L_{\infty}(L_{q})}\|{\mathbb{k}}_{{\mathbb{v}}_{1}}-{\mathbb{k}}_{{\mathbb{v}}_{2}}\|_{L_{\infty}(L_{\infty})})$
$\displaystyle\quad\leq
C(\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{q})}+\sum_{i=1,2}\|\nabla{\mathbb{v}}_{i}\|_{L_{p,b}(H^{1}_{q})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}.$
Since $\theta=\theta|_{t=0}+\int^{t}_{0}\partial_{s}\theta\,ds$, for
$X\in\\{L_{q},H^{1}_{q}\\}$ with $q=2$, $2+\sigma$ and $6$
$\displaystyle\|\theta(\cdot,t)\|_{X}$
$\displaystyle\leq\|\theta_{0}\|_{X}+\int^{T}_{0}\|(\partial_{s}\theta)(\cdot,s)\|_{X}\,ds$
(40)
$\displaystyle\leq\|\theta_{0}\|_{X}+\Bigl{(}\int^{\infty}_{0}<t>^{-p^{\prime}b}\,dt\Bigr{)}^{1/p^{\prime}}\|\partial_{s}\theta\|_{L_{p,b}(X)}.$
In particular, by Sobolev’s inequality
$\|\theta(\cdot,t)\|_{L_{\infty}}\leq
C(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{6})}).$
(41)
For $\theta\in{\mathcal{U}}^{1}_{T}$ and
${\mathbb{v}}\in{\mathcal{U}}^{2}_{T}$, combining (37), (38), (39), (40), and
(41) yields that
$\displaystyle\|F(\theta,{\mathbb{v}})\|_{L_{p,b}(H^{1}_{r})}\leq
C[\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{2+\sigma})}\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{2})}+(\|\theta_{0}\|_{H^{1}_{2+\sigma}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{2+\sigma})})\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{2})}$
(42)
$\displaystyle\quad+\\{(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{6})})\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{2+\sigma})}+(\|\theta_{0}\|_{H^{1}_{2+\sigma}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{2+\sigma})})\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{6})}\\}$
$\displaystyle\hskip
352.814pt\times\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{2})}].$
Analogously, for $\theta_{i}\in{\mathcal{U}}^{1}_{T}$ and
${\mathbb{v}}_{i}\in{\mathcal{U}}^{2}_{T}$ ($i=1,2$),
$\displaystyle\|F(\theta_{1},{\mathbb{v}}_{1})-F(\theta_{2},{\mathbb{v}}_{2})\|_{L_{p,b}(L_{r})}$
$\displaystyle\leq
C[(\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{2+\sigma})}+\sum_{i=1,2}\|\nabla{\mathbb{v}}_{i}\|_{L_{p,b}(H^{1}_{2+\sigma})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})})\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{2})}$
$\displaystyle+\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(H^{1}_{2+\sigma})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{2})}+\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(H^{1}_{2+\sigma})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{2})}$
$\displaystyle+(\|\theta_{0}\|_{H^{1}_{2+\sigma}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{2+\sigma})})\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{2})}$
$\displaystyle+(\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(H^{1}_{6})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{2+\sigma})}+\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(H^{1}_{2+\sigma})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})})\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{2})}$
$\displaystyle+\\{(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{6})})(\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{2+\sigma})}+\sum_{i=1,2}\|\nabla{\mathbb{v}}_{i}\|_{L_{p,b}(H^{1}_{2+\sigma})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6}))})$
$\displaystyle+(\|\theta_{0}\|_{H^{1}_{2+\sigma}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{2+\sigma})})(\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}+\sum_{i=1,2}\|\nabla{\mathbb{v}}_{i}\|_{L_{p,b}(H^{1}_{6})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})})\\}$
$\displaystyle\hskip
284.52756pt\times\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{2})}$
$\displaystyle+\\{(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{6})})\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(H^{1}_{2+\sigma})}+(\|\theta_{0}\|_{H^{1}_{2+\sigma}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{2+\sigma})}\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(H^{1}_{6})}\\}$
$\displaystyle\hskip
284.52756pt\times\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{2})}].$
(43)
We now estimate $\|F(\theta,{\mathbb{v}})\|_{L_{p,b}(H^{1}_{q})}$ and
$\|F(\theta_{1},{\mathbb{v}}_{1})-F(\theta_{2},{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{q})}$
with $q=2$, $2+\sigma$ and $6$. For this purpose, we use the following
estimates:
$\displaystyle\|fg\|_{L_{p,b}(H^{1}_{q})}$ $\displaystyle\leq
C\\{\|f\|_{L_{\infty}(H^{1}_{q})}\|g\|_{L_{p,b}(H^{1}_{6})}+\|f\|_{L_{\infty}(H^{1}_{q})}\|g\|_{L_{p,b}(H^{1}_{6})}\\},$
$\displaystyle\|fgh\|_{L_{p,b}(H^{1}_{q})}$ $\displaystyle\leq
C\\{\|f\|_{L_{\infty}(H^{1}_{q})}\|g\|_{L_{\infty}(H^{1}_{6})}\|h\|_{L_{p,b}(H^{1}_{6})}+\|f\|_{L_{\infty}(H^{1}_{6})}\|g\|_{L_{\infty}(H^{1}_{q})}\|h\|_{L_{p,b}(H^{1}_{6})}$
$\displaystyle\qquad+\|f\|_{L_{\infty}(H^{1}_{6})}\|g\|_{L_{\infty}(H^{1}_{6})}\|h\|_{L_{p,b}(H^{1}_{q})}\\}.$
And then, using (38), (39), (40), we have
$\displaystyle\|F(\theta,{\mathbb{v}})\|_{L_{p,b}(H^{1}_{q})}\leq
C\\{\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{q})}\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{6})}+(\|\theta_{0}\|_{H^{1}_{q}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{q})})\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{6})}$
$\displaystyle\quad+(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{6})})\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{q})}+(\|\theta_{0}\|_{H^{1}_{q}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{q})})\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{6})}^{2}$
$\displaystyle\quad+(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{6})})\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{q})}\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{6})}\\};$
(44)
$\displaystyle\|F(\theta_{1},{\mathbb{v}}_{1})-F(\theta_{2},{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{q})}$
$\displaystyle\quad\leq\\{(\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{q})}+\sum_{i=1,2}\|\nabla{\mathbb{v}}_{i}\|_{L_{p,b}(H^{1}_{q})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})})\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}$
$\displaystyle\quad+(\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}+\sum_{i=1,2}\|\nabla{\mathbb{v}}_{i}\|_{L_{p,b}(H^{1}_{6})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})})\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{q})}$
$\displaystyle\quad+\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(H^{1}_{q})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}+\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(H^{1}_{6})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{q})}$
$\displaystyle\quad+\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(H^{1}_{q})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}+\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(H^{1}_{6})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{q})}$
$\displaystyle\quad+(\|\theta_{0}\|_{H^{1}_{q}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{q})})\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}+(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{6})})\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{q})}$
$\displaystyle\quad+\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(H^{1}_{q})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}^{2}+\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(H^{1}_{6})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{q})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}$
$\displaystyle\quad+(\|\theta_{0}\|_{H^{1}_{q}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{q})})(\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}+\sum_{i=1,2}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})})$
$\displaystyle\hskip
375.57628pt\times\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}$
$\displaystyle\quad+(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{6})})(\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{q})}+\sum_{i=1,2}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{q})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})})$
$\displaystyle\hskip
375.57628pt\times\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}$
$\displaystyle\quad+(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{6})})(\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}+\sum_{i=1,2}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})})$
$\displaystyle\hskip
375.57628pt\times\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{q})}$
$\displaystyle\quad+(\|\theta_{0}\|_{H^{1}_{q}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{q})})\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(H^{1}_{6})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}$
$\displaystyle\quad+(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{6})})\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(H^{1}_{q})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}$
$\displaystyle\quad+(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{6})})\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(H^{1}_{6})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{q})}.$
(45)
We next estimate $\|{\mathbb{G}}(\theta,{\mathbb{v}})\|_{L_{p,b}(L_{r})}$ and
$\|{\mathbb{G}}(\theta_{1},{\mathbb{v}}_{1})-{\mathbb{G}}(\theta_{2},{\mathbb{v}}_{2})\|_{L_{p,b}(L_{r})}$.
For this purpose, we use the estimates:
$\displaystyle\|fg\|_{L_{p,b}(L_{r})}$
$\displaystyle\leq\|f\|_{L_{\infty}(L_{2+\sigma})}\|g\|_{L_{p,b}(L_{2})},$
(46) $\displaystyle\|fgh\|_{L_{p,b}(L_{r})}$
$\displaystyle\leq\|f\|_{L_{\infty}(L_{\infty})}\|g\|_{L_{\infty}(L_{2+\sigma)}}\|h\|_{L_{p,b}(L_{2})}.$
Employing the same argument as in (38) and (39) and using
${\mathbb{V}}_{i}(0)=0$ ($i=0,1$), for $i=0,1$ we have
$\displaystyle\|{\mathbb{V}}_{i}({\mathbb{k}})\|_{L_{\infty}(L_{q})}\leq\sup_{|{\mathbb{k}}|<\delta}|d{\mathbb{V}}_{i}({\mathbb{k}})|\int^{T}_{0}\|\nabla{\mathbb{v}}(\cdot,s)\|_{L_{q}}\leq
C\|\nabla{\mathbb{v}}\|_{L_{p,b}(L_{q})};$ (47)
$\displaystyle\|{\mathbb{V}}_{i}({\mathbb{k}}_{{\mathbb{v}}_{1}})-{\mathbb{V}}_{i}({\mathbb{k}}_{{\mathbb{v}}_{2}})\|_{L_{\infty}(L_{q})}\leq
C\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{q})},$
where $q=2,2+\sigma$ and $6$. Moreover,
$\|{\mathbb{V}}_{2}({\mathbb{k}})\|_{L_{\infty}(L_{\infty})}=\sup_{|{\mathbb{k}}|<\delta}|{\mathbb{V}}_{1}({\mathbb{k}})|$,
$\displaystyle\|{\mathbb{V}}_{i}({\mathbb{k}})\|_{L_{\infty}(L_{\infty})}\leq\sup_{|{\mathbb{k}}|<\delta}|d{\mathbb{V}}_{i}({\mathbb{k}})|\int^{T}_{0}\|\nabla{\mathbb{v}}(\cdot,s)\|_{H^{1}_{6}}\leq
C\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{6})};\quad(i=0,1),$
$\displaystyle\|{\mathbb{V}}_{i}({\mathbb{k}}_{{\mathbb{v}}_{1}})-{\mathbb{V}}_{i}({\mathbb{k}}_{{\mathbb{v}}_{2}})\|_{L_{\infty}(L_{\infty})}\leq
C\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}\quad(i=0,1,2)$
as follows from
$|{\mathbb{V}}_{2}({\mathbb{k}}_{{\mathbb{v}}_{1}})-{\mathbb{V}}_{2}({\mathbb{k}}_{{\mathbb{v}}_{2}})|\leq\sup_{|{\mathbb{k}}|\leq\delta}|(d{\mathbb{V}}_{i})({\mathbb{k}})||{\mathbb{k}}_{{\mathbb{v}}_{1}}-{\mathbb{k}}_{{\mathbb{v}}_{2}}|$.
Writing
$\displaystyle{\mathfrak{p}}^{\prime}(\rho_{*}+\theta)-{\mathfrak{p}}^{\prime}(\rho_{*})$
$\displaystyle=\int^{1}_{0}{\mathfrak{p}}^{\prime\prime}(\rho_{*}+\tau\theta)\,d\tau\,\theta,$
$\displaystyle{\mathfrak{p}}^{\prime}(\rho_{*}+\theta_{1})-{\mathfrak{p}}^{\prime}(\rho_{*}+\theta_{2})$
$\displaystyle=\int^{1}_{0}{\mathfrak{p}}^{\prime\prime}(\rho_{*}+\theta_{2}+\tau(\theta_{1}-\theta_{2}))\,d\tau\,(\theta_{1}-\theta_{2}),$
by (34) and (40) we have
$\displaystyle\|({\mathfrak{p}}^{\prime}(\rho_{*}+\theta)-{\mathfrak{p}}^{\prime}(\rho_{*}))\nabla\theta\|_{L_{p,b}(L_{r})}\leq
C(\|\theta_{0}\|_{L_{2+\sigma}}+\|\partial_{t}\theta\|_{L_{p,b}(L_{2+\sigma})}\|\nabla\theta\|_{L_{p,b}(L_{2})},$
(48)
$\displaystyle\|({\mathfrak{p}}^{\prime}(\rho_{*}+\theta_{1})-{\mathfrak{p}}^{\prime}(\rho_{*}))\nabla\theta_{1}-({\mathfrak{p}}^{\prime}(\rho_{*}+\theta_{2})-{\mathfrak{p}}^{\prime}(\rho_{*}))\nabla\theta_{2}\|_{L_{p,b}(L_{r})}$
$\displaystyle\quad\leq
C\\{\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(L_{2+\sigma})}\|\nabla\theta\|_{L_{p,b}(L_{2})}+(\|\theta_{0}\|_{L_{2+\sigma}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(L_{2+\sigma})}\|\nabla(\theta_{1}-\theta_{2})\|_{L_{p,b}(L_{2})},$
$\displaystyle\|({\mathfrak{p}}^{\prime}(\rho_{*}+\theta)-{\mathfrak{p}}^{\prime}(\rho_{*}))\nabla\theta\|_{L_{p,b}(L_{q})}\leq
C(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{6})}\|\nabla\theta\|_{L_{p,b}(L_{q})},$
$\displaystyle\|({\mathfrak{p}}^{\prime}(\rho_{*}+\theta_{1})-{\mathfrak{p}}^{\prime}(\rho_{*}))\nabla\theta_{1}-({\mathfrak{p}}^{\prime}(\rho_{*}+\theta_{2})-{\mathfrak{p}}^{\prime}(\rho_{*}))\nabla\theta_{2}\|_{L_{p,b}(L_{q})}$
$\displaystyle\quad\leq
C\\{\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(H^{1}_{6})}\|\nabla\theta_{1}\|_{L_{p,b}(L_{q})}+(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{6})}\|\nabla(\theta_{1}-\theta_{2})\|_{L_{p,b}(L_{q})},$
for $q=2,2+\sigma$ and $6$. Combining these estimates above, we have
$\displaystyle\|{\mathbb{G}}(\theta,{\mathbb{v}})\|_{L_{p,b}(L_{r})}\leq
C\\{(\|\theta_{0}\|_{L_{2+\sigma}}+\|\partial_{t}\theta\|_{L_{p,b}(L_{2+\sigma})})(\|\partial_{t}{\mathbb{v}}\|_{L_{p,b}(L_{2})}+\|\nabla\theta\|_{L_{p,b}(L_{2})})$
$\displaystyle\quad+\|\nabla{\mathbb{v}}\|_{L_{p,b}(L_{2+\sigma})}(\|\nabla^{2}{\mathbb{v}}\|_{L_{p,b}(L_{2})}+\|\nabla\theta\|_{L_{p,b}(L_{2})})\\};$
(49)
$\displaystyle\|{\mathbb{G}}(\theta_{1},{\mathbb{v}}_{1})-{\mathbb{G}}(\theta_{2},{\mathbb{v}}_{2})\|_{L_{p,b}(L_{r})}\leq
C\\{\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(L_{2+\sigma})}\|\partial_{t}{\mathbb{v}}_{1}\|_{L_{p,b}(L_{2})}$
$\displaystyle\quad+(\|\theta_{0}\|_{L_{2+\sigma}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(L_{2+\sigma})})\|\partial_{t}({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{2})}+\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{2})}\|\nabla^{2}{\mathbb{v}}_{1}\|_{L_{p,b}(L_{2+\sigma})}$
$\displaystyle\quad+\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(L_{2+\sigma})}\|\nabla^{2}({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{2})}+\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{2})}\|\nabla^{2}{\mathbb{v}}_{1}\|_{L_{p,b}(L_{2+\sigma})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}$
$\displaystyle\quad+\|\nabla^{2}({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{2})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(L_{2+\sigma})}+\|\nabla^{2}{\mathbb{v}}_{2}\|_{L_{p,b}(L_{2+\sigma})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{2})}$
$\displaystyle\quad+\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(L_{2})}\|\nabla\theta_{1}\|_{L_{p,b}(L_{2+\sigma})}+\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{2})}\|\nabla\theta_{1}\|_{L_{p,b}(L_{2+\sigma})}$
$\displaystyle\quad+\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(L_{2+\sigma})}\|\nabla(\theta_{1}-\theta_{2})\|_{L_{p,b}(L_{2})}+(\|\theta_{0}\|_{L_{2+\sigma}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(L_{2+\sigma})}\|\nabla(\theta_{1}-\theta_{2})\|_{L_{p,b}(L_{2})}.$
(50)
Finally, we estimate $\|G(\theta,{\mathbb{v}})\|_{L_{p,b}(L_{q})}$ and
$\|G(\theta_{1},{\mathbb{v}}_{1})-{\mathbb{G}}(\theta_{2},{\mathbb{v}}_{2})\|_{L_{p,b}(L_{q})}$
with $q=2$, $2+\sigma$, and $6$. For this purpose, we use the following
estimates:
$\displaystyle\|fg\|_{L_{p,b}(L_{q})}$ $\displaystyle\leq
C\|f\|_{L_{\infty}(H^{1}_{q})}\|g\|_{L_{p,b}(L_{q})},$
$\displaystyle\|fgh\|_{L_{p,b}(H^{1}_{q})}$ $\displaystyle\leq
C\\{\|f\|_{L_{\infty}(L_{\infty})}\|g\|_{L_{\infty}(H^{1}_{6})}\|h\|_{L_{p,b}(L_{q})}.$
And then, using (47), (48), (40) and (41), for $q=2,2+\sigma$ and $6$ we have
$\displaystyle\|{\mathbb{G}}(\theta,{\mathbb{v}})\|_{L_{p,b}(L_{q})}\leq
C\\{(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta\|_{L_{p,b}(H^{1}_{6})})(\|\partial_{t}{\mathbb{v}}\|_{L_{p,b}(L_{q})}+\|\nabla\theta\|_{L_{p,b}(L_{q})})$
$\displaystyle\quad+\|\nabla{\mathbb{v}}\|_{L_{p,b}(H^{1}_{6})}(\|\nabla^{2}{\mathbb{v}}\|_{L_{p,b}(L_{q})}+\|\nabla\theta\|_{L_{p,b}(L_{q})});$
(51)
$\displaystyle\|{\mathbb{G}}(\theta_{1},{\mathbb{v}}_{1})-{\mathbb{G}}(\theta_{2},{\mathbb{v}}_{2})\|_{L_{p,b}(L_{q})}\leq
C(\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(H^{1}_{6})}\|\partial_{t}{\mathbb{v}}_{1}\|_{L_{p,b}(L_{q})}$
$\displaystyle\quad+(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{6})})\|\partial_{t}({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{q})}+\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}\|\nabla^{2}{\mathbb{v}}_{1}\|_{L_{p,b}(L_{q})}$
$\displaystyle\quad+\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(H^{1}_{6})}\|\nabla^{2}({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{q})}+\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}\|\nabla^{2}{\mathbb{v}}_{1}\|_{L_{p,b}(L_{q})}$
$\displaystyle\quad+\|\nabla^{2}({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(L_{q})}\|\nabla{\mathbb{v}}_{1}\|_{L_{p,b}(H^{1}_{6})}+\|\nabla^{2}{\mathbb{v}}_{2}\|_{L_{p,b}(L_{q})}\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}$
$\displaystyle\quad+\|\partial_{t}(\theta_{1}-\theta_{2})\|_{L_{p,b}(H^{1}_{6})}\|\nabla\theta_{1}\|_{L_{p,b}(L_{q})}+\|\nabla({\mathbb{v}}_{1}-{\mathbb{v}}_{2})\|_{L_{p,b}(H^{1}_{6})}\|\nabla\theta_{1}\|_{L_{p,b}(L_{q})}$
$\displaystyle\quad+\|\nabla{\mathbb{v}}_{2}\|_{L_{p,b}(H^{1}_{6})}\|\nabla(\theta_{1}-\theta_{2})\|_{L_{p,b}(L_{q})}+(\|\theta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\theta_{2}\|_{L_{p,b}(H^{1}_{6})}\|\nabla(\theta_{1}-\theta_{2})\|_{L_{p,b}(L_{q})}.$
(52)
## 5 A priori estimates for solutions of linearized equations
Let
${\mathcal{V}}_{T,\epsilon}=\\{(\theta,{\mathbb{v}})\in{\mathcal{U}}^{1}_{T}\times{\mathcal{U}}^{2}_{T}\mid
E_{T}(\theta,{\mathbb{v}})\leq\epsilon\\}$. For
$(\theta,{\mathbb{v}})\in{\mathcal{V}}_{T,\epsilon}$, we consider linearized
equations:
$\displaystyle\partial_{t}\eta+\rho_{*}{\rm
div}\,{\mathbb{u}}=F(\theta,{\mathbb{v}})$ $\displaystyle\text{in
$\Omega\times(0,T)$},$ (53)
$\displaystyle\rho_{*}\partial_{t}{\mathbb{u}}-{\rm
Div}\,(\mu{\mathbb{D}}({\mathbb{u}})+\nu{\rm
div}\,{\mathbb{u}}{\mathbb{I}}-{\mathfrak{p}}^{\prime}(\rho_{*})\eta)={\mathbb{G}}(\theta,{\mathbb{v}})$
$\displaystyle\text{in $\Omega\times(0,T)$},$
$\displaystyle{\mathbb{u}}|_{\Gamma}=0,\quad(\eta,{\mathbb{u}})|_{t=0}=(\theta_{0},{\mathbb{v}}_{0})$
$\displaystyle\text{in $\Omega$}.$
We first show that equations (53) admit unique solutions $\eta$ and
${\mathbb{u}}$ with
$\displaystyle\eta$ $\displaystyle\in H^{1}_{p}((0,T),H^{1}_{2}(\Omega)\cap
H^{1}_{6}(\Omega)),$ (54) $\displaystyle{\mathbb{u}}$ $\displaystyle\in
H^{1}_{p}((0,T),L_{2}(\Omega)^{3}\cap L_{6}(\Omega)^{3})\cap
L_{p}((0,T),H^{2}_{2}(\Omega)^{3}\cap H^{2}_{6}(\Omega)^{3})$
possessing the estimate:
$E_{T}(\eta,{\mathbb{u}})\leq C(\epsilon^{2}+\epsilon^{3})$ (55)
with some constant $C$ independent of $T$ and $\epsilon$.
To prove (55), we divide $\eta$ and ${\mathbb{u}}$ into two parts:
$\eta=\eta_{1}+\eta_{2}$ and ${\mathbb{u}}={\mathbb{u}}_{1}+{\mathbb{u}}_{2}$,
where $\eta_{1}$ and ${\mathbb{u}}_{1}$ are solutions of time shifted
equations:
$\displaystyle\partial_{t}\eta_{1}+\lambda_{1}\eta_{1}+\rho_{*}{\rm
div}\,{\mathbb{u}}_{1}=F(\theta,{\mathbb{v}})$ $\displaystyle\text{in
$\Omega\times(0,T)$},$ (56)
$\displaystyle\rho_{*}(\partial_{t}{\mathbb{u}}_{1}+\lambda{\mathbb{u}}_{1})-{\rm
Div}\,(\mu{\mathbb{D}}({\mathbb{u}}_{1})+\nu{\rm
div}\,{\mathbb{u}}_{1}{\mathbb{I}}-{\mathfrak{p}}^{\prime}(\rho_{*})\eta_{1})={\mathbb{G}}(\theta,{\mathbb{v}})$
$\displaystyle\text{in $\Omega\times(0,T)$},$
$\displaystyle{\mathbb{u}}_{1}|_{\Gamma}=0,\quad(\eta_{1},{\mathbb{u}}_{1})|_{t=0}=(\theta_{0},{\mathbb{v}}_{0})$
$\displaystyle\text{in $\Omega$},$
and $\eta_{2}$ and ${\mathbb{u}}_{2}$ are solutions to compensation equations:
$\displaystyle\partial_{t}\eta_{2}+\rho_{*}{\rm
div}\,{\mathbb{u}}_{2}=\lambda_{1}\eta_{1}$ $\displaystyle\text{in
$\Omega\times(0,T)$},$ (57)
$\displaystyle\rho_{*}\partial_{t}{\mathbb{u}}_{2}-{\rm
Div}\,(\mu{\mathbb{D}}({\mathbb{u}}_{2})+\nu{\rm
div}\,{\mathbb{u}}_{2}{\mathbb{I}}-{\mathfrak{p}}^{\prime}(\rho_{*})\eta_{2})=\rho_{*}\lambda_{1}{\mathbb{u}}_{1}$
$\displaystyle\text{in $\Omega\times(0,T)$},$
$\displaystyle{\mathbb{u}}_{2}|_{\Gamma}=0,\quad(\eta_{2},{\mathbb{u}}_{2})|_{t=0}=(0,0)$
$\displaystyle\text{in $\Omega$}.$
We first treat with equations (56). For this purpose, we use the result stated
in Sect. 3. We consider a resolvent problem corresponding to equations (53)
given as follows:
$\displaystyle\lambda\zeta+\rho_{*}{\rm div}\,{\mathbb{w}}=f$
$\displaystyle\text{in $\Omega$},$ (58)
$\displaystyle\rho_{*}\lambda{\mathbb{w}}-{\rm
Div}\,(\mu{\mathbb{D}}({\mathbb{w}})+\nu{\rm
div}\,{\mathbb{w}}{\mathbb{I}}-{\mathfrak{p}}^{\prime}(\rho_{*})\zeta)={\mathbb{g}}$
$\displaystyle\text{in $\Omega$},$ $\displaystyle{\mathbb{w}}|_{\Gamma}=0$ .
Enomoto and Shibata [7] proved the existence of ${\mathcal{R}}$ bounded
solution operators associated with (58). Namely, we know the following
theorem.
###### Theorem 16.
Let $\Omega$ be a uniform $C^{2}$ domain in ${\mathbb{R}}^{N}$. Let
$0<\omega<\pi/2$ and $1<q<\infty$. Set
$H^{1,0}_{q}(\Omega)=H^{1}_{q}(\Omega)\times L_{q}(\Omega)^{3}$ and
$H^{1,2}_{q}(\Omega)=H^{1}_{q}(\Omega)\times H^{2}_{q}(\Omega)^{3}$. Then,
there exist a large number $\lambda_{0}>0$ and operator families
${\mathcal{P}}(\lambda)$ and ${\mathcal{S}}(\lambda)$ with
${\mathcal{P}}(\lambda)\in{\rm
Hol}\,(\Sigma_{\omega,\lambda_{0}},{\mathcal{L}}(H^{1,0}_{q}(\Omega),H^{1}_{q}(\Omega))),\quad{\mathcal{S}}(\lambda)\in{\rm
Hol}\,(\Sigma_{\omega,\lambda_{0}},{\mathcal{L}}(H^{1,0}_{q}(\Omega),H^{2}_{q}(\Omega))$
such that for any $\lambda\in\Sigma_{\omega,\lambda_{0}}$ and
$(f,{\mathbb{g}})\in H^{1,0}_{q}(\Omega)$,
$\zeta={\mathcal{P}}(\lambda)(f,{\mathbb{g}})$ and
${\mathbb{w}}={\mathcal{S}}(\lambda)(f,{\mathbb{g}})$ are unique solutions of
Stokes resolvent problem (58) and
$\displaystyle{\mathcal{R}}_{{\mathcal{L}}(H^{1,0}_{q}(\Omega),H^{1}_{q}(\Omega))}(\\{(\tau\partial_{\tau})^{\ell}(\lambda^{k}{\mathcal{P}}(\lambda))\mid\lambda\in\Sigma_{\omega,\lambda_{0}}\\})\leq
r_{b},$
$\displaystyle{\mathcal{R}}_{{\mathcal{L}}(H^{1,0}_{q}(\Omega),H^{2-j}_{q}(\Omega)^{3})}(\\{(\tau\partial_{\tau})^{\ell}(\lambda^{j/2}{\mathcal{S}}(\lambda))\mid\lambda\in\Sigma_{\omega,\lambda_{0}}\\})\leq
r_{b}$
for $\ell=0,1$, $k=0,1$ and $j=0,1,2$.
In view of Theorem 16 and consideration in Sect. 3, there exists a continuous
analytic semigroup $\\{S(t)\\}_{t\geq 0}$ associated with equations (56) such
that
$\|S(t)\|_{H^{1,0}_{q}(\Omega)}\leq
C_{q}e^{-\lambda_{2}t}\|(f,{\mathbb{g}})\|_{H^{1,0}_{q}(\Omega)}$ (59)
for any $t>0$ and $(f,{\mathbb{g}})\in H^{1,0}_{q}(\Omega)$ with some constant
$\lambda_{2}>0$. Moreover, from Theorem 14 we have the following theorem.
###### Theorem 17.
Let $1<p,q<\infty$. Let $b\geq 0$. Then, there exists a large constant
$\lambda_{1}>0$ such that for any $(f,{\mathbb{g}})$ with
$<t>^{b}(f,{\mathbb{g}})\in L_{p}({\mathbb{R}},H^{1,0}_{q})$ and initial data
$(\theta_{0},{\mathbb{v}}_{0})\in H^{1}_{q}(\Omega)\times
B^{2(1-1/p)}_{q,p}(\Omega)^{3}$ satisfying the compatibility condition:
${\mathbb{v}}_{0}|_{\Gamma}=0$, problem:
$\displaystyle\partial_{t}\rho+\lambda_{1}\rho+\rho_{*}{\rm
div}\,{\mathbb{w}}=f$ $\displaystyle\text{in $\Omega\times(0,T)$},$ (60)
$\displaystyle\rho_{*}(\partial_{t}{\mathbb{w}}+\lambda_{1}{\mathbb{w}})-{\rm
Div}\,(\mu{\mathbb{D}}({\mathbb{w}})+\nu{\rm
div}\,{\mathbb{w}}{\mathbb{I}}-{\mathfrak{p}}^{\prime}(\rho_{*})\rho)={\mathbb{g}}$
$\displaystyle\text{in $\Omega\times(0,T)$},$
$\displaystyle{\mathbb{w}}|_{\Gamma}=0,\quad(\rho,{\mathbb{w}})|_{t=0}=(\theta_{0},{\mathbb{v}}_{0})$
$\displaystyle\text{in $\Omega$},$
admits unique solutions $\rho\in H^{1}_{p}((0,T),H^{1}_{q}(\Omega))$ and
${\mathbb{w}}\in H^{1}_{p}((0,T),L_{q}(\Omega)^{3})\cap
L_{p}((0,T),H^{2}_{q}(\Omega)^{3})$ possessing the estimate:
$\displaystyle\|<t>^{b}(\rho,\partial_{t}\rho)\|_{L_{p}((0,T),H^{1}_{q}(\Omega))}+\|<t>^{b}\partial_{t}{\mathbb{w}}\|_{L_{p}((0,T),L_{q}(\Omega))}+\|<t>^{b}{\mathbb{w}}\|_{L_{p}((0,T),H^{2}_{q}(\Omega))}$
$\displaystyle\quad\leq
C(\|\theta_{0}\|_{H^{1}_{q}(\Omega)}+\|{\mathbb{v}}_{0}\|_{B^{2(1-1/p)}_{q,p}(\Omega)}+\|<t>^{b}(f,{\mathbb{g}})\|_{L_{p}((0,T),H^{1,0}_{q}(\Omega))}).$
Here, $C$ is a constant independent of $T>0$.
Applying Duhamel’s principle to equations (56) yields that
$(\eta_{1},{\mathbb{u}}_{1})=S(t)(\theta_{0},{\mathbb{v}}_{0})+\int^{t}_{0}S(t-s)(F(\theta,{\mathbb{v}}),{\mathbb{G}}(\theta,{\mathbb{v}}))(\cdot,s)\,ds.$
Thus, by (59), we have
$\displaystyle\|<t>^{b}(\eta_{1},{\mathbb{u}}_{1})\|_{L_{p}((0,T),H^{1,0}_{r}(\Omega))}$
(61) $\displaystyle\quad\leq
C(\|(\theta_{0},{\mathbb{v}}_{0})\|_{H^{1,0}_{r}(\Omega)}+\|<t>^{b}(F(\theta,{\mathbb{v}}),{\mathbb{G}}(\theta,{\mathbb{v}}))\|_{L_{p}((0,T),H^{1,0}_{r}(\Omega))}).$
In fact, setting
$I(t)=\int^{t}_{0}S(t-s)(F(\theta,{\mathbb{v}}),{\mathbb{G}}(\theta,{\mathbb{v}}))(\cdot,s)\,ds$,
by (59) we have
$\displaystyle<t>^{b}\|I(t)\|_{H^{1,0}_{r}(\Omega)}$ $\displaystyle\leq
C_{r}<t>^{b}\Bigl{\\{}\int^{t/2}_{0}+\int_{t/2}^{t}\Bigr{\\}}e^{-\lambda_{2}(t-s)}\|(F(\theta,{\mathbb{v}}),{\mathbb{G}}(\theta,{\mathbb{v}}))(\cdot,s)\|_{H^{1,0}_{r}(\Omega)}\,ds$
$\displaystyle=C_{r}(II(t)+III(t)).$
In $II(t)$, using $e^{-\lambda_{2}(t-s)}\leq e^{-(\lambda_{2}/2)t}$ as follows
from $0<s<t/2$, by Hölder’s inequality we have
$II(t)\leq<t>^{b}e^{-(\lambda_{2}/2)t}\Bigl{(}\int^{\infty}_{0}<s>^{-p^{\prime}b}\,ds\Bigr{)}^{1/p^{\prime}}\Bigl{(}\int^{T}_{0}(<s>^{b}\|(F(\theta,{\mathbb{v}}),{\mathbb{G}}(\theta,{\mathbb{v}}))(\cdot,s)\|_{H^{1,0}_{r}(\Omega)})^{p}\,ds\Bigr{)}^{1/p},$
and so we have
$\Bigl{(}\int^{T}_{0}II(t)^{p}\,dt\Bigr{)}^{1/p}\leq
C\Bigl{(}\int^{\infty}_{0}(<t>^{b}e^{-(\lambda_{2}/2)t})^{p}\,dt\Bigr{)}^{1/p}\|<t>^{b}(F(\theta,{\mathbb{v}}),{\mathbb{G}}(\theta,{\mathbb{v}}))\|_{L_{2}((0,T),H^{1,0}_{r}(\Omega))}.$
On the other hand, using $<t>^{b}\leq C_{b}<s>^{b}$ for $t/2<s<t$, by Hölder’s
inequality we have
$III(t)\leq
C_{b}\Bigl{(}\int^{t}_{t/2}e^{-\lambda_{2}(t-s)}\,ds\Bigr{)}^{1/p^{\prime}}\Bigl{(}\int^{t}_{t/2}e^{-\lambda_{2}(t-s)}(<s>^{b}\|(F(\theta,{\mathbb{v}}),{\mathbb{G}}(\theta,{\mathbb{v}}))(\cdot,s)\|_{L_{r}(\Omega)})^{p}\,ds\Bigr{)}^{1/p}.$
Setting $L=\int^{\infty}_{0}e^{-\lambda_{2}t}\,dt$, by Fubini’s theorem we
have
$\Bigl{(}\int^{T}_{0}III(t)^{p}\,dt\Bigr{)}^{1/p}\leq
C_{b}L\|<t>^{b}(F(\theta,{\mathbb{v}}),{\mathbb{G}}(\theta,{\mathbb{v}}))\|_{L_{p}((0,T),H^{1,0}_{r}(\Omega))}.$
Combining these two estimates yields (61).
Moreover, applying Theorem 17 to equations (56) yields that
$\displaystyle\|<t>^{b}\partial_{t}(\eta_{1},{\mathbb{u}}_{1})\|_{L_{p}((0,T),H^{1,0}_{q}(\Omega))}+\|<t>^{b}(\eta_{1},{\mathbb{u}}_{1})\|_{L_{p}((0,T),H^{1,2}_{q}(\Omega))}$
(62) $\displaystyle\quad\leq
C_{q}(\|\theta_{0}\|_{H^{1}_{q}(\Omega)}+\|{\mathbb{v}}_{0}\|_{B^{2(1-1/p)}_{q,p}(\Omega)}+\|<t>^{b}(F(\theta,{\mathbb{v}}),{\mathbb{G}}(\theta,{\mathbb{v}}))\|_{L_{p}((0,T),H^{1,0}_{q}(\Omega))})$
for $q=2$, $2+\sigma$ and $6$. Recalling that
$\|(\theta_{0},{\mathbb{v}}_{0})\|_{{\mathcal{I}}}\leq\epsilon^{2}$, by (42),
(44), (49), (51), (61), and (62), we have
$\displaystyle\sum_{q=2,2+\sigma,6}(\|<t>^{b}\partial_{t}(\eta_{1},{\mathbb{u}}_{1})\|_{L_{p}((0,T),H^{1,0}_{q}(\Omega))}+\|<t>^{b}(\eta_{1},{\mathbb{u}}_{1})\|_{L_{p}((0,T),H^{1,2}_{q}(\Omega))})$
(63)
$\displaystyle\quad+\|<t>^{b}(\eta_{1},{\mathbb{u}}_{1})\|_{L_{p}((0,T),H^{1,0}_{r}(\Omega))}\leq
C(\epsilon^{2}+\epsilon^{3}+\epsilon^{4}).$
Here, $C$ is a constant independent of $T$ and $\epsilon$. By the trace method
of real interpolation theorem,
$\displaystyle\|<t>^{b}{\mathbb{u}}_{1}\|_{L_{\infty}((0,T),L_{q}(\Omega))}$
$\displaystyle\quad\leq
C(\|{\mathbb{v}}_{0}\|_{B^{2(1-1/p)}_{q,p}(\Omega)}+\|<t>^{b}\partial_{t}{\mathbb{u}}_{1}\|_{L_{p}((0,T),L_{q}(\Omega))}+\|<t>^{b}{\mathbb{u}}_{1}\|_{L_{p}((0,T),H^{2}_{q}(\Omega))}),$
and so by (63) and
$\|(\theta_{0},{\mathbb{v}}_{0})\|_{\mathcal{I}}\leq\epsilon^{2}$,
$\sum_{q=2,2+\sigma,6}\|<t>^{b}{\mathbb{u}}_{1}\|_{L_{\infty}((0,T),L_{q}(\Omega))}\leq
C(\epsilon^{2}+\epsilon^{3}+\epsilon^{4}).$ (64)
We now estimate $\eta_{2}$ and ${\mathbb{u}}_{2}$. Let $\\{T(t)\\}_{t\geq 0}$
be a continuous analytic semigroup associated with problem:
$\displaystyle\partial_{t}\rho+\rho_{*}{\rm div}\,{\mathbb{v}}=0$
$\displaystyle\text{in $\Omega\times(0,\infty)$},$ (65)
$\displaystyle\rho_{*}\partial_{t}{\mathbb{v}}-{\rm
Div}\,(\mu{\mathbb{D}}({\mathbb{v}})+\nu{\rm
div}\,{\mathbb{v}}{\mathbb{I}}-{\mathfrak{p}}^{\prime}(\rho_{*})\rho)=0$
$\displaystyle\text{in $\Omega\times(0,\infty)$},$
$\displaystyle{\mathbb{v}}|_{\Gamma}=0\quad(\rho,{\mathbb{v}})|_{t=0}=(\theta_{0},{\mathbb{v}}_{0})$
$\displaystyle\text{in $\Omega$}.$
By Theorem 16 and consideration in Sect. 3, we know the existence of $C^{0}$
analytic semigroup $\\{T(t)\\}_{t\geq 0}$ associated with (65). Moreover, by
Enomoto and Shibata [8], we know that $\\{T(t)\\}_{t\geq 0}$ possesses the
following $L_{p}$-$L_{q}$ decay estimates: Setting
$(\theta,{\mathbb{v}})=T(t)(f,{\mathbb{g}})$, we have
$\displaystyle\|(\theta,{\mathbb{v}})(\cdot,t)\|_{L_{p}}$ $\displaystyle\leq
C_{p,q}t^{-\frac{3}{2}\left(\frac{1}{q}-\frac{1}{p}\right)}[(f,{\mathbb{g}})]_{p,q}\quad(t>1);$
(66) $\displaystyle\|\nabla(\theta,{\mathbb{v}})(\cdot,t)\|_{L_{p}}$
$\displaystyle\leq C_{p,q}t^{-\sigma(p,q)}[(f,{\mathbb{g}})]_{p,q}\quad(t>1);$
$\displaystyle\|\nabla^{2}{\mathbb{v}}(\cdot,t)\|_{L_{p}}$ $\displaystyle\leq
C_{p,q}t^{-\frac{3}{2q}}[(f,{\mathbb{g}})]_{p,q}\quad(t>1);$
$\displaystyle\|\partial_{t}(\theta,{\mathbb{v}})(\cdot,t)\|_{L_{p}}$
$\displaystyle\leq Ct^{-\frac{3}{2q}}[(f,{\mathbb{g}})]_{p,q}\quad(t>1).$
Here, $1\leq q\leq 2\leq p<\infty$,
$[(f,{\mathbb{g}})]_{p,q}=\|(f,{\mathbb{g}})\|_{H^{1,0}_{p}}+\|(f,{\mathbb{g}})\|_{L_{q}}$,
$H^{m,n}_{p}=H^{m}_{p}\times H^{n}_{p}\ni(f,{\mathbb{g}})$ and
$\sigma(p,q)=\frac{3}{2}\left(\frac{1}{q}-\frac{1}{p}\right)+\frac{1}{2}\quad(2\leq
p\leq 3),\quad\text{and}\quad\frac{3}{2q}\quad(p\geq 3).$
Moreover, we use
$\|(\theta,{\mathbb{v}})(\cdot,t)\|_{H^{1,2}_{q}}\leq
M\|(f,{\mathbb{g}})\|_{H^{1,2}_{q}}\quad(0<t<2)$ (67)
as follows from the following standard estimate for continuous analytic
semigroup. Applying Duhamel’s principle to equations (57) yields that
$(\eta_{2},{\mathbb{u}}_{2})=\lambda_{1}\int^{t}_{0}T(t-s)(\eta_{1},\rho_{*}{\mathbb{u}}_{1})(\cdot,s)\,ds.$
Let
$[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]=\|(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)\|_{H^{1,0}_{r}(\Omega)}+\sum_{q=2,2+\sigma,6}\|(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)\|_{H^{1,2}_{q}(\Omega)}+\|\partial_{t}(\eta_{1},{\mathbb{u}}_{1})(\cdots,s)\|_{H^{1,0}_{q}(\Omega)}).$
We set
$\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1}):=\Bigl{(}\int^{T}_{0}(<t>^{b}[[\eta_{1},{\mathbb{u}}_{1})(\cdot,t)]])^{p}\,dt\Bigr{)}^{1/p},$
and then, by (63) we have
$\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1})\leq
C(\epsilon^{2}+\epsilon^{3}+\epsilon^{4}).$ (68)
First we consider the case: $2\leq t\leq T$. Notice that
$\displaystyle(1/2)+(3/2)(1/2+1/(2+\sigma)-1/2)=(3/2)(1/2+1/(2+\sigma)-1/6)$
$\displaystyle\leq(1/2)+(3/2)(1/2+1/(2+\sigma)-1/(2+\sigma))\leq 3/(2r),$
where $1/r=1/2+1/(2+\sigma)$. Let
$\ell=(1/2)+(3/2)(1/2+1/(2+\sigma)-1/2)=(5+\sigma)/(4+2\sigma)$, and then all
the decay rates used below, which are obtained by (66), are less than or equal
to $\ell$.
Let
$(\eta_{3},{\mathbb{u}}_{3})=(\nabla\eta_{2},\bar{\nabla}^{1}\nabla{\mathbb{u}}_{2})$
when $q=2$ or $2+\sigma$, and
$(\eta_{3},{\mathbb{u}}_{3})=(\bar{\nabla}^{1}\eta_{2},\bar{\nabla}^{2}{\mathbb{u}}_{2})$
when $q=6$. Here, $\bar{\nabla}^{m}f=(\partial_{x}^{\alpha}f\mid|\alpha|\leq
m)$. And then,
$\displaystyle\|(\eta_{3},{\mathbb{u}}_{3})(\cdot,t)\|_{L_{q}(\Omega)}$
$\displaystyle\leq
C\Bigl{\\{}\int^{t/2}_{0}+\int^{t-1}_{t/2}+\int^{t}_{t-1}\Bigr{\\}}\|(\nabla,\bar{\nabla}^{1}\nabla)\enskip\text{or}\enskip(\bar{\nabla}^{1},\bar{\nabla}^{2})T(t-s)(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)\|_{L_{q}(\Omega)}\,ds$
$\displaystyle=I_{q}+II_{q}+III_{q}.$
By (66), we have
$\displaystyle I_{q}(t)$ $\displaystyle\leq
C\int^{t/2}_{0}(t-s)^{-\ell}[[(\eta_{1},{\mathbb{u}}_{1})]]\,ds$
$\displaystyle\leq
C(t/2)^{-\ell}\Bigl{(}\int^{t/2}_{0}<s>^{-b}<s>^{b}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]\,ds$
$\displaystyle\leq
Ct^{-\ell}\Bigl{(}\int^{T}_{0}<s>^{-bp^{\prime}}\,ds\Bigr{)}^{1/p^{\prime}}\Bigl{(}\int^{T}_{0}(<s>^{b}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]])^{p}\,ds\Bigr{)}^{1/p}$
$\displaystyle\leq Ct^{-\ell}\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1}).$
Recalling that $b=(3-\sigma)/(2(2+\sigma))$ when $p=2$ and
$b=(1-\sigma)/(2(2+\sigma))$ when $p=1+\sigma$, we see that
$\ell-b=(2+2\sigma)/(2(2+\sigma))>1/2$ when $p=2$ and $\ell-b=1$ when
$p=1+\sigma$. Thus, we have
$\int^{T}_{1}(<t>^{b}I_{q}(t))^{p}\,dt\leq
C\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1})^{p}.$
We next estimate $II_{q}(t)$. By (66) we have
$II_{q}(t)\leq
C\int^{t-1}_{t/2}(t-s)^{-\ell}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]\,ds.$
By Hölder’s inequality and $<t>^{b}\leq C_{b}<s>^{b}$ for $s\in(t/2,t-1)$, we
have
$\displaystyle<t>^{b}II_{q}(t)$ $\displaystyle\leq
C\int^{t-1}_{t/2}(t-s)^{-\ell/p^{\prime}}(t-s)^{-\ell/p}<s>^{b}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]\,ds$
$\displaystyle\leq
C\Bigl{(}\int^{t-1}_{t/2}(t-s)^{-\ell}\,ds\Bigr{)}^{1/p^{\prime}}\Bigl{(}\int^{t-1}_{t/2}(t-s)^{-\ell}(<s>^{b}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]^{p})\,ds\Bigr{)}^{1/p}.$
Setting $\int^{\infty}_{1}s^{-\ell}\,ds=L$, by Fubini’s theorem we have
$\displaystyle\int^{T}_{2}(<t>^{b}II_{q}(t))^{p}\,dt$ $\displaystyle\leq
CL^{p/p^{\prime}}\int^{T-1}_{1}(<s>^{b}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]])^{p}\Bigl{(}\int^{2s}_{s+1}(t-s)^{-\ell}\,dt\Bigr{)}\,ds$
$\displaystyle\leq CL^{p}\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1})^{p}.$
Using a standard estimate (67) for continuous analytic semigroup, we have
$\displaystyle III_{q}(t)$ $\displaystyle\leq
C\int^{t}_{t-1}\|(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)\|_{H^{1,2}_{q}}\,ds\leq
C\int^{t}_{t-1}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]\,ds.$
Thus, employing the same argument as in estimating $II_{q}(t)$, we have
$\int^{T}_{2}(<t>^{b}III_{q}(t))^{p}\,dt\leq
C\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1})^{p}.$
Combining three estimates above yields that
$\int^{T}_{2}(<t>^{b}\|(\eta_{3},{\mathbb{u}}_{3})(\cdot,t)\|_{L_{q}(\Omega)})^{p}\,dt\leq
C\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1})^{p},$ (69)
when $T>2$.
For $0<t<\min(2,T)$, using (67) and employing the same argument as in
estimating $III_{q}(t)$ above, we have
$\int^{\min(2,T)}_{0}(<t>^{b}\|(\eta_{3},{\mathbb{u}}_{3})(\cdot,t)\|_{L_{q}(\Omega)})^{p}\,dt\leq
C\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1})^{p},$
which, combined with (69), yields that
$\int^{T}_{0}(<t>^{b}\|(\eta_{3},{\mathbb{u}}_{3})(\cdot,t)\|_{L_{q}(\Omega)})^{p}\,dt\leq
C\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1})^{p}$ (70)
for $q=2$, $2+\sigma$, and $6$.
Since
$\partial_{t}(\eta_{2},{\mathbb{u}}_{2})=-\lambda_{1}(\eta_{1},\rho_{*}{\mathbb{u}}_{1})(\cdot,t)-\lambda_{1}\int^{t}_{0}\partial_{t}T(t-s)(\eta_{1},\rho_{*}{\mathbb{u}}_{1})(\cdot,s)\,ds,$
employing the same argument as in proving (70), we have
$\int^{T}_{0}(<t>^{b}\|\partial_{t}(\eta_{2},{\mathbb{u}}_{2})(\cdot,t)\|_{L_{q}(\Omega)})^{p}\,dt\leq
C\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1})^{p}$ (71)
for $q=2$, $2+\sigma$, and $6$.
We now estimate
$\sup_{2<t<T}<t>^{b}\|(\eta_{2},{\mathbb{u}}_{2})\|_{L_{q}(\Omega)}$ for
$q=2,2+\sigma$ and $6$. Let $q=2$, $2+\sigma$ and $6$ in what follows. For
$2<t<T$,
$\displaystyle\|(\eta_{2},{\mathbb{u}}_{2})(\cdot,t)\|_{L_{q}(\Omega)}$
$\displaystyle\leq
C\Bigl{\\{}\int^{t/2}_{0}+\int^{t-1}_{t/2}+\int^{t}_{t-1}\Bigr{\\}}\|T(t-s)(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)\|_{L_{q}(\Omega)}\,ds$
$\displaystyle=I_{q,0}+II_{q,0}+III_{q,0}.$
By (66), we have
$\displaystyle I_{q,0}(t)$ $\displaystyle\leq
C\int^{t/2}_{0}(t-s)^{-3/2(2+\sigma)}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]\,ds$
$\displaystyle\leq
C(t/2)^{-3/2(2+\sigma)}\int^{t/2}_{0}<s>^{-b}<s>^{b}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]\,ds$
$\displaystyle\leq
Ct^{-3/2(2+\sigma)}\Bigl{(}\int^{\infty}_{0}<s>^{-p^{\prime}b}\,ds\Bigr{)}^{1/p^{\prime}}\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1}).$
Note that
$3/2(2+\sigma)=(3/2)(1/r-1/2)<(3/2)(1/r-1/(2+\sigma))<(3/2)(1/r-1/6)$. By
(66), we also have
$\displaystyle II_{q,0}(t)$ $\displaystyle\leq
C\int^{t-1}_{t/2}(t-s)^{-3/2(2+\sigma)}\|(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]\,ds$
$\displaystyle\leq
C\Bigl{(}\int^{t-1}_{t/2}((t-s)^{-3/2(2+\sigma)}<s>^{-b})^{p^{\prime}}\,ds\Bigr{)}^{1/p^{\prime}}\Bigl{(}\int^{t-1}_{t/2}(<s>^{b}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]])^{p}\,ds\Bigr{)}^{1/p}$
$\displaystyle\leq C<t>^{-b}\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1}),$
where we have used $3p^{\prime}/2(2+\sigma)>1$. By (67), we have
$\displaystyle III_{q,0}(t)$ $\displaystyle\leq
C\int^{t}_{t-1}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]\,ds$
$\displaystyle\leq
C<t>^{-b}\int^{t}_{t-1}<s>^{b}[[(\eta_{1},{\mathbb{u}}_{1})(\cdot,s)]]\,ds$
$\displaystyle\leq
C<t>^{-b}\Bigl{(}\int^{t}_{t-1}\,ds\Bigr{)}^{1/p^{\prime}}\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1}).$
Since $b<3/2(2+\sigma)$, combining these estimates above yields that
$\sup_{2<t<T}<t>^{b}\|(\eta_{1},{\mathbb{u}}_{1})(\cdot,t)\|_{L_{q}(\Omega)}\leq
C\tilde{E}_{T}(\eta_{2},{\mathbb{u}}_{2})$ (72)
For $0<t<\min(2,T)$, by standard estimate (67) of continuous analytic
semigroup, we have
$\sup_{0<t<\min(2,T)}<t>^{b}\|(\eta_{1},{\mathbb{u}}_{1})(\cdot,t)\|_{L_{q}(\Omega)}\leq
C\tilde{E}_{T}(\eta_{2},{\mathbb{u}}_{2})$
which, combined with (72), yields that
$\|<t>^{b}(\eta_{1},{\mathbb{u}}_{1})(\cdot,t)\|_{L_{\infty}((0,T),L_{q}(\Omega)}\leq
C\tilde{E}_{T}(\eta_{2},{\mathbb{u}}_{2})$ (73)
for $q=2,2+\sigma$ and $6$.
Recalling that $\eta=\eta_{1}+\eta_{2}$ and
${\mathbb{u}}={\mathbb{u}}_{1}+{\mathbb{u}}_{2}$, noting that
$E_{T}(\eta_{1},{\mathbb{u}}_{1})\leq
C(\tilde{E}_{T}(\eta_{1},{\mathbb{u}}_{1})+\|(\theta_{0},{\mathbb{v}}_{0})\|_{\mathcal{I}})$
as follows from (64), and combining (70), (71), (73), and (68) yield that
$E_{T}(\eta,{\mathbb{u}})\leq C(\epsilon^{2}+\epsilon^{3}+\epsilon^{4}).$ (74)
If we choose $\epsilon>0$ so small that
$C(\epsilon+\epsilon^{2}+\epsilon^{3})<1$ in (74), we have
$E_{T}(\eta,{\mathbb{u}})\leq\epsilon$. Moreover, by (41)
$\sup_{t\in(0,T)}\|\eta(\cdot,t)\|_{L_{\infty}(\Omega)}\leq
C(\|\eta_{0}\|_{H^{1}_{6}}+\|\partial_{t}\eta\|_{L_{p}((0,T),H^{1}_{6}(\Omega))})\leq
C(\epsilon^{2}+\epsilon^{3}+\epsilon^{4}).$
Thus, choosing $\epsilon>0$ so small that
$C(\epsilon^{2}+\epsilon^{3}+\epsilon^{4})\leq\rho_{*}/2$, we see that
$\sup_{t\in(0,T)}\|\eta(\cdot,t)\|_{L_{\infty}(\Omega)}\leq\rho_{*}/2$. And
also,
$\int^{T}_{0}\|\nabla{\mathbb{u}}(\cdot,s)\|_{L_{\infty}(\Omega)}\,ds\leq\Bigl{(}\int^{\infty}_{0}<s>^{-p^{\prime}b}\,ds\Bigr{)}^{1/p^{\prime}}\|<t>^{b}\nabla{\mathbb{u}}\|_{L_{p}((0,T),H^{1}_{6}(\Omega))}\leq
C_{p^{\prime},b}(\epsilon^{2}+\epsilon^{3}+\epsilon^{4}).$
Thus, choosing $\epsilon>0$ so small that
$C_{p^{\prime},b}(\epsilon^{2}+\epsilon^{3}+\epsilon^{4})\leq\delta$, we see
that
$\int^{T}_{0}\|\nabla{\mathbb{u}}(\cdot,s)\|_{L_{\infty}(\Omega)}\,ds\leq\delta$.
From consideration above, it follows that
$(\eta,{\mathbb{u}})\in{\mathcal{V}}_{T,\epsilon}$. Let ${\mathcal{S}}$ be an
operator defined by ${\mathcal{S}}(\theta,{\mathbb{v}})=(\eta,{\mathbb{u}})$
for $(\theta,{\mathbb{v}})\in{\mathcal{V}}_{T,\epsilon}$, and then
${\mathcal{S}}$ maps ${\mathcal{V}}_{T,\epsilon}$ into itself.
We now show that ${\mathcal{S}}$ is a contraction map. Let
$(\theta_{i},{\mathbb{v}}_{i})\in{\mathcal{V}}_{T,\epsilon}$ ($i=1,2$) and set
$(\eta,{\mathbb{u}})=(\eta_{1},{\mathbb{u}}_{1})-(\eta_{2},{\mathbb{u}}_{2})={\mathcal{S}}(\theta_{1},{\mathbb{v}}_{1})-{\mathcal{S}}(\theta_{2},{\mathbb{v}}_{2})$,
and $F=F(\theta_{1},{\mathbb{v}}_{1})-F(\theta_{2},{\mathbb{v}}_{2})$ and
${\mathbb{G}}={\mathbb{G}}(\theta_{1},{\mathbb{v}}_{1})-{\mathbb{G}}(\theta_{2},{\mathbb{v}}_{2})$.
And then, from (53) it follows that
$\displaystyle\partial_{t}\eta+\rho_{*}{\rm div}\,{\mathbb{u}}=F$
$\displaystyle\text{in $\Omega\times(0,T)$},$ (75)
$\displaystyle\rho_{*}\partial_{t}{\mathbb{u}}-{\rm
Div}\,(\mu{\mathbb{D}}({\mathbb{u}})+\nu{\rm
div}\,{\mathbb{u}}{\mathbb{I}}-{\mathfrak{p}}^{\prime}(\rho_{*})\eta)={\mathbb{G}}$
$\displaystyle\text{in $\Omega\times(0,T)$},$
$\displaystyle{\mathbb{u}}|_{\Gamma}=0,\quad(\eta,{\mathbb{u}})|_{t=0}=(0,0)$
$\displaystyle\text{in $\Omega$}.$
By (43), (45), (50), and (52), we have
$\|(F,{\mathbb{G}})\|_{L_{p}((0,T),H^{1,0}_{r}(\Omega))}+\sum_{q=2,2+\sigma,6}\|(F,{\mathbb{G}})\|_{L_{p}((0,T),H^{1,0}_{q}(\Omega))}\leq
C(\epsilon+\epsilon^{2}+\epsilon^{3})E_{T}((\theta_{1},{\mathbb{v}}_{1})-(\theta_{2},{\mathbb{v}}_{2})).$
Applying the same argument as in proving (74) to equations (75) and recalling
$(\eta,{\mathbb{u}})={\mathcal{S}}(\theta_{1},{\mathbb{v}}_{1})-S(\theta_{2},{\mathbb{v}}_{2})$,
we have
$E_{T}({\mathcal{S}}(\theta_{1},{\mathbb{v}}_{1})-S(\theta_{2},{\mathbb{v}}_{2}))\leq
C(\epsilon+\epsilon^{2}+\epsilon^{3})E_{T}((\theta_{1},{\mathbb{v}}_{1})-(\theta_{2},{\mathbb{v}}_{2})),$
for some constant $C$ independent of $\epsilon$ and $T$. Thus, choosing
$\epsilon>0$ so small that $C(\epsilon+\epsilon^{2}+\epsilon^{3})<1$, we have
that ${\mathcal{S}}$ is a contraction map on ${\mathcal{V}}_{T,\epsilon}$,
which proves Theorem 6. Since the contraction mapping principle yields the
uniqueness of solutions in ${\mathcal{V}}_{T,\epsilon}$, we have completed the
proof of Theorem 6.
## 6 A proof of Theorem 3
We shall prove Theorem 3 with the help of Theorem 6. In what follows, let $b$
and $p$ be the constants given in Theorem 6, and $q=2,2+\sigma$ and $6$. As
was stated in Sect. 2, the Lagrange transform (5) gives a $C^{1+\omega}$
($\omega\in(0,1/2)$) diffeomorphism on $\Omega$ and
$dx=\det({\mathbb{I}}+{\mathbb{k}})\,dy$, where $\\{x\\}$ and $\\{y\\}$ denote
respective Euler coordinates and Lagrange coordinates on $\Omega$ and
${\mathbb{k}}=\int^{t}_{0}\nabla{\mathbb{u}}(\cdot,s)\,ds$. By (6),
$\|{\mathbb{k}}\|_{L_{\infty}(\Omega)}\leq\delta<1$. In particular, choosing
$\delta>0$ smaller if necessary, we may assume that
$C^{-1}\leq\det({\mathbb{I}}+\int^{t}_{0}\nabla{\mathbb{u}}(\cdot,s)\,ds)\leq
C$ with some constant $C>0$ for any $(x,t)\in\Omega\times(0,T)$. Let
$y=X_{t}(x)$ be an inverse map of Lagrange transform (5), and set
$\theta(x,t)=\eta(X_{t}(x),t)$ and
${\mathbb{v}}(x,t)={\mathbb{u}}(X_{t}(x),t)$. We have
$\|(\theta,{\mathbb{v}})\|_{L_{q}(\Omega)}\leq
C\|(\eta,{\mathbb{u}})\|_{L_{q}(\Omega)}.$
Noting that
$(\eta,{\mathbb{u}})(y,t)=(\theta,{\mathbb{v}})(y+\int^{t}_{0}{\mathbb{u}}(y,s)\,ds,t)$,
the chain rule of composite functions yields that
$\displaystyle\|(\nabla(\theta,{\mathbb{v}})\|_{L_{q}(\Omega)}\leq
C(1-\|{\mathbb{k}}\|_{L_{\infty}(\Omega)})^{-1}\|\nabla(\eta,{\mathbb{u}})\|_{L_{q}(\Omega)};$
$\displaystyle\|\nabla^{2}{\mathbb{v}}\|_{L_{q}(\Omega)}\leq
C(1-\|{\mathbb{k}}\|_{L_{\infty}(\Omega)})^{-2}\|\nabla^{2}{\mathbb{u}}\|_{L_{q}(\Omega)}+(1-\|{\mathbb{k}}\|_{L_{\infty}(\Omega)})^{-1}\|\nabla{\mathbb{k}}\|_{L_{q}(\Omega)}\|\nabla{\mathbb{u}}\|_{L_{\infty}(\Omega)}.$
Thus, using $\|\nabla{\mathbb{k}}\|_{L_{q}(\Omega)}\leq
C\|<t>^{b}\nabla^{2}{\mathbb{u}}\|_{L_{p}((0,T),L_{q}(\Omega))}$ and
$\|\nabla{\mathbb{u}}\|_{L_{\infty}(\Omega)}\leq
C\|\nabla{\mathbb{u}}\|_{H^{1}_{6}(\Omega)}$, we have
$\displaystyle\|<t>^{b}\nabla(\theta,{\mathbb{v}})\|_{L_{\infty}((0,T),L_{2}(\Omega)\cap
L_{6}(\Omega))}$ $\displaystyle\leq
C\|<t>^{b}\nabla(\theta,{\mathbb{v}})\|_{L_{\infty}((0,T),L_{2}(\Omega)\cap
L_{6}(\Omega))};$
$\displaystyle\|<t>^{b}(\theta,{\mathbb{v}})\|_{L_{p}((0,T),L_{6}(\Omega))}$
$\displaystyle\leq
C\|<t>^{b}(\theta,{\mathbb{v}})\|_{L_{p}((0,T),L_{6}(\Omega))};$
$\displaystyle\|<t>^{b}(\theta,{\mathbb{v}})\|_{L_{\infty}((0,T),L_{2}(\Omega)\cap
L_{6}(\Omega))}$ $\displaystyle\leq
C\|<t>^{b}(\theta,{\mathbb{v}})\|_{L_{p}((0,T),L_{2}(\Omega)\cap
L_{6}(\Omega))};$
$\displaystyle\|<t>^{b}\nabla^{2}{\mathbb{v}}\|_{L_{p}((0,T),L_{2}(\Omega)\cap
L_{6}(\Omega))}$ $\displaystyle\leq
C(\|<t>^{b}\nabla^{2}{\mathbb{u}}\|_{L_{p}((0,T),L_{2}(\Omega)\cap
L_{6}(\Omega))}$
$\displaystyle+\|<t>^{b}\nabla^{2}{\mathbb{u}}\|_{L_{p}((0,T),L_{q}(\Omega))}\|<t>^{b}\nabla{\mathbb{u}}\|_{L_{p}((0,T),H^{1}_{6}(\Omega))}).$
Since
$\partial_{t}(\eta,{\mathbb{u}})(y,t)=\partial_{t}[(\theta,{\mathbb{v}})(y+\int^{t}_{0}{\mathbb{u}}(y,s)\,ds,t)]=\partial_{t}(\theta,{\mathbb{v}})(x,t)+{\mathbb{u}}\cdot\nabla(\theta,{\mathbb{v}})(x,t)$,
we have
$\displaystyle\|\partial_{t}(\theta,{\mathbb{v}})\|_{L_{q}(\Omega)}\leq
C\|\partial_{t}(\eta,{\mathbb{u}})\|_{L_{q}(\Omega)}+\|{\mathbb{u}}\|_{L_{\infty}(\Omega)}\|\nabla\eta\|_{L_{q}(\Omega)}+\|{\mathbb{u}}\|_{L_{q}(\Omega)}\|\nabla{\mathbb{u}}\|_{L_{\infty}(\Omega)}.$
Since
$\|\nabla\eta\|_{L_{\infty}((0,T),L_{q}(\Omega))}\leq\|\nabla\theta_{0}\|_{L_{q}(\Omega)}+C\|<t>^{b}\partial_{t}\eta\|_{L_{p}((0,T),H^{1}_{q}(\Omega))}$,
we have
$\displaystyle\|<t>^{b}\partial_{t}(\theta,{\mathbb{v}})\|_{L_{p}((0,T),L_{q}(\Omega))}$
$\displaystyle\leq
C(\|<t>^{b}\partial_{t}(\eta,{\mathbb{u}})\|_{L_{p}((0,T),L_{q}(\Omega))}$
$\displaystyle+(\|\nabla\theta_{0}\|_{L_{q}(\Omega)}+\|<t>^{b}\partial_{t}\eta\|_{L_{p}((0,T),H^{1}_{q}(\Omega))})\|<t>^{b}{\mathbb{u}}\|_{L_{p}((0,T),H^{1}_{6}(\Omega))}$
$\displaystyle+\|<t>^{b}{\mathbb{u}}\|_{L_{\infty}((0,T),L_{q}(\Omega))}\|<t>^{b}\nabla{\mathbb{u}}\|_{L_{p}((0,T),H^{1}_{6}(\Omega))}).$
By Theorem 6 we see that there exists a small constant $\epsilon>0$ such that
if initial data $(\theta_{0},{\mathbb{v}}_{0})\in{\mathcal{I}}$ satisifes the
compatibility condition: ${\mathbb{v}}_{0}|_{\Gamma}=0$ and the smallness
condition: $\|(\theta_{0},{\mathbb{v}}_{0})\|_{{\mathcal{I}}}\leq\epsilon^{2}$
then problem (1) admits unique solutions $\rho=\rho_{*}+\theta$ and
${\mathbb{v}}$ satisfying the regularity conditions (3) and
${\mathcal{E}}(\theta,{\mathbb{v}})\leq\epsilon$. This completes the proof of
Theorem 3.
## 7 Comment on the proof
Let $N\geq 3$ and $\Omega$ be an exterior domain in ${\mathbb{R}}^{N}$. Assume
that $L_{p}$-$L_{q}$ decay estmates for $C_{0}$ analytic semigroup like (66)
are valid. We choose $q_{1}=2$, $q_{2}=2+\sigma$, and $q_{3}$ in such a way
that $q_{3}>N$ and
$\frac{1}{2}+\frac{N}{2(2+\sigma)}\leq\frac{N}{2}\Bigl{(}\frac{1}{2}+\frac{1}{2+\sigma}-\frac{1}{q_{3}}\Bigr{)}.$
Namely, $q_{3}=6$ ($N=3$) and $q_{3}>N\geq 2N/(N-2)$ for $N\geq 4$. If $L_{1}$
in space estimates hold, then the global well-posedness is established with
$q_{1}=q_{2}=2$. But, so far $L_{1}$ in space estimates does not hold, and so
we have chosen $q_{1}=2$ and $q_{2}=2+\sigma$. Let $p$ and $b$ be chosen in
such a way that
$\Bigl{(}\frac{1}{2}+\frac{N}{2(2+\sigma)}-b\Bigr{)}p>1,\quad bp^{\prime}>1.$
If we write equations as
$\partial_{t}u-Au=f,\quad Bu=g\quad(t>0),\quad u|_{t=0}=u_{0}.$
Here, $Bu=g$ is corresponding to boundary conditions, and $f$ and $g$ are
corresponding to nonlinear terms. The first reduction is that $u_{1}$ is a
solution to equations:
$\partial_{t}u_{1}+\lambda_{1}u_{1}-Au_{1}=f,\quad Bu_{1}=g\quad(t>0),\quad
u_{1}|_{t=0}=u_{0}.$
Then, $u_{1}$ has the same decay properties as nonlinear terms $f$ and $g$
have. If $u_{1}$ does not belong to the domain of the operator $(A,B)$ (free
boundary conditions or slip boundary conditions cases)), in addition we choose
$u_{2}$ as a solution of equations:
$\partial_{t}u_{2}+\lambda_{1}u_{2}-Au_{2}=\lambda_{1}u_{1},\quad
Bu_{2}=0\quad(t>0),\quad u_{2}|_{t=0}=0,$
with very large constant $\lambda_{1}>0$. Since $u_{2}$ belongs to the domain
of operator $A$ for any $t>0$, we choose $u_{3}$ as a solution of equations:
$\partial_{t}u_{3}-Au_{3}=\lambda_{1}u_{2},\quad Bu_{3}=0\quad(t>0),\quad
u_{3}|_{t=0}=0.$
And then, by the Duhamel principle, we have
$u_{3}=\lambda_{1}\int^{t}_{0}T(t-s)u_{2}(s)\,ds,$
and we use (66) estimate for $0<s<t-1$ and a standard semigroup estimate for
$t-1<s<t$, that is $\|T(t-s)u_{2}(s)\|_{D(A)}\leq C\|u(s)\|_{D(A)}$ for
$t-1<s<t$, where $\|\cdot\|_{D(A)}$ is a domain norm.
When $N=2$, the method above is fail, because
$\frac{1}{2}+\frac{2}{2(2+\sigma)}<1.$
And so, Matsumura-Nishida method seems to be only the way to prove the global
wellposedness in a two dimensiona exterior domain.
## References
* [1] S. Agmon, On the eigenfunctions and on the eigenvales of general elliptic boundary value problems, Commun. Pure Appl. Math., 15 (1962), 119–147.
* [2] S. Agmon, A. Douglis and L. Nirenberg, Estimates near the boundary for solutions of elliptic partial differential equations satisfying general boundary conditions, I, Commun. Pure Appl. Math., 22 (1959), 623–727.
* [3] M. S. Agranovich and M. I. Vishik, Elliptic problems with parameter and parabolic problems of general form (Russian), Uspekhi Mat. Nauk. 19(1964) 53–161. English transl. in Russian Math. Surv., 19(1964), 53–157.
* [4] R. Danchin, Global existence in critical spaces for compressible Navier-Stokes equations, Invent. Math. 141 (2000), 579–614.
* [5] R. Danchin and P. Mucha, Critical functional framework and maximal regularity in action on systems of incompressible flows, Mémoires de la Sociéte mathématique de France 1, November 2013. DOI:10.24033/msmf.451
* [6] R. Denk and L. Volevich, Parameter-elliptic boundary value problems connected with the newton polygon, Diff. Int. Eqns., 15(3) (2002), 289–326.
* [7] Y. Enomoto and Y. Shibata, On the ${\mathcal{R}}$-sectoriality and the initial boundary value problem for the viscous compressible fluid flow, Funkcial Ekvac., 56 (2013), 441–505.
* [8] Y. Enomoto and Y. Shibata, Global existence of classical solutions and optimal decay rate for compressible flows via the theory of semigroups, Chapter 39 pp. 2085–2181 in Y. Giga and A. Novotný (eds.), Handbook of Mathematical Analysis in Mechanics of Viscous Fluids, Springer International Publishing AG, part of Springer Nature 2018. https://doi.org/10.1007/978-3-319-13344-7_52.
* [9] A. Matsumura and T. Nishida, The initial value problem for the equations of motion of compressible viscous and heat-conductive gases, J. Math. Kyoto Univ., 20 (1980), 67–104
* [10] A. Matsumura and T. Nishida, Initial boundary value problems for the equations of motion of compressible viscous and heat-conductive fluids, Commun. Math. Phys., 89 (1983), 445–464.
* [11] Y. Shibata, ${\mathcal{R}}$ Boundedness, Maximal Regularity and Free Boundary Problems for the Navier Stokes Equations, pp 193–462 in Mathematical Analysis of the Navier-Stokes Equations edts. G. P. Galdi and Y. Shibata, Lecture Notes in Math. 2254 CIME, Springer Nature Switzerland AG 2020. ISBN978-3-030-36226-3.
* [12] G. Ströhmer, About a certain class of parabolic-hyperbolic systems of differential equations, Analysis 9 (1989), 1–39.
* [13] L. Weis, Operator-valued Fourier multiplier theorems and maximal $L_{p}$-regularity, Math. Ann., 319(4)(2001), 735–758.
| arxiv-papers | 2021-07-26T03:48:06 | 2024-09-04T03:07:17.548990 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yoshihiro Shibata",
"submitter": "Yoshihiro Shibata",
"url": "https://arxiv.org/abs/2107.11944"
} |
2107.11946 | 11institutetext: School of Physical Science and Technology, Xinjiang
University, Urumqi, 830046, China
11email: [email protected] 22institutetext: Center for Theoretical Physics,
Xinjiang University, Urumqi, 830046, China
# Formation, diffusion and accreting pollution of DB white dwarfs
Chunhua Zhu 1122 Helei Liu 1122 Zhaojun Wang 1122 Guoliang Lü 1122
###### Abstract
Context. Over 1500 DBZ or DZ white dwarfs (WDs) have been observed so far, and
polluted atmospheres with metal elements have been found among these WDs. The
surface heavy element abundances of known DBZ or DZ WDs show an evolutionary
sequence. The cooling, diffusion and accretion are important physical
processes in the WD evolution which can alter the element abundances of the WD
surface.
Aims. Using the stellar evolutionary code, we investigate the DB WD formation
and the effects of input parameters$-$mixing length parameter ($\alpha_{\rm
MLT}$), thermohaline mixing efficiency ($\alpha_{\rm th}$) and the metallicity
($Z$)$-$on the structures of these DB WDs. The impacts of convective zone mass
($M_{\rm cvz}$), cooling timescales, diffusive timescales ($\tau_{\rm diff}$),
and mass-accretion rate ($\dot{M}_{\rm a}$) on the element abundances of the
WDs’ surfaces are discussed. By comparing the theoretical model results with
observations, we try to understand the evolutionary sequence of the heavy
element abundance on DBZ WD surfaces.
Methods. By using Modules for Experiments in Stellar Evolution, we create DB
WDs, and simulate the element diffusion due to high gravitational fields and
the metal-rich material accretion coming from the planet disrupted by the WD.
Then, we calculate the element abundances of these DB WDs for the further
comparison with observations.
Results. In our models, the input parameters ($\alpha_{\rm MLT}$, $\alpha_{\rm
th}$ and $Z$) have very weak effect on DB WD structures including interior
temperatures, chemical profiles and convective zones. They hardly affect the
evolution of the heavy elements on the surface of DB WDs. The mass-accretion
rate and the effective temperature of DB WDs determine the abundances of heavy
elements. The evolutionary sequence of Ca element for about 1500 observed DB
or DBZ WDs cannot be explained by the model with a constant mass-accretion
rate, but is consistent well with the model in which the mass-accretion rate
decreases by one power law when $T_{\rm eff}>10$ kK and slightly increases by
another power law when $T_{\rm eff}<10$ kK.
Conclusions. The observed DB WD evolutionary sequence of heavy element
abundances originates from WD cooling and the change of mass-accretion rate.
###### Key Words.:
white dwarfs – stars: evolution – Accretion, accretion disks
## 1 Introduction
It is well known that single stars with initial mass between $\sim 1$ and 8 M⊙
finally evolve into white dwarfs (WDs). Due to high gravitational fields
($\log\ g\sim$8 cm s-2), the heavy elements on WDs’ surfaces would diffuse
downward during WD cooling. Usually, the timescale of diffusion ($\tau_{\rm
diff}$) at the photosphere is about several to 104 years(Koester, 2009), which
is much shorter than cooling timescale ($t_{\rm cool}$) ($\sim 10^{9}$ yr)(e.
g., Shapiro & Teukolsky, 1983; Zhu et al., 2019; Lü et al., 2017, 2020).
Therefore, cool WDs should have pure hydrogen (H) or helium (He) atmospheres.
The former is called as DA WD, while the latter is called as DB WD. However,
Zuckerman et al. (2003) pointed out that more than 25% of WDs are polluted by
metal elements such as Mg, Fe, Na. WDs are called as DAZ or DBZ WDs if their
spectra show H or He lines with heavy element lines. When only heavy elements
lines are displayed in the spectra, WDs are categorized as DZ type. Three
different ways are proposed as three possible sources for the surface heavy
elements of the WDs, such as primordial or fallback stellar material,
interstellar medium, or debris disk produced by WD tidally disrupting rocky
objects (i.e. planets)(e. g., Farihi, 2016).
The pollution of WDs has been explained by ongoing accretion of planetary
debris. A number of observational evidences show the infrared emission from
debris disk around polluted WDs(Jura, 2003; Farihi et al., 2009; Girven et
al., 2012; Vanderburg et al., 2015), thus these polluted WDs become unique
laboratories for studying the interior composition of exoplanets(Zuckerman et
al., 2007; Koester et al., 2014; Jura & Young, 2014). The chemical abundances
detected on the surface of polluted WDs reflect the equilibrium between
accretion for metal-rich material and diffusive sedimentation(Koester, 2009;
Bauer & Bildsten, 2018).
Dupuis et al. (1992) firstly explored the metal traces in WDs, and they also
investigated the diffusion of metals accreted onto WDs(Dupuis et al., 1993a,
b). Koester & Wilken (2006) calculated the diffusion timescales for some
metals in DAZ WDs’ atmosphere, and estimated the accretion rates for 38 DAZ
WDs. Koester (2009) extended the above works to DAZ, DBZ and DZ WDs.
Considering diffusion and thermohaline mixing, Wachlin et al. (2017) and Bauer
& Bildsten (2018) simulated the trace of metals for DAZ WDs. Bauer & Bildsten
(2019) discussed the effects of the mixing processes (including convection,
gravitational sedimentation, overshoot, and thermohaline instability) on the
diffusion. Using new WD envelope models and diffusion, Koester et al. (2020)
investigated the atmospheres of carbon-rich WDs. In the theoretical models,
the metal abundances of several polluted WDs can be explained well if suitable
accretion rates are assumed(e. g., Koester, 2009; Bauer & Bildsten, 2018).
They also predicted that the metals would rapidly settle downward as soon as
accretion stops. However, as shown in Koester (2009) and Bauer & Bildsten
(2018), the diffusion timescales of WDs increase with their effective
temperature ($T_{\rm eff}$) decreasing. For a WD with $T_{\rm eff}<10$ kK,
$\tau_{\rm dif}$ is longer than about $10^{6}$ yr. Therefore it is imperfect
to check long-timescale diffusion theory by only comparing theoretical results
with several known cool WDs. A comparison involved a large observational
sample of DB WDs with different $T_{\rm eff}$s becomes necessary.
Thanks to many large sky surveys, the number of observed WDs are dramatically
increasing(e. g., Gaia Collaboration et al., 2016, 2018; Chambers et al.,
2016; Blouin et al., 2019). Up to now, there are more than 60000 WDs in _The
Montreal White Dwarf Database_(Dufour et al., 2017). One thousand and twenty
three of them are DBZ or DZ WDs(Coutu et al., 2019). Observationally, Dufour
et al. (2007) showed the spectroscopic and photometric data of 147 DZ WDs with
$T_{\rm eff}$ between about 6 kK and 12 kK. Based on SDSS DR10 and 12, Koester
& Kepler (2015) analyzed the data of 1107 DBZ WDs whose effective temperatures
are between about 50 kK and 11 kK. Hollands et al. (2017) identified 231 cool
DZ WDs with $T_{\rm eff}$ lower than 9 kK in SDSS DR12. They discussed the
distribution of log[Ca/He] vs. $T_{\rm eff}$ for the three samples (See Figure
11 of Hollands et al. (2017)). At about $T_{\rm eff}$ ¿ 10 kK, Ca abundances
rapidly decrease with $T_{\rm eff}$ declining. Koester & Kepler (2015)
suggested that this trend should be relative to the mass-accretion rates.
However, Ca abundances of DZ WDs with $T_{\rm eff}$ between 10 kK and 8 kK
increase by about 100 times. Hollands et al. (2017) considered that this sharp
increase might result from the decrease of convective zone mass ($M_{\rm
cvz}$) or the increase of $\tau_{\rm diff}$. The second downwards trend of Ca
abundance with $T_{\rm eff}$ appears between 9 kK and 4 kK. Hollands et al.
(2018) suggested that the trend is relative to $M_{\rm cvz}$ or $\tau_{\rm
diff}$.
Compared with DAZ WDs whose metal pollution is monotonically decreasing with
$T_{\rm eff}$ declining(Koester et al., 2014), DBZ WDs have more complicated
progresses for metal pollution. The main reason is that DB WDs undergo
different formation channels, and have distinctive interior structures. In
this work, employing the stellar evolution code, we investigate the physical
mechanisms to explain the surface metal abundance of the polluted DB WD. The
model descriptions are given in section 2. DB WD’s properties and their
accretion pollution are shown and discussed in sections 3 and 4. The paper is
closed with conclusions in section 5.
## 2 Models
In the present paper, we use _Modules for Experiments in Stellar Evolution_
(MESA, [rev. 12115]; Paxton et al. (2011, 2013, 2015, 2018, 2019)) to create
He-rich WDs without H which are noted as DB WDs, simulate the element
diffusion within them and metal-rich material accretion. There are many
factors to change the element abundances on the WD surface. Bauer & Bildsten
(2019) discussed the effects of convection, thermohaline instability,
gravitational diffusion and rotation on the element mixing of WDs. Because the
rotations velocities of most isolated WDs observationally are low(Berger et
al., 2005; Kawaler, 2015; Hermes et al., 2017), we do not consider the
rotation. Convection directly determines the timescale of element
diffusion(Koester, 2009; Bauer & Bildsten, 2018). In the present paper, we
adopt the ML2 convection prescription(Bohm & Cassinelli, 1971; Tassoul et al.,
1990), and use Ledoux criterion for convection. The table named as
’DB$\\_$WD$\\_$tau$\\_$25’ in MESA ( It is helium dominated atmosphere table
for DB WDs) is used to calculate the DB WD atmosphere boundary. The size of
convective zone depends on mixing length parameter ($\alpha_{\rm MLT}$). In
order to discuss its effect, we take $\alpha_{\rm MLT}$ as 0.8 and 1.8 in
different simulations, respectively.
Deal et al. (2013) and Wachlin et al. (2017) considered that thermohaline
mixing can change the element abundances on the surfaces of polluted WDs. MESA
adopts the method of Kippenhahn et al. (1980) to calculate the effects of
thermohaline mixing, in which parameter $\alpha_{\rm th}$ is used to give
mixing efficiency. In our work, $\alpha_{\rm th}$ is taken as 0, 1 and 1000 in
different calculations for testing its effects.
Schatzman (1945) suggested that the high gravitational fields in cool WDs
should result in the downward diffusion of heavy elements. By resolving the
Burgers equations which give multicomponent fluid’s evolutions(Burgers, 1969),
Thoul et al. (1994) investigated the element diffusion in the interior of the
Sun. Using the approach in Thoul et al. (1994), MESA can calculate the
chemical diffusion in stellar interior(Paxton et al., 2015, 2018). The
diffusion coefficients originated from Paquette et al. (1986) and updated by
Stanton & Murillo (2016) are used in our models.
Similarly, metallicity ($Z$) can also affect stellar evolutions and WD
properties. Here, Table LABEL:tab:case gives all cases in which different
input parameters are considered.
Table 1: All cases in the present paper are simulated. The first column gives the case number. Columns 2, 3 and 4 show the values of input parameters $\alpha_{\rm MLT}$, $\alpha_{\rm th}$ and $Z$, respectively. Cases | $\alpha_{\rm MLT}$ | $\alpha_{\rm th}$ | $Z$
---|---|---|---
case 1 | 1.8 | 1 | 0.02
case 2 | 0.8 | 1 | 0.02
case 3 | 1.8 | 0 | 0.02
case 4 | 1.8 | 1000 | 0.02
case 5 | 0.8 | 1 | 0.001
## 3 Formation and structures of DB WDs
Many observations have showed that there are some H elements in the
atmospheres of DBZ or DZ WDs (Voss et al., 2007; Koester & Kepler, 2015; Coutu
et al., 2019). However, the ratios of H to He abundance estimated by these
observations are lower than about $10^{-2}$. These H elements maybe
continuously be accreted by DBZ or DZ WDs from interstellar medium(Voss et
al., 2007; Koester & Kepler, 2015). Therefore, there may be no H elements left
in the atmospheres of DB WDs when they form.
Usually, the range of DBZ or DZ WDs’ masses is between about 0.4 and 1.0M⊙ and
their mass distribution has a peak around 0.6 M⊙(e. g., Han, 1998; Han et al.,
2000; Coutu et al., 2019). Take 0.6 M⊙ DB WD created by main sequence (MS)
star under input parameters in case 1 as an example, we give all details for
creating DB WDs by the following steps:
(i)The first step is showed by the black line in the left-top panel of Figure
1. The 3.5 M⊙ MS star begins to normally evolve, that is, H starts to burn in
the stellar core. The mass-loss rate ($\dot{M}$) is calculated by ’Dutch’
scheme(Paxton et al., 2011), in which $\dot{M}$ of hot and cool stars is given
by Nieuwenhuijzen & de Jager (1990); Nugis & Lamers (2000); Vink et al.
(2001); Glebbeek et al. (2009) and Reimers (1975), respectively. The element
mixing is mainly determined by convection and thermohaline instability. At
this phase, in order to save CPU time, we do not consider gravitational
diffusion.
(ii)The second step is showed by the red line in the left-top panel of Figure
1. We artificially enhance the mass-loss rate up to $10^{-4}$M⊙ yr-1 when He-
core mass is larger than 0.6 M⊙. The H-rich envelope is rapidly stripped, and
the star evolves into He star. As the red lines in the right-top panel of
Figure 1 shows, the H abundance ($X({\rm H})$) on the stellar surface
decreases from about 0.7 to about lower than $10^{-15}$, while $X({\rm He})$
increases up to about 0.98.
(iii)The third step is WD cooling, which is given by the green line. At this
time, all H element almost is lost. He element is lightest, and it floats
upward stellar surface by gravitational settling. A DB WD is created.
The left-bottom panel of Figure 1 shows the evolution in HR diagram for the
star with different $\alpha_{\rm MLT}$, $\alpha_{\rm th}$ and $Z$. Obviously,
the effects of input parameters on evolutionary tracks are negligible. The
right-bottom panel gives the change of $X({\rm Ca})$ on the stellar surface.
$X({\rm Ca})$ on the stellar surface starts to reduce because of gravitational
sedimentation at WD cooling phase.
Using similar method, we also create DB WDs with 0.4 and 0.8 M⊙, which are
showed in Figure 2. The changes of $X({\rm H})$, $X({\rm He})$ and $X({\rm
Ca})$ on these WD surfaces are given, too.
Figure 1: The 0.6 M⊙ DB WD produced by main sequence star with an initial mass of 3.5 M⊙. The left-top panel gives the evolution in HR diagram for the star in case 1 ($\alpha_{\rm MLT}=$1.8, $\alpha_{\rm th}=1$ and $Z=0.02$), in which the lines with different colors represent different evolutionary phases. The left-bottom panel shows the evolutions in HR diagram for stars with different input parameters which are given by different colors. The right-top panel is similar with the left-top panel, but for evolution of H and He abundances on the stellar surface. The right-bottom panel is similar with the left-bottom panel, but for evolution of Ca abundance on the stellar surfaces. The details can be seen in the text. Figure 2: Similar with Figure 1, but for 0.4, 0.6 and 0.8 M⊙ DB WDs produced by MS stars in case 1 with initial masses of 2.5, 3.5 and 5 M⊙, which are represented by black, red and green lines, respectively. | |
---|---|---
| |
Figure 3: Structures of 0.6 M⊙ DB WDs in different effective temperatures
($T_{\rm eff}$s) for cases 1, 2, 4 and 5, respectively. The different $T_{\rm
eff}$s are given by different lines. $T$, $v_{\rm cov}$, $X{\rm(He)}$ and
[Ca/He] represent the temperature, convective velocity, He abundance and the
abundance ratio of Ca to He, respectively.
As the left-bottom panel of Figure 1 shows, the cooling tracks of DB WDs are
hardly affected by the input parameters. Similarly, the effects of these input
parameters on DB WD internal structures can be negligible.
In Figure 3, we find that the profiles of the temperature, convective velocity
($v_{\rm cov}$), He abundance ($X{\rm(He)}$) and the abundance ratio of Ca to
He ([Ca/He]) for 0.6 M⊙ DB WD at the same effective temperature are similar.
Due to the strong gravitational diffusion of WD, heavy elements sink down and
light He element floats up. For example, $X{\rm(Ca)}$ on the DB WD’s surface
has decreased to $10^{-15}$ from initial $10^{-5}$, while a heavy He envelope
with mass of about $0.02$ M⊙ forms around WD surface. Figure 4 gives the
profiles of 0.4 and 0.8 M⊙ DB WDs for case 1. Obviously, in our model, He
layer mass is affected by WD’s mass. It changes from about 0.1 to 0.01 M⊙ when
$M_{\rm WD}$ increases from 0.4 to 0.8 M⊙.
|
---|---
Figure 4: Similar with Figure 3, but for 0.4 and 0.8 M⊙ DB WDs for case 1.
In Figure 5, we give the change of convective-zone mass ($M_{\rm cvz}$) around
WD surface with $T_{\rm eff}$. For 0.6 M⊙ DB WD showed in the left panel of
Figure 5, the effects of input parameters on $M_{\rm cvz}$ can be negligible.
The main reasons are as follows:
(i)The mixing length parameter $\alpha_{\rm MLT}$ has a weak effect on $M_{\rm
cvz}$ because high density of WDs results a small pressure scale height. For
example, it is about 10 cm for a WD with $T_{\rm eff}=6$ kK.
(ii)The thermohaline mixing hardly affects the convective zone of DB WDs,
while it can significantly affect $M_{\rm cvz}$ of DA WDs(Wachlin et al.,
2017; Bauer & Bildsten, 2018). Compared with the latter ($10^{-15}-10^{-11}$
M⊙ when $T_{\rm eff}>$ 10 kK)(Koester, 2009; Wachlin et al., 2017), $M_{\rm
cvz}$ of DB is much massive, and between about $10^{-9}-10^{-5}$ M⊙. Thick
convective zone of DB WDs dilutes the effects of thermohaline mixing, which
has been discussed by Bauer & Bildsten (2019). Simultaneously, Bauer &
Bildsten (2019) mentioned that the mean molecular weight of DB WD is more than
two times of DA WD, which dilutes thermohaline mixing effects.
(iii)Metallicity has no effect on $M_{\rm cvz}$ because the heavy elements
rapidly diffuse downward due to the strong gravitational field of WDs.
Compared with $M_{\rm cvz}$ of 0.6 M⊙ DB WD calculated by Benvenuto & Althaus
(1997) and Koester (2009), $M_{\rm cvz}$ in this work is similar with their
results when $T_{\rm eff}>\sim$ 14 kK. $M_{\rm cvz}$ in this work is between
that in Benvenuto & Althaus (1997) and Koester (2009) when $T_{\rm eff}<\sim$
14 kK. The right panel of Figure 5 shows $M_{\rm cvz}$ in the models of 0.4
and 0.8 M⊙ DB WDs. Compared with the results of Benvenuto & Althaus (1997),
$M_{\rm cvz}$ in this work is more massive. The differences mainly result from
the following possible aspects:
Firstly, in Benvenuto & Althaus (1997), the He layer mass of DB WDs is between
about $10^{-2}$ and $10^{-6}$ M⊙. However, in our work, we consider the
gravitational diffusion in DB WDs. The He layer mass is larger than $10^{-2}$
M⊙ and the heavy elements (such as Ca, Fe et al.) sink down. The different
chemical profile around WD surface can affect the convective zone.
Secondly, in Benvenuto & Althaus (1997) and Koester (2009), $M_{\rm cvz}$ is
defined by the thermal time scale. However, $M_{\rm cvz}$ is defined by Ledoux
criterion in our results. As discussed in Koester (2009), $M_{\rm cvz}$ can
differ by orders of magnitude because of different definitions.
Figure 5: The mass of convective zone ($M_{\rm cvz}$) vs. the WD’s effective
temperature ($T_{\rm eff}$). The left panel is for 0.6 M⊙ DB WD in different
cases, while right panel is for 0.4, 0.6 and 0.8 M⊙ DB WDs in case 1.
Theoretical results from Benvenuto & Althaus (1997) and Koester (2009) are
showed by different symbols. B97 and K09 refer to Benvenuto & Althaus (1997)
and Koester (2009), respectively.
## 4 Accreting pollution of DB WDs
Figure 6: The evolutions of log [Ca/He] during 0.6 M⊙ DB WD cooling, in which
the gravitational diffusion is not involved when $T>$20 kK. Black, red and
green dots represent observations from Koester & Kepler (2015), Dufour et al.
(2007) and Hollands et al. (2017), respectively.
The right-bottom panels of Figures 3 and 4 show that [Ca/He] on the surface of
DB WD has decreases to about $10^{-15}$ due to gravitational settling when
$T_{\rm eff}>$20 kK. Chayer et al. (1995a) suggested that some element
diffusion can be prevented by radiative levitation when WD temperature is
higher than 20 kK (Chayer et al., 1995b; Chayer, 2014). In Figure 6, we do a
test for 0.6 M⊙ DB WD as follows: The gravitational settling is not included
when $T_{\rm eff}$ of cooling WD is higher than 20 kK, but it is involved when
$T_{\rm eff}<$ 20 kK. We find that [Ca/He] rapidly decreases, and can not
explain observations. Therefore, the heavy elements observed on the DB WD’s
surfaces must originate from other sources. The rocky objects tidally
disrupted by DB WD are possible source(Farihi, 2016).
### 4.1 Metal-rich Material Accretion
In general, the element abundances on the surface of accreting DB WD depend on
not only the WD properties, but also mass-accretion rates ($\dot{M}_{\rm a}$)
and the chemical abundances of accreted material. In order to match the
observed properties of G29-38 in Xu et al. (2014), Bauer & Bildsten (2018)
assumed that the mass fractions of Fe, O, Mg, Si and Ca were in accreted
materials 0.307, 0.295, 0.199, 0.153 and 0.046, respectively. We adopt the
above mass fractions.
By resolving the Burgers equations, MESA can calculate the chemical diffusion
of accreting WD. Figure 7 shows the evolution of [Ca/He] on the surface of
0.6M⊙ DB WD with a mass-accretion rate of $10^{8}$ g s-1 when $T_{\rm eff}=$
20 kK. It takes about $10^{4}$ yr to reach an accretion-diffusion equilibrium
for the accreting DB WD. When the accretion stops, Ca element diffuses
downward within a diffusive timescale of about $10^{6}$ yr, which is similar
with these in Koester (2009). Obviously, input parameters ($\alpha_{\rm MLT}$,
$\alpha_{\rm th}$ and $Z$) have weak effects on the surface [Ca/He]. The main
reasons are similar with these for $M_{\rm cvz}$.
Figure 8 gives the evolution of [Ca/He] on the 0.6M⊙ DB WD with different
$\dot{M}_{\rm a}$ at different $T_{\rm eff}$s. The timescale of reaching
accretion-diffusion equilibrium is about $10^{4}$ for all models. The mass-
accretion rate and the effective temperature greatly affect the element
abundances of accreting DB WD. When $\dot{M}_{\rm a}$ decreases from $10^{10}$
to $10^{4}$ g s-1, [Ca/He] reduces from about $10^{-5}$ to $10^{-10}$. It
means that the metal abundance of accreting WD is approximately in proportion
to the mass-accretion rate. In fact, Dupuis et al. (1992) and Koester (2009)
assumed that the element abundances observed in polluted WDs should be
accretion-diffusion equilibrium, and they suggested that the mass fraction of
the i-th element ($X_{\rm cvz}$) in the convective zone is given by
$M_{\rm cvz}\frac{{\rm d}X_{\rm cvz,i}}{{\rm d}t}=\dot{M}_{\rm i}-\frac{X_{\rm
cvz,i}M_{\rm cvz}}{\tau_{\rm diff,i}},$ (1)
where $X_{\rm cvz,i}$ and $\dot{M}_{\rm i}$ is the i-th element abundance in
convective zone and the mass-accretion rate of i-th element. Here, $\tau_{\rm
diff,i}$ is the i-th element diffusive timescale, which can be estimated by
$\tau_{\rm diff,i}=\frac{M_{\rm cvz}}{4\pi R_{\rm B,cvz}^{2}\rho_{\rm
B,cvz}v_{\rm diff,i}},$ (2)
where $R_{\rm B,cvz}$ and $\rho_{\rm B,cvz}$ are the radius and the mass
density at the bottom of the convective zone, respectively. Here, $v_{\rm
diff,i}$ is the i-th element velocity of downward sedimentation at bottom of
the convective envelope. If $\tau_{\rm diff}$ is very shorter than WD
lifetime, Koester (2009) gave the relation between mass-accretion rate and the
element abundance by
$X_{\rm cvz,i}=\frac{\dot{M}_{\rm i}}{M_{\rm cvz}}\tau_{\rm diff,i}.$ (3)
Obviously, our result is consistent with Eq.(3).
However, the change of [Ca/He] with $T_{\rm eff}$ is complex. When $T_{\rm
eff}$ decreases from 20 to 10 kK, [Ca/He] reduces by about three orders of
magnitude. When it decreases from 10 to 8 kK, [Ca/He] slightly enhances. When
it decreases from 8 to 6 kK, [Ca/He] reduces by about one order of magnitude
again. This change can be explained by the relation of $T_{\rm eff}$ and
$M_{\rm cvz}$(See Figure 5).
Figure 7: The evolution of [Ca/He] on the surface of 0.6 $M_{\odot}$ DB WD
with a mass-accretion rate of $10^{8}$ g s-1 when $T_{\rm eff}=$ 20 kK.
Accretion ceases after $10^{6}$ years. The different lines represent different
cases which showed in the left-bottom zone. Figure 8: The evolution of
[Ca/He] on the surface of 0.6 $M_{\odot}$ DB WD with different mass-accretion
rates at different $T_{\rm eff}$s.
Figure 9 gives the diffusion downward of Ca element on the surface of 0.6 M⊙
DB WD after a lasting $10^{6}$ yr accretion at different $T_{\rm eff}$. The
evolution of [Ca/He] with $T_{\rm eff}$ is similar with that in Figure 8. In
fact, Figure 9 indicates the timescale of Ca element diffusion, that is
$\tau_{\rm diff,Ca}$. Obviously, it deeply depends on $T_{\rm eff}$. In our
model, $\tau_{\rm diff,Ca}$ increases from about $10^{5}$ to $10^{9}$ yr when
$T_{\rm eff}$ decreases from 20 to 6 kK. However, $\tau_{\rm diff,Ca}$ in
Koester (2009) increases from about $10^{4}$ to $10^{6}$ yr.
Figure 9: Similar with Figure 8 but for the evolution of [Ca/He] on the
surface of 0.6 $M_{\odot}$ DB WD which just experiences lasting $10^{6}$ yr
accretion at different $T_{\rm eff}$. The mass-accretion rates are showed in
the middle-top region of every panel.
Based on Eq. (2), $\tau_{\rm diff,Ca}$ depends on $M_{\rm cvz}$, $R_{\rm
B,cvz}$, $\rho_{\rm B,cvz}$ and $v_{\rm diff,Ca}$. Figure 10 shows the
profiles of $X{\rm(Ca)}$, $v_{\rm diff,Ca}$, opacity($\kappa$) and $v_{\rm
conv}$ around the surface of 0.6 M⊙ DB WDs with different $\dot{M}_{\rm a}$
and and $T_{\rm eff}$. Obviously, compared model of $10^{8}$ with that of
$10^{6}$ g s-1, the mass-accretion rate can affect $X{\rm(Ca)}$, but does not
change the internal structure of the accreting DB WD, including the opacity,
the mass density and the radius. The reason is that the matter accreted by DB
WD quickly diffuses whole convective zone. DB WD structure mainly depends on
cooling duration which is presented by the effective temperature. Due to
massive convective zone of DB WDs, compared with He element, abundances of
other heavy elements are very low. Therefore, the accreted matter can not
affect the internal structure of DB WD, which depends on the cooling duration
presented by $T_{\rm eff}$.
Combining Figures 5, 10 and 11, with DB WD cooling from $T_{\rm eff}=20$ kK to
6 kK, $M_{\rm cvz}$ increases from about $10^{-8}$ to $10^{-5}$ M⊙, $\rho_{\rm
B,cvz}$ also increases from $\sim$ 1 to $10^{3}$ g cm-3, $v_{\rm diff,Ca}$ at
the base of the surface convection zone decreases from about $10^{-6}$ to
$10^{-10}$ cm s-1, while $R_{\rm B,cvz}$ keeps constant. Therefore, $\tau_{\rm
diff,Ca}$ increases from about $10^{5}$ to $10^{9}$ yr. It means that,
compared with $t_{\rm cool}$, $\tau_{\rm diff,Ca}$ can not be neglected when
$T_{\rm eff}<10$ kK, that is, Eq. (3) is not suitable for cool polluted DB
WDs. Of course, one should note, in our model, $v_{\rm diff,Ca}$ has irregular
oscillations when $T_{\rm eff}<8$ kK. Koester (2009) did not show $v_{\rm
diff,Ca}$. However, $v_{\rm dif,Ca}$ may result in great difference of
$\tau_{\rm diff,Ca}$ between the present paper and Koester (2009).
Figure 10: The profiles of Ca abundance ($X{\rm(Ca)}$), opacity ($\kappa$), Ca
diffusive velocity ($v_{\rm diff,Ca}$), convective velocity ($v_{\rm conv}$),
mass density ($\rho$) and radius ($R$) around the surface of 0.6 $M_{\odot}$
DB WDs with a mass-accretion rates of $10^{8}$ g s-1 but different effective
temperatures which are represented by different lines. Figure 11: Similar with
Figure 10 but for $\dot{M}=10^{6}$ g s-1.
### 4.2 Accretion Pollution with Power Law
As Figure 6 shows, the [Ca/He] of about 1500 DB WDs observed by Dufour et al.
(2007), Koester & Kepler (2015) and Hollands et al. (2017) must be explained
by accretion pollution. In the panles (a), (b) and (c) of Figure 12, we give
the evolutional tracks of [Ca/He] with $T_{\rm eff}$ for DB WDs with masses of
0.4, 0.6 and 0.8 M⊙ and constant mass-accretion rates of $10^{10}$, $10^{8}$
and $10^{6}$ g s-1, respectively. Obviously, the results hardly explain the
observations.
Figure 12: Effective temperature vs. log [Ca/He] for DB WDs. Panels (a), (b),
and (c) represent models with different mass DB WDs and a constant accretion
rate ($10^{6}$, $10^{8}$ and $10^{10}$ g s-1), respectively. Panels (d) is for
the mass-accretion rate given by Eq. (5). Black, red and green dots represent
observations from Koester & Kepler (2015), Dufour et al. (2007) and Hollands
et al. (2017), respectively.
In order to model the metal abundances in GD 362’s atmosphere, Jura et al.
(2009) provided that $\dot{M}_{\rm a}$ decreases by power law:
$\dot{M}_{\rm a}=\frac{M_{\rm disk}}{t_{\rm disk}}e^{-t/t_{\rm disk}},$ (4)
where $M_{\rm disk}$ is the mass of planet disrupted by WD and $t_{\rm disk}$
is a characteristic timescale of accretion disk. Jura et al. (2009) found that
all of GD 362’s distinctive properties can be explained if $M_{\rm disk}$ is
between about $10^{25}$ and $10^{28}$ g, in which the range of $t_{\rm disk}$
is between about $2\times 10^{5}$ and $10^{9}$ yr.
However, based on Figures 8 and panels (a) - (c) of Figure 12, with DB WD
cooling, a decreasing mass-accretion rate with power law results in a
continued decrease of [Ca/He]. Therefore, it can not explain the observations.
According to our model, the mass-accretion rate should decrease when $T_{\rm
eff}>$ 10 kK, but it should increase when $T_{\rm eff}<$ 10 kK. Considering
that $T_{\rm eff}$ of WDs mainly depends on $t_{\rm cool}$ and can compare
with the observations, we assume that $\dot{M}_{\rm a}$ changes by power law:
$\dot{M}_{\rm a}=\left\\{\begin{array}[]{ll}10^{14}\times 10^{-4(\frac{20\
{\rm kK}}{T_{\rm eff}})},{\rm\ g\ s^{-1}}&T_{\rm eff}>10{\rm kK}\\\
10^{3}\times 10^{3(\frac{10\ {\rm kK}}{T_{\rm eff}})},\ \ {\rm\ g\
s^{-1}}&T_{\rm eff}<10{\rm kK}\\\ \end{array}\right.$ (5)
The panel (d) of Figure 12 gives the evolution of [Ca/He] with $T_{\rm eff}$
for DB WDs with different $M_{\rm WD}$ and an power-law $\dot{M}_{\rm a}$
described by Eq. (5). Our results are consistent with the observations for DB
WDs. The $t_{\rm disk}$ of an accretion disk composed purely of dust is higher
than $10^{9}$ yr(Farihi et al., 2008). Usually, the cooling timescale of DB WD
from 20 kK to 10 kK is about $10^{8}-10^{9}$ yr, and it is about $10^{9}$ yr
from 10 kK to 5 kK. It means that a DB WD can accrete a disk produced by
itself disrupting a planet during the whole cooling phase. The decrease of
mass-accretion rate when $T_{\rm eff}>10$ kK results from the viscous
dissipation of accretion disk(Jura et al., 2009). However, we do not find any
model to explain its enhance when $T_{\rm eff}<10$ kK. If Eq. (5) basically
represents the true trend of the mass-accretion rates, this indicates that the
accretion disk produced by WD disrupting a planet may have complex structure.
## 5 Conclusions
In order to explain the evolutionary sequence of heavy element abundances
observed among 1500 DB or DZ WDs, we use MESA to create DB WDs with masses of
0.4, 0.6 and 0.8 M⊙ by artificially stripping envelope once. The H-rich
envelope is stripped when stars evolve into red giants. We investigate the
effects of input parameters ($\alpha_{\rm MLT}$, $\alpha_{\rm th}$ and $Z$) on
DB WD structures. Due to the small pressure scale height, thick convective
zone or mean molecular weight of DB WDs, these input parameters have weak
effect on DB WD structures including interior temperatures, chemical profiles
and convective zones. Therefore, they hardly affect the evolution of heavy
elements on the surface of DB WDs.
Due to high gravitational fields of DB WDs, the element diffusion in the
theoretical model is too fast to explain the observations. Therefore, the
heavy elements on the DB WDs’ surfaces may originate from the pollution by
accreting the planet disrupted by these WDs. They mainly depend on the mass-
accretion rates and the effective temperatures of DB WDs. In our model, a
constant mass-accretion rate can not explain the evolutionary sequence of Ca
element for about 1500 observed DB or DZ WDs. However, it is consistent well
with the model in which the mass-accretion rate decreases by one power law
when $T_{\rm eff}>10$ kK and slightly increases by another power law when
$T_{\rm eff}<10$ kK. The observed DB WD evolutionary sequence of heavy element
abundances originates from WD cooling and the change of mass-accretion rate.
###### Acknowledgements.
This work received the generous support of the National Natural Science
Foundation of China, project Nos. 11763007, U2031204, and 11863005.
## References
* Bahcall & Loeb (1990) Bahcall, J. N. & Loeb, A. 1990, ApJ, 360, 267. doi:10.1086/169116
* Bauer & Bildsten (2018) Bauer, E. B., & Bildsten, L. 2018, ApJ, 859, L19
* Bauer & Bildsten (2019) Bauer, E. B., & Bildsten, L. 2019, ApJ, 872, 96
* Benvenuto & Althaus (1997) Benvenuto, O. G. & Althaus, L. G. 1997, MNRAS, 288, 1004. doi:10.1093/mnras/288.4.1004
* Berger et al. (2005) Berger, L., Koester, D., Napiwotzki, R., et al. 2005, A&A, 444, 565. doi:10.1051/0004-6361:20053340
* Blouin et al. (2019) Blouin, S., Dufour, P., Thibeault, C., et al. 2019, ApJ, 878, 63
* Bohm & Cassinelli (1971) Bohm, K. H. & Cassinelli, J. 1971, A&A, 12, 21
* Burgers (1969) Burgers, J. M. 1969, Flow Equations for Composite Gases, New York: Academic Press, 1969
* Chambers et al. (2016) Chambers, K. C., Magnier, E. A., Metcalfe, N., et al. 2016, arXiv:1612.05560
* Chayer et al. (1995a) Chayer, P., Vennes, S., Pradhan, A. K., et al. 1995, ApJ, 454, 429
* Chayer et al. (1995b) Chayer, P., Fontaine, G., & Wesemael, F. 1995, ApJS, 99, 189
* Chayer (2014) Chayer, P. 2014, MNRAS, 437, L95
* Coutu et al. (2019) Coutu, S., Dufour, P., Bergeron, P., et al. 2019, ApJ, 885, 74
* Deal et al. (2013) Deal, M., Deheuvels, S., Vauclair, G., et al. 2013, A&A, 557, L12
* Dufour et al. (2007) Dufour, P., Bergeron, P., Liebert, J., et al. 2007, ApJ, 663, 1291
* Dufour et al. (2017) Dufour, P., Blouin, S., Coutu, S., et al. 2017, 20th European White Dwarf Workshop, 509, 3
* Dupuis et al. (1992) Dupuis, J., Fontaine, G., Pelletier, C., et al. 1992, ApJS, 82, 505
* Dupuis et al. (1993a) Dupuis, J., Fontaine, G., Pelletier, C., et al. 1993, ApJS, 84, 73
* Dupuis et al. (1993b) Dupuis, J., Fontaine, G., & Wesemael, F. 1993, ApJS, 87, 345
* Farihi (2016) Farihi, J. 2016, New A Rev., 71, 9
* Farihi et al. (2009) Farihi, J., Jura, M., & Zuckerman, B. 2009, ApJ, 694, 805
* Farihi et al. (2008) Farihi, J., Becklin, E. E., & Zuckerman, B. 2008, ApJ, 681, 1470
* Gaia Collaboration et al. (2016) Gaia Collaboration, Prusti, T., de Bruijne, J. H. J., et al. 2016, A&A, 595, A1
* Gaia Collaboration et al. (2018) Gaia Collaboration, Brown, A. G. A., Vallenari, A., et al. 2018, A&A, 616, A1
* Girven et al. (2012) Girven, J., Brinkworth, C. S., Farihi, J., et al. 2012, ApJ, 749, 154
* Glebbeek et al. (2009) Glebbeek, E., Gaburov, E., de Mink, S. E., et al. 2009, A&A, 497, 255. doi:10.1051/0004-6361/200810425
* Han (1998) Han, Z. 1998, MNRAS, 296, 1019
* Han et al. (2000) Han, Z., Tout, C. A., & Eggleton, P. P. 2000, MNRAS, 319, 215
* Han et al. (2002) Han, Z., Podsiadlowski, P., Maxted, P. F. L., et al. 2002, MNRAS, 336, 449
* Han et al. (2003) Han, Z., Podsiadlowski, P., Maxted, P. F. L., et al. 2003, MNRAS, 341, 669
* Hermes et al. (2017) Hermes, J. J., Gänsicke, B. T., Kawaler, S. D., et al. 2017, ApJS, 232, 23. doi:10.3847/1538-4365/aa8bb5
* Hollands et al. (2017) Hollands, M. A., Koester, D., Alekseev, V., et al. 2017, MNRAS, 467, 4970
* Hollands et al. (2018) Hollands, M. A., Gänsicke, B. T., & Koester, D. 2018, MNRAS, 477, 93
* Jura (2003) Jura, M. 2003, ApJ, 584, L91
* Jura & Young (2014) Jura, M. & Young, E. D. 2014, Annual Review of Earth and Planetary Sciences, 42, 45
* Jura et al. (2009) Jura, M., Muno, M. P., Farihi, J., et al. 2009, ApJ, 699, 1473
* Kawaler (2015) Kawaler, S. D. 2015, 19th European Workshop on White Dwarfs, 493, 65
* Kippenhahn et al. (1980) Kippenhahn, R., Ruschenplatt, G., & Thomas, H.-C. 1980, A&A, 91, 175
* Koester & Wilken (2006) Koester, D. & Wilken, D. 2006, A&A, 453, 1051
* Koester (2009) Koester, D. 2009, A&A, 498, 517
* Koester et al. (2014) Koester, D., Gänsicke, B. T., & Farihi, J. 2014, A&A, 566, A34
* Koester & Kepler (2015) Koester, D. & Kepler, S. O. 2015, A&A, 583, A86
* Koester et al. (2020) Koester, D., Kepler, S. O., & Irwin, A. W. 2020, A&A, 635, A103
* Lü et al. (2017) Lü, G., Zhu, C., Wang, Z., et al. 2017, ApJ, 847, 62
* Lü et al. (2020) Lü, G., Zhu, C., Wang, Z., et al. 2020, ApJ, 890, 69
* Nieuwenhuijzen & de Jager (1990) Nieuwenhuijzen, H. & de Jager, C. 1990, A&A, 231, 134
* Nugis & Lamers (2000) Nugis, T. & Lamers, H. J. G. L. M. 2000, A&A, 360, 227
* Paxton et al. (2011) Paxton, B., Bildsten, L., Dotter, A., et al. 2011, ApJS, 192, 3
* Paxton et al. (2013) Paxton, B., Cantiello, M., Arras, P., et al. 2013, ApJS, 208, 4
* Paxton et al. (2015) Paxton, B., Marchant, P., Schwab, J., et al. 2015, ApJS, 220, 15
* Paxton et al. (2018) Paxton, B., Schwab, J., Bauer, E. B., et al. 2018, ApJS, 234, 34
* Paxton et al. (2019) Paxton, B., Smolec, R., Schwab, J., et al. 2019, ApJS, 243, 10
* Paquette et al. (1986) Paquette, C., Pelletier, C., Fontaine, G., et al. 1986, ApJS, 61, 177
* Reimers (1975) Reimers, D. 1975, Memoires of the Societe Royale des Sciences de Liege, 8, 369
* Schatzman (1945) Schatzman, E. 1945, Annales d’Astrophysique, 8, 143
* Shapiro & Teukolsky (1983) Shapiro, S. L. & Teukolsky, S. A. 1983, A Wiley-Interscience Publication, New York: Wiley, 1983
* Stanton & Murillo (2016) Stanton, L. G. & Murillo, M. S. 2016, Phys. Rev. E, 93, 043203
* Tassoul et al. (1990) Tassoul, M., Fontaine, G., & Winget, D. E. 1990, ApJS, 72, 335. doi:10.1086/191420
* Thoul et al. (1994) Thoul, A. A., Bahcall, J. N., & Loeb, A. 1994, ApJ, 421, 828
* Vanderburg et al. (2015) Vanderburg, A., Johnson, J. A., Rappaport, S., et al. 2015, Nature, 526, 546
* Vink et al. (2001) Vink, J. S., de Koter, A., & Lamers, H. J. G. L. M. 2001, A&A, 369, 574. doi:10.1051/0004-6361:20010127
* Voss et al. (2007) Voss, B., Koester, D., Napiwotzki, R., et al. 2007, A&A, 470, 1079
* Wachlin et al. (2017) Wachlin, F. C., Vauclair, G., Vauclair, S., et al. 2017, A&A, 601, A13
* Xu et al. (2014) Xu, S., Jura, M., Koester, D., et al. 2014, ApJ, 783, 79
* Yu et al. (2019) Yu, J., Li, Z., Zhu, C., et al. 2019, ApJ, 885, 20
* Zhu et al. (2019) Zhu, C., Liu, H., Lü, G., et al. 2019, MNRAS, 488, 525
* Zuckerman et al. (2003) Zuckerman, B., Koester, D., Reid, I. N., et al. 2003, ApJ, 596, 477
* Zuckerman et al. (2007) Zuckerman, B., Koester, D., Melis, C., et al. 2007, ApJ, 671, 872
| arxiv-papers | 2021-07-26T03:52:16 | 2024-09-04T03:07:17.566475 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Chunhua Zhu, Helei Liu, Zhaojun Wang, Guoliang Lv",
"submitter": "Guoliang Lv",
"url": "https://arxiv.org/abs/2107.11946"
} |
2107.11948 | # Spectral signatures of axionlike dark matter
Alexander V. Gramolin 0000-0001-5436-7375 Department of Physics, Boston
University, Boston, Massachusetts 02215, USA Arne Wickenbrock
0000-0001-5540-7519 Helmholtz-Institut, GSI Helmholtzzentrum für
Schwerionenforschung, Mainz 55128, Germany Johannes Gutenberg-Universität
Mainz, Mainz 55128, Germany Deniz Aybas 0000-0002-0392-5979 Department of
Physics, Boston University, Boston, Massachusetts 02215, USA Department of
Electrical and Computer Engineering, Boston University, Boston, Massachusetts
02215, USA Hendrik Bekker 0000-0002-6535-696X Helmholtz-Institut, GSI
Helmholtzzentrum für Schwerionenforschung, Mainz 55128, Germany Johannes
Gutenberg-Universität Mainz, Mainz 55128, Germany Dmitry Budker
0000-0002-7356-4814 Helmholtz-Institut, GSI Helmholtzzentrum für
Schwerionenforschung, Mainz 55128, Germany Johannes Gutenberg-Universität
Mainz, Mainz 55128, Germany Department of Physics, University of California,
Berkeley, California 94720, USA Gary P. Centers 0000-0002-3798-0343
Helmholtz-Institut, GSI Helmholtzzentrum für Schwerionenforschung, Mainz
55128, Germany Johannes Gutenberg-Universität Mainz, Mainz 55128, Germany
Nataniel L. Figueroa 0000-0001-7703-1129 Helmholtz-Institut, GSI
Helmholtzzentrum für Schwerionenforschung, Mainz 55128, Germany Johannes
Gutenberg-Universität Mainz, Mainz 55128, Germany Derek F. Jackson Kimball
0000-0003-2479-6034 Department of Physics, California State University—East
Bay, Hayward, California 94542, USA Alexander O. Sushkov 0000-0001-8895-6338
Department of Physics, Boston University, Boston, Massachusetts 02215, USA
Department of Electrical and Computer Engineering, Boston University, Boston,
Massachusetts 02215, USA Photonics Center, Boston University, Boston,
Massachusetts 02215, USA
###### Abstract
We derive spectral line shapes of the expected signal for a haloscope
experiment searching for axionlike dark matter. The knowledge of these line
shapes is needed to optimize an experimental design and data analysis
procedure. We extend the previously known results for the axion-photon and
axion-gluon couplings to the case of gradient (axion-fermion) coupling. A
unique feature of the gradient interaction is its dependence not only on
magnitudes but also on directions of velocities of galactic halo particles,
which leads to the directional sensitivity of the corresponding haloscope. We
also discuss the daily and annual modulations of the gradient signal caused by
the Earth’s rotational and orbital motions. In the case of detection, these
periodic modulations will be an important confirmation that the signal is
sourced by axionlike particles in the halo of our Galaxy.
## I Introduction
According to diverse astronomical observations, about 85% of the total mass of
the Universe can be attributed to dark matter (DM), whose origin and
composition remain unknown [1, 2, 3]. Most galaxies are thought to be embedded
in DM halos, which play a key role in their formation and evolution [4, 5].
Among the best-motivated DM candidates are the quantum chromodynamics axion
and other light pseudoscalar bosons, which are collectively referred to as
axionlike particles (ALPs) [6, 7, 8]. Their characteristic feature is low mass
($m_{a}\ll 1~{}\text{eV}/c^{2}$) that leads to high number density. This
feature distinguishes ALPs from other popular DM candidates, such as weakly
interacting massive particles (WIMPs), which are much heavier. On the scale of
laboratory detectors, ALPs exhibit wavelike, rather than particlelike,
behavior. To first approximation, axionlike DM can be described as a classical
field,
$a(t)=a_{0}\cos{(2\pi\nu_{a}t)},$ (1)
permeating space and oscillating at the ALP Compton frequency,
$\nu_{a}=m_{a}c^{2}/h$, where $c$ is the speed of light and $h=2\pi\hbar$ is
the Planck constant. The amplitude $a_{0}$ of the oscillations is related to
the local DM energy density, $\rho_{\text{DM}}$, as
$a_{0}=\hbar\sqrt{2\rho_{\text{DM}}}/(m_{a}c)$ [9]. The canonical value of
$\rho_{\text{DM}}$ is $0.3~{}\text{GeV}/\text{cm}^{3}$, which is accurate
within a factor of 2–3 [3].
Besides the gravitational interaction, there are three possible couplings
between ALPs and Standard Model particles [9]: (1) the axion-photon (or
electromagnetic) coupling that mixes ALPs and photons, (2) the axion-gluon
coupling giving rise to oscillating nuclear electric dipole moments, and (3)
the axion-fermion coupling between ALPs and nuclear or electron spins. The
first two couplings, which are proportional to $a(t)$, are referred to as the
ALP field couplings. The third one is proportional to the spatial gradient of
$a(t)$ and is therefore referred to as the gradient coupling.
All three couplings listed above are used to search for ALPs in the DM halo of
our Galaxy. The corresponding terrestrial detectors are usually called
“haloscopes” to distinguish them from “helioscopes” looking for ALPs produced
in the Sun [10]. The axion-photon interaction is the most commonly targeted,
but the other two couplings are also promising [9]. Regardless of the chosen
interaction, the knowledge of the expected signal line shape is needed to
optimize any experimental design and data analysis procedure. Although the
line shape for the axion-photon coupling has been known for decades [11, 12]
and used for data analysis in multiple experiments (e.g., ADMX [13, 14], CAPP
[15, 16], HAYSTAC [17, 18, 19], and SHAFT [20]), there are no studies of its
gradient counterpart. We fill this gap and derive spectral line shapes for
both the ALP field and the gradient couplings using the same unified approach.
We also discuss the daily and annual modulations of the gradient line shape,
which, if detected, will be an important confirmation that the signal is
sourced by ALPs in the halo of our Galaxy.
## II Stochastic model of the ALP field
Equation (1) is only an approximate model for the field $a(t)$: it assumes
that all ALPs in the galactic halo oscillate coherently and that the
corresponding spectral line shape is a delta function $\delta(\nu-\nu_{a})$. A
more realistic model should account for the speed distribution of halo
particles, which leads to a broadening of the line shape. This broadening
occurs because frequencies of moving ALPs, as seen by an external observer,
are larger than $\nu_{a}$ by an amount proportional to their kinetic energies:
$\nu_{n}=\left(1+\frac{v_{n}^{2}}{2c^{2}}\right)\nu_{a},$ (2)
where $\nu_{n}$ is the frequency of the $n$th particle and $v_{n}\ll c$ is its
speed relative to the observer. Another effect spoiling coherence is that
oscillations of different ALPs may not be synchronized. In this paper, we
follow the most common assumption that their phases are completely
uncorrelated.
The ALP field can be modeled more accurately as a superposition of $N$
independent oscillators [21]:
$a(\mathbf{r},t)=\frac{a_{0}}{\sqrt{N}}\sum\limits_{n=1}^{N}\cos{(2\pi\nu_{n}t-\mathbf{k}_{n}\cdot\mathbf{r}+\phi_{n})},$
(3)
where $\mathbf{k}_{n}=m_{a}\mathbf{v}_{n}/\hbar$ is the wave vector of the
$n$th ALP, $\mathbf{v}_{n}$ is its velocity, and the phases
$\phi_{n}\in[0,\,2\pi)$ are uniformly distributed. The velocities
$\mathbf{v}_{n}$ are sampled from the velocity distribution of halo particles.
The frequencies $\nu_{n}$ are given by Eq. (2) with $v_{n}=|\mathbf{v}_{n}|$.
The model (3) is similar to that describing chaotic light with Doppler
broadening [22].
It is instructive to qualitatively discuss the effects caused by different
terms in the cosine argument in Eq. (3). As already mentioned, the first term,
$2\pi\nu_{n}t$, leads to the broadening of the spectral line shape. To
experimentally resolve the line shape, one needs to have sufficiently long
interrogation time $T$ compared to the ALP coherence time $\tau_{c}$.
Therefore, this effect is important when $T\gg\tau_{c}$, which can be
rewritten in a form useful for quick estimates as $T[\text{s}]\gg
2/m_{a}[\text{neV}/c^{2}]$. Note that the sensitivity to the ALP coupling
scales with $T$ as $T^{1/2}$ when $T\ll\tau_{c}$ (coherent averaging) and as
$(\tau_{c}T)^{1/4}$ when $T\gg\tau_{c}$ (incoherent averaging) [23]. While the
majority of haloscope searches for the axion-photon coupling [13, 14, 15, 16,
17, 18, 19, 20] have operated in the regime where $T\gg\tau_{c}$, many of the
experiments targeting the gradient coupling [24, 25, 26, 27, 28, 29] have
operated in the $T<\tau_{c}$ regime, more amenable to a time-domain analysis
such as that presented in Refs. [30, 31]. However, the CASPEr [32] and QUAX
[33, 34] experiments, for example, are now exploring the $T\gg\tau_{c}$
regime, where knowledge of the line shape as discussed here can be important
for data analysis.
The term $\mathbf{k}_{n}\cdot\mathbf{r}$ can be eliminated, in the case of a
single detector sensitive to the ALP field couplings, by choosing the
coordinate system with $\mathbf{r}=0$. In contrast, two detectors located at
positions $\mathbf{r}_{1}$ and $\mathbf{r}_{2}$ lead to the nonvanishing term
$\mathbf{k}_{n}\cdot(\mathbf{r}_{1}-\mathbf{r}_{2})$. Therefore, an experiment
exploring correlations between two or more spatially separated detectors can
probe the three-dimensional velocity distribution of halo ALPs rather than the
speed distribution [35, 36]. The same result can be achieved with only a
single detector sensitive to the gradient coupling. This is because $\nabla a$
sourced by each ALP is proportional to its velocity $\mathbf{v}_{n}$, as can
be seen after calculating the gradient of the field (3):
$\nabla
a(\mathbf{r},t)=\frac{\sqrt{2\rho_{\text{DM}}}}{c\sqrt{N}}\sum\limits_{n=1}^{N}\mathbf{v}_{n}\sin{(2\pi\nu_{n}t-\mathbf{k}_{n}\cdot\mathbf{r}+\phi_{n})}.$
(4)
Note that the model (3) assumes that the amplitude $a_{0}$ does not have any
spatial dependence, which corresponds to a homogeneous ALP field. In the most
general case, spatial inhomogeneity of the field $a(\mathbf{r},t)$ also
contributes to the gradient (4).
Having different arguments $(2\pi\nu_{n}t+\phi_{n})$, the cosine waves in Eq.
(3) interfere with each other, which manifests in stochastic fluctuations of
the ALP field amplitude [30]. This effect is similar to acoustic beats caused
by interference between multiple tones of slightly different frequencies. The
resulting stochastic amplitudes follow a Rayleigh distribution, as discussed
in Sec. V, Appendix A, and Refs. [21, 30]. In the next two sections, we leave
these stochastic fluctuations aside and derive statistically averaged line
shapes for both types of couplings.
## III The case of ALP field couplings
In this section, we consider the case of axion-photon or axion-gluon couplings
and show how the corresponding spectral line shape can be derived. For these
couplings, the detector response (e.g., the voltage induced in a pickup coil)
is proportional to either the ALP field $a(t)$ itself or its time derivative.
The experimentalist records this response, $s(t)$, for a long interrogation
time, $T\gg\tau_{c}$. The raw time-domain data are then converted to the
frequency domain by calculating their Fourier transform, $S(\nu)$. The most
convenient quantity to analyze is the power spectral density (PSD, also called
power spectrum), which is $|S(\nu)|^{2}$. The PSD shows how the average power
of the signal is distributed over the frequency $\nu$. It satisfies Parseval’s
theorem
$P=\frac{1}{T}\int\limits_{0}^{T}|s(t)|^{2}\,dt=\int\limits_{0}^{\infty}|S(\nu)|^{2}\,d\nu,$
(5)
where $P$ is the signal power averaged over the interrogation time $T$. The
spectral line shape, $\lambda(\nu)$, is a closely related quantity, defined as
$\lambda(\nu)=|S(\nu)|^{2}/P$, so that it is normalized to unity:
$\int\limits_{0}^{\infty}\lambda(\nu)\,d\nu=1.$ (6)
To derive the line shape, we assume a continuous limit, $N\rightarrow\infty$,
of the discrete model for the ALP field discussed in Sec. II. Then, Eq. (2)
can be rewritten as
$v(\nu)=c\sqrt{2(\nu/\nu_{a}-1)}.$ (7)
Equation (7) suggests that $\lambda(\nu)$ can be obtained from the
distribution function, $f(v)$, of ALP speeds in the halo by changing variables
from $v$ to $\nu$:
$\left.\lambda(\nu)=f(v)\,\frac{dv}{d\nu}\right|_{v=c\sqrt{2(\nu/\nu_{a}-1)}}.$
(8)
In the case of gradient coupling, Eq. (8) involves a more complicated
distribution function that accounts for the spatial orientation of the
detector (see Sec. IV).
As is typical for direct-detection experiments, we assume the standard halo
model for the DM halo of our Galaxy [37, 38]. According to this model, the
velocities $\mathbf{v}$ of DM particles in the galactic rest frame follow the
Maxwell-Boltzmann distribution
$f_{\text{gal}}^{(3)}(\mathbf{v})\,d^{3}\mathbf{v}=\frac{1}{\pi^{3/2}v_{0}^{3}}\exp{\left(-\frac{\mathbf{v}^{2}}{v_{0}^{2}}\right)}\,d^{3}\mathbf{v},$
(9)
where $v_{0}\approx 220~{}\text{km}/\text{s}$ is the circular rotation speed
of the Galaxy at the solar radius [38]. To clearly distinguish between one-
dimensional and three-dimensional distribution functions, we denote them as
$f(v)$ and $f^{(3)}(\mathbf{v})$, respectively. Note that DM particles moving
faster than the escape speed, $v_{\text{esc}}\approx
544~{}\text{km}/\text{s}$, are not bound by the gravitational potential of the
Galaxy. Therefore, the distribution (9) should be truncated at
$|\mathbf{v}|>v_{\text{esc}}$, but this effect leads to only minor corrections
that are not significant for our analysis.
The velocity distribution (9) should be modified to account for the fact that
any Earth-based laboratory moves through the DM halo with a relative velocity
$\mathbf{v}_{\text{lab}}$:
$f_{\text{lab}}^{(3)}(\mathbf{v})=f_{\text{gal}}^{(3)}(\mathbf{v}-\mathbf{v}_{\text{lab}}).$
(10)
The velocity $\mathbf{v}_{\text{lab}}$ is dominated by the Sun’s motion
relative to the galactic frame at the speed $v_{\odot}\approx
233~{}\text{km}/\text{s}$. However, both the magnitude and the direction of
$\mathbf{v}_{\text{lab}}$ are periodically modulated due to the orbital and
rotational motions of the Earth. These modulations are considered in Sec. VI,
but for now we assume that $\mathbf{v}_{\text{lab}}$ is fixed.
To derive the lab-frame speed distribution, $f_{\text{lab}}(v)$, from the
velocity distribution (10), we employ spherical coordinates
$(v,\,\theta,\,\phi)$ chosen such that $v=|\mathbf{v}|$, the $z$ axis is
directed along $\mathbf{v}_{\text{lab}}$, and the polar angle $\theta$ is the
angle between $\mathbf{v}$ and $\mathbf{v}_{\text{lab}}$ (see Fig. 1). Then,
taking into account that
$d^{3}\mathbf{v}=v^{2}\,dv\,\sin{\theta}\,d\theta\,d\phi$, we can write
$f_{\text{lab}}(v)$ as the following integral over the angles $\theta$ and
$\phi$:
$f_{\text{lab}}(v)\,dv=v^{2}\,dv\int\limits_{0}^{2\pi}d\phi\int\limits_{0}^{\pi}f_{\text{lab}}^{(3)}(\mathbf{v})\sin{\theta}\,d\theta.$
(11)
After substituting into Eq. (8) the result of the integration (11) and the
derivative of Eq. (7), we finally obtain the following spectral line shape:
$\displaystyle\lambda(\nu)=\frac{2c^{2}}{\sqrt{\pi}v_{0}v_{\text{lab}}\nu_{a}}\exp{\left(-\frac{\beta^{2}v_{0}^{2}}{4v_{\text{lab}}^{2}}-\frac{v_{\text{lab}}^{2}}{v_{0}^{2}}\right)}\sinh{\beta},$
(12)
where $v_{\text{lab}}=|\mathbf{v}_{\text{lab}}|$ and we have denoted for
brevity
$\beta=\frac{2cv_{\text{lab}}}{v_{0}^{2}}\sqrt{\frac{2(\nu-\nu_{a})}{\nu_{a}}}.$
(13)
In different forms, the line shape (12) has been previously reported in Refs.
[12, 39, 35, 21].
Figure 1: The coordinate system used in this paper. The following vectors are
shown: $\mathbf{v}_{\text{lab}}=(0,\,0,\,v_{\text{lab}})$ is the laboratory
velocity relative to the galactic rest frame,
$\mathbf{v}=(v\sin{\theta}\cos{\phi},\,v\sin{\theta}\sin{\phi},\,v\cos{\theta})$
is the velocity of an individual ALP in the galactic rest frame, and
$\mathbf{\hat{n}}=(\sin{\alpha},\,0,\,\cos{\alpha})$ is the unit vector
directed along the external static magnetic field $\mathbf{B}_{0}$.
We can also obtain an expression for the corresponding power spectrum. Let us
assume that the signal is $s(t)=\kappa a(t)$, where $a(t)$ is the ALP field
and $\kappa$ is a factor proportional to the coupling strength and dependent
on specific experimental details. Then, according to Parseval’s theorem (5),
$|S(\nu)|^{2}=\frac{1}{2}(\kappa a_{0})^{2}\lambda(\nu).$ (14)
The dimensionless line shape $\nu_{a}\lambda(\nu)$ is shown as a black dotted
curve in Fig. 2(a). Its shape is highly asymmetric: there is a steep rise
starting at the frequency $\nu_{a}$ and a long tail at high frequencies. We
can also see that the spectral linewidth, defined as the full width at half
maximum, is $\Delta\nu/\nu_{a}\approx v_{0}^{2}/c^{2}\approx 10^{-6}$.
Assuming that the relationship between the linewidth $\Delta\nu$ and the
coherence time $\tau_{c}$ is the same as for a Lorentzian [22], we can
estimate
$\tau_{c}=\frac{1}{\pi\Delta\nu}\approx\frac{2\hbar}{m_{a}v_{0}^{2}}.$ (15)
Note that there is an ambiguity, up to a factor of $2\pi$, in the definitions
of $\tau_{c}$ used in the literature [30].
## IV The case of gradient coupling
The axion-fermion (or gradient) coupling to nuclear spins can be described by
the nonrelativistic Hamiltonian
$H=\hbar cg\,\nabla a\cdot\mathbf{I},$ (16)
where $g$ is the coupling strength, $\nabla a$ is the spatial gradient of the
ALP field, and $\mathbf{I}$ is the nuclear spin operator [9]. Note that the
factor $\hbar c$ in Eq. (16) is written assuming that the combination $ga_{0}$
is dimensionless. By drawing an analogy with the Zeeman effect, we can think
of $\nabla a$ as a pseudomagnetic field oscillating at the frequency
$\nu_{a}$. There are different experimental approaches for detecting this
field. For example, the CASPEr-ZULF experiments [26, 27] search for ALP-
induced modulations of Zeeman splittings between nuclear energy levels in an
ultralow external magnetic field $\mathbf{B}_{0}$. Since these experiments
measure small perturbations of the leading magnetic field, they are sensitive
only to the component of $\nabla a$ parallel to $\mathbf{B}_{0}$. Therefore,
the time-domain signal can be written in this case as
$s_{\parallel}(t)=\kappa_{\parallel}\nabla a_{\parallel}(t)$, where the factor
$\kappa_{\parallel}$ depends on the coupling strength and specific
experimental details.
The CASPEr-Gradient and CASPEr-Electric experiments use a different approach
[23, 32]. An ensemble of nuclear spins is initially polarized in a strong
magnetic field $\mathbf{B}_{0}$ so that the net magnetization of the sample is
parallel to $\mathbf{B}_{0}$. The ALP-induced pseudomagnetic field serves as
an oscillating driving field. If the Larmor frequency of the nuclear spins
[$\nu_{L}=\gamma B_{0}/(2\pi)$, where $\gamma$ is the gyromagnetic ratio of
the nuclei] matches the frequency $\nu_{a}$ of the driving field, then a
magnetic resonance occurs, resulting in a torque on the nuclear spins. This
torque causes the spins to precess around the $\mathbf{B}_{0}$ axis, which
leads to an oscillating transverse magnetization of the sample. One can use a
sensitive magnetometer to detect this transverse magnetization. This
experimental technique is sensitive only to the component of $\nabla a$
perpendicular to $\mathbf{B}_{0}$. Therefore, the signal in this case is
$s_{\perp}(t)=\kappa_{\perp}\nabla a_{\perp}(t)$. Note that CASPEr-Electric
[32] is sensitive to both the axion-gluon and the axion-fermion couplings, but
here we focus on the latter, since the signal due to the axion-gluon coupling
has the line shape (12).
In the rest of this section, we derive spectral line shapes and power spectra
for the two types of experiments described above. We characterize the
direction of the leading magnetic field $\mathbf{B}_{0}$ by the unit vector
$\mathbf{\hat{n}}=\mathbf{B}_{0}/B_{0}$ (see Fig. 1). As follows from Eq. (4),
each ALP produces a $\nabla a$ that is proportional to its velocity
$\mathbf{v}$. Therefore, to take into account the directional sensitivity of
the detector, we first determine the components of $\mathbf{v}$ parallel and
perpendicular to the leading field:
$v_{\parallel}=\mathbf{v}\cdot\mathbf{\hat{n}},\qquad
v_{\perp}=\sqrt{v^{2}-v_{\parallel}^{2}}.$ (17)
Then, we integrate over the angles $\theta$ and $\phi$ in the same way as in
Eq. (11) but with the integrand multiplied by each of the squared components
(17):
$f_{\parallel,\perp}(v)\,dv=\frac{v^{2}\,dv}{C_{\parallel,\perp}}\int\limits_{0}^{2\pi}d\phi\int\limits_{0}^{\pi}v_{\parallel,\perp}^{2}f_{\text{lab}}^{(3)}(\mathbf{v})\sin{\theta}\,d\theta,$
(18)
where the normalization coefficients,
$C_{\parallel}=\frac{v_{0}^{2}}{2}+v_{\text{lab}}^{2}\cos^{2}{\alpha},\quad
C_{\perp}=v_{0}^{2}+v_{\text{lab}}^{2}\sin^{2}{\alpha},$ (19)
which depend on the angle $\alpha$ between the vectors $\mathbf{\hat{n}}$ and
$\mathbf{v}_{\text{lab}}$, are chosen so that
$\int\limits_{0}^{\infty}f_{\parallel,\perp}(v)\,dv=1.$ (20)
The factors $v_{\parallel}^{2}$ and $v_{\perp}^{2}$ appear in Eq. (18) because
the corresponding PSDs are proportional to $|\nabla a_{\parallel}|^{2}$ and
$|\nabla a_{\perp}|^{2}$, respectively. The normalization coefficients (19)
ensure that $f_{\parallel}(v)$ and $f_{\perp}(v)$ are proper distribution
functions.
After calculating $f_{\parallel}(v)$ and substituting the result into Eq. (8),
we obtain the following spectral line shape for experiments sensitive to the
parallel component of the gradient:
$\displaystyle\lambda_{\parallel}(\nu)=\lambda(\nu)\,\frac{2c^{2}}{C_{\parallel}}\frac{\nu-\nu_{a}}{\nu_{a}}$
$\displaystyle{}\times\left[\cos^{2}{\alpha}-\frac{1}{\beta}\left(\coth{\beta}-\frac{1}{\beta}\right)\left(2-3\sin^{2}{\alpha}\right)\right].$
(21)
Similarly, by repeating the same calculation for $f_{\perp}(v)$, we derive the
line shape for the case of magnetic resonance experiments sensitive to $\nabla
a_{\perp}$:
$\displaystyle\lambda_{\perp}(\nu)=\lambda(\nu)\,\frac{2c^{2}}{C_{\perp}}\frac{\nu-\nu_{a}}{\nu_{a}}$
$\displaystyle{}\times\left[\sin^{2}{\alpha}+\frac{1}{\beta}\left(\coth{\beta}-\frac{1}{\beta}\right)\left(2-3\sin^{2}{\alpha}\right)\right].$
(22)
The dimensionless quantities $\nu_{a}\lambda_{\parallel}(\nu)$ and
$\nu_{a}\lambda_{\perp}(\nu)$ are shown in Fig. 2(a) for two spatial
orientations of the detector ($\alpha=0$ and $\alpha=\pi/2$). We can see that
the gradient line shapes rise slower and reach maxima at higher frequencies
than the curve (12). There is also a noticeable dependence of the gradient
line shapes on the angle $\alpha$.
After taking into account Parseval’s theorem (5), we obtain the following
expressions for the corresponding PSDs:
$\displaystyle|S_{\parallel}(\nu)|^{2}$
$\displaystyle=P_{\parallel}\lambda_{\parallel}(\nu),$ (23)
$\displaystyle|S_{\perp}(\nu)|^{2}$
$\displaystyle=P_{\perp}\lambda_{\perp}(\nu),$ (24)
where
$P_{\parallel,\perp}=\frac{\rho_{\text{DM}}}{c^{2}}\kappa_{\parallel,\perp}^{2}C_{\parallel,\perp}$
(25)
is the total signal power. Note that, as can be seen from Eq. (17),
$P_{\parallel}$ results from a projection along the axis defined by
$\mathbf{\hat{n}}$, while $P_{\perp}$ results from a projection into the plane
orthogonal to $\mathbf{\hat{n}}$. In the parallel case, the signal power is
maximum when $\alpha=0$ and minimum when $\alpha=\pi/2$. In the perpendicular
case, it is vice versa. The ratio of the maximum to the minimum values is
$\approx 3$ for $P_{\parallel}$ and $\approx 2$ for $P_{\perp}$ (assuming that
$v_{0}\approx v_{\text{lab}}$).
Figure 2: Spectral line shapes and power spectra for both types of ALP
couplings. (a) Dimensionless line shapes $\nu_{a}\lambda(\nu)$, where
$\lambda(\nu)$ are given by Eqs. (12), (21), and (22). For the gradient
coupling, we consider two detector orientations: $\alpha=0$ and
$\alpha=\pi/2$. Note that the line shape $\lambda_{\perp},~{}\alpha=0$
coincides with $\lambda_{\parallel},~{}\alpha=\pi/2$. (b) Monte Carlo
simulation (solid curve) and analytical description (dotted curve) for the
power spectrum in the case of ALP field couplings. Monte Carlo results are
obtained by averaging over 500 PSDs calculated using a Fourier transform of
the time-domain signal $s(t)=\kappa a(t)$, where $a(t)$ is given by Eq. (3).
Note that we increased the linewidth by a factor of $10^{6}$ to reduce the
computational cost of the simulation. The analytical PSD is given by Eq. (14).
(c) Similar to panel (b) but for the parallel gradient case. Two detector
orientations are considered: $\alpha=0$ and $\alpha=\pi/2$. The time-domain
signal is $s(t)=\kappa_{\parallel}\nabla a_{\parallel}(t)$, where $\nabla
a(t)$ is given by Eq. (4). The analytical PSD is given by Eq. (23). (d)
Similar to panels (b) and (c) but for the perpendicular gradient case. The
time-domain signal is $s(t)=\kappa_{\perp}\nabla a_{\perp}(t)$, and the
analytical PSD is given by Eq. (24).
## V Statistics of the ALP field
The line shapes and power spectra derived in the previous sections are smooth
functions of frequency $\nu$. However, the ALP field (3) is a stochastic
variable in the sense that its amplitude and phase vary randomly on a
timescale of $\tau_{c}$. As a consequence, even for a noiseless experiment
sensitive to axionlike DM, the power spectrum is a stochastic function of
$\nu$ [21, 30, 31]. The expressions (14), (23), and (24) are the expected
values for the corresponding PSDs. In this section, we discuss the statistical
properties of both the ALP field and the resulting power spectra.111While we
have not carried out a detailed comparison of results, our treatment here is
based on the same assumptions as the approaches described in Refs. [21, 30,
31] to analyze the stochastic properties of ALP signals. In particular, our
power spectra should correspond, in the regime $T\gg\tau_{c}$, to Fourier
transforms of the correlation functions obtained in Ref. [31]. Although the
authors of Ref. [31] point out some differences in their approach with respect
to that described in a preprint of Ref. [30], it turns out that these
differences were corrected for in the published version of Ref. [30].
Before proceeding, let us make a digression into probability theory to
introduce results important for the subsequent discussion (see Appendix A for
further details). Consider a random variable $z$ defined as the sum,
$z=\sum\limits_{n=1}^{N}s_{n}\exp{(i\phi_{n})},$ (26)
of complex numbers with random magnitudes $s_{n}$ and arguments $\phi_{n}$.
The $s_{n}$ values are drawn from some probability distribution with mean
$\mu_{s}$ and variance $\sigma_{s}^{2}$, while the phases
$\phi_{n}\in[0,\,2\pi)$ follow a uniform distribution. The summation (26)
corresponds to a two-dimensional random walk on the complex plane: we start at
the origin and make $N$ steps, each of size $s_{n}$ and in the direction given
by the angle $\phi_{n}$. Then, the complex number $z=x+iy$ specifies the
$(x,\,y)$ coordinates of the end point. As shown in Appendix A using the
central limit theorem, both $x$ and $y$ are drawn from the normal distribution
with zero mean and variance $\sigma^{2}=N(\mu_{s}^{2}+\sigma_{s}^{2})/2$. This
result does not depend on the specific probability distribution for $s_{n}$ as
long as $N\gg 1$.
It is also instructive to rewrite $z$ in polar form as
$z=r\exp{(i\phi^{\prime})}$. Then, the absolute value $r=\sqrt{x^{2}+y^{2}}$
represents the distance from the origin and follows the Rayleigh distribution
with probability density function
$p\,(r;\,\sigma)=\frac{r}{\sigma^{2}}\exp{\left(-\frac{r^{2}}{2\sigma^{2}}\right)}.$
(27)
The argument $\phi^{\prime}$ follows a uniform distribution, which reflects
the isotropy of the random walk.
The above results allow us to evaluate the sum of cosine waves having the same
frequency $\nu$ but random amplitudes $s_{n}$ and phases $\phi_{n}$ as
$\displaystyle\sum\limits_{n=1}^{N}s_{n}\cos{(2\pi\nu t+\phi_{n})}$
$\displaystyle=\sqrt{\frac{N(\mu_{s}^{2}+\sigma_{s}^{2})}{2}}\,r^{\prime}\cos{(2\pi\nu
t+\phi^{\prime})},$ (28)
where $\phi^{\prime}\in[0,\,2\pi)$ is drawn from a uniform distribution and
$r^{\prime}$ is drawn from the Rayleigh distribution (27) with $\sigma=1$.
Equation (28) is derived in Appendix A, and a similar relation also holds for
sine waves. Note that the variable $r^{\prime}=r/\sigma$ in Eq. (28) is a
dimensionless version of the distance $r$ discussed in the previous paragraph.
We are now ready to consider the case of ALP field couplings and calculate the
sum (3) over all $N$ terms. To make this calculation feasible, we partition
the full set of $N$ particles into subsets labeled by index $j$ and containing
$N_{j}$ ALPs with lab-frame speeds between $v_{j}$ and $v_{j}+\Delta v$, where
$\Delta v$ is a small interval [21]. The contribution of the $j$th subset to
the ALP field $a(t)$ can be evaluated as
$\displaystyle
a_{j}(t)=\frac{a_{0}}{\sqrt{N}}\sum\limits_{n=1}^{N_{j}}\cos{(2\pi\nu_{j}t+\phi_{n})}$
$\displaystyle=\frac{a_{0}}{\sqrt{N}}\sqrt{\frac{N_{j}}{2}}\,r^{\prime}_{j}\cos{\left(2\pi\nu_{j}t+\phi^{\prime}_{j}\right)},$
(29)
where the first line follows from Eq. (3) with $\mathbf{r}=0$ and the
summation is performed using Eq. (28) with $s_{n}=1$, $\mu_{s}=1$, and
$\sigma_{s}=0$, which corresponds to a random walk with unit step size. After
summing over all the subsets, we finally obtain
$a(t)=\frac{a_{0}}{\sqrt{2}}\sum\limits_{j}\sqrt{f_{\text{lab}}(v_{j})\Delta
v}\,r^{\prime}_{j}\cos{\left(2\pi\nu_{j}t+\phi^{\prime}_{j}\right)},$ (30)
where we have taken into account that the number of ALPs in the $j$th subset
is $N_{j}=Nf_{\text{lab}}(v_{j})\Delta v$ with $f_{\text{lab}}(v)$ given by
Eq. (11).
Each term in the sum (30) corresponds to the subset containing ALPs with
speeds $\approx v_{j}$ and frequencies $\approx\nu_{j}$, where $\nu_{j}$ is
given by Eq. (2). The relative contribution of each subset is governed by two
factors: $\sqrt{f_{\text{lab}}(v_{j})}$ and $r^{\prime}_{j}$. The former,
deterministic factor describes the expected value of the field amplitude at
the frequency $\nu_{j}$ and is related to the spectral line shape
$\lambda(\nu_{j})$ by Eq. (8). The latter, stochastic factor is drawn from the
Rayleigh distribution (27) with $\sigma=1$. It is in this sense that the
amplitude of the ALP field is a Rayleigh-distributed stochastic variable.
Since the power contained in the $j$th subset is proportional to
$|a_{j}(t)|^{2}$, it is also a stochastic variable distributed as
$(r^{\prime}_{j})^{2}$. As discussed in Appendix A, the square of a Rayleigh-
distributed variable follows an exponential distribution. Therefore, the PSD
at each frequency $\nu_{j}$ is drawn from the exponential distribution with
probability density function
$p\left(|S_{j}(\nu_{j})|^{2}\right)=\frac{1}{|S(\nu_{j})|^{2}}\exp{\left(-\frac{|S_{j}(\nu_{j})|^{2}}{|S(\nu_{j})|^{2}}\right)},$
(31)
where $|S(\nu_{j})|^{2}$ is the expected value given by Eq. (14).
The same approach can be extended to the case of gradient coupling. We skip
the intermediate steps and provide here only the final result for the parallel
and perpendicular components of the ALP field gradient:
$\displaystyle\nabla
a_{\parallel,\perp}(t)=\frac{\sqrt{\rho_{\text{DM}}}}{c}\sum\limits_{j}\left[\sqrt{\mu_{\parallel,\perp}^{2}(v_{j})+\sigma_{\parallel,\perp}^{2}(v_{j})}\rule{0.0pt}{14.22636pt}\right.$
$\displaystyle\times\left.\sqrt{f_{\text{lab}}(v_{j})\Delta
v}\,r^{\prime}_{j}\sin{\left(2\pi\nu_{j}t+\phi^{\prime}_{j}\right)}\right],$
(32)
where $\mu_{\parallel,\perp}(v_{j})$ and $\sigma_{\parallel,\perp}^{2}(v_{j})$
are the mean and variance of $v_{\parallel,\perp}$ for the $j$th subset, see
Eqs. (51) and (52) for explicit definitions. As shown in Appendix B,
$\bigl{(}\mu_{\parallel,\perp}^{2}+\sigma_{\parallel,\perp}^{2}\bigr{)}f_{\text{lab}}(v_{j})=C_{\parallel,\perp}f_{\parallel,\perp}(v_{j}),$
(33)
with $f_{\parallel,\perp}(v)$ given by Eq. (18). Since the factor (33) is
deterministic and the factor $r^{\prime}_{j}$ in Eq. (32) is again Rayleigh
distributed, the gradient coupling has the same statistical properties as the
nongradient couplings considered above. In particular, the power spectra
follow the exponential distribution (31), with the expected values given by
Eqs. (23) and (24).
To illustrate the stochastic nature of the ALP field and to verify our
derivation of the power spectra, we performed a dedicated Monte Carlo
simulation. In the nongradient case, we generated the time-domain signal
$s(t)=\kappa a(t)$ using the model (3) for $a(t)$ with $N=10^{3}$ particles.
We sampled ALP velocities according to the Maxwell-Boltzmann distribution (9)
and then used Eq. (10) to transform them from the galactic rest frame to the
laboratory frame. We assumed the following parameters: Compton frequency
$\nu_{a}=1~{}\text{kHz}$, sampling frequency of 10 kHz, and interrogation time
$T=0.05~{}\text{s}$. To reduce the computational cost of the simulation, we
set $v_{0}=2.2\times 10^{5}~{}\text{km}/\text{s}$ and
$v_{\text{lab}}=2.33\times 10^{5}~{}\text{km}/\text{s}$, which increased the
width of the spectral line by a factor of $10^{6}$ while preserving its
characteristic shape. We calculated the power spectrum by performing a Fourier
transform of the signal $s(t)$ and normalizing the result according to
Parseval’s theorem (5). We repeated this process 500 times and averaged over
the individual PSDs in order to reduce the size of stochastic fluctuations.
The resulting averaged power spectrum is shown in Fig. 2(b) in comparison with
the analytical formula (14).
For the gradient coupling, we followed the same procedure but assumed that
$s(t)=\kappa_{\parallel}\nabla a_{\parallel}(t)$ in the parallel case and
$s(t)=\kappa_{\perp}\nabla a_{\perp}(t)$ in the perpendicular case, where
$\nabla a(t)$ is given by Eq. (4). We obtained the corresponding projections
of $\nabla a$ by substituting the velocity components (17) into Eq. (4)
instead of $\mathbf{v}$. The resulting averaged power spectra are shown in
Figs. 2(c) and 2(d) for the parallel and perpendicular cases, respectively,
and for two spatial orientations of the detector ($\alpha=0$ and
$\alpha=\pi/2$). For both types of couplings, there is good agreement between
the Monte Carlo simulation and our analytical expressions. We also verified
that the distribution of PSD values within each frequency bin matches the
exponential distribution (31). Despite the averaging, the simulated power
spectra are stochastic and scattered around the expected values, as can be
seen in Fig. 2. For further details on the simulation, we refer the reader to
our Python code [40].
Finally, we note that there is an important difference between the gradient
and the nongradient cases. As shown in Ref. [30], stochastic amplitude
fluctuations can reduce the sensitivity of an axion-photon or an axion-gluon
haloscope by as much as an order of magnitude in the regime with
$T\ll\tau_{c}$. A gradient haloscope with three mutually orthogonal
sensitivity axes is significantly less susceptible to this effect. This is
because both the stochastic amplitude $r^{\prime}_{j}$ and the phase
$\phi^{\prime}_{j}$ are independent random variables for each of the three
axes and for each frequency $\nu_{j}$. Although we are unable to resolve
different frequencies when $T\ll\tau_{c}$, we still have three independently
sampled amplitudes. The probability of all three values being small is
suppressed compared to the nongradient case of a single amplitude.
## VI Periodic modulations of the gradient signal
As already mentioned, the observer’s velocity relative to the galactic DM halo
is periodically modulated due to the Earth’s orbital and rotational motions.
It is well known that annual modulations in the event detection rate are an
important experimental signature for WIMP searches [41, 42, 37]. The same is
true for axionlike DM experiments. One needs to know the time dependence of
the power spectrum in order to optimize the detector sensitivity and data
analysis procedure. In the case of detection, the periodic modulations will be
an important confirmation that the signal is indeed sourced by the galactic DM
halo. In this section, we consider annual and daily modulations of the
axionlike DM signal in the case of gradient coupling.
Both the magnitude and the direction of the vector $\mathbf{v}_{\text{lab}}$
vary with time. There are several reasons why it is convenient to consider
these time dependences separately. First, the line shape (12) is sensitive
only to the magnitude $v_{\text{lab}}=|\mathbf{v}_{\text{lab}}|$. Second, the
time dependence of $v_{\text{lab}}$ is dominated by the orbital motion of the
Earth and can be neglected for short experiments (when $T\ll 1$ year). On the
other hand, the direction of $\mathbf{v}_{\text{lab}}$ varies daily due to the
Earth’s rotation. Moreover, we show below that the gradient signal is affected
more strongly by the changes in the direction of $\mathbf{v}_{\text{lab}}$
than by the annual variations in its magnitude.
The magnitude of $\mathbf{v}_{\text{lab}}$ is given, neglecting the 0.2%
contribution from the Earth’s rotation, by the following expression [43, 21]:
$v_{\text{lab}}(t)=\sqrt{v_{\odot}^{2}+v_{\oplus}^{2}+\eta\,v_{\odot}v_{\oplus}\cos{\left[\omega_{y}(t-\tau)\right]}},$
(34)
where $v_{\odot}=233~{}\text{km}/\text{s}$ is the speed of the Sun in the
galactic rest frame, $v_{\oplus}=29.8~{}\text{km}/\text{s}$ is the orbital
speed of the Earth revolving around the Sun,
$\omega_{y}=2\pi/(365~{}\text{days})$ is the Earth’s orbital angular speed,
and $\eta\approx 0.982$ accounts for the inclination angle of about
$60^{\circ}$ between the Earth’s orbit and the galactic plane. Note that the
rotational speed of the Earth at the equator is about
$0.47~{}\text{km}/\text{s}$, which is negligible compared to $v_{\oplus}$. The
time offset in Eq. (34) is $\tau=t_{y}+\bar{t}$, where $t_{y}$ is the time of
the vernal equinox (occurring usually on March 20) and $\bar{t}=72.4$ days.
The dependence $v_{\text{lab}}(t)$ given by Eq. (34) is shown in Fig. 3(a) for
a one-year period starting on January 1. We can see that $v_{\text{lab}}$
varies by only 13%, from $220~{}\text{km}/\text{s}$ (around December 1) to
$249~{}\text{km}/\text{s}$ (around June 1). This annual variation corresponds
to the 19% and 14% changes in the signal powers $P_{\parallel}$ and
$P_{\perp}$, respectively, assuming that the angles $\alpha$ are chosen to
maximize the sensitivity ($\alpha=0$ for $P_{\parallel}$ and $\alpha=\pi/2$
for $P_{\perp}$). As we will see below, typical variations in the signal power
due to daily modulations of $\alpha$ are significantly larger (of the order of
100%).
Figure 3: Periodic modulations of $\mathbf{v}_{\text{lab}}$ and of the signal
power in the case of gradient coupling. (a) Annual modulation of
$v_{\text{lab}}$ due to the Earth’s orbital motion around the Sun. (b) Daily
modulations of $\cos{\alpha}$ for the three orthogonal orientations of an
external magnetic field: towards the north (solid red line), towards the west
(dashed blue line), and towards the zenith (dash-dotted green line). (c) Daily
modulations of the total signal power $P_{\parallel}$ for the three
orientations of an external magnetic field. The signal power is normalized
such that the maximum value is 1 (the scaling factor is the same for the three
cases). (d) Similar to panel (c) but for the power $P_{\perp}$. For panels
(b)–(d), we assume that the location is the Metcalf Science Center of Boston
University ($\lambda_{\text{lab}}=42.3484^{\circ}$,
$\phi_{\text{lab}}=-71.1002^{\circ}$) and the date is January 1 (from 00:00 to
24:00 in local time).
To specify the direction of $\mathbf{v}_{\text{lab}}$, we use the coordinate
system $(\hat{\mathcal{N}},\,\hat{\mathcal{W}},\,\hat{\mathcal{Z}})$, which is
given by the three mutually orthogonal vectors pointing to the north, to the
west, and to the zenith, respectively. The angles between
$\mathbf{v}_{\text{lab}}$ and these three vectors can be written as [43]
$\displaystyle\cos{\alpha_{N}(t)}$
$\displaystyle=b_{0}\cos{\lambda_{\text{lab}}}-b_{1}\sin{\lambda_{\text{lab}}}\cos{\left(\omega_{d}t+\phi\right)},$
(35) $\displaystyle\cos{\alpha_{W}(t)}$
$\displaystyle=b_{1}\sin{\left(\omega_{d}t+\phi\right)},$ (36)
$\displaystyle\cos{\alpha_{Z}(t)}$
$\displaystyle=b_{0}\sin{\lambda_{\text{lab}}}+b_{1}\cos{\lambda_{\text{lab}}}\cos{\left(\omega_{d}t+\phi\right)},$
(37)
where $\phi=\phi_{\text{lab}}+\psi$ is the phase, $\lambda_{\text{lab}}$ and
$\phi_{\text{lab}}$ are the latitude and the longitude of the laboratory, and
$\omega_{d}=2\pi/(0.9973~{}\text{days})$ is the Earth’s rotational angular
speed. The time $t$ in Eqs. (35)–(37) is measured from the beginning of
January 1. Although the parameters $b_{0}$, $b_{1}$, and $\psi$ vary during
the year, they can be considered constant on a timescale of several days. The
corresponding values on January 1 are $b_{0}=0.7589$, $b_{1}=0.6512$, and
$\psi=-3.5336$. Full analytical expressions for $b_{0}$, $b_{1}$, and $\psi$
as functions of time are provided in Ref. [43].
Figure 3(b) shows the time dependences (35)–(37) for a 24-hour period on
January 1 and for the location of the Metcalf Science Center of Boston
University. Note that the cases $\alpha=\alpha_{N}$, $\alpha=\alpha_{W}$, and
$\alpha=\alpha_{Z}$ correspond to the external magnetic field $\mathbf{B}_{0}$
oriented towards the north, the west, and the zenith, respectively. The daily
variations in $\cos{\alpha}$ lead to corresponding modulations in the signal
powers $P_{\parallel}$ and $P_{\perp}$, as shown in Figs. 3(c) and 3(d). We
can see that the signal power varies during the day by as much as a factor of
3. In the case of detection, these daily modulations will be a powerful
confirmation that the signal is correlated with the Earth’s rotation with
respect to the galactic DM halo. The amplitude and phase of the modulations
are deterministic and can be predicted for a specific time and location. Going
a step further, one can put the haloscope on a rotating platform and modulate
the signal in a controlled way.
In addition to the total signal power, the spectral line shape is also daily
modulated due to its dependence on the angle $\alpha$ shown in Fig. 2(a). This
dependence can serve as an additional nontrivial signature of axionlike DM.
For example, one can divide the collected time-domain data in several subsets
taken at the same time of day and compare the shapes of the corresponding
signals in frequency domain. The most complete information can be obtained by
analyzing data from three haloscopes having mutually orthogonal sensitivity
axes.
## VII Conclusion
In this paper, we have considered spectral line shapes and power spectra of
the expected signal for a haloscope experiment searching for axionlike DM in
our Galaxy. Assuming the standard halo model, we have rederived the spectral
line shape (12) that has been previously obtained for the nongradient
couplings in Refs. [12, 39, 35, 21]. Our derivation is straightforward and
based on the connection (8) between the line shape $\lambda(\nu)$ and the
speed distribution $f(v)$ of ALPs in the galactic halo, as seen in the
laboratory frame. We have extended this derivation to the gradient coupling
and have considered experiments sensitive to a specific component—either
parallel or perpendicular—of the ALP field gradient with respect to the
direction of applied static magnetic field. The resulting spectral line shape
and power spectrum are given by Eqs. (21) and (23) in the parallel case and by
Eqs. (22) and (24) in the perpendicular case. To independently check our
formulas, we have also performed a Monte Carlo simulation based on the
stochastic model of the ALP field given by Eq. (3). The simulated power
spectra agree with the analytical results, as shown in Fig. 2. Finally, we
have discussed the daily and annual modulations of the signal in the case of
gradient coupling. We have demonstrated in Fig. 3 that the directional
sensitivity of a gradient haloscope leads to strong daily modulations of the
total signal power.
We would like to conclude by reiterating the advantages of the gradient
coupling for axionlike DM searches. One can achieve directional sensitivity
with a single gradient haloscope, while in the case of ALP field couplings
this would require two spatially separated detectors [36]. The directional
sensitivity leads to strong daily modulations that, in the case of detection,
would greatly help in confirming the DM nature of the signal. Another
advantage is that the gradient coupling allows one to probe the 3D velocity
distribution of ALPs in the galactic halo, thus paving the way to full-fledged
“axion astronomy” [39]. Finally, since one can simultaneously probe three
independent spatial directions, a gradient haloscope is less susceptible to
stochastic amplitude fluctuations of the ALP field that may reduce the
sensitivity of a nongradient experiment by as much as an order of magnitude
[30]. We also point out that a gradient haloscope may have enhanced
sensitivity to the relativistic cosmic axion background [44].
###### Acknowledgements.
The authors at Boston University acknowledge support from the Simons
Foundation Grant No. 641332, the National Science Foundation CAREER Grant No.
PHY-2145162, the John Templeton Foundation Grant No. 60049570, and the U.S.
Department of Energy, Office of High Energy Physics under the QuantISED
program, FWP 100495. The work of the Mainz group was supported by the Cluster
of Excellence “Precision Physics, Fundamental Interactions, and Structure of
Matter” (PRISMA+ EXC 2118/1) funded by the German Research Foundation (DFG)
within the German Excellence Strategy (Project ID 39083149), by the European
Research Council (ERC) under the European Union Horizon 2020 research and
innovation program (project Dark-OST, Grant Agreement No. 695405), by the DFG
Reinhart Koselleck project, and by the German Federal Ministry of Education
and Research (BMBF) within the Quantumtechnologien program (Grant No.
13N15064). D. F. J. K. acknowledges the support of the U.S. National Science
Foundation under Grants No. PHY-1707875 and No. PHY-2110388. A. W. is grateful
to Professor Achim Klenke for insightful discussions on the central limit
theorem.
## Appendix A Two-dimensional random walk with a variable step size
Here we provide additional information on isotropic two-dimensional random
walks [45, 46, 47] as well as a derivation of Eq. (28). As discussed in Sec.
V, the end point of an $N$-step walk can be described by the complex random
variable
$z=x+iy=\sum\limits_{n=1}^{N}s_{n}\exp{(i\phi_{n})},$ (38)
where the size $s_{n}$ of each step is drawn from a specific probability
distribution with mean $\mu_{s}$ and variance $\sigma_{s}^{2}$, while the
direction angle, $\phi_{n}\in[0,\,2\pi)$, follows a uniform distribution. We
assume that $s_{n}$ and $\phi_{n}$ are statistically independent, which, in
combination with the central limit theorem, allows us to show that $x$ and $y$
(the real and imaginary parts of $z$) are distributed normally.
Indeed, if $r_{1}$ and $r_{2}$ are two independent random variables with means
$\mu_{1}$, $\mu_{2}$ and variances $\sigma_{1}^{2}$, $\sigma_{2}^{2}$,
respectively, then the probability distribution of the product $r_{1}r_{2}$
has the expected (mean) value
$\operatorname*{\mathrm{E}}{(r_{1}r_{2})}=\mu_{1}\mu_{2}$ (39)
and the variance
$\operatorname*{\mathrm{Var}}{(r_{1}r_{2})}=\left(\mu_{1}^{2}+\sigma_{1}^{2}\right)\left(\mu_{2}^{2}+\sigma_{2}^{2}\right)-\mu_{1}^{2}\mu_{2}^{2}.$
(40)
Since
$x=\sum\limits_{n=1}^{N}s_{n}\cos{\phi_{n}},\qquad
y=\sum\limits_{n=1}^{N}s_{n}\sin{\phi_{n}},$ (41)
and
$\displaystyle\operatorname*{\mathrm{E}}{(\cos{\phi_{n}})}=\operatorname*{\mathrm{E}}{(\sin{\phi_{n}})}=0,$
(42)
$\displaystyle\operatorname*{\mathrm{Var}}{(\cos{\phi_{n}})}=\operatorname*{\mathrm{Var}}{(\sin{\phi_{n}})}=\frac{1}{2},$
(43)
we immediately conclude that
$\displaystyle\operatorname*{\mathrm{E}}{(s_{n}\cos{\phi_{n}})}=\operatorname*{\mathrm{E}}{(s_{n}\sin{\phi_{n}})}=0,$
(44)
$\displaystyle\operatorname*{\mathrm{Var}}{(s_{n}\cos{\phi_{n}})}=\operatorname*{\mathrm{Var}}{(s_{n}\sin{\phi_{n}})}=\frac{1}{2}\left(\mu_{s}^{2}+\sigma_{s}^{2}\right).$
(45)
We then use the central limit theorem [48], which states that the distribution
of a sum of $N$ independent and identically distributed random variables with
mean $\mu$ and finite variance $\sigma^{2}$ approaches, as $N$ increases, a
normal (Gaussian) distribution with mean $N\mu$ and variance $N\sigma^{2}$.
After applying this theorem to the sums (41) and taking into account Eqs. (44)
and (45), we conclude that, as long as $N\gg 1$, both $x$ and $y$ follow the
normal distribution with zero mean and variance
$N(\mu_{s}^{2}+\sigma_{s}^{2})/2$. Note that this conclusion does not rely on
our knowledge of the specific probability distribution for $s_{n}$ (besides
its mean and variance).
Thus, $z$ is a complex Gaussian random variable with zero mean and variance
$\sigma^{2}=N(\mu_{s}^{2}+\sigma_{s}^{2})/2$. In the polar form, it can be
written as $z=r\exp{(i\phi^{\prime})}$, where
$r=\sqrt{x^{2}+y^{2}},\qquad\phi^{\prime}=\operatorname*{\mathrm{arctan2}}2{(y,\,x)}.$
(46)
It is well known (see Example 6-15 in Ref. [48]) that the magnitude $r$, which
corresponds to the distance between the end point of the walk and the origin,
follows the Rayleigh distribution
$p(r;\,\sigma)=\frac{r}{\sigma^{2}}\exp{\left(-\frac{r^{2}}{2\sigma^{2}}\right)}.$
(47)
The argument $\phi^{\prime}$ is distributed uniformly, which reflects the fact
that there is no preferred direction for an isotropic random walk. The squared
distance, $r^{2}$, follows the exponential probability distribution
$p(r^{2};\,\sigma)=\frac{1}{2\sigma^{2}}\exp{\left(-\frac{r^{2}}{2\sigma^{2}}\right)},$
(48)
as demonstrated in Example 6-14 of Ref. [48]. This distribution appears in Eq.
(31) and describes the PSD values sampled at each frequency $\nu_{j}$.
Let us now show how to derive Eq. (28). The left-hand side of this equation
can be rewritten as
$\displaystyle\sum\limits_{n=1}^{N}s_{n}\cos{(\omega
t+\phi_{n})}=\mathrm{Re}{\left(e^{i\omega
t}\sum\limits_{n=1}^{N}s_{n}e^{i\phi_{n}}\right)}$
$\displaystyle=\mathrm{Re}{\left(e^{i\omega t}z\right)}=r\cos{(\omega
t+\phi^{\prime})},$ (49)
where the angular frequency, $\omega=2\pi\nu$, is used for brevity. Recall
that $r$ follows the Rayleigh distribution (47) with variance
$\sigma^{2}=N(\mu_{s}^{2}+\sigma_{s}^{2})/2$. Finally, we introduce a
normalized variable, $r^{\prime}=r/\sigma$, and the right-hand side of Eq.
(49) becomes
$r\cos{(\omega
t+\phi^{\prime})}=\sqrt{\frac{N(\mu_{s}^{2}+\sigma_{s}^{2})}{2}}\,r^{\prime}\cos{(\omega
t+\phi^{\prime})},$ (50)
where $r^{\prime}$ follows the Rayleigh distribution (47) with unit variance.
## Appendix B Expressions for $\mu_{\parallel,\perp}$ and
$\sigma_{\parallel,\perp}^{2}$
and a derivation of Eq. (33)
The mean $\mu_{\parallel,\perp}$ and the variance
$\sigma_{\parallel,\perp}^{2}$ introduced in Eq. (32) are defined as
$\displaystyle\mu_{\parallel,\perp}(v)=\frac{v^{2}}{f_{\text{lab}}(v)}\iint
v_{\parallel,\perp}f_{\text{lab}}^{(3)}(\mathbf{v})\,d\Omega,$ (51)
$\displaystyle\sigma_{\parallel,\perp}^{2}(v)=\frac{v^{2}}{f_{\text{lab}}(v)}\iint\left(v_{\parallel,\perp}-\mu_{\parallel,\perp}\right)^{2}f_{\text{lab}}^{(3)}(\mathbf{v})\,d\Omega,$
(52)
where for brevity we have denoted the integral over the solid angle as
$\int\limits_{0}^{2\pi}d\phi\int\limits_{0}^{\pi}\ldots\,\sin{\theta}\,d\theta=\iint\ldots\,d\Omega.$
(53)
Let us show how Eq. (33) can be derived assuming these definitions. We first
note that
$\frac{v^{2}}{f_{\text{lab}}(v)}\iint
f_{\text{lab}}^{(3)}(\mathbf{v})\,d\Omega=1,$ (54)
as immediately follows from Eq. (11). Then, we rewrite Eq. (52) as
$\displaystyle\sigma_{\parallel,\perp}^{2}$
$\displaystyle=\frac{v^{2}}{f_{\text{lab}}(v)}\iint
v_{\parallel,\perp}^{2}f_{\text{lab}}^{(3)}(\mathbf{v})\,d\Omega$
$\displaystyle-2\mu_{\parallel,\perp}\frac{v^{2}}{f_{\text{lab}}(v)}\iint
v_{\parallel,\perp}f_{\text{lab}}^{(3)}(\mathbf{v})\,d\Omega$
$\displaystyle+\mu_{\parallel,\perp}^{2}\frac{v^{2}}{f_{\text{lab}}(v)}\iint
f_{\text{lab}}^{(3)}(\mathbf{v})\,d\Omega$
$\displaystyle=\frac{v^{2}}{f_{\text{lab}}(v)}\iint
v_{\parallel,\perp}^{2}f_{\text{lab}}^{(3)}(\mathbf{v})\,d\Omega-\mu_{\parallel,\perp}^{2},$
(55)
where at the last step we used Eqs. (51) and (54). Therefore, we have shown
that
$\displaystyle\bigl{(}\mu_{\parallel,\perp}^{2}+\sigma_{\parallel,\perp}^{2}\bigr{)}f_{\text{lab}}(v)=v^{2}\iint
v_{\parallel,\perp}^{2}f_{\text{lab}}^{(3)}(\mathbf{v})\,d\Omega$
$\displaystyle=C_{\parallel,\perp}f_{\parallel,\perp}(v),$ (56)
where the last step follows from Eq. (18).
## References
* [1] G. Bertone, D. Hooper, and J. Silk, Particle dark matter: Evidence, candidates and constraints, Phys. Rep. 405, 279 (2005).
* [2] J. L. Feng, Dark matter candidates from particle physics and methods of detection, Annu. Rev. Astron. Astrophys. 48, 495 (2010).
* [3] P. A. Zyla et al. (Particle Data Group), Review of particle physics, Prog. Theor. Exp. Phys. (2020), 083C01.
* [4] S. D. M. White and M. J. Rees, Core condensation in heavy halos: A two-stage theory for galaxy formation and clustering, Mon. Not. R. Astron. Soc. 183, 341 (1978).
* [5] R. H. Wechsler and J. L. Tinker, The connection between galaxies and their dark matter halos, Annu. Rev. Astron. Astrophys. 56, 435 (2018).
* [6] P. W. Graham, I. G. Irastorza, S. K. Lamoreaux, A. Lindner, and K. A. van Bibber, Experimental searches for the axion and axion-like particles, Annu. Rev. Nucl. Part. Sci. 65, 485 (2015).
* [7] I. G. Irastorza and J. Redondo, New experimental approaches in the search for axion-like particles, Prog. Part. Nucl. Phys. 102, 89 (2018).
* [8] P. Sikivie, Invisible axion search methods, Rev. Mod. Phys. 93, 015004 (2021).
* [9] P. W. Graham and S. Rajendran, New observables for direct detection of axion dark matter, Phys. Rev. D 88, 035023 (2013).
* [10] P. Sikivie, Experimental tests of the “invisible” axion, Phys. Rev. Lett. 51, 1415 (1983).
* [11] L. Krauss, J. Moody, F. Wilczek, and D. E. Morris, Calculations for cosmic axion detection, Phys. Rev. Lett. 55, 1797 (1985).
* [12] M. S. Turner, Periodic signatures for the detection of cosmic axions, Phys. Rev. D 42, 3572 (1990).
* [13] N. Du et al. (ADMX Collaboration), Search for invisible axion dark matter with the Axion Dark Matter Experiment, Phys. Rev. Lett. 120, 151301 (2018).
* [14] T. Braine et al. (ADMX Collaboration), Extended search for the invisible axion with the Axion Dark Matter Experiment, Phys. Rev. Lett. 124, 101303 (2020).
* [15] S. Lee, S. Ahn, J. Choi, B. R. Ko, and Y. K. Semertzidis, Axion dark matter search around $6.7~{}\mu\text{eV}$, Phys. Rev. Lett. 124, 101802 (2020).
* [16] O. Kwon et al., First results from an axion haloscope at CAPP around $10.7~{}\mu\text{eV}$, Phys. Rev. Lett. 126, 191802 (2021).
* [17] B. M. Brubaker, L. Zhong, S. K. Lamoreaux, K. W. Lehnert, and K. A. van Bibber, HAYSTAC axion search analysis procedure, Phys. Rev. D 96, 123008 (2017).
* [18] B. M. Brubaker et al., First results from a microwave cavity axion search at $24~{}\mu\text{eV}$, Phys. Rev. Lett. 118, 061302 (2017).
* [19] K. M. Backes et al., A quantum enhanced search for dark matter axions, Nature (London) 590, 238 (2021).
* [20] A. V. Gramolin, D. Aybas, D. Johnson, J. Adam, and A. O. Sushkov, Search for axion-like dark matter with ferromagnets, Nat. Phys. 17, 79 (2021).
* [21] J. W. Foster, N. L. Rodd, and B. R. Safdi, Revealing the dark matter halo with axion direct detection, Phys. Rev. D 97, 123006 (2018).
* [22] R. Loudon, The Quantum Theory of Light (Oxford University Press, New York, 2000).
* [23] D. Budker, P. W. Graham, M. Ledbetter, S. Rajendran, and A. O. Sushkov, Proposal for a Cosmic Axion Spin Precession Experiment (CASPEr), Phys. Rev. X 4, 021030 (2014).
* [24] C. Abel et al., Search for axionlike dark matter through nuclear spin precession in electric and magnetic fields, Phys. Rev. X 7, 041034 (2017).
* [25] W. A. Terrano, E. G. Adelberger, C. A. Hagedorn, and B. R. Heckel, Constraints on axionlike dark matter with masses down to $10^{-23}~{}\text{eV}/c^{2}$, Phys. Rev. Lett. 122, 231301 (2019).
* [26] A. Garcon et al., Constraints on bosonic dark matter from ultralow-field nuclear magnetic resonance, Sci. Adv. 5, eaax4539 (2019).
* [27] T. Wu et al., Search for axionlike dark matter with a liquid-state nuclear spin comagnetometer, Phys. Rev. Lett. 122, 191302 (2019).
* [28] M. Jiang, H. Su, A. Garcon, X. Peng, and D. Budker, Search for axion-like dark matter with spin-based amplifiers, Nat. Phys. 17, 1402 (2021).
* [29] I. M. Bloch, G. Ronen, R. Shaham, O. Katz, T. Volansky, and O. Katz, New constraints on axion-like dark matter using a Floquet quantum detector, Sci. Adv. 8, eabl8919 (2022).
* [30] G. P. Centers et al., Stochastic fluctuations of bosonic dark matter, Nat. Commun. 12, 7321 (2021).
* [31] M. Lisanti, M. Moschella, and W. Terrano, Stochastic properties of ultralight scalar field gradients, Phys. Rev. D 104, 055037 (2021).
* [32] D. Aybas et al., Search for axionlike dark matter using solid-state nuclear magnetic resonance, Phys. Rev. Lett. 126, 141802 (2021).
* [33] N. Crescini et al., Operation of a ferromagnetic axion haloscope at $m_{a}=58~{}\mu\text{eV}$, Eur. Phys. J. C 78, 703 (2018).
* [34] N. Crescini et al. (QUAX Collaboration), Axion search with a quantum-limited ferromagnetic haloscope, Phys. Rev. Lett. 124, 171801 (2020).
* [35] A. Derevianko, Detecting dark-matter waves with a network of precision-measurement tools, Phys. Rev. A 97, 042506 (2018).
* [36] J. W. Foster, Y. Kahn, R. Nguyen, N. L. Rodd, and B. R. Safdi, Dark matter interferometry, Phys. Rev. D 103, 076018 (2021).
* [37] M. Schumann, Direct detection of WIMP dark matter: Concepts and status, J. Phys. G 46, 103003 (2019).
* [38] N. W. Evans, C. A. J. O’Hare, and C. McCabe, Refinement of the standard halo model for dark matter searches in light of the Gaia Sausage, Phys. Rev. D 99, 023012 (2019).
* [39] C. A. J. O’Hare and A. M. Green, Axion astronomy with microwave cavity experiments, Phys. Rev. D 95, 063017 (2017).
* [40] https://github.com/gramolin/lineshape.
* [41] A. K. Drukier, K. Freese, and D. N. Spergel, Detecting cold dark-matter candidates, Phys. Rev. D 33, 3495 (1986).
* [42] K. Freese, M. Lisanti, and C. Savage, Colloquium: Annual modulation of dark matter, Rev. Mod. Phys. 85, 1561 (2013).
* [43] S. Knirck, A. J. Millar, C. A. J. O’Hare, J. Redondo, and F. D. Steffen, Directional axion detection, J. Cosmol. Astropart. Phys. 11 (2018) 051.
* [44] J. A. Dror, H. Murayama, and N. L. Rodd, Cosmic axion background, Phys. Rev. D 103, 115004 (2021).
* [45] Lord Rayleigh, On the resultant of a large number of vibrations of the same pitch and of arbitrary phase, Philos. Mag. 10, 73 (1880).
* [46] Lord Rayleigh, On the problem of random vibrations, and of random flights in one, two, or three dimensions, Philos. Mag. 37, 321 (1919).
* [47] S. Chandrasekhar, Stochastic problems in physics and astronomy, Rev. Mod. Phys. 15, 1 (1943).
* [48] A. Papoulis and S. U. Pillai, Probability, Random Variables, and Stochastic Processes (McGraw-Hill, New York, 2002).
| arxiv-papers | 2021-07-26T03:59:59 | 2024-09-04T03:07:17.579826 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Alexander V. Gramolin, Arne Wickenbrock, Deniz Aybas, Hendrik Bekker,\n Dmitry Budker, Gary P. Centers, Nataniel L. Figueroa, Derek F. Jackson\n Kimball, and Alexander O. Sushkov",
"submitter": "Alexander Gramolin",
"url": "https://arxiv.org/abs/2107.11948"
} |
2107.11953 | # Free Moment Measures and Laws
Juniper Bahr [email protected] University of California, Los Angeles Nick
Boschert [email protected] University of California, Los Angeles
###### Abstract
In [CEK13], it was shown that convex, almost everywhere continuous functions
coordinatize a broad class of probability measures on $\mathbb{R}^{n}$ by the
map $U\mapsto\left(\nabla U\right)_{\\#}e^{-U}dx$. We consider whether there
is a similar coordinatization of non-commutative probability spaces, with the
Gibbs measure $e^{-U}dx$ replaced by the corresponding free Gibbs law. We call
laws parameterized in this way free moment laws. We first consider the case of
a single (and thus commutative) random variable and then the regime of $n$
non-commutative random variables which are perturbations of freely independent
semi-circular variables. We prove that free moment laws exist with little
restriction for the one dimensional case, and for small even perturbations of
free semi-circle laws in the general case.
Keywords—Free Probability, Optimal Transport, Operator Algebra, Brenier Map,
Free Gibbs Law
## 1 Introduction
Fix a measure $\mu$ on $\mathbb{R}^{n}$; following [CEK13], we say that $\mu$
is a _moment measure_ with _potential $u$_ when $u$ is a convex function
satisfying $\mu=(\nabla u)_{\\#}\rho$ and $\rho$ is the Gibbs measure
$\frac{1}{Z}e^{-u}dx$. We also say $\mu$ is the moment measure of $u$.
Cordero-Erausquin and Klartag in [CEK13] show that a finite Borel measure
$\mu$ is a moment measure with some convex essentially continuous potential
$u$ if and only if $\mu$ has barycenter zero (in particular, a finite first
moment) and is not supported in a lower dimensional hyperplane. This result is
proven variationally, although we will rely more directly on another
variational approach taken in [San15a] which is more closely related to
optimal transport. In Section 2 we describe a functional in terms of $\mu$
considered in [San15a] whose optimizer is $\rho=e^{-u}dx$, as well as this
functional’s analog in free probability.
Voiculescu introduced free probability theory in [Voi86]. He later introduced
the notion of free entropy in a series of papers [Voi93, Voi94, Voi96, Voi99,
Voi98]; see also [Voi02] for a summary. The setting for free probability is
that of non-commutative (nc) probability spaces—pairs $(M,\tau)$, where $M$ is
a $*$-algebra (often a $C^{*}$ or $W^{*}$ algebra) and $\tau$ is a state, a
functional which is both positive ($\tau(x^{*}x)\geq 0$) and satisfies
$\tau(1)=1$. In this paper we will further assume our state $\tau$ is a trace,
i.e., $\tau(ab)=\tau(ba)$. The analogy to classical probability spaces
$(\Omega,\mathcal{F},P)$ is made by interpreting $M$ as the space of
$\mathcal{F}$-measurable essentially bounded functions on $\Omega$, and $\tau$
as the expectation on this space with respect to $P$.
Consistent with this analogy, a nc random variable is an element of $M$.
Similarly, a vector valued nc random variable is an $n$-tuple
$(X_{1},...,X_{n})$ of elements of $M$. Note that when $M$ is a $C^{*}$ or
$W^{*}$ algebra this can be slightly more restrictive than the classical
notion, since we assume that these random variables have bounded norm,
corresponding classically to an almost surely bounded random variable. The
linear map sending non-commutative polynomials $P$ to
$\tau(P(X_{1},\dots,X_{n}))$ is the _law_ of these random variables.
It is thus natural to ask if moment measures have an analog in free
probability. This is especially of interest to us because moment measures
$\mu$ are in a sense parametrized by their potentials $u$. Of course there is
a natural way of doing this in $\mathbb{R}^{n}$, considering the density with
respect to the Lebesgue measure. However, in the free case, the notion of
density is ill-defined.
There is an analog of Gibbs measures $\tfrac{1}{Z}e^{-u}dx$ to free
probability: free Gibbs laws (see [BS98, Voi02]). Where Gibbs laws minimize
$\displaystyle\mathcal{E}(\mu)+\int ud\mu,$
with $\mathcal{E}$ is the classical entropy ($\mathcal{E}(f\,dx)=\int f\log
f\,dx$), free Gibbs laws minimize
$\displaystyle-\chi(\tau)+\tau(U),$
where $\chi$ is free entropy, first defined by Voiculescu (see the survey
paper [Voi02] for more information). Here $U$, which is assumed to be self-
adjoint, is the potential for the free Gibbs law $\tau$.
###### Definition 1 ([Voi02, Gui06, GMS06]).
The free Gibbs law $\tau_{U}$ associated to the potential $U$ is the minimizer
of $-\chi(\tau)+\tau(U)$ if it exists.
There are two cases when such laws are known to exist. The first is when $U$
is a n.c. power series which is a small perturbation of quadratic (see
[GMS06]).
The second is in the single variable case when $U$ is bounded below, satisfies
a growth condition, and satisfies a locally Hölder condtinuous-like condition
(see [dPS95, Remark 3]) where we also get uniqueness. In this latter case, the
free entropy is the negative of log energy, ${\iint\log|s-t|d\mu(s)d\mu(t)}$,
(see [Voi02]). The above optimization implies (and by [GMS06], for $U$ which
are small perturbations of quadratic the above, is equivalent to) the
integration by parts formula or Schwinger-Dyson (type) equation:
$\displaystyle\tau(P\cdot\mathcal{D}U)=\tau\otimes\tau\otimes\operatorname{Tr}(JP),$
where $U\in\mathbb{C}\langle X_{1},...,X_{n}\rangle$ is the potential of the
law which is assumed to be self-adjoint, and $P$ is an arbitrary $n$-tuple of
nc polynomials in $X$. Letting $M=W^{*}(X_{1},...,X_{n})$, we have that
Voiculescu’s cyclic gradient
$\mathcal{D}=(\mathcal{D}_{x_{1}},...,\mathcal{D}_{x_{n}})$, the difference
quotient derivative $\partial=(\partial_{x_{1}},\dots,\partial_{x_{n}})$, and
the (difference quotient) Jacobian $J$ are linear maps on the following spaces
$\displaystyle\mathcal{D}_{x_{i}}$ $\displaystyle:M\to M$
$\displaystyle\partial_{x_{i}}$ $\displaystyle:M\to M\otimes M^{op}$
$\displaystyle J$ $\displaystyle:M^{n}\to M_{n\times n}\left(M\otimes
M^{op}\right),$
defined by
$\displaystyle\mathcal{D}_{x_{i}}(x_{i_{1}}\cdots x_{i_{n}})$
$\displaystyle=\sum_{j=1}^{n}\delta_{i,i_{j}}x_{i_{j+1}}\cdots
x_{i_{n}}x_{i_{1}}\cdots x_{i_{j-1}}$
$\displaystyle\partial_{x_{i}}(x_{i_{1}}\cdots x_{i_{n}})$
$\displaystyle=\sum_{j=1}^{n}\delta_{i,i_{j}}x_{i_{1}}\cdots
x_{i_{j-1}}\otimes x_{i_{j+1}}\cdots x_{i_{n}}.$ $\displaystyle(JP)_{ij}$
$\displaystyle=\partial_{x_{j}}P_{i}$
The above Schwinger-Dyson equation is the nc analog of
$\displaystyle\mathbb{E}(f\cdot\nabla
U)=\mathbb{E}(\operatorname{Tr}(\mathrm{Jac}f))$
which holds for log concave Gibbs laws $\frac{1}{Z}e^{-U}\,dx$, where
$\mathrm{Jac}$ is the classical Jacobian. These free Gibbs laws are known to
exist in the multi-variable case when $U$ is a small perturbation of the semi-
circle potential ([GMS06]). In the single variable case, this can be relaxed
to ordinary convexity along with growth conditions: $U(x)$ must go to infinity
as $|x|$ does (and thus must grow at least as $|x|$).
We then define free moment laws as follows
###### Definition 2.
The law $\tau$ of the nc random variables $X_{1},\dots,X_{n}$ is a free moment
law if there exists a self-adjoint nc power series $U$ such that the free
Gibbs law $\tau_{U}$ is well defined and is the law of nc random variables
$Y_{1},\dots,Y_{n}$ such that
$\displaystyle(X_{1},\dots,X_{n})=(\mathcal{D}U)(Y_{1},\dots,Y_{n})$
In the single variable case, laws have corresponding measures, and so we will
discuss free moment measures instead of free moment laws.
Our main result is to show that certain free Gibbs laws are in fact free
moment laws.
We organize the paper as follows. In Section 2, we discuss the single variable
case where we prove the most general existence result for free moment measures
using a variational approach. We will also provide a few examples and contrast
them with the classical case. In Section 3, we discuss the existence of free
moment laws for a certain class of free Gibbs laws which are close to the
semicircular law. We proceed in this case by a contraction mapping argument.
### Acknowledgements
Research supported by NSF grant DMS-1762360. We would like to thank Dimitri
Shlyakhtenko for insightful conversations and advice, as well as Max Fathi for
introducing Dimitri (and hence us) to the concept.
## 2 The Single Variable Case
### 2.1 Main Result for One Variable
In the case of a single (non-commutative) random variable $X$ in the nc
probability space $(M,\tau)$, the law of $X$ can be given as a functional on
the space of polynomials in a single variable by letting the law $\tau_{X}(p)$
for a polynomial $p(z)$ be $\tau_{X}(p)=\tau(p(X))$. Alternatively we can view
the law of $X$ as a probability measure $\mu$, using positivity and the Riesz-
Markov theorem.
Suppose $X$ has law $\tau$ with corresponding measure $\mu$. Then if $\tau$ is
a free moment law, there exists $Y$ with law $\tau_{u}$ such that
$X=(\mathcal{D}u)(Y)$. As the cyclic gradient of a function in one variable is
equal to the ordinary derivative, the pushforward condition is equivalently
$X=(u^{\prime})(Y)$. If $\mu$ is the measure corresponding to $\tau$ and
$\nu_{u}$ is the measure corresponding to $\tau_{u}$, then we have
$\mu=(u^{\prime})_{\\#}\nu_{u}$.
We refer to the measures associated to free Gibbs laws in one dimension as
free Gibbs measures. The authors emphasize that the idea of free Gibbs
measures is not wholly novel; Indeed, free Gibbs laws were defined earlier
(see Def 1), it was known (see [Voi02],[Voi94]) that $\chi(\tau)$ reduces in
the single variable case to log energy, and minimizers of
$-\chi(\tau)+\tau(U)$ have already been studied, e.g. in ([dPS95]).
###### Definition 3.
The free Gibbs measure $\nu_{u}$ associated to the convex function
$u:\operatorname{\mathbb{R}}\to\operatorname{\mathbb{R}}$ is the measure
corresponding to the free Gibbs law $\tau_{u}$, if it exists. In other words,
$\nu_{u}$ is the minimizer of
$\displaystyle\iint\log|s-t|\,d\mu(s)\,d\mu(t)+\int u(s)\,d\mu(s)$
if it exists.
###### Definition 4.
A real probability measure $\mu$ is a free moment measure if
$\displaystyle\mu=(u^{\prime})_{\\#}\nu_{u}$
for some convex function $u:\mathbb{R}\to\mathbb{R}$.
Our main result in this section is Theorem 2.5 which implies that if $\mu$ is
a probability measure on $\mathbb{R}$ other than $\delta_{0}$ with finite
second moment and barycenter zero, there exists a convex
$u:\mathbb{R}\to\mathbb{R}$ such that $\mu=(u^{\prime})_{\\#}\rho$ and
$\rho=\nu_{u}$, where $\nu_{u}$ is the free Gibbs measure associated to the
potential $u$. Observe also that if $\mu$ is centered, then $u$ must have a
derivative which changes signs, and so $u(x)\to\infty$ as both
$x\to\pm\infty$. Through prior understanding of free Gibbs measures, we’ll
also have that $\rho$ is absolutely continuous with respect to Lebesgue
measure and $2\pi H(\rho)(x)=u^{\prime}(x)$ for any
$x\in\operatorname{supp}(\rho)$. Here $H\rho$ is the Hilbert transform of
$\rho$, given by the principal value integral
$\displaystyle H\rho(t)=\frac{1}{\pi}\textrm{
PV\\!\\!}\int_{\mathbb{R}}\frac{1}{t-x}\,d\rho(x).$
For a brief computational guide to solving $2\pi(H\rho)(x)=u^{\prime}(x)$ for
$x\in\operatorname{supp}{\rho}$ for a fixed $u$, see the appendix. See also
[dPS95] for more examples.
### 2.2 The Functional $\mathcal{F}(\rho)$
In the classical case of moment measures, we are searching for
$\rho=\tfrac{1}{Z}e^{-u}\,dx$, the log concave Gibbs measure with real convex
potential $u$ satisfying $(\nabla u)_{\\#}\rho=\mu$ for some $\mu$. Here $Z$
is the constant that makes $\rho$ a probability measure.
It is possible to find such $\rho$ when $\mu$ has barycenter zero and is not
supported on a hyperplane (which for $\mathbb{R}^{1}$ only means it isn’t
$\delta_{0}$) [CEK13]. The measure $\rho$ can be found by considering the
functional
$\displaystyle\int\rho\log\rho\,dx+\tfrac{1}{2}\int
x^{2}\rho(x)\,dx+\tfrac{1}{2}\int x^{2}\,d\mu-\tfrac{1}{2}W_{2}^{2}(\rho,\mu)$
$\displaystyle=\int\rho\log\rho\,dx+T(\rho,\mu)$
$\displaystyle\eqqcolon\mathcal{E}(\rho)+T(\rho,\mu)$
where $W_{2}$ is the Wasserstein distance between $\rho$ and $\mu$,
$T(\rho,\mu)$ is the maximal correlation functional defined as follows and
$\mathcal{E}$ is the negative differential entropy,
$\mathcal{E}(\rho\,dx)=\int\rho\log\rho\,dx$.
The measure $\rho$ satisfying $(\nabla u)_{\\#}\rho=\mu$ and
$\rho=\tfrac{1}{Z}e^{-u}\,dx$ is then the minimizer of
$\mathcal{E}(\rho)+T(\rho,\mu)$ when such a $\rho$ exists [San15a].
###### Definition 5 ([San15a]).
The maximal correlation functional $T(\rho,\mu)$ is given by
$\displaystyle T(\rho,\mu)$ $\displaystyle=\sup\left\\{\int x\cdot
y\,d\gamma\mid\gamma\in\Pi(\rho,\mu)\right\\}$ $\displaystyle=\frac{1}{2}\int
x^{2}\,d\rho+\frac{1}{2}\int x^{2}\,d\mu-\frac{1}{2}W_{2}^{2}(\rho,\mu)$
where $\Pi(\rho,\mu)$ is the set of transport plans, i.e. probability measures
on $\mathbb{R}^{n}\times\mathbb{R}^{n}$ with marginals $\rho$ and $\mu$.
We replace the entropy term of $\mathcal{E}(\rho)+T(\rho,\mu)$ with free
entropy, which in the 1-D case is the log energy (up to a constant) [Voi93]:
$\displaystyle L(\rho)=\iint-\log|s-t|\,d\rho(s)\,d\rho(t).$
This is justified by the following proposition:
###### Proposition 2.1 ([San15a] p. 14).
For $V$ convex, the minimizer of the functional
$\displaystyle\mathcal{E}(\rho)+\int V\rho\,dx=\int\rho\log\rho+V\rho\,dx$
over $\rho$ probability measures with finite second moment is the density of
the Gibbs measure $\rho=\tfrac{1}{Z}e^{-V}$.
As free entropy in the 1-D case is log energy up to a constant, we recall that
the minimizer of the functional
$\displaystyle L(\rho)+\int V\,d\rho$
is the free Gibbs measure $\nu_{V}$ if it exists. Thus we see how
$\mathcal{E}$ and $L$ play analogous roles for Gibbs measures and free Gibbs
measures.
Following this analogy, we define the following functional:
$\displaystyle\mathcal{F}(\rho)=L(\rho)+T(\rho,\mu).$ (1)
### 2.3 Sufficiency of Minimizing $\mathcal{F}(\rho)$
Throughout this section, $\rho$ will be assumed to have finite second moment
unless otherwise specified.
Following [San15a], we can rewrite $T(\rho,\mu)$ a few ways. First, we use the
maximal correlation formulation:
$\displaystyle T(\rho,\mu)=\sup\left\\{\int x\cdot
y\,d\gamma(x,y)\;\middle|\;\gamma\in\Pi(\rho,\mu)\right\\}$
where
$\Pi(\rho,\mu)=\\{\gamma\in\mathcal{P}(\mathbb{R}\times\mathbb{R})\mid(\pi_{x})_{\\#}\gamma=\rho,(\pi_{y})_{\\#}\gamma=\mu\\}$
is the space of measures with marginals $\rho$ and $\mu$. Here
$\mathcal{P}(X)$ denotes the space of probability measures on $X$.
This maximization problem has an equivalent dual problem, a minimization with
the same optimal value:
$\displaystyle T(\rho,\mu)=\min\left\\{\int u\,d\rho+\int
u^{*}\,d\mu\;\middle|\;u\text{ convex, lower semicontinuous}\right\\}.$
This lets us rewrite (1) as
$\displaystyle\mathcal{F}(\rho)=\min\left\\{\underbrace{\iint-\log|s-t|\,d\rho(s)\,d\rho(t)+\int
u\,d\rho+\int u^{*}\,d\mu}_{\mathcal{G}(\rho,u)}\right\\}$ (2)
minimizing over the set where $\rho\in\mathcal{P}(\mathbb{R})$,
$\mathbb{E}_{\rho}(|x|)<\infty$, and $u$ is convex and lower semicontinuous.
Here $u^{*}$ denotes the Legendre transform
$\displaystyle u^{*}(y)=\sup_{x}\left(x\cdot y-u(x)\right).$
We’ll define $\mathcal{G}(\rho,u)=\iint-\log|s-t|\,d\rho(s)\,d\rho(t)+\int
u\,d\rho+\int u^{*}\,d\mu$ and so
$\mathcal{F}(\rho)=\min_{u}\mathcal{G}(\rho,u)$.
By minimizing $\mathcal{G}(\rho,u)$ first in $u$ for each $\rho$, we can
appeal to Santambrogio’s analysis of the maximal correlation functional and
deduce that $(u^{\prime})_{\\#}\rho=\mu$ [San15a]. Next, for optimal $u$,
minimizing in $\rho$ lets us rely on [dPS95] to see that $\rho=\nu_{u}$, the
free Gibbs measure associated to $u$. This is explained in further detail in
Theorem 2.5.
### 2.4 Minimizing the functional
We now adapt the proof from [San15a] to show that $\mathcal{F}$ has a
minimizer. First we prove weak lower semicontinuity of the $L(\rho)$ term and
show that it’s bounded below by an expression involving the first moment of
$\rho$, a bound we will combine with a known bound on $T(\rho,\mu)$. We then
prove a kind of convexity of $L(\rho)$ in the Wasserstein space
$\mathbb{W}_{2}$. We use this to deduce the existence and uniqueness of the
minimizer of $\mathcal{F}(\rho)=L(\rho)+T(\rho,\mu)$.
###### Lemma 2.2.
Assume that $\rho$ is a probability measure with finite first moment. Then the
log energy $L(\rho)$ satisfies the bound
$L(\rho)\geq-\sqrt{2\int|s|\,d\rho(s)}$.
Furthermore, when $\rho_{n}$ and $\rho$ are probability measures with
$\rho_{n}\rightharpoonup\rho$ weakly and $\int|x|\,d\rho_{n}\leq C$ for some
$C>0$ and all $n\in\mathbb{N}$, then
$L(\rho)\leq\liminf_{n\to\infty}L(\rho_{n})$. In short, weak lower semi-
continuity of $L$ if the first moments are uniformly bounded.
###### Proof.
To bound $L(\rho)$, we split it into three terms with a method inspired by
[San15a]. In that paper, Santambrogio splits up the integrand of the entropy
term into three parts using a Legendre transform of $x\log x$ for a key
inequality.
We need an analogous inequality:
$\displaystyle-1+\log\left(\frac{1}{h}\right)-\log|x|\geq-|x|h$
for any $x\neq 0$ and $y>0$. This inequality can be derived from the Legendre
transform of $-\log x$, the analogous term in our case, but it is more easily
derived from an application of $1+\log a\leq a$ where $a=|x|h$.
With this inequality, we consider the decomposition:
$\displaystyle L(\rho)$
$\displaystyle=\iint-1+\log\left(\frac{1}{h}\right)-\log|s-t|+h|s-t|\,d\rho(s)\,d\rho(t)$
$\displaystyle\quad\iint-\log\left(\frac{1}{h}\right)\,d\rho(s)\,d\rho(t)+\iint
1-|s-t|h\,d\rho(s)\,d\rho(t)$
$\displaystyle=\mathrm{I}+\mathrm{II}+\mathrm{III}.$
While this decomposition holds regardless of $h>0$, we’ll select $h$ inspired
by the proof in [San15a]. We choose
$\displaystyle h(s,t)$ $\displaystyle=e^{-\sqrt{|s-t|}}.$
Observe that term (I) has a positive integrand by the inequality mentioned
above. Since the integrand is continuous and bounded below, we have that (I)
is lower semi-continuous with respect to weak convergence of measures.
Next, we bound the second term
$\displaystyle II$
$\displaystyle=\iint-\log\left(\frac{1}{e^{-\sqrt{|s-t|}}}\right)\,d\rho(s)\,d\rho(t)=\iint-\sqrt{|s-t|}\,d\rho(s)\,d\rho(t)$
$\displaystyle\geq-\sqrt{\iint|s-t|\,d\rho(s)\,d\rho(t)}$
$\displaystyle\geq-\sqrt{\iint|s|+|t|\,d\rho(s)\,d\rho(t)}=-\sqrt{2\int|s|\,d\rho(s)}$
where the first inequality follows by Cauchy-Schwarz and the fact that $\rho$
is a probability measure.
Note that $\sqrt{x}/|x|\to 0$ as $x\to\infty$. We’ll use this to show that
(II) is weakly lower semi-continuous for $\rho_{n}$ having bounded first
moments.
Observe that as $\int|x|\,d\rho_{n}\leq C$, we have
$\displaystyle\left|\int_{[-M,M]^{c}}-\sqrt{x}\,d\rho_{n}\right|\leq\frac{\sqrt{M}}{M}\int_{[-M,M]^{c}}|x|\,d\rho_{n}\leq\frac{C}{\sqrt{M}}$
for any $M>1$. Fix $\varepsilon>0$. Thus we may choose $M$ so large that
$\left|\int_{[-M,M]^{c}}-\sqrt{x}\,d\rho_{n}\right|<\varepsilon$. We now write
$\displaystyle\iint-\sqrt{|s-t|}\,d\rho_{n}(s)\,d\rho_{n}(t)$
$\displaystyle=\iint_{|s-t|>M}-\sqrt{|s-t|}\,d\rho_{n}(s)\,d\rho_{n}(t)$
$\displaystyle\quad+\iint-\sqrt{|s-t|}\chi_{|s-t|\leq
M}\,d\rho_{n}(s)\,d\rho_{n}(t).$
The first term is bounded in absolute value by $\varepsilon$. As the second
term is integration against a lower semi-continuous functions which is bounded
from below, it is a lower semi-continuous function with respect to weak
convergence of measures.
Combining these facts,
$\displaystyle\iint-\sqrt{|s-t|}\,d\rho(s)\,d\rho(t)$
$\displaystyle\leq\liminf_{n\to\infty}\iint-\sqrt{|s-t|}\,d\rho_{n}(s)\,d\rho_{n}(t)+2\varepsilon$
for any $\varepsilon>0$ and thus we have the desired weak lower semi-
continuity of this term.
Finally we write
$\displaystyle III=\iint 1-|s-t|e^{-\sqrt{|s-t|}}\,d\rho(s)\,d\rho(t)$
and observe that the integrand is bounded between 0 and 1, so $0\leq III\leq
1$. The integrand being continuous and bounded implies that this term is
continuous with respect to the weak convergence of measures.
Combining these inequalities, we have
$\displaystyle L(\rho)=I+II+III\geq 0-\sqrt{2\int|s|\,d\rho(s)}+0$
as desired.
Furthermore, we have the desired weak lower semi-continuity in each term, and
so it holds that $L(\rho)\leq\liminf_{n\to\infty}L(\rho_{n})$ when the
$\rho_{n}$ all have bounded first moments. ∎
We will need another lemma to obtain uniqueness of the minimizer. We’ll show
that $L(\rho)$ is displacement convex, i.e., convex along geodesics in the
Wasserstein space $\mathbb{W}_{2}$.
###### Lemma 2.3.
The functional $L(\rho)$ is displacement convex. Specifically, if $\rho_{t}$
is any geodesic connecting $\rho_{0}$ to $\rho_{1}$ in the Wasserstein space
$\mathbb{W}_{2}$, then $L(\rho_{t})$ is convex.
Furthermore, $L$ is strictly displacement convex for measures which are not
translates. That is, if $\rho_{0}$ and $\rho_{1}$ are not translates of each
other, by which we mean one is not the pushforward of the other under a map of
the form $x\mapsto x+c$, then $L(\rho_{t})<(1-t)L(\rho_{0})+tL(\rho_{1})$.
###### Proof.
Let $\rho_{0}$ and $\rho_{1}$ to be two measures with finite second moments
(so that they’re in $\mathbb{W}_{2}$). Then let $\gamma$ be the optimal
transport plan between them (see [San15b] or [Vil08] for a thorough
introduction to these ideas), and consider $\rho_{t}=\pi_{t\\#}(\gamma)$ where
$\pi_{t}(x,y)=(1-t)x+ty$. Note that $\rho_{t}$ is the geodesic connecting
$\rho_{0}$ and $\rho_{1}$ in $\mathbb{W}_{2}$, and all geodesics have this
form [San15b, Chap. 5]. We then observe
$\displaystyle L(\rho_{t})$
$\displaystyle=\iint-\log|s-r|\,d\rho_{t}(s)\,d\rho_{t}(r)$
$\displaystyle=\iint-\log\big{|}(1-t)x+ty-(1-t)x^{\prime}-ty^{\prime}\big{|}\,d\gamma(x,y)\,d\gamma(x^{\prime},y^{\prime})$
$\displaystyle=\iint-\log\big{|}t(y-y^{\prime})+(1-t)(x-x^{\prime})\big{|}\,d\gamma(x,y)\,d\gamma(x^{\prime},y^{\prime})$
By the convexity of $-\log$, the integrand is strictly less than
$-\left((1-t)\log|x-x^{\prime}|+t\log|y-y^{\prime}|\right)$
unless $x-y=x^{\prime}-y^{\prime}$. Thus $L(\rho_{t})$ is strictly less than
$(1-t)L(\rho_{0})+tL(\rho_{1})$ unless $\gamma$ is supported on a translate of
the diagonal, which can only occur if $\rho_{0}$ and $\rho_{1}$ are translates
of one another. ∎
We aim to minimize $\mathcal{F}$, but we need to show now that the minimizer
will have finite second moment.
###### Proposition 2.4.
Let $u:\mathbb{R}\to\mathbb{R}$ be convex and have a minimum so that $u(x)\geq
a|x|+b$ for some $a>0$ and real $b$.
Suppose $\rho$ is the free Gibbs measure associated to $u$ and has finite
first moment. Then $\rho$ is compactly supported and absolutely continuous
with respect to Lebesgue measure. Furthermore, $2\pi H\rho=u^{\prime}$ on the
support of $\rho$.
###### Proof.
By [dPS95, Remark 3] and noting that the function $u$ satisfies their
condition (1.2), Theorem 1 of [dPS95] guarantees that $\rho$ is absolutely
continuous with respect to Lebesgue measure and that the support of $\rho$ is
contained in the set of points such that
$\displaystyle h(x)=\int-\log|x-y|\,d\rho(y)+u(x)$
is minimal. We can also see this by taking a first variation of the functional
$\iint-\log|s-t|\,d\rho(s)\,d\rho(t)+\int u(t)\,d\rho(t)$ and considering the
optimality conditions. Theorem 1 of that paper also guarantees that $2\pi
H\rho=u^{\prime}$ on the support of $\rho$, noting that $\beta=2$ for our case
in [dPS95, Eqn. 1.17], although using absolute continuity we could also get
this by considering optimality conditions for the functional defining
$\nu_{u}$ and differentiating under the integral.
Since $U(x)\geq a|x|+b$, $-\log$ is non-increasing, and $z\mapsto\log(1+z)$ is
subadditive on the positive reals, we have
$\displaystyle h(x)$ $\displaystyle\geq\int-\log|x-y|\,d\rho(y)+a|x|+b$
$\displaystyle\geq\int-\log(|x|+|y|+1)\,d\rho(y)+a|x|+b$
$\displaystyle\geq\int-\log(|x|+1)\,d\rho(y)+\int-\log(|y|+1)\,d\rho(y)+a|x|+b$
$\displaystyle\geq-\log(|x|+1)+\int-\log(|y|+1)\,d\rho(y)+a|x|+b.$
Note that the finite first moment of $\rho$ implies
$\int-\log(|y|+1)\,d\rho(y)>-\infty$, since $\log$ has sublinear growth at
$\infty$. Thus $h(x)\to\infty$ as $x\to\infty$ or $x\to-\infty$. Note that
$h(x)$ isn’t constantly $\infty$ as its integral gives the functional
minimized by $\rho$. Therefore the set where $h$ is its minimum value is
compact, so $\operatorname{supp}(\rho)$ is compact. ∎
We now show the existence of a minimizer of $\mathcal{F}$ and prove the main
theorem of this section.
###### Theorem 2.5.
Let $\mu\neq\delta_{0}$ be a probability measure with finite second moment.
The functional $\mathcal{F}(\rho)=L(\rho)+T(\rho,\mu)$ has a minimizer in
$\mathcal{P}_{2}$, the space of probability measures with finite second
moment, which is unique up to translation, i.e., unique up to a pushforward by
the map $x\mapsto x+c$.
The minimizer $\hat{\rho}$ is also absolutely continuous with respect to
Lebesgue measure, has compact support, and satisfies $2\pi
H\hat{\rho}=u^{\prime}$ on its support.
Furthermore, the following are equivalent:
1. 1.
$\hat{\rho}$ is the unique centered minimizer of $\mathcal{F}(\rho)$
2. 2.
$\hat{\rho}$ satisfies $\hat{\rho}=\nu_{u}$ for some convex $u$ and
$(u^{\prime})_{\\#}\hat{\rho}=\mu$.
###### Proof.
First we’ll show that $\mathcal{F}$ has a minimizer unique up to translation.
Let $\rho_{n}$ be a minimizing sequence of probability measures with finite
first moment. Note that without loss of generality we may assume that the
$\rho_{n}$ are centered, as $\mathcal{F}$ is invariant under translation.
By [San15a], we have that $T(\rho_{n},\mu)\geq c\int|x|\,d\rho_{n}(x)$ for
some $c>0$ depending only on $\mu$, since $\mu$ is not supported on a
hyperplane, which here means $\mu\neq\delta_{0}$. Applying Lemma 2.2, we have
$L(\rho_{n})\geq-\sqrt{2\int|x|\,d\rho_{n}(x)}$. Combining these yields a
uniform bound on the first moment of the $\rho_{n}$, which implies the
sequence is tight. By passing to a subsequence, we can assume that
$\rho_{n}\rightharpoonup\hat{\rho}$ weakly for some probability measure
$\hat{\rho}$. Note also that $\hat{\rho}\in\mathcal{P}_{1}$, the space of
probability measures with finite first moment. This is because integration
against $|x|$, a lower semi-continuous function bounded from below, is a
weakly lower semi-continuous functional.
By weak convergence of $\rho_{n}\rightharpoonup\hat{\rho}$ and a uniform bound
on the first moments, Lemma 2.2 gives us that
$L(\hat{\rho})\leq\liminf_{n\to\infty}L(\rho_{n})$. As we know that
$T(\rho,\mu)$ is weakly lower semi-continuous in $\rho$ by [San15a], we have
that $\hat{\rho}$ is a minimizer of $\mathcal{F}$.
We know that $\hat{\rho}$ has finite first moment, but we need to show now
that it has finite second moment as well. As part of showing this, we’ll see
that it must satisfy $\hat{\rho}=\nu_{u}$ for some convex $u$ with
$(u^{\prime})_{\\#}\hat{\rho}=\mu$, so we’ll have (1) implies (2). Afterwards
we will show uniqueness of the minimizer of $\mathcal{F}$ and then prove (2)
implies (1).
Take $u$ to be a convex lower semi-continuous function which realizes the dual
formulation of $T(\hat{\rho},\mu)$, that is, $T(\hat{\rho},\mu)=\int
u\,d\hat{\rho}+\int u^{*}\,d\mu$. Additionally, we know that
$(u^{\prime})_{\\#}\hat{\rho}=\mu$ [San15a].
Simplifying $\mathcal{F}$ using $u$ now yields
$\displaystyle\mathcal{F}(\hat{\rho})=\iint-\log|s-t|\,d\hat{\rho}(s)\,d\hat{\rho}(t)+\int
u\,d\hat{\rho}+\int u^{*}\,d\mu$
We consider a new functional
$\displaystyle\mathcal{G}(\rho)=\iint-\log|s-t|\,d\rho(s)\,d\rho(t)+\int
u\,d\rho+\int u^{*}\,d\mu$
and observe that since the first term is $L(\rho)$ latter two terms are larger
than $T(\rho,\mu)$, we must have
$\mathcal{G}(\rho)\geq\mathcal{F}(\hat{\rho})$. Therefore $\hat{\rho}$
minimizes $\mathcal{G}$.
However, the final term does not depend on the measure, so
$\mathcal{K}(\rho)=L(\rho)+\int u\,d\rho$ is still minimized at $\hat{\rho}$.
Thus $\hat{\rho}=\nu_{u}$ by definition of $\nu_{u}$. And as $\hat{\rho}$ has
finite first moment, Proposition 2.4 implies that $\hat{\rho}$ has compact
support, and thus all its moments are finite and in particular
$\hat{\rho}\in\mathcal{P}_{2}$. We also get that $2\pi H\hat{\rho}=u^{\prime}$
on the support of $\hat{\rho}$.
Thus we now have that $\mathcal{F}$ has a minimizer with finite second moment,
and (1) implies (2). Let’s now show that the minimizer to $\mathcal{F}$ is
unique.
To show uniqueness up to translation, and thus uniqueness of a centered
minimizer, we invoke the displacement convexity of both $L$ using Lemma 2.3
and $T$ using [San15a, Prop. 3.3]. Combining these will give displacement
convexity of $\mathcal{F}$. Note that displacement convexity of $T$ in
[San15a, Prop. 3.3] is shown between two measures which are absolutely
continuous with respect to Lebesgue measure, but the result holds just as well
with no modifications when the initial measure is non-atomic and thus optimal
transport maps from it still exist in the space $\mathbb{W}_{2}$.
Furthermore, by Lemma 2.3, we have strict displacement convexity of $L$ except
between translates. In particular, if $\rho_{0}$ and $\rho_{1}$ are minimizers
and not translates of each other, then on the geodesic between them, there is
some $\rho_{t}$ with a strictly smaller value of $L$ and a value of $T$ no
larger than that of $\rho_{0}$ or $\rho_{1}$. This is a contradiction, so any
two minimizers of $\mathcal{F}$ must be translates of each other.
Finally, let’s show (2) implies (1). Let $\hat{\rho}$ satisfy
$\hat{\rho}=\nu_{u}$ with $u$ convex and $(u^{\prime})_{\\#}\hat{\rho}=\mu$.
We intend to show that $\hat{\rho}$ is a minimizer of $\mathcal{F}(\rho)$,
where we note that uniqueness up to translation is already guaranteed. Also by
the functional that defines $\nu_{u}$ not being $+\infty$, we know that
$\hat{\rho}$ is non-atomic.
With $\hat{\rho}$ as above, let $\rho$ be another probability measure with
finite second moment, and $f$ be the transport map between $\hat{\rho}$ and
$\rho$ and let $\rho_{t}=(f_{t})_{\\#}\hat{\rho}$ where $f_{t}=(1-t)I+tf$.
The map $t\mapsto\mathcal{F}(\rho_{t})$ is convex, so it is enough to show
that its derivative at zero is non-negative. We will compute the derivative of
the log-energy term and borrow Santambrogio’s calculation for $T$, which we
observe does not require absolute continuity but only the existence of an
optimal transport map [San15a, Prop. 3.3]. We calculate
$\displaystyle\frac{d}{dt}\Big{|}_{t=0}L(\rho_{t})$
$\displaystyle=\frac{d}{dt}\Big{|}_{t=0}\iint-\log|x-y|\,d\rho_{t}(x)\,d\rho_{t}(y)$
$\displaystyle=\iint-\frac{d}{dt}\Big{|}_{t=0}\log|tf(x)+x-tx-
tf(y)-y+ty|\,d\hat{\rho}(x)\,d\hat{\rho}(y)$
$\displaystyle=-\iint\frac{f(x)-x-(f(y)-y)}{x-y}\,d\hat{\rho}(x)\,d\hat{\rho}(y)$
$\displaystyle=-\iint 2\frac{f(x)}{x-y}-1\,d\hat{\rho}(x)\,d\hat{\rho}(y)$
$\displaystyle=1-2\pi\int f(x)H\hat{\rho}(x)\,d\hat{\rho}(x)$
$\displaystyle=1-\int f(x)u^{\prime}(x)\,d\hat{\rho}(x).$
The last line follows by recalling $2\pi H\hat{\rho}=u^{\prime}$ on
$\operatorname{supp}\hat{\rho}$.
Note that for the $T$ term, we have that
$\frac{d}{dt}\big{|}_{t=0}T(\rho_{t},\mu)$ is bounded below by
$\int(f(x)-x)u^{\prime}(x)\,d\hat{\rho}(x)$ [San15a, Prop. 3.3]. Thus
combining these two terms, we find that
$\displaystyle\frac{d}{dt}\Big{|}_{t=0}\mathcal{F}(\rho_{t})\geq 1-\int
xu^{\prime}(x)\,d\hat{\rho}(x)\geq 0$
where the final inequality follows immediately from Schwinger-Dyson for
$\hat{\rho}=\nu_{u}$ (in particular, $\tau(xu^{\prime})=\tau\otimes\tau(1)$,
which is an application of $2\pi H\hat{\rho}=u^{\prime}$ on the support of
$\hat{\rho}$). Thus, using the convexity of the functional and noting that the
above holds for any $\rho$, we see that $\hat{\rho}$ minimizes $\mathcal{F}$.
∎
### 2.5 Examples
We include some examples of free moment measures.
#### 2.5.1 Quadratic potential
The semicircular distribution $\mu$ equals $\nu_{\tfrac{1}{2}x^{2}}$, so $\mu$
is a free moment measure with potential $u(x)=\tfrac{1}{2}x^{2}$, just as the
Gaussian is a (classical) moment measure with quadratic potential. This is not
surprising, as the semicircle law plays an analogous role in free probability
to the Gaussian law in classical probability.
#### 2.5.2 Two point masses
The next simplest example is
$\mu=\tfrac{1}{2}\delta_{-1}+\tfrac{1}{2}\delta_{1}$ which has the potential
$u(x)=\tfrac{1}{2}|x|$, since $\nu_{u}$ is necessarily centered when $u$ is
even, and thus $(u^{\prime})_{\\#}\nu_{u}=\mu$. In this particular case, the
corresponding measure is
$\nu_{u}(x)=\frac{1}{\pi}\log\left|\frac{1+\sqrt{1-x^{2}}}{x}\right|$
(supported on $[-1,1]$).
#### 2.5.3 Quartic potential
Given the potential $x^{4}/4$, we calculate the free Gibbs measure to be
$\nu_{u}(x)=\frac{r^{3}}{4\pi}(2x^{2}+1)\sqrt{1-\left(\frac{x}{r}\right)^{2}}dx$
where
$r=\frac{2}{\sqrt[4]{3}}$
is the radius of the support. When we then push this forward by
$u^{\prime}=x^{3}$, we get
$\mu(x)=\frac{3r^{3}}{4\pi}(2+x^{-2/3})\sqrt{1-\frac{x^{2/3}}{r^{2}}}dx$
Thus $\mu$ is a free moment measure with potential $x^{4}/4$.
#### 2.5.4 Translation and scaling
Note that translations $u(x+c)+d$ of a potential yield the same free moment
measure as $u$ does.
Suppose $\mu$ has potential $u$ such that $(u^{\prime})_{\\#}\nu_{u}=\mu$.
Let’s consider $u(x/c)$ for $c>0$. We’d like to find the corresponding free
moment measure. First, let’s find the free Gibbs measure.
If $f(x)$ is the density for an optimizer for $\mathcal{F}_{u}(\rho)$, then
$cf(cx)$ is the density for the optimizer of $\mathcal{F}_{u(cx)}(\rho)$, and
vice-versa. To see this we change variables
$\displaystyle\iint-\log|s-t|$ $\displaystyle cf(cs)cf(ct)\,ds\,dt+\int
u(ct)cf(ct)\,dt$ $\displaystyle=\iint-(\log|x-y|-\log c)f(x)f(y)\,dx\,dy+\int
u(x)f(x)\,dx$ $\displaystyle=\mathcal{F}_{u}(f(x)\,dx)+\text{constant}$
and note that the constant $\log c$ is irrelevant to maximization or
minimization. This tells us that if $u$ is replaced with $u(cx)$, the
corresponding free Gibbs measure $\nu_{u}=f(x)\,dx$ is replaced with
$cf(cx)\,dx$.
As a consequence, for $v(x)=u(cx)$, we have that for any $g$
$\displaystyle\int g(x)\,d\left(v^{\prime}_{\\#}\nu_{v}\right)$
$\displaystyle=\int g(cu^{\prime}(cx))cf(cx)\,dx$ $\displaystyle=\int
g(cu^{\prime}(t))\,d\nu_{u}(t)$
and so
$(v^{\prime})_{\\#}\nu_{v}=c_{\\#}\left((u^{\prime})_{\\#}\nu_{u}\right)$.
Thus the new measure is a dilated copy of the old measure, scaled by a factor
of $c$.
## 3 Multivariable Case
Instead of generalizing the variational argument, we will be applying the
methods of Shlyakhtenko and Guionnet in [GS12]. These methods will allow us to
deal with free Gibbs laws which are near the free semicircular law (which is
the free Gibbs law for the potential $\tfrac{1}{2}(X_{1}^{2}+...+X_{n}^{2})$).
In order to state out main theorem, we recall the norms $||\cdot||_{A}$
defined on nc power series as
$\Big{|}\Big{|}\sum_{I}a_{I}X_{I}\Big{|}\Big{|}_{A}=\sum_{I}|a_{I}|A^{|I|}$
where I ranges over multi-indices, and $|I|$ is the length of $I$ (see
[GMS07]).
###### Theorem 3.1.
There exist a $C$ and an $\epsilon$ such that, if $W(X_{1},...,X_{n})$ is a
self adjoint nc power series containing only terms of even degree, and
$||W||_{C}<\epsilon$, then there is a corresponding power series
$V(Y_{1},...,Y_{n})$ such that, when $Y$ has the free Gibbs law associated to
$\tfrac{1}{2}|Y|^{2}+V$, then $Y+\mathcal{D}_{Y}V(Y)$ has the free Gibbs law
associated to $\tfrac{1}{2}|X|^{2}+W$.
This is precisely the condition that the free Gibbs law for
$\tfrac{1}{2}|Y|^{2}+V(Y)$ pushes forward to that of $\tfrac{1}{2}X^{2}+W(X)$
along $\mathcal{D}(\tfrac{1}{2}|Y|^{2}+V(Y))$.
In fact, we must take this opportunity to elaborate on the existence of free
Gibbs laws. In this perturbative regime, we cannot rely on convexity to ensure
the existence of solutions to Schwinger-Dyson, no matter how small the
perturbation. Indeed, consider the single variable case and $W=\epsilon
X^{3}$. The functional to minimize in $\tau$ is $\chi(\tau)+\tau(X^{2}+W)$.
The value can be reduced by taking any measure which has finite free entropy
and translating it left, reducing $\int W$. Since there is no limit to how far
we can translate it, and since this effect will eventually overpower the
increase in $\int X^{2}$, we find that there can be no minimum. Instead, we
must artificially institute a cutoff, requiring that the norm of our random
variable is less than $T>2$. Specifically, we invoke a slight modification of
([GMS06]):
###### Proposition 3.2.
For each cutoff $T>2$, we have that there is an $R>0$ such that $||W||_{T}<R$
implies that there exists a unique solution, $\tau$, to the bounded Schwinger-
Dyson equation
$\displaystyle\tau(P\cdot(X+\mathcal{D}W(X)))=\tau\otimes\tau\times Tr(JP)$
$\displaystyle|\tau(X_{i_{1}},...,X_{i_{k}})|\leq T^{k}$
We will split the proof of Theorem 3.1 into two main steps—deriving a
differential equation for $V$ in which all terms are cyclic derivatives, and
then ”integrating” that equation to find a map to which we can apply the
contraction mapping theorem to find a solution. Following the proof, we will
compare the restrictions in this result to those in the commutative case and
discuss potential directions for extension.
The first step is to rephrase the Schwinger-Dyson equation from an integral
equation to a differential equation. To do so, it will be useful to define
inner products associated to $\tau$:
$\displaystyle\langle a,b\rangle_{M}=\tau(a^{*}b)$ $\displaystyle\langle
a\otimes b,c\otimes d\rangle_{M\otimes
M^{op}}=\tau(a^{*}c)\tau(b^{*}d)=\tau\otimes\tau((a\otimes b)^{*}c\otimes d)$
$\displaystyle\langle A,B\rangle_{M_{n}(M\otimes
M^{op})}=\tau\otimes\tau\left(\operatorname{Tr}(A^{*}B)\right)$
We will omit the subscripts if the ambient space can be inferred. Thus the
Schwinger-Dyson equation can be written as
$\langle\mathcal{D}U,P\rangle=\langle 1,JP\rangle,\ i.e.$
$\langle\mathcal{D}U,P\rangle=\langle J^{*}(1),P\rangle,\ i.e.$
$\Rightarrow\mathcal{D}U=J^{*}(1)$
We will also need some additional operators on nc power series, $\mathcal{S}$,
$\mathcal{N}$, $\Sigma$ (the inverse of $\mathcal{N}$), and $\Pi$. These are
linear operators on power series in $Y$, which act on monomials as follows.
The cyclic symmetrization operator, $S$, is given by
$\mathcal{S}(x_{i_{1}}...x_{i_{n}})=\frac{1}{n}\sum_{j=1}^{n}x_{i_{j}}...x_{i_{n}}x_{i_{1}}x_{i_{j-1}},$
on constant terms it acts as the identity. The number operator $N$ is given by
$\mathcal{N}(x_{i_{1}}...x_{i_{n}})=nx_{i_{1}}...x_{i_{n}},$
Finally,
$\Sigma(x_{i_{1}}...x_{i_{n}})=\frac{x_{i_{1}}...x_{i_{n}}}{n},$
is defined on power series with no constant term and is the inverse of
$\mathcal{N}$ on that space. $\Pi$ is the projection onto power series with no
constant term.
With these operators defined, we may state the following lemma.
###### Lemma 3.3.
$V$ satisfies the conclusion of Theorem 3.1 if and only if
$\displaystyle\mathcal{S}\Pi\Big{[}W(Y+\mathcal{D}V)$
$\displaystyle+(\mathcal{N}-1)V+\frac{|\mathcal{D}V|^{2}}{2}$
$\displaystyle-(1\otimes\tau+\tau\otimes
1)\operatorname{Tr}(\log(1+J\mathcal{D}V))\Big{]}=0$
###### Proof.
Our aim is to express the Schwinger-Dyson equation of the pushforward as a
single cyclic derivative. For the purpose of keeping our derivatives clear, we
will define the variable $X=Y+\mathcal{D}V(Y)$. We then have that
$Y+\mathcal{D}_{Y}V(Y)=J_{Y}^{*}(1)$ (3)
and want to understand what condition on $V$ ensures Schwinger-Dyson for $X$,
i.e.
$X+\mathcal{D}_{X}W(X)=J_{X}^{*}(1).$ (4)
Substituting the definition of $X$ into (4) gives
$\displaystyle
Y+\mathcal{D}_{Y}V(Y)+\mathcal{D}_{X}W(Y+\mathcal{D}_{Y}V(Y))=J^{*}_{X}(1),$
to which we apply the chain rule found in [GS12, Lemma 3.1],
$\displaystyle
J^{*}_{X}(1)=J^{*}_{Y}\left(\frac{1}{1+J_{Y}\mathcal{D}_{Y}V(Y)}\right),$
to arrive at the equation
$Y+\mathcal{D}_{Y}V(Y)+\mathcal{D}_{X}W(Y+\mathcal{D}_{Y}V(Y))=J^{*}_{Y}\left(\frac{1}{1+J_{Y}\mathcal{D}_{Y}V(Y)}\right).$
(5)
Similarly, we apply the chain rule for the cyclic derivative:
$\displaystyle\mathcal{D}_{Y}=(1+J_{Y}\mathcal{D}_{Y}V)\mathcal{D}_{X},$
obtaining
$Y+\mathcal{D}_{Y}V(Y)+(1+J_{Y}\mathcal{D}_{Y}V)^{-1}\mathcal{D}_{Y}W(Y+\mathcal{D}_{Y}V(Y))\\\
=J_{Y}^{*}\left(\frac{1}{1+J_{Y}\mathcal{D}_{Y}V(Y)}\right).$ (6)
In this equation, $1$ is the identity matrix in $M_{n}(M\otimes M^{op})$, the
$n\times n$ matrix with $1\otimes 1$ in all its diagonal entries. We know that
$1+J_{Y}\mathcal{D}_{Y}V$ is invertible in this space provided that
$J_{Y}\mathcal{D}_{Y}V$ has norm less than 1. In our next step, we will be
restricting $V$ to a smaller set still, so invertibility is guaranteed.
As $X$ has been removed from our equation and all derivatives are with respect
to $Y$ now, we will assume this going forwards and neglect the subscripts. We
expand the right hand side of (5) as
$\displaystyle J^{*}\left(\frac{1}{1+J\mathcal{D}V}\right)$
$\displaystyle=J^{*}(1)-J^{*}\left(\frac{J\mathcal{D}V}{1+J\mathcal{D}V}\right)$
$\displaystyle=Y+\mathcal{D}V-J^{*}\left(\frac{J\mathcal{D}V}{1+J\mathcal{D}V}\right),$
Performing the resulting cancellation and multiplying (6) by
$(1+J\mathcal{D}V)$ gives
$\displaystyle\mathcal{D}W(Y+\mathcal{D}V)=-(1+J\mathcal{D}V)J^{*}\left(\frac{J\mathcal{D}V}{1+J\mathcal{D}V}\right)$
(7)
We will expand the right hand side of (7) and then simplify with the following
identity from [GS12, Lemma 3.4]:
$\frac{1}{m+1}\mathcal{D}\left[(\tau\otimes
1+1\otimes\tau)\operatorname{Tr}(Jf^{m+1})\right]=-J^{*}(Jf^{m+1})+JfJ^{*}(Jf^{m}).$
(8)
Expanding the right hand side of (7) yields
$\displaystyle\sum_{n=1}^{\infty}$
$\displaystyle(-1)^{n}J^{*}(J\mathcal{D}V^{n})+(-1)^{n}J\mathcal{D}VJ^{*}(J\mathcal{D}V^{n})$
$\displaystyle=-J^{*}(J\mathcal{D}V)+\sum_{n=1}^{\infty}(-1)^{n}(J\mathcal{D}VJ^{*}(J\mathcal{D}V^{n})-J^{*}(J\mathcal{D}V^{n+1}))$
$\displaystyle=-J\mathcal{D}VJ^{*}(1)+\mathcal{D}\left[(1\otimes\tau+\tau\otimes
1)\operatorname{Tr}\left(J\mathcal{D}V+\sum_{n=1}^{\infty}\frac{(-1)^{n}}{n+1}J\mathcal{D}V^{n+1}\right)\right]$
$\displaystyle=-J\mathcal{D}VJ^{*}(1)+\mathcal{D}\left[(1\otimes\tau+\tau\otimes
1)\operatorname{Tr}(\log(1+J\mathcal{D}V))\right]$
$\displaystyle=-J\mathcal{D}V\cdot
Y-J\mathcal{D}V\cdot\mathcal{D}V+\mathcal{D}\left[(1\otimes\tau+\tau\otimes
1)\operatorname{Tr}(\log(1+J\mathcal{D}V))\right]$
We’re left with
$\mathcal{D}(W(Y+\mathcal{D}V))=-J\mathcal{D}V\cdot
Y-J\mathcal{D}V\cdot\mathcal{D}V\\\
+\mathcal{D}\left[(1\otimes\tau+\tau\otimes
1)\operatorname{Tr}\log(1+J\mathcal{D}V)\right]$ (9)
which nearly expresses the equation as a total (cyclic) derivative. All that
remains is writing the first two terms of the right hand side of (7) above as
cyclic derivatives. Analyzing the remaining two terms of (7), we make use of
the operators defined earlier, noticing
$Jg\cdot Y=\mathcal{N}g$
for any $g$. Thus, when $g=\mathcal{D}V$, we get
$J\mathcal{D}V\cdot Y=\mathcal{N}\mathcal{D}V=\mathcal{D}(\mathcal{N}-1)V$
We can also see that
$J\mathcal{D}V\cdot\mathcal{D}V=\mathcal{D}\left(\frac{\mathcal{D}_{1}V^{2}+\mathcal{D}_{2}V^{2}+...+\mathcal{D}_{n}V^{2}}{2}\right)=\mathcal{D}\left(\frac{|\mathcal{D}V|^{2}}{2}\right).$
So equation (7) can be rewritten as
$\mathcal{D}\left[W(Y+\mathcal{D}V)+(\mathcal{N}-1)V+\frac{|\mathcal{D}V|^{2}}{2}\right.\\\
-(1\otimes\tau+\tau\otimes
1)\operatorname{Tr}(\log(1+J\mathcal{D}V))\Big{]}=0$ (10)
Since $\mathcal{D}$ only sees the cyclically symmetric part of power series,
and does not see constants, this is equivalent to the desired equation
$\displaystyle\mathcal{S}\Pi\Big{[}W(Y+\mathcal{D}V)$
$\displaystyle+(\mathcal{N}-1)V+\frac{|\mathcal{D}V|^{2}}{2}$
$\displaystyle-(1\otimes\tau+\tau\otimes
1)\operatorname{Tr}(\log(1+J\mathcal{D}V))\Big{]}=0$
Thus concludes this lemma as well as the first step in the proof of Theorem
3.1, deriving a differential equation for $V$ in which all terms are cyclic
derivatives. ∎
We proceed to the second step in the proof of Theorem 3.1, where we
”integrate” the above equation to find a map to which we can apply the
contraction mapping theorem in order to find a solution.
We rephrase the differential equation in Lemma 3.3:
$\mathcal{S}\Pi\mathcal{N}V=\mathcal{S}\Pi\Big{[}-W(Y+\mathcal{D}V)+V-\frac{|\mathcal{D}V|^{2}}{2}\\\
+(1\otimes\tau+\tau\otimes 1)\operatorname{Tr}(\log(1+J\mathcal{D}V))\Big{]}.$
(11)
It will be more useful to solve for $\tilde{V}=S\Pi\mathcal{N}V$, which must
satisfy
$\tilde{V}=\mathcal{S}\Pi\\\
\left[-W(Y+\mathcal{D}\Sigma\tilde{V})+\Sigma\tilde{V}-\frac{|\mathcal{D}\Sigma\tilde{V}|^{2}}{2}+(1\otimes\tau+\tau\otimes
1)\operatorname{Tr}(\log(1+J\mathcal{D}\Sigma\tilde{V}))\right]$ (12)
Whenever necessary, we will denote the right hand side by
$F(\Sigma\tilde{V})$. We will show that there is a set on which
$F(\Sigma\cdot)$ is a contraction. Along the way, we must prove two lemmas.
###### Lemma 3.4.
$F(\Sigma\cdot)$ preserves evenness of power series. In other words, if $U$
has only terms of even degree, then $F(\Sigma U)$ also has only terms of even
degree.
In turn, proving this requires an easy proposition:
###### Proposition 3.5.
If $U$ is a potential which contains only even terms, then $\tau_{U}(P)=0$ for
any polynomial $P$ which contains only odd terms.
###### Proof.
This is a corollary of uniqueness of free Gibbs measures, [Gui06]. In
particular, if $X$ has free Gibbs law $\tau_{U}$, then $Y=-X$ also satisfies
$\tau(P(Y)\cdot\mathcal{D}_{Y}U(Y))=-\tau(P(-X)\cdot\mathcal{D}_{X}U(X))$
$=-\tau\otimes\tau(\operatorname{Tr}(J_{X}P(-X)))=\tau\otimes\tau(\operatorname{Tr}(J_{Y}P(Y)))$
So by uniqueness, $-X$ has the same law as $X$, yet $\tau(P(X))=-\tau(P(-X))$
for any odd polynomial, so this must be zero. ∎
###### Proof of Lemma 3.4.
We must check that each term preserves evenness. The term
$W(Y+\mathcal{D}\Sigma V)$ certainly does, since all terms in $W$ are even,
and all terms in $Y+\mathcal{D}\Sigma V$ are odd. The term $\Sigma V$ is most
immediate of all, and every term in $|\mathcal{D}\Sigma V|^{2}$ is a product
of two odd factors. To see that the log term also preserves this, we expand it
into its Taylor series
$\sum_{n}\frac{(-1)^{n}}{n}(1\otimes\tau+\tau\otimes
1)\operatorname{Tr}((J\mathcal{D}\Sigma V)^{n})$
Considering now a fixed $n$, we see that each term in $J\mathcal{D}\Sigma V$
is of the form $a\otimes b$ where the degrees of $a$ and $b$ sum to an even
number. The same is thus true of all powers. If $(1\otimes\tau)$ or
$(\tau\otimes 1)$ were to produce a term with odd degree, it would be
multiplied by $\tau(a)$ where $a$ also had odd degree, and so, by the
proposition, would be zero. ∎
We note that the $\log(1+\mathcal{J}\mathcal{D}\Sigma\tilde{V})$ and
$W(Y+\mathcal{D}\Sigma V)$ terms produce the requirement that $W$ be even. If
$V$ contains any terms of odd degree, both of these terms can produce linear
(degree one) terms in $F(\Sigma V)$ on which $\Sigma V$ is not strictly
contractive.
Next, we introduce the sets that we will consider as domains for
$F(\Sigma\cdot)$: $E\cap B_{A,R}$ where $E$ is the space of nc power series
with only even, positive degree terms, and $B_{A,R}$ is the ball of
$||\cdot||_{A}$ radius $R$. The previous lemma shows that $F(\Sigma\cdot)$
preserves $E$. We also need
###### Lemma 3.6.
If $A\geq 1$, $F(\Sigma\cdot)$ has a Lipschitz constant on $B_{A,R}\cap E$
bounded above by
$\frac{1}{2}+\left|\left|\sum_{i}\partial_{i}W\right|\right|_{B\otimes
B}+R+\frac{4R}{A^{2}-2R}$
where
$||\sum_{I,J}a_{I}b_{J}X_{I}\otimes X_{J}||_{A\otimes
B}=\sum_{I,J}|a_{I}||b_{j}|A^{|I|}B^{|I|}$
and, in the above bound, $B=A+R$.
Moreover
$||F(\Sigma\cdot)||_{A}\leq||W||_{B}+||V||_{A}\left(\tfrac{1}{2}+R+\frac{4R}{A^{2}-2R}\right)$
###### Proof.
Most of this proof can be reduced to an appeal to Cor. 3.12 in [GS09].
However, two terms deserve a comment.
Unlike [GS09], our $F$ contains a $\Sigma V$:
$||\Sigma V-\Sigma U||_{A}\leq\frac{1}{2}||V-U||_{A}$
Which follows immediately from the fact that all terms in $U$ and $V$ are of
order 2 or greater.
Additionally, our bound for the log term is different from that in [GS09] so
we briefly comment on it’s proof. We begin by taylor expanding the log term as
$\sum_{n}\frac{(-1)^{n}}{n}(1\otimes\tau+\tau\otimes
1)\operatorname{Tr}\left((J\mathcal{D}\Sigma V)^{n}-(J\mathcal{D}\Sigma
U)^{n}\right),$
a notationally tedious, but otherwise straightforward calculation then shows
that this is bounded in norm by
$\sum_{n}\frac{2^{n+1}R^{n}}{A^{2n}}||V-U||_{A}=||V-U||_{A}\frac{4R}{A^{2}-2R},$
see [GS12, Lemma 3.8] for more detail.
We can then obtain the desired bounds almost immediately from the Lipschitz
constants, with the only exception being the $W$ term, for which we use the
bound
$||W(Y+\mathcal{D}\Sigma V)||_{A}\leq||W||_{B}$
which follows from $\max(||Y_{i}+\mathcal{D}_{i}\Sigma V||_{A})\leq B$. ∎
We are now equipped to prove Theorem 3.1.
###### Proof of Theorem 3.1.
We fix a cutoff $3\geq T>2$. We then choose $A=3$ and an $R<1/4$ so that
$||V||_{A}<R$ implies the existence of a unique free Gibbs law with support
bounded by $T$. Then we find that the Lipschitz constant of $F(\Sigma\cdot)$
is bounded by:
$\left|\left|\sum_{i}\partial_{i}W\right|\right|_{\tfrac{13}{4}\otimes\tfrac{13}{4}}+\frac{1}{4}+\frac{1}{9-1/2}\leq||W||_{\tfrac{17}{4}}+\frac{59}{68}$
Where we have used that
$\left|\left|\sum_{i}\partial_{i}W\right|\right|_{A\otimes
A}\leq\sum_{I}|W_{I}||I|A^{|I|-1}\leq\sum_{I}|W_{I}|(1+A)^{|I|}=||W||_{A+1}$
Moreover, $||V||_{A}<R$ implies that
$||F(\Sigma
V)||_{A}\leq||W||_{\tfrac{13}{4}}+R\left(\frac{1}{2}+R+\frac{4R}{9-2R}\right)$
$\leq||W||_{\tfrac{13}{4}}+\frac{59}{68}R$
Since
$||W||_{\tfrac{13}{4}}\leq||W||_{\tfrac{17}{4}}$
We find that if $||W||_{\tfrac{17}{4}}<\frac{9}{68}$ then $F(\Sigma\cdot)$
will be a contraction, and if $||W||_{\tfrac{17}{4}}<\frac{9}{68}R$, then we
can also be assured that it will map $E\cap B_{A,R}$ into itself, so we have a
fixed point $V$. We then find that $\tilde{V}=\Sigma V$ satisfies the
conclusion of the theorem, since $\Sigma$ can only decrease $||\cdot||_{A}$.
Thus, we take $C=\tfrac{17}{4}$ and $\epsilon=\tfrac{9}{68}R$.
∎
## 4 Open Questions
There are several interesting questions that remain. Foremost is whether we
can remove the evenness restriction on power series $W$ in Theorem 3.1 to get
a result more in line with the single variable case. More broadly, is it
possible to generalize the proof in Section 2 to the multivariable case, which
requires more work extending variational techniques to the non-commutative
setting.
Second, free probability can in many ways be considered as a model of large
random matrices. For example, free Gibbs laws are the limit of corresponding
Gibbs laws on large random matrices. Is this also true for moment measures and
their potentials? In particular, if we apply the classical result to large
matrix models, does the result converge (in law or otherwise) to the free
Gibbs law corresponding to the free moment measure.
We have managed to reduce this question to the following. Let
$X=(\mathcal{D}U)Y$ where $X$ has free Gibbs law $\tau_{T}$ and $Y$ has free
Gibbs law $\tau_{U}$, and construct $\nu_{N}$ measures on
$\operatorname{\mathbb{R}}^{nN^{2}}$ as the matrix model for the law
$\tau_{T}$ and measures $\mu_{N}$ for the matrix model for $\tau_{U}$. Let
$\rho_{N}=e^{-U_{N}}\,dx$ where $U_{N}$ is the classical moment measure
potential for $\nu_{N}$. The problem can be reduced to the question of whether
$\tfrac{1}{N}W_{2}((\mathcal{D}U)_{\\#}\rho_{N},\nu_{N})\to 0$, where $W_{2}$
is the classical Wasserstein distance between these two measures.
This in turn raises a general question. Does the classical Wasserstein
distance between two sequences of measures for matrix models of nc laws
converge to the (noncommutative) Wasserstein distance (see [BV01]) between the
nc laws themselves? What about the (smaller) noncommutative Wasserstein
distance between the matrix laws?
Note that a similar statement, convergence in nc law implies convergence in
Wasserstein distance, is not true in general (and can be seen as a consequence
of the falsehood of the Connes embedding conjecture). This is in contrast to
the classical case, where Wasserstein convergence and convergence in law are
equivalent for random variables with a uniform bound.
Finally, there is the question of whether this and related works can be
extended to a broader class of laws than just free Gibbs laws with power
series potentials.
## Appendix A Computing Free Gibbs Laws for Single Variables
This section is intended as a quick overview to methods for solving the
equation $2\pi H(\rho)=u^{\prime}$ among measures on $\mathbb{R}$. We consider
the Cauchy transform
$G_{\rho}(z)=\int\frac{1}{z-t}d\rho$
which in particular satisfies
$\lim_{y\downarrow 0}G_{\rho}(x+iy)=\pi(H(\rho)-i\rho)(x)$
We would like to find $G$ using the fact that its real part is known, but we
only know this real part on the support of $\rho$ (which is also, a priori,
unknown). This is remedied by noticing that $G$ is an analytic function on the
Riemann sphere minus the support of $\rho$. With convex potentials, the
support of $\rho$ is connected, so we may assume that $G$ is an analytic
function away from some compact subinterval of $\mathbb{R}$. For the sake of
brevity, we will assume that the potential is even, so the measure is
supported on a symmetric interval $[-r,r]$. We then try to find the Cauchy
transform as
$G(z)=F(R(z))$
for some $F$, holomorphic on the interior of the disk, and
$R(z)=\frac{\sqrt{z^{2}-r^{2}}-z}{r}$
the Riemann mapping from $S^{2}\setminus[-r,r]$ to the disk. We make note of
the inverse of this map:
$S(w)=-\frac{r(1+w^{2})}{2w}=\frac{r\left(\frac{w+1}{1-w}\right)^{2}+r}{1-\left(\frac{w+1}{1-w}\right)^{2}}$
The defining equation of $\rho$ now gives that
$\lim_{z\rightarrow
e^{i\theta}}F(z)=\tfrac{1}{2}u^{\prime}(-r\cos(\theta))-i\pi\operatorname{sgn}(\sin(\theta))\rho(-r\cos(\theta))$
In particular, the real part is enough to compute the Taylor series for $F$;
if $F=\sum a_{n}z^{n}$, then
$a_{n}=\frac{1}{\pi}\int u^{\prime}(-rcos(\theta))e^{-in\theta}d\theta$
What remains is to fix $r$; we consider a contour $\gamma_{\epsilon}$ which
traces the rectangle with sides $re(z)=\pm r$ and $im(z)=\pm\epsilon$,
oriented clockwise. Since we know the limit of $G$ as $z$ approaches the axis
and that $\rho$ is a probability measure, we can see on the one hand that
$\int_{\gamma_{\epsilon}}G(z)dz=-2\pi i$
but on the other that
$\int_{\gamma_{\epsilon}}G(z)dz=\int_{\gamma_{\epsilon}}F(R(z))dz\rightarrow\int_{S^{1}}F(w)S^{\prime}(w)dw$
$=\frac{r}{2}\int_{S^{1}}F(w)\left(\frac{1}{w^{2}}-2w\right)=r\pi ia_{1}$
Whence,
$ra_{1}=-2$
We illustrate the process with the potential $u=x^{4}/4$ from section 2.5. We
have that
$\textrm{Re}(F(e^{i\theta}))=-\tfrac{r^{3}}{2}\cos^{3}(\theta)=-\tfrac{r^{3}}{8}(\cos(3\theta)+3\cos(\theta))$
so $a_{1}=-\tfrac{3}{8}r^{3}$ and $a_{3}=-\tfrac{1}{8}r^{3}$, and all other
Taylor coefficients are zero. We then fix $r$ using the equation
$ra_{1}=-2\Rightarrow r^{4}=\tfrac{16}{3}$
Then we see that
$-\pi*\nu(-r\cos(\theta))=\textrm{Im}(F(e^{i\theta}))=-\tfrac{r^{3}}{8}(\sin(3\theta)+3\sin(\theta))$
so
$\nu(x)=\tfrac{r^{3}}{8\pi}\left(4\frac{x^{2}}{r^{2}}+2\right)\sqrt{1-\frac{x^{2}}{r^{2}}}.$
## References
* [BS98] P. Biane and R. Speicher, _Stochastic calculus with respect to free brownian motion and analysis on wigner spaces_ , Probability Theory and Related Fields 112 (1998), 373–409.
* [BV01] P. Biane and D. Voiculescu, _A Free Probability Analogue of the Wasserstein Metric on the Trace-State Space_ , Geometric And Functional Analysis 11 (2001), 1125–1138.
* [CEK13] D. Cordero-Erausquin and B. Klartag, _Moment measures_ , Journal of Functional Analysis 268 (2013).
* [dPS95] A. Boutet de Monvel, L. Pastur, and M. Shcherbina, _On the statistical mechanics approach in the random matrix theory: Integrated density of states_ , Journal of Statistical Physics 79 (1995), no. 3, 585–611.
* [GMS06] A. Guionnet and E. Maurel-Sagala, _Combinatorial aspects of matrix models_ , ALEA Lat. Am. J. Probab. Math. Stat. 1 (2006), 241–279.
* [GMS07] , _Second order asymptotics for matrix models_ , Annals of Probability 35 (2007), 2160–2212.
* [GS09] A. Guionnet and D. Shlyakthenko, _Free diffusions and matrix models with strictly convex interaction_ , Geom. Funct. Anal. 18 (2009), 1875–1916.
* [GS12] A. Guionnet and D. Shlyakhtenko, _Free monotone transport_ , Inventiones mathematicae 197 (2012).
* [Gui06] A. Guionnet, _Random matrices and enumeration of maps_ , Proceedings Int. Cong. Math. 3 (2006), 623–636.
* [San15a] F. Santambrogio, _Dealing with moment measures via entropy and optimal transport_ , Journal of Functional Analysis 271 (2015).
* [San15b] , _Optimal transport for applied mathematicians – calculus of variations, pdes, and modeling_ , pp. xxvii+353, Springer, 05 2015.
* [Vil08] C. Villani, _Optimal transport – old and new_ , vol. 338, pp. xxii+973, Springer, 01 2008.
* [Voi86] D. Voiculescu, _Addition of certain non-commuting random variables_ , Jour. of Functional Analysis 66 (1986), 223–235.
* [Voi93] , _The analogues of entropy and fisher in free probability theory i_ , Comm. in Math. Phys. 155 (1993), 71–92.
* [Voi94] , _The analogues of entropy and fisher in free probability theory ii_ , Inventiones Math 118 (1994), 411–440.
* [Voi96] , _The analogues of entropy and fisher in free probability theory iii: Abscence of cartan subalgebras_ , Geometric & Functional Analysis 6 (1996), no. 1, 172–199.
* [Voi98] , _The analogues of entropy and fisher in free probability theory v: Noncommutative hilbert transforms_ , Inventiones Math 132 (1998), 189–227.
* [Voi99] , _The analogues of entropy and fisher in free probability theory iv: Liberation and mutual free information_ , Advances in Mathematics 146 (1999), 101–166.
* [Voi02] , _Free entropy_ , Bull. London Math. Society 34 (2002), 257–278.
| arxiv-papers | 2021-07-26T04:29:33 | 2024-09-04T03:07:17.594387 | {
"license": "Creative Commons - Attribution Share-Alike - https://creativecommons.org/licenses/by-sa/4.0/",
"authors": "Juniper Bahr and Nick Boschert",
"submitter": "Juniper Bahr",
"url": "https://arxiv.org/abs/2107.11953"
} |
2107.11958 | # Deep Learning for Estimation and Pilot Signal Design in Few-Bit Massive MIMO
Systems
Ly V. Nguyen, Duy H. N. Nguyen, and A. Lee Swindlehurst Ly V. Nguyen is with
the Computational Science Research Center, San Diego State University, San
Diego, CA, USA 92182 (e-mail: [email protected]).Duy H. N. Nguyen is with the
Department of Electrical and Computer Engineering, San Diego State University,
San Diego, CA, USA 92182 (e-mail: [email protected]).A. Lee Swindlehurst is
with the Center for Pervasive Communications and Computing, Henry Samueli
School of Engineering, University of California, Irvine, CA, USA 92697
(e-mail: [email protected]).
###### Abstract
Estimation in few-bit MIMO systems is challenging, since the received signals
are nonlinearly distorted by the low-resolution ADCs. In this paper, we
propose a deep learning framework for channel estimation, data detection, and
pilot signal design to address the nonlinearity in such systems. The proposed
channel estimation and data detection networks are model-driven and have
special structures that take advantage of the domain knowledge in the few-bit
quantization process. While the first data detection network, namely B-DetNet,
is based on a linearized model obtained from the Bussgang decomposition, the
channel estimation network and the second data detection network, namely FBM-
CENet and FBM-DetNet respectively, rely on the original quantized system
model. To develop FBM-CENet and FBM-DetNet, the maximum-likelihood channel
estimation and data detection problems are reformulated to overcome the
vanishing gradient issue. An important feature of the proposed FBM-CENet
structure is that the pilot matrix is integrated into its weight matrices of
the channel estimator. Thus, training the proposed FBM-CENet enables a joint
optimization of both the channel estimator at the base station and the pilot
signal transmitted from the users. Simulation results show significant
performance gain in estimation accuracy by the proposed deep learning
framework.
###### Index Terms:
Deep learning, deep neural network, massive MIMO, low-resolution ADCs, channel
estimation, data detection.
## I Introduction
One practical solution for reducing hardware cost and power consumption in
massive MIMO systems is to use low-resolution (e.g., $1$–$3$ bits) analog-to-
digital convectors (ADCs). This is due to the simple structure and very low
power consumption of low-resolution ADCs. In particular, the number of
comparators in a $b$-bit ADC grows exponentially with $b$, which means both
the hardware complexity and the power consumption of an ADC scales
exponentially with the resolution [1]. Therefore, the cost and power
consumption of low-resolution ADCs are substantially lower than those of high-
resolution ADCs. Furthermore, the hardware structure of other components in an
RF chain can also be simplified or removed when low-resolution ADCs are used.
For example, the simplest architecture involving one-bit ADCs does not require
an automatic gain control (AGC) since only the sign of the real and imaginary
parts of the received signals is retained. The low-noise amplifier (LNA) with
a stringent requirement on linear behavior can be replaced by an amplifier
whose structure is much more simpler. Unfortunately, the nonlinearity caused
by low-resolution ADCs make channel estimation and data detection in few-bit
MIMO systems much more challenging, compared to those in unquantized systems.
Channel estimation for massive MIMO systems with low-resolution ADCs has
attracted significant research interest and also been studied intensively. The
majority of which focus on one-bit systems with different scenarios, e.g., [2,
3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17]. Specifically, a one-bit
maximum-likelihood (ML) channel estimator was proposed in [2]. The work in [3]
exploits the Bussgang decomposition to form a one-bit Bussgang-based minimum
mean-squared error (BMMSE) channel estimator. Another BMMSE channel estimator
was also proposed in [4] but for one-bit spatial sigma-delta ADCs in a
spatially oversampled array. Channel estimation with temporally oversampled
one-bit ADCs is studied in [5] and [6]. It has been shown that one-bit ADCs
with spatial and temporal oversampling can help improve the channel estimation
accuracy but more resources and computations are required due to the
oversampling process. Angular-domain channel estimation for one-bit massive
MIMO systems was studied in [7, 8, 9]. Spatially/temporally correlated
channels and multi-cell processing with pilot contamination were investigated
in [10] and [11], respectively. For sparse millimeter-wave MIMO channels, ML
and maximum a posteriori (MAP) channel estimations were examined in [12] and
[13], respectively. Taking into account the sparsity of such channels, the
one-bit ADC channel estimation problem has been formulated as a compressed
sensing problem in [14, 15, 16]. Performance bounds on the channel estimation
of mmWave one-bit massive MIMO channels were reported in [17].
Recently, machine learning techniques have been studied to addressing the one-
bit massive MIMO channel estimation problem [18, 19, 20, 21]. The work in [18]
shows that support-vector machine (SVM) can be used to efficiently address the
one-bit massive MIMO channel estimation problem. Deep neural networks (DNNs)
have also been used to form one-bit massive MIMO channel estimators [19, 20,
21]. A two-stage channel estimator for OFDM systems was proposed in [19].
Since the majority of work in the literature focused on one-bit systems, there
were limited results on few-bit massive MIMO channel estimation [22, 23, 24,
25]. Specifically, the Bussgang decomposition was exploited in [22] to derive
two linear channel estimators including BMMSE and Bussgang-based weighted
zero-forcing (BWZF). A DNN-based joint pilot signal and channel estimator
design is proposed in [23]. The work in [24, 25] studied mixed-resolution
channel estimation where low-resolution ADCs were used in only part of the
receive antennas and the rest are equipped with conventional ADCs.
Data detection for low-resolution massive MIMO systems has also been studied
intensively in the literature. Most of the results were reported for the case
of one-bit ADCs, e.g., [2, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35]. In
particular, a one-bit ML detector and a one-bit sphere decoding (OSD)
technique were proposed in [2] and [26], respectively. The very high
computational complexity of the ML and OSD methods nevertheless make them
impractical for large-scale systems. A near-ML (nML) data detection method for
large-scale MIMO systems was proposed in [2]. However, the nML method is non-
robust at high signal-to-noise ratios (SNRs) when the channel state
information (CSI) is not perfectly known. The learning-based method in [27] is
a blind detection method for which CSI is not required, but it is only
applicable to MIMO systems with a small number of transmit antennas and only
low-dimensional constellations. Various one-bit linear detectors were
introduced in [28, 29]. These linear detectors are applicable for large-scale
systems but often suffer from high detection error floors. The authors in [30]
proposed a one-bit detection method based on the alternating direction method
of multipliers (ADMM) algorithm that takes hardware impairments into account.
SVM-based and DNN-based one-bit detectors were proposed in [18] and [29],
respectively. The SVM-based and DNN-based detectors in [18] and [29] were
shown to be robust, applicable to highly-scaled systems, and also to
outperform other existing one-bit detectors. Several other one-bit data
detection approaches can be found in [32, 33, 34, 35], but they are only
applicable in systems where either a cyclic redundancy check (CRC) [32, 33,
34] or an error correcting code such as a low-density parity-check (LDPC) code
[35] is available.
Data detection in few-bit massive MIMO systems has been studied in recent
papers [36, 37, 38, 39, 22]. While generalized approximate message passing
(GAMP) and Bayes inference are exploited in [36], the work in [37] employed
variational Bayesian (VB) inference and belief propagation (BP) for soft
symbol decoding. However, the resulting methods can be sophisticated and
expensive to implement. Unlike the blind detection method in [27] which was
developed for one-bit systems, the learning-based blind detection methods in
[38, 39] are applicable for few-bit systems, but they are also restricted to
MIMO systems with a small number of transmit antennas and only low-dimensional
constellations. The BMMSE and BWZF detection methods in [22] are linear
detectors and thus simple and applicable for large-scale MIMO systems.
In this paper, we develop a deep learning framework for channel estimation and
data detection for massive MIMO systems with low-resolution ADCs. Based on
deep unfolding of first-order optimization iterations, we propose a channel
estimator and two data detectors that are applicable for both one-bit and few-
bit ADCs as well as large-scale systems without the need for CRC or error
correcting codes. We note that the proposed channel estimation and data
detection networks are model-driven and have special structures that can take
advantages of the domain knowledge in few-bit MIMO systems.
For channel estimation, we reformulate the ML channel estimation problem by
exploiting the approximation of the cumulative distribution function (cdf) of
the normal random variable as a Sigmoid activation function. The reformulated
channel estimation problem does not suffer from the vanishing gradient issue
as the original problem. Based on the reformulated problem and a deep
unfolding technique, we propose a Few-Bit massive MIMO Channel Estimation
Network, which is referred to as FBM-CENet. An interesting feature of the
proposed FBM-CENet is that the pilot signal matrix is directly integrated in
the weight matrices at the estimation network. When the pilot matrix is not
given, it can be treated as trainable parameters and therefore training the
proposed FBM-CENet is equivalent to jointly optimizing both the channel
estimator at the base station and the pilot signal transmitted from the users.
This is a significant advantage of the proposed FBM-CENet structure since
existing channel estimators are often designed for a known pilot matrix.
Simulation results show that the proposed FBM-CENet significantly outperforms
existing channel estimation methods.
For data detection, we first propose a Bussgang-based few-bit massive MIMO
Data Detection Network, referred to as B-DetNet. The proposed B-DetNet is
based on a linearized system model obtained through the Bussgang
decomposition. Then we propose a Few-Bit massive MIMO Data Detection Network,
referred to as FBM-DetNet. Unlike B-DetNet which relies on an approximated
linearized system model, FBM-DetNet is developed based on the original
quantized system model. The special structure of FBM-DetNet is also obtained
through a reformulated ML data detection problem whose formulation is similar
to that of the reformulated channel estimation problem. We stress that the
proposed B-DetNet and FBM-DetNet are highly adaptive to the channel since the
weight matrices and the bias vectors of the proposed detection networks are
defined by the channel matrix and the received signal vector, respectively.
This makes the proposed detection networks easy to train with a few trainable
parameters. Simulation results also show that the proposed data detection
networks significantly outperform existing data detection methods.
The rest of this paper is organized as follows: Section II introduces the
assumed system model. Channel estimation is considered in Section III, where
the FBM-CENet estimator is proposed. The two proposed data detection networks
B-DetNet and FBM-DetNet are presented in Section IV. Numerical results are
given in Section V. Finally, Section VI concludes the paper.
Notation: Upper-case and lower-case boldface letters denote matrices and
column vectors, respectively. $\mathbb{E}[\cdot]$ represents expectation. The
operator $|\cdot|$ denotes the absolute value of a number and the operator
$\|\cdot\|$ denotes the $\ell_{2}$-norm of a vector. The transpose is denoted
by $[\cdot]^{T}$. The notation $\Re\\{\cdot\\}$ and $\Im\\{\cdot\\}$
respectively denotes the real and imaginary parts of the complex argument. If
$\Re\\{\cdot\\}$ and $\Im\\{\cdot\\}$ are applied to a matrix or vector, they
are applied separately to every element of that matrix or vector. The operator
$\operatorname{vec}(\mathbf{A})$ vectorizes $\mathbf{A}$ by stacking the
columns of $\mathbf{A}$ on top of one another. $\otimes$ denotes the Kronecker
product. $\mathbb{R}$ and $\mathbb{C}$ denote the set of real and complex
numbers, respectively, and $j$ is the unit imaginary number satisfying
$j^{2}=-1$. $\mathcal{N}(\cdot,\cdot)$ and $\mathcal{CN}(\cdot,\cdot)$
represent the real and the complex normal distributions respectively, where
the first argument is the mean and the second argument is the variance or the
covariance matrix. The functions
$\Phi(t)=\int_{-\infty}^{t}\frac{1}{\sqrt{2\pi}}e^{-\frac{\tau^{2}}{2}}d\tau$
and $\phi(t)=\frac{1}{\sqrt{2\pi}}e^{-\frac{1}{2}t^{2}}$ are the cdf and pdf
of the standard normal random variable, respectively.
## II System Model
We consider an uplink massive MIMO system with $K$ single-antenna users and an
$N$-antenna base station, where it is assumed that $N\geq K$. Let
$\bar{\mathbf{x}}=[\bar{x}_{1},\bar{x}_{2},\ldots,\bar{x}_{K}]^{T}\in\mathbb{C}^{K}$
denote the transmitted signal vector, where $\bar{x}_{k}$ is the signal
transmitted from the $k^{\text{th}}$ user under the power constraint
$\mathbb{E}[|\bar{x}_{k}|^{2}]=1$. The signal $\bar{x}_{k}$ is drawn from a
constellation $\bar{\mathcal{M}}$. Let $\bar{\mathbf{H}}\in\mathbb{C}^{N\times
K}$ denote the channel, which is assumed to be block flat fading. Let
$\bar{\mathbf{r}}=[\bar{r}_{1},\bar{r}_{2},\ldots,\bar{r}_{N}]^{T}\in\mathbb{C}^{N}$
be the unquantized received signal vector at the base station, which is given
as
$\bar{\mathbf{r}}=\bar{\mathbf{H}}\bar{\mathbf{x}}+\bar{\mathbf{z}}$ (1)
where
$\bar{\mathbf{z}}=[\bar{z}_{1},\bar{z}_{2},\ldots,\bar{z}_{N}]^{T}\in\mathbb{C}^{N}$
is a noise vector whose elements are assumed to be independent and identically
distributed (i.i.d.) as $\mathcal{CN}(0,N_{0})$ with $N_{0}$ being the noise
power. Each analog received signal is then quantized by a pair of $b$-bit
ADCs. Hence, the quantized received signal is given by
$\bar{\mathbf{y}}=\mathcal{Q}_{b}\left(\Re\\{\bar{\mathbf{r}}\\}\right)+j\mathcal{Q}_{b}\left(\Im\\{\bar{\mathbf{r}}\\}\right).$
(2)
The operator $\mathcal{Q}_{b}(\cdot)$ of a matrix or vector is applied
separately to every element of that matrix or vector. The SNR is defined as
$\rho=1/N_{0}$.
It is assumed that that ADCs perform $b$-bit uniform scalar quantization. The
$b$-bit ADC model is characterized by a set of $2^{b}-1$ thresholds denoted as
$\\{\tau_{1},\ldots,\tau_{2^{b}-1}\\}$. Without loss of generality, we can
assume $-\infty=\tau_{0}<\tau_{1}<\ldots<\tau_{2^{b}-1}<\tau_{2^{b}}=\infty$.
Let $\Delta$ be the step size, so the threshold of a uniform quantizer is
given as
$\tau_{l}=(-2^{b-1}+l)\Delta,\;\text{for}\;l\in\mathcal{L}=\\{1,\ldots,2^{b}-1\\}.$
(3)
The quantization output is defined as
$\mathcal{Q}_{b}(r)=\begin{cases}\tau_{l}-\frac{\Delta}{2}&\text{if}\;r\in(\tau_{l-1},\tau_{l}]\;\text{with}\;l\in\mathcal{L}\\\
(2^{b}-1)\frac{\Delta}{2}&\text{if}\;r\in(\tau_{2^{b}-1},\tau_{2^{b}}].\end{cases}$
(4)
## III Channel Estimation in Few-Bit MIMO Systems
In order to estimate the channel, a pilot sequence
$\bar{\mathbf{X}}_{\mathrm{t}}\in\mathbb{C}^{K\times T_{\mathrm{t}}}$ of
length $T_{\mathrm{t}}$ is used to generate the training data
$\bar{\mathbf{Y}}_{\mathrm{t}}=\mathcal{Q}_{b}\left(\bar{\mathbf{H}}\bar{\mathbf{X}}_{\mathrm{t}}+\bar{\mathbf{Z}}_{\mathrm{t}}\right).$
(5)
The subscript ‘$\mathrm{t}$’ in this paper indicates the training phase where
the channel estimation task is performed. We vectorize the received signal in
(5) to obtain the following form:
$\bar{\mathbf{y}}_{\mathrm{t}}=\mathcal{Q}_{b}(\bar{\mathbf{P}}\bar{\mathbf{h}}+\bar{\mathbf{z}}_{\mathrm{t}})$
(6)
where
$\bar{\mathbf{y}}_{\mathrm{t}}=\operatorname{vec}(\bar{\mathbf{Y}}_{\mathrm{t}})$,
$\bar{\mathbf{P}}=\bar{\mathbf{X}}_{\mathrm{t}}^{T}\otimes\mathbf{I}_{N}$,
$\bar{\mathbf{h}}=\operatorname{vec}(\bar{\mathbf{H}})$, and
$\bar{\mathbf{z}}_{\mathrm{t}}=\operatorname{vec}(\bar{\mathbf{Z}}_{\mathrm{t}})$.
For convenience in later derivations, we convert the notation in (6) into the
real domain as
$\mathbf{y}_{\mathrm{t}}=\mathcal{Q}_{b}(\mathbf{P}\mathbf{h}+\mathbf{z}_{\mathrm{t}})$
(7)
where
$\displaystyle\mathbf{y}_{\mathrm{t}}$
$\displaystyle=\begin{bmatrix}\Re\\{\bar{\mathbf{y}}_{\mathrm{t}}\\}\\\
\Im\\{\bar{\mathbf{y}}_{\mathrm{t}}\\}\end{bmatrix},\;\mathbf{h}=\begin{bmatrix}\Re\\{\bar{\mathbf{h}}\\}\\\
\Im\\{\bar{\mathbf{h}}\\}\end{bmatrix},\;\mathbf{z}_{\mathrm{t}}=\begin{bmatrix}\Re\\{\bar{\mathbf{z}}_{\mathrm{t}}\\}\\\
\Im\\{\bar{\mathbf{z}}_{\mathrm{t}}\\}\end{bmatrix},\;\text{and}$
$\displaystyle\mathbf{P}$
$\displaystyle=\begin{bmatrix}\Re\\{\bar{\mathbf{P}}\\}&-\Im\\{\bar{\mathbf{P}}\\}\\\
\Im\\{\bar{\mathbf{P}}\\}&\Re\\{\bar{\mathbf{P}}\\}\end{bmatrix}.$
### III-A Bussang-based linear channel estimators
We first revisit the Bussgang-based linear channel estimators including BMMSE
and BWZF for low-resolution massive MIMO systems [3, 22]. The system model in
(7) can be linearized by the Bussang decomposition as follows:
$\displaystyle\mathbf{y}_{\mathrm{t}}$
$\displaystyle=\mathbf{V}_{\mathrm{t}}\mathbf{P}\mathbf{h}+\mathbf{V}_{\mathrm{t}}\mathbf{z}_{\mathrm{t}}+\mathbf{d}_{\mathrm{t}}$
$\displaystyle=\mathbf{A}_{\mathrm{t}}\mathbf{h}+\mathbf{n}_{\mathrm{t}}$ (8)
where the matrix $\mathbf{V}_{\mathrm{t}}$ is given as [22]
$\displaystyle\mathbf{V}_{\mathrm{t}}$
$\displaystyle=\frac{\Delta}{\sqrt{\pi}}\operatorname{diag}(\boldsymbol{\Sigma}_{\mathbf{r}_{\mathrm{t}}})^{-\frac{1}{2}}\times$
$\displaystyle\qquad\sum_{i=1}^{2^{b}-1}\exp\bigg{\\{}-\Delta^{2}(i-2^{b-1})^{2}\operatorname{diag}(\boldsymbol{\Sigma}_{\mathbf{r}_{\mathrm{t}}})^{-1}\bigg{\\}}$
with
$\boldsymbol{\Sigma}_{\mathbf{r}_{\mathrm{t}}}=\mathbf{P}\boldsymbol{\Sigma}_{\mathbf{h}}\mathbf{P}^{T}+\frac{N_{0}}{2}\mathbf{I}$
being the auto-correlation matrix of $\mathbf{r}_{\mathrm{t}}$. For the case
of one-bit ADCs with $\Delta=\sqrt{2}$, the matrix $\mathbf{V}_{\mathrm{t}}$
reduces to a form as reported in [3, Eq. (10)].
The BMMSE channel estimator is given as [3, 22]
$\displaystyle\hat{\mathbf{h}}_{\mathtt{BMMSE}}=\boldsymbol{\Sigma}_{\mathbf{h}\mathbf{y}_{\mathrm{t}}}\boldsymbol{\Sigma}^{-1}_{\mathbf{y}_{\mathrm{t}}}\mathbf{y}_{\mathrm{t}}=\mathbf{A}^{T}_{\mathrm{t}}\boldsymbol{\Sigma}^{-1}_{\mathbf{y}_{\mathrm{t}}}\mathbf{y}_{\mathrm{t}}$
(9)
where $\boldsymbol{\Sigma}_{\mathbf{h}\mathbf{y}_{\mathrm{t}}}$ is the cross-
correlation matrix between $\mathbf{h}$ and $\mathbf{y}_{\mathrm{t}}$, and
$\boldsymbol{\Sigma}_{\mathbf{y}_{\mathrm{t}}}$ is the auto-correlation matrix
of $\mathbf{y}_{\mathrm{t}}$. For the case of one-bit ADCs,
$\boldsymbol{\Sigma}_{\mathbf{y}_{\mathrm{t}}}$ is given as [3]
$\boldsymbol{\Sigma}_{\mathbf{y}_{\mathrm{t}}}=\frac{\Delta^{2}}{\pi}\operatorname{arcsin}\Big{(}\operatorname{diag}(\mathbf{\Sigma}_{\mathbf{r}_{\mathrm{t}}})^{-\frac{1}{2}}\mathbf{\Sigma}_{\mathbf{r}_{\mathrm{t}}}\operatorname{diag}(\mathbf{\Sigma}_{\mathbf{r}_{\mathrm{t}}})^{-\frac{1}{2}}\Big{)}.$
(10)
For the case of few-bit ADCs, $\boldsymbol{\Sigma}_{\mathbf{y}_{\mathrm{t}}}$
is given as [22]
$\boldsymbol{\Sigma}_{\mathbf{y}_{\mathrm{t}}}=\mathbf{V}_{\mathrm{t}}\boldsymbol{\Sigma}_{\mathbf{r}_{\mathrm{t}}}\mathbf{V}^{T}_{\mathrm{t}}+\boldsymbol{\Sigma}_{\mathbf{d}_{\mathrm{t}}}.$
(11)
where $\boldsymbol{\Sigma}_{\mathbf{d}_{\mathrm{t}}}$ is the auto-correlation
matrix of $\mathbf{d}_{\mathrm{t}}$ and can be approximated as
$\boldsymbol{\Sigma}_{\mathbf{d}_{\mathrm{t}}}\approx\eta_{b}\operatorname{diag}(\boldsymbol{\Sigma}_{\mathbf{r}_{\mathrm{t}}})$.
The distortion factor $\eta_{b}$ depending on the number of quantization bits
$b$ is given in Table I.
A BWZF channel estimator was also proposed in [22] as follows:
$\hat{\mathbf{h}}_{\mathtt{BWZF}}=\big{(}\mathbf{A}^{T}_{\mathrm{t}}\operatorname{diag}(\mathbf{w})\mathbf{A}_{\mathrm{t}}\big{)}^{-1}\mathbf{A}^{T}_{\mathrm{t}}\operatorname{diag}(\mathbf{w})\mathbf{y}_{\mathrm{t}}$
(12)
where $\mathbf{w}=[w_{1},w_{2},\ldots,w_{2NT_{\mathrm{t}}}]^{T}$ with
$w_{i}=\frac{1}{\mathbb{E}[z^{2}_{\mathrm{t},i}]+\mathbb{E}[d^{2}_{\mathrm{t},i}|y_{\mathrm{t},i}]},\;i=1,\ldots,2NT_{\mathrm{t}}.$
Here, $y_{\mathrm{t},i}$, $z_{\mathrm{t},i}$, and $d_{\mathrm{t},i}$ are the
$i$-th element of $\mathbf{y}_{\mathrm{t}}$, $\mathbf{z}_{\mathrm{t}}$, and
$\mathbf{d}_{\mathrm{t}}$, respectively. The key idea of BZWF is that given an
observed quantized signal vector $\mathbf{y}_{\mathrm{t}}$, the elements of
$\mathbf{r}_{\mathrm{t}}$ have different variances. Exploiting this fact, the
BWZF estimator sets the signals with lower variances to have higher weights.
TABLE I: Optimum uniform quantizer for a Gaussian input as $\mathcal{C}(0,1)$ [40]. Resolution $b$ | 1-bit | 2-bit | 3-bit | 4-bit
---|---|---|---|---
Step size $\Delta_{b}$ | $\sqrt{8/\pi}$ | $0.996$ | $0.586$ | $0.335$
Distortion $\eta_{b}$ | $1-2/\pi$ | $0.1188$ | $0.0374$ | $0.0115$
### III-B Proposed FBM-CENet
#### III-B1 Maximum-likelihood channel estimation problem
Let
$\mathbf{P}=[\mathbf{p}_{1},\mathbf{p}_{2},\ldots,\mathbf{p}_{2NT_{\mathrm{t}}}]^{T}$,
$\mathbf{y}_{\mathrm{t}}=[y_{\mathrm{t},1},\ldots,y_{\mathrm{t},2NT_{\mathrm{t}}}]^{T}$,
and
$\mathbf{z}_{\mathrm{t}}=[z_{\mathrm{t},1},\ldots,z_{\mathrm{t},2NT_{\mathrm{t}}}]^{T},$
then we have
$y_{\mathrm{t},i}=\mathcal{Q}_{b}\left(\mathbf{p}_{i}^{T}\mathbf{h}+z_{\mathrm{t},i}\right),\quad
i=1,2,\ldots,2NT_{\mathrm{t}}.$ (13)
Let
$s^{\mathrm{up}}_{\mathrm{t},i}=\sqrt{2\rho}(q^{\mathrm{up}}_{\mathrm{t},i}-\mathbf{p}_{i}^{T}\mathbf{h})$
and
$s^{\mathrm{low}}_{\mathrm{t},i}=\sqrt{2\rho}(q^{\mathrm{low}}_{\mathrm{t},i}-\mathbf{p}_{i}^{T}\mathbf{h})$,
where
$\displaystyle q^{\mathrm{up}}_{\mathrm{t},i}$
$\displaystyle=\begin{cases}y_{\mathrm{t},i}+\frac{\Delta}{2}&\text{if}\;y_{\mathrm{t},i}<\tau_{2^{b}-1}\\\
\infty&\text{otherwise},\end{cases}$ $\displaystyle
q^{\mathrm{low}}_{\mathrm{t},i}$
$\displaystyle=\begin{cases}y_{\mathrm{t},i}-\frac{\Delta}{2}&\text{if}\;y_{\mathrm{t},i}>\tau_{1}\\\
-\infty&\text{otherwise}.\end{cases}$
Hence, $q^{\mathrm{up}}_{\mathrm{t},i}$ and $q^{\mathrm{low}}_{\mathrm{t},i}$
are the upper and lower quantization thresholds of the bin to which
$y_{\mathrm{t},i}$ belongs.
The ML channel estimator is given as follows:
$\displaystyle\hat{\mathbf{h}}_{\texttt{ML}}$ $\displaystyle=$
$\displaystyle\arg\max_{\mathbf{h}}\;f(\mathbf{y}_{\mathrm{t}}\,|\,\mathbf{h})$
(14) $\displaystyle=$
$\displaystyle\arg\max_{\mathbf{h}}\;\sum_{i=1}^{2NT_{\mathrm{t}}}\log\left[\Phi\left(s^{\mathrm{up}}_{\mathrm{t},i}\right)-\Phi\left(s^{\mathrm{low}}_{\mathrm{t},i}\right)\right].$
Let $\mathcal{P}_{\mathrm{t}}(\mathbf{h})$ be the objective function of (14).
Since $\mathcal{P}_{\mathrm{t}}(\mathbf{h})$ is a concave function [41], the
unconstrained optimization problem (14) is convex, and therefore an iterative
gradient ascent method can be used to solve (14). However, the gradient of
$\mathcal{P}_{\mathrm{t}}(\mathbf{h})$, given by
$\nabla\mathcal{P}_{\mathrm{t}}(\mathbf{h})=\sum_{i=1}^{2NT_{\mathrm{t}}}\frac{-\sqrt{2\rho}\mathbf{p}_{i}\big{(}\phi\left(s^{\mathrm{up}}_{\mathrm{t},i}\right)-\phi\left(s^{\mathrm{low}}_{\mathrm{t},i}\right)\big{)}}{\Phi\left(s^{\mathrm{up}}_{\mathrm{t},i}\right)-\Phi\left(s^{\mathrm{low}}_{\mathrm{t},i}\right)},$
(15)
suffers from a vanishing issue, since the function $\Phi(\cdot)$ approaches
zero or one very fast. Specifically, the iterative gradient descent method
sequentially updates the estimated channel $\hat{\mathbf{h}}$. During the
process of updating $\hat{\mathbf{h}}$, there exists an instance of
$\hat{\mathbf{h}}$ that makes both
$\Phi\left(s^{\mathrm{up}}_{\mathrm{t},i}\right)$ and
$\Phi\left(s^{\mathrm{low}}_{\mathrm{t},i}\right)$ equal to zero or one. Thus,
the denominator in (15) can be zero for some $\hat{\mathbf{h}}$ causing the
gradient vanishing issue. In addition, a lack of a closed-form expression for
$\Phi(\cdot)$ complicates the evaluation in (14). This observation motivates
us to reformulate the ML channel estimation problem (14) to address the
vanishing issue as well as the complicated evaluation of the objective
function in (14). We exploit a result in [42], which shows that the function
$\Phi(t)$ can be accurately approximated by the Sigmoid function
$\sigma(t)=1/(1+e^{-t})$ as follows:
$\Phi(t)\approx\sigma(ct)=\frac{1}{1+e^{-ct}}$ (16)
where $c=1.702$ is a constant. It was shown in [42] that
$|\Phi(t)-\sigma(ct)|\leq 0.0095$, $\forall t\in\mathbb{R}$. The objective
function $\mathcal{P}_{\mathrm{t}}(\mathbf{h})$ can be re-written as follows:
$\displaystyle\mathcal{P}_{\mathrm{t}}(\mathbf{h})$
$\displaystyle\approx\tilde{\mathcal{P}}_{\mathrm{t}}(\mathbf{h})=\sum_{i=1}^{2NT_{\mathrm{t}}}\log\left[\frac{1}{1+e^{-cs^{\mathrm{up}}_{\mathrm{t},i}}}-\frac{1}{1+e^{-cs^{\mathrm{low}}_{\mathrm{t},i}}}\right]$
$\displaystyle=\sum_{i=1}^{2NT_{\mathrm{t}}}\Big{[}\log\Big{(}e^{-cs^{\mathrm{low}}_{\mathrm{t},i}}-e^{-cs^{\mathrm{up}}_{\mathrm{t},i}}\Big{)}-\log\left(1+e^{-cs^{\mathrm{up}}_{\mathrm{t},i}}\right)$
$\displaystyle\qquad\quad\;\;\;-\log\left(1+e^{-cs^{\mathrm{low}}_{\mathrm{t},i}}\right)\Big{]}.$
(17)
Thus, a reformulated ML channel estimation problem is obtained as follows:
$\hat{\mathbf{h}}=\arg\max_{\mathbf{h}}\;\tilde{\mathcal{P}}_{\mathrm{t}}(\mathbf{h}).$
(18)
The gradient of $\tilde{\mathcal{P}}_{\mathrm{t}}(\mathbf{h})$ is
$\displaystyle\nabla\tilde{\mathcal{P}}_{\mathrm{t}}(\mathbf{h})$
$\displaystyle=\sum_{i=1}^{2NT_{\mathrm{t}}}c\sqrt{2\rho}\,\mathbf{p}_{i}\left(1-\frac{1}{1+e^{cs^{\mathrm{up}}_{\mathrm{t},i}}}-\frac{1}{1+e^{cs^{\mathrm{low}}_{\mathrm{t},i}}}\right)$
$\displaystyle=c\sqrt{2\rho}\,\mathbf{P}^{T}\Big{[}\mathbf{1}-\sigma\left(c\sqrt{2\rho}\left(\mathbf{P}\mathbf{h}-\mathbf{q}^{\mathrm{up}}_{\mathrm{t}}\right)\right)-$
$\displaystyle\hskip
71.13188pt\sigma\left(c\sqrt{2\rho}\left(\mathbf{P}\mathbf{h}-\mathbf{q}^{\mathrm{low}}_{\mathrm{t}}\right)\right)\Big{]}$
(19)
where
$\mathbf{q}^{\mathrm{up}}_{\mathrm{t}}=[q_{\mathrm{t},1}^{\mathrm{up}},\ldots,q_{\mathrm{t},2NT_{\mathrm{t}}}^{\mathrm{up}}]^{T}$
and
$\mathbf{q}^{\mathrm{low}}_{\mathrm{t}}=[q_{\mathrm{t},1}^{\mathrm{low}},\ldots,q_{\mathrm{t},2NT_{\mathrm{t}}}^{\mathrm{low}}]^{T}$.
It can be seen that the gradient of
$\tilde{\mathcal{P}}_{\mathrm{t}}(\mathbf{h})$ in (19) does not suffer from
the divided-by-zero issue as in the gradient of
$\mathcal{P}_{\mathrm{t}}(\mathbf{h})$. Thus, an iterative gradient decent
method for solving (18) can be written as
$\mathbf{h}^{(\ell)}=\mathbf{h}^{(\ell-1)}+\alpha^{(\ell)}_{\mathrm{t}}\nabla\tilde{\mathcal{P}}_{\mathrm{t}}\big{(}\mathbf{h}^{(\ell-1)}\big{)}$
(20)
where $\ell$ is the iteration index and $\alpha^{(\ell)}_{\mathrm{t}}$ is the
step size.
$v^{(0)}_{1}$$v^{(0)}_{2}$$v^{(0)}_{M}$Layer$1$$v^{(1)}_{1}$$v^{(1)}_{2}$$v^{(1)}_{M}$Layer$2$$v^{(2)}_{1}$$v^{(2)}_{2}$$v^{(2)}_{M}$………$v^{(L-1)}_{1}$$v^{(L-1)}_{2}$$v^{(L-1)}_{M}$Layer$L$$v^{(L)}_{1}$$v^{(L)}_{2}$$v^{(L)}_{M}$⋮⋮⋮⋮⋮
Figure 1: Overall structure of the proposed FBM-CENet, FBM-DetNet, and
B-DetNet. For FBM-CENet, $v$ plays the role of $h$ and $M=2NK$. For FBM-DetNet
and B-DetNet, $v$ plays the role of $x$ and $M=2K$.
#### III-B2 Network structure of the proposed FBM-CENet
We employ the deep unfolding technique [43] to unfold each iteration in (20)
as a layer of a deep neural network. The overall structure of the proposed
FBM-CENet estimator is illustrated in Fig. 1, where there are $L$ layers and
each layer takes a vector of $2NK$ elements as the input and generates an
output vector of the same size.
The specific structure for each layer $\ell$ of the proposed FBM-CENet is
illustrated in Fig. 2(b). The proposed layer structure is special and unique
due to the use of the approximation in (16) and the structure of the
reformulated gradient in (19). Specifically, each layer of the proposed FBM-
CENet consists of two weight matrices and two bias vectors where the pilot
matrix $\mathbf{P}$ plays the role of the weight matrices and the received
signals $\mathbf{q}^{\mathrm{up}}_{\mathrm{t}}$ and
$\mathbf{q}^{\mathrm{low}}_{\mathrm{t}}$ play the role of the bias vectors. By
contrast, each layer $\ell$ of a conventional DNN-based channel estimator as
illustrated in Fig. 2(a) contains one weight matrix $\mathbf{W}_{\ell}$ and
one bias vector $\mathbf{b}_{\ell}$. Such a conventional DNN structure has
been employed in several existing works, e.g., [23, 24, 25]. An interesting
feature of the proposed network structure is the Sigmoid activation function
$\sigma(\cdot)$, which is not arbitrary but results from the use of the
approximation in (16). This is unlike the conventional DNN structure where the
activation functions $\\{f_{\ell}(\cdot)\\}$ are often chosen heuristically by
experiments.
#### III-B3 Trainable parameters
For a given pilot matrix $\mathbf{P}$, the trainable parameters in the
proposed FBM-CENet are the step sizes $\\{\alpha^{(\ell)}_{\mathrm{t}}\\}$ and
a scaling parameter $\beta_{\mathrm{t}}$ inside the Sigmoid function. Note
that the coefficient $c\sqrt{2\rho}$ is omitted in the proposed network
structure since it is a constant through all the layers of the network. The
trainable parameters $\\{\alpha^{(\ell)}_{\mathrm{t}}\\}$ and
$\beta_{\mathrm{t}}$ take over the role of this coefficient.
It is important to note that the pilot matrix $\mathbf{P}$ directly plays the
role of the weight matrices. Therefore, when the pilot matrix $\mathbf{P}$ is
not given, it can be treated as a trainable parameter. In this case, training
the proposed FBM-CENet is equivalent to jointly optimizing both the channel
estimator at the base station and the pilot signal transmitted from the users.
This is a significant advantage of the proposed network structure since the
conventional DNN-based channel estimator is often trained or optimized for a
given pilot matrix. In other words, conventional DNN structures do not convey
information about the optimal pilot signal. We note that a recent work in [23]
also jointly optimized the pilot signal and the channel estimator for massive
MIMO systems with low-resolution ADCs. However, the channel estimator in [23]
simply employs the conventional DNN structure as illustrated in Fig. 2(a). We
will later show that the proposed FBM-CENet estimator significantly
outperforms the method in [23].
$\mathbf{y}_{\mathrm{t}}$$\times$$\mathbf{W}_{1}$$\boldsymbol{\theta}_{1}$$f_{1}\big{(}\boldsymbol{\theta}_{1}-\mathbf{b}_{1}\big{)}$$\times$$\mathbf{W}_{2}$$\boldsymbol{\theta}_{2}$$f_{2}\big{(}\boldsymbol{\theta}_{2}-\mathbf{b}_{2}\big{)}$$\ldots$$\times$$\mathbf{W}_{L}$$\boldsymbol{\theta}_{L}$$f_{L}\big{(}\boldsymbol{\theta}_{L}-\mathbf{b}_{L}\big{)}$$\hat{\mathbf{h}}$
(a) Conventional channel estimation DNN structure. Each layer $\ell$ contains
a trainable weight matrix $\mathbf{W}_{\ell}$, a trainable bias vector
$\mathbf{b}_{\ell}$, and an activation function $f_{\ell}(\cdot)$.
$\mathbf{h}^{(\ell-1)}$$\times$$\mathbf{P}$$\mathbf{u}_{\mathrm{t}}^{(\ell)}$$\sigma\big{(}\beta_{\mathrm{t}}(\mathbf{u}_{\mathrm{t}}^{(\ell)}-\mathbf{q}^{\mathrm{up}}_{\mathrm{t}})\big{)}$$\sigma\big{(}\beta_{\mathrm{t}}(\mathbf{u}_{\mathrm{t}}^{(\ell)}-\mathbf{q}^{\mathrm{low}}_{\mathrm{t}})\big{)}$$\mathbf{1}$$+$$-$$-$$\times$$\mathbf{P}^{T}$$\alpha^{(\ell)}_{\mathrm{t}}$$\mathbf{h}^{(\ell-1)}$$+$$\mathbf{h}^{(\ell)}$
(b) Specific structure of layer $\ell$ of the proposed FBM-CENet.
Figure 2: Conventional versus proposed DNN structure for channel estimation.
#### III-B4 Training strategy
Here we present the strategy for straining the proposed FBM-CENet estimator.
Let $\hat{\mathbf{h}}$ denote the channel estimate, which is set to be the
output of the last layer of the proposed FBM-CENet, i.e.,
$\hat{\mathbf{h}}=\mathbf{h}^{(L)}$. The cost function to be minimized is
$\|\hat{\mathbf{h}}-\mathbf{h}\|^{2}$.
In case the pilot matrix $\mathbf{P}$ is given, a training sample for the
proposed FBM-CENet contains the given matrix $\mathbf{P}$, a channel vector
realization $\mathbf{h}$ and a noise vector $\mathbf{z}$, which can be
obtained by random generating. When the pilot matrix $\mathbf{P}$ is not given
and it is trainable, a training sample only contains a channel vector
realization $\mathbf{h}$ and a noise vector $\mathbf{z}$.
It is important to note that the received signals
$\mathbf{q}_{\mathrm{t}}^{\mathrm{up}}$ and
$\mathbf{q}_{\mathrm{t}}^{\mathrm{low}}$ depend on the pilot matrix
$\mathbf{P}$. Therefore, in case the pilot matrix $\mathbf{P}$ is trainable,
gradient back-propagation during the training process should also go through
$\mathbf{q}_{\mathrm{t}}^{\mathrm{up}}$ and
$\mathbf{q}_{\mathrm{t}}^{\mathrm{low}}$. However, the low-resolution ADCs are
discontinuous functions, which make gradient back-propagation through
$\mathbf{q}_{\mathrm{t}}^{\mathrm{up}}$ and
$\mathbf{q}_{\mathrm{t}}^{\mathrm{low}}$ infeasible. To overcome this issue,
we employ a soft quantizer model based on the Rectified Linear Unit (ReLU)
activation function $f_{\mathrm{relu}}(r)=\max(0,r)$ for the training process
as follows:
$\displaystyle q^{\mathrm{up}}(r)$
$\displaystyle=q(r)+\frac{\Delta}{2}+c_{2}\big{[}f_{\mathrm{relu}}(r-B\Delta+c_{1})-$
$\displaystyle\qquad f_{\mathrm{relu}}(r-B\Delta-c_{1})\big{]}$ (21)
$\displaystyle q^{\mathrm{low}}(r)$
$\displaystyle=q(r)-\frac{\Delta}{2}-c_{2}\big{[}f_{\mathrm{relu}}(-r-B\Delta+c_{1})-$
$\displaystyle\qquad f_{\mathrm{relu}}(-r-B\Delta-c_{1})\big{]}$ (22)
where $B=2^{b-1}-1$, $c_{1}$ and $c_{2}$ are positive constants, and
$\displaystyle q(r)$
$\displaystyle=-(2^{b}-1)\frac{\Delta}{2}+\frac{\Delta}{2c_{1}}\sum_{i=-B}^{B}\big{[}f_{\mathrm{relu}}(r+i\Delta+c_{1})-$
$\displaystyle\qquad f_{\mathrm{relu}}(r+i\Delta-c_{1})\big{]}.$ (23)
This soft quantization model is based on the ReLU function, which is
continuous and therefore back-propagation is feasible. The effect of $c_{1}$
is illustrated in Fig. 3. It can be seen that the smaller $c_{1}$ is, the
sharper the soft quantizer is, or in other words, the closer the soft
quantizer is to the hard (real) quantizer. The constant $c_{2}$ accounts for
the two thresholds $\tau_{0}=-\infty$ and $\tau_{2^{b}}=\infty$, and hence it
should be a large number.
It should be noted that the constants $\\{c_{1},c_{2}\\}$ should not be
treated as trainable parameters because we need the soft quantizer to be close
to the hard quantizer. If these constants are treated as trainable parameters,
the training process may produce a soft quantizer that significantly deviates
from the hard quanizer, which is in fact the model in the real systems.
(a) $c_{1}=0.01$.
(b) $c_{1}=0.05$
Figure 3: Two-bit soft quantizer with $\Delta=1$.
## IV Data Detection in Few-Bit MIMO Systems
In this section, we propose two DNN-based detectors, namely B-DetNet and FBM-
DetNet, for massive MIMO systems with low-resolution ADCs. For convenience in
later derivations, we convert (1) and (2) into the real domain as follows:
$\mathbf{y}=\mathcal{Q}_{b}\left(\mathbf{H}\mathbf{x}+\mathbf{z}\right),$ (24)
where
$\displaystyle\mathbf{y}$
$\displaystyle=\begin{bmatrix}\Re\\{\bar{\mathbf{y}}\\}\\\
\Im\\{\bar{\mathbf{y}}\\}\end{bmatrix},\
\mathbf{x}=\begin{bmatrix}\Re\\{\bar{\mathbf{x}}\\}\\\
\Im\\{\bar{\mathbf{x}}\\}\end{bmatrix},\
\mathbf{z}=\begin{bmatrix}\Re\\{\bar{\mathbf{z}}\\}\\\
\Im\\{\bar{\mathbf{z}}\\}\end{bmatrix},\ \text{and}$ $\displaystyle\mathbf{H}$
$\displaystyle=\begin{bmatrix}\Re\\{\bar{\mathbf{H}}\\}&-\Im\\{\bar{\mathbf{H}}\\}\\\
\Im\\{\bar{\mathbf{H}}\\}&\Re\\{\bar{\mathbf{H}}\\}\end{bmatrix}.$
Note that $\mathbf{y}\in\mathbb{R}^{2N}$, $\mathbf{x}\in\mathbb{R}^{2K}$,
$\mathbf{z}\in\mathbb{R}^{2N}$, and $\mathbf{H}\in\mathbb{R}^{2N\times 2K}$.
We also denote $\mathbf{y}=[y_{1},\ldots,y_{2N}]^{T}$ and
$\mathbf{H}=[\mathbf{h}_{1},\ldots,\mathbf{h}_{2N}]^{T}$.
(a) QPSK signaling.
(b) $16$QAM signaling.
Figure 4: Projector function $\psi_{t}(\cdot)$ with different values of $t$.
InputOutputweight matrix$\mathbf{A}$weight
matrix$\mathbf{A}^{T}\boldsymbol{\Sigma}_{\mathbf{n}}^{-1}$$x^{(\ell-1)}_{1}$$\sum$$y_{1}$$-$$+$$\sum$$\times$$\alpha^{(\ell)}$$+$$x^{(\ell-1)}_{1}$$\psi_{t_{\ell}}(\cdot)$$x^{(\ell)}_{1}$$x^{(\ell-1)}_{2}$$\sum$$y_{2}$$-$$+$$\sum$$\times$$\alpha^{(\ell)}$$+$$x^{(\ell-1)}_{2}$$\psi_{t_{\ell}}(\cdot)$$x^{(\ell)}_{2}$$x^{(\ell-1)}_{2K}$$\sum$$y_{2N}$$-$$+$$\sum$$\times$$\alpha^{(\ell)}$$+$$x^{(\ell-1)}_{2K}$$\psi_{t_{\ell}}(\cdot)$$x^{(\ell)}_{2K}$
Figure 5: Specific structure of layer $\ell$ of the proposed B-DetNet.
### IV-A Proposed B-DetNet
Applying the Bussang decomposition to (24), we obtain
$\displaystyle\mathbf{y}$
$\displaystyle=\mathbf{V}\mathbf{H}\mathbf{x}+\mathbf{V}\mathbf{z}+\mathbf{d},$
$\displaystyle=\mathbf{A}\mathbf{x}+\mathbf{n}$ (25)
where
$\displaystyle\mathbf{V}$
$\displaystyle=\frac{\Delta}{\sqrt{\pi}}\operatorname{diag}(\boldsymbol{\Sigma}_{\mathbf{r}})^{-\frac{1}{2}}\times$
$\displaystyle\qquad\sum_{i=1}^{2^{b}-1}\exp\bigg{\\{}-\Delta^{2}(i-2^{b-1})^{2}\operatorname{diag}(\boldsymbol{\Sigma}_{\mathbf{r}})^{-1}\bigg{\\}}$
and
$\boldsymbol{\Sigma}_{\mathbf{r}}=\frac{1}{2}\big{(}\mathbf{H}\mathbf{H}^{T}+N_{0}\mathbf{I}\big{)}$.
For the case of 1-bit ADCs, the covariance of $\mathbf{n}$ is given in a
closed form as [44]
$\begin{split}\boldsymbol{\Sigma}_{\mathbf{n}}=&\frac{\Delta^{2}}{\pi}\Big{[}\operatorname{arcsin}\Big{(}\operatorname{diag}(\mathbf{\Sigma}_{\mathbf{r}})^{-\frac{1}{2}}\mathbf{\Sigma}_{\mathbf{r}}\operatorname{diag}(\mathbf{\Sigma}_{\mathbf{r}})^{-\frac{1}{2}}\Big{)}-\\\
&\;\operatorname{diag}(\mathbf{\Sigma}_{\mathbf{r}})^{-\frac{1}{2}}\mathbf{\Sigma}_{\mathbf{r}}\operatorname{diag}(\mathbf{\Sigma}_{\mathbf{r}})^{-\frac{1}{2}}+\frac{N_{0}}{2}\operatorname{diag}(\mathbf{\Sigma}_{\mathbf{r}})^{-1}\Big{]}.\end{split}$
(26)
For few-bit ADCs, the covariance of $\mathbf{n}$ can be approximated as
$\boldsymbol{\Sigma}_{\mathbf{n}}\approx\frac{N_{0}}{2}\mathbf{V}\mathbf{V}^{T}+\eta_{b}\operatorname{diag}(\boldsymbol{\Sigma}_{\mathbf{r}})$.
Here, the effective noise $\mathbf{n}$ is often modeled as Gaussian noise as
$\mathcal{N}(\mathbf{0},\boldsymbol{\Sigma}_{\mathbf{n}})$. Based on this
linearized model, different linear detectors for one-bit and few-bit ADCs such
as BZF, BMMSE, and BWZF were introduced in [28, 29, 22].
Here, we propose a data detection network, namely B-DetNet, based on the
linearized system model in (25). Since the effective noise $\mathbf{n}$ is
assumed to be Gaussian, the Bussgang-based maximum likelihood detection
problem is given as
$\hat{\mathbf{x}}_{\mathtt{BML}}=\operatorname*{arg\;min}_{\bar{\mathbf{x}}\in\bar{\mathcal{M}}^{K}}\;(\mathbf{y-Ax})^{T}\boldsymbol{\Sigma}^{-1}_{\mathbf{n}}(\mathbf{y-Ax}).$
(27)
Let $P_{\mathrm{B}}(\mathbf{x})$ be the objective function of (27). Note that
$P_{\mathrm{B}}(\mathbf{x})$ is a quadratic function of $\mathbf{x}$ and thus
convex. However, the optimization problem is not convex due to the constraint
on the discrete feasibility set $\bar{\mathcal{M}}^{K}$. An optimal solution
to (27) therefore requires an exhaustive search, which is very expensive for
large scale systems. Instead, an iterative projected gradient descent method
$\mathbf{x}^{(\ell)}=\psi_{t_{\ell}}\left(\mathbf{x}^{(\ell-1)}-\alpha^{(\ell)}\nabla
P_{\mathrm{B}}(\mathbf{x}^{(\ell-1)})\right)$ (28)
can be applied to to search for its optimal solution. Herein, the gradient of
$P_{\mathrm{B}}(\mathbf{x})$ evaluated at $\mathbf{x}^{(\ell-1)}$ is given by
$\nabla
P_{\mathrm{B}}(\mathbf{x}^{(\ell-1)})=-2\mathbf{A}^{T}\boldsymbol{\Sigma}^{-1}_{\mathbf{n}}\big{(}\mathbf{y}-\mathbf{A}\mathbf{x}^{(\ell-1)}\big{)}$
(29)
and $\psi_{t_{\ell}}(\cdot)$ characterized by a positive parameter $t_{\ell}$
is a non-linear projector to force the signal to the regime of constellation
points. Based on the ReLU activation function, like $q(r)$ in (23),
$\psi_{t_{\ell}}(\cdot)$ can be written as
$\displaystyle\psi_{t_{\ell}}(x)$
$\displaystyle=-(2^{b^{\prime}}-1)\frac{\Delta^{\prime}}{2}+\frac{\Delta^{\prime}}{2t_{\ell}}\sum_{i=-B^{\prime}}^{B^{\prime}}\big{[}f_{\mathrm{relu}}(r+i\Delta+t_{\ell})-$
$\displaystyle\qquad f_{\mathrm{relu}}(r+i\Delta-t_{\ell})\big{]}$ (30)
where $B^{\prime}=2^{b^{\prime}-1}-1$ and $t_{\ell}$ is a positive number. For
QPSK signalling, $\\{b^{\prime},\Delta^{\prime}\\}=\\{1,\frac{2}{\sqrt{2}}\\}$
and for $16$-QAM signalling,
$\\{b^{\prime},\Delta^{\prime}\\}=\\{2,\frac{2}{\sqrt{10}}\\}$. Illustration
for the effect of $t$ on $\psi_{t}(\cdot)$ is given in Fig. 4. It can also
seen that smaller $t$ makes the projector sharper. Such a projection function
was used in [45], which studied deep learning-based detection for unquantized
MIMO systems.
We propose B-DetNet by unfolding the projected gradient descent method in
(28). The overall structure of B-DetNet is illustrated in Fig. 1. There are
$L$ layers where each layer takes an input vector of size $2K$ and generates
an output vector of the same size. The specific layer structure of B-DetNet is
given in Fig. 5 where $\mathbf{A}$ and
$\mathbf{A}^{T}\boldsymbol{\Sigma}^{-1}_{\mathbf{n}}$ play the role of weight
matrices. The received signal vector $\mathbf{y}$ can be seen as the bias
vector. Hence, B-DetNet is highly adaptive to the channel. The only trainable
parameters in a layer $\ell$ of B-DetNet are a step size $\alpha^{(\ell)}$ and
a scaling parameter $t_{\ell}$ in the projector function
$\psi_{t_{\ell}}(\cdot)$.
We note that similar structures for data detection in full-resolution systems
have been developed in [45, 46]. However, the received signal in full-
resolution systems is given as $\mathbf{y}=\mathbf{Hx+z}$, and therefore the
gradient of interest is in the form of $-2\mathbf{H}^{T}(\mathbf{y-Hx})$. For
low-resolution systems, we have a new effective channel $\mathbf{A}$ and a new
noise covariance matrix $\boldsymbol{\Sigma}_{\mathbf{n}}$, resulting in a new
form of gradient as in (29).
InputOutputweight matrix$\mathbf{H}$weight
matrix$\mathbf{H}^{T}$$x^{(\ell-1)}_{1}$$\sum$$u^{(\ell)}_{1}$$\sigma\big{(}\beta(u^{(\ell)}_{1}-q^{\mathrm{up}}_{1})\big{)}$$\sigma\big{(}\beta(u^{(\ell)}_{1}-q^{\mathrm{low}}_{1})\big{)}$$1$$+$$-$$-$$\sum$$\times$$\alpha^{(\ell)}$$+$$x^{(\ell-1)}_{1}$$\psi_{t_{\ell}}(\cdot)$$x^{(\ell)}_{1}$$x^{(\ell-1)}_{2}$$\sum$$u^{(\ell)}_{2}$$\sigma\big{(}\beta(u^{(\ell)}_{2}-q^{\mathrm{up}}_{2})\big{)}$$\sigma\big{(}\beta(u^{(\ell)}_{2}-q^{\mathrm{low}}_{2})\big{)}$$1$$+$$-$$-$$\sum$$\times$$\alpha^{(\ell)}$$+$$x^{(\ell-1)}_{2}$$\psi_{t_{\ell}}(\cdot)$$x^{(\ell)}_{2}$$x^{(\ell-1)}_{2K}$$\sum$$u^{(\ell)}_{2N}$$\sigma\big{(}\beta(u^{(\ell)}_{2N}-q^{\mathrm{up}}_{2N})\big{)}$$\sigma\big{(}\beta(u^{(\ell)}_{2N}-q^{\mathrm{low}}_{2N})\big{)}$$1$$+$$-$$-$$\sum$$\times$$\alpha^{(\ell)}$$+$$x^{(\ell-1)}_{2K}$$\psi_{t_{\ell}}(\cdot)$$x^{(\ell)}_{2K}$
Figure 6: Specific structure of layer $\ell$ of FBM-DetNet. The weight
matrices and the bias vectors are defined by the channel and the received
signal, respectively.
### IV-B Proposed FBM-DetNet
#### IV-B1 Maximum-likelihood data detection problem
Let
$s^{\mathrm{up}}_{i}=\sqrt{2\rho}(q^{\mathrm{up}}_{i}-\mathbf{h}_{i}^{T}\mathbf{x})$
and
$s^{\mathrm{low}}_{i}=\sqrt{2\rho}(q^{\mathrm{low}}_{i}-\mathbf{h}_{i}^{T}\mathbf{x})$,
where
$\displaystyle q^{\mathrm{up}}_{i}$
$\displaystyle=\begin{cases}y_{i}+\frac{\Delta}{2}&\text{if}\;y_{i}<\tau_{2^{b}-1}\\\
\infty&\text{otherwise},\end{cases}$ $\displaystyle q^{\mathrm{low}}_{i}$
$\displaystyle=\begin{cases}y_{i}-\frac{\Delta}{2}&\text{if}\;y_{i}>\tau_{1}\\\
-\infty&\text{otherwise}.\end{cases}$
Hence, $q^{\mathrm{up}}_{i}$ and $q^{\mathrm{low}}_{i}$ are the upper and
lower quantization thresholds of the bin to which $y_{i}$ belongs. The ML
detection problem based on the log-likelihood function for the model in (24)
is defined as follows [47]:
$\displaystyle\hat{\mathbf{x}}_{\mathtt{ML}}$ $\displaystyle=$
$\displaystyle\arg\max_{\bar{\mathbf{x}}\in\bar{\mathcal{M}}^{K}}\;\sum_{i=1}^{2N}\log\left[\Phi\left(s^{\mathrm{up}}_{i}\right)-\Phi\left(s^{\mathrm{low}}_{i}\right)\right].$
(31)
Let $\mathcal{P}(\mathbf{x})$ denote the objective function of (31), which is
a concave function of $\mathbf{x}$. However, the optimization problem (31) is
not convex since the feasible set is a discrete set. Therefore, an optimal
solution for ML detection in (31) also requires an exhaustive search over
$\bar{\mathcal{M}}^{K}$, which is probihitively complex for large-scale
systems. One can relax the constraint on the feasible set from
$\bar{\mathbf{x}}\in\bar{\mathcal{M}}^{K}$ to
$\bar{\mathbf{x}}\in\mathbb{C}^{K}$ in order to obtain a convex optimization
problem and thus an iterative gradient descent method can be used.
Unfortunately, such a method also suffers from the vanishing gradient issue as
presented in the channel estimation problem. In addition, there is no closed-
form expression for $\Phi(\cdot)$, which complicates the evaluation in (31).
Thus, we also exploit the approximation in (16) to obtain an approximate
version of the function $\mathcal{P}(\mathbf{x})$ as follows:
$\displaystyle\mathcal{P}(\mathbf{x})$
$\displaystyle\approx\tilde{\mathcal{P}}(\mathbf{x})=\sum_{i=1}^{2N}\log\left[\frac{1}{1+e^{-cs^{\mathrm{up}}_{i}}}-\frac{1}{1+e^{-cs^{\mathrm{low}}_{i}}}\right]$
(32)
$\displaystyle=\sum_{i=1}^{2N}\Big{[}\log\Big{(}e^{-cs^{\mathrm{low}}_{i}}-e^{-cs^{\mathrm{up}}_{i}}\Big{)}-$
$\displaystyle\qquad\quad\;\;\log\left(1+e^{-cs^{\mathrm{up}}_{i}}\right)-\log\left(1+e^{-cs^{\mathrm{low}}_{i}}\right)\Big{]}.$
(33)
The reformulated ML detection problem is thus
$\hat{\mathbf{x}}_{\mathtt{ML}}=\arg\max_{\bar{\mathbf{x}}\in\bar{\mathcal{M}}^{K}}\;\tilde{\mathcal{P}}(\mathbf{x}).$
(34)
The gradient of $\tilde{\mathcal{P}}(\mathbf{x})$ is
$\displaystyle\nabla\tilde{\mathcal{P}}(\mathbf{x})$
$\displaystyle=\sum_{i=1}^{2N}c\sqrt{2\rho}\,\mathbf{h}_{i}\left(1-\frac{1}{1+e^{cs^{\mathrm{up}}_{i}}}-\frac{1}{1+e^{cs^{\mathrm{low}}_{i}}}\right)$
(35)
$\displaystyle=c\sqrt{2\rho}\,\mathbf{H}^{T}\Big{[}\mathbf{1}-\sigma\left(c\sqrt{2\rho}\left(\mathbf{H}\mathbf{x}-\mathbf{q}^{\mathrm{up}}\right)\right)-$
$\displaystyle\qquad\qquad\qquad\qquad\sigma\left(c\sqrt{2\rho}\left(\mathbf{H}\mathbf{x}-\mathbf{q}^{\mathrm{low}}\right)\right)\Big{]}$
(36)
where
$\mathbf{q}^{\mathrm{up}}=[q_{1}^{\mathrm{up}},\ldots,q_{2N}^{\mathrm{up}}]^{T}$
and
$\mathbf{q}^{\mathrm{low}}=[q_{1}^{\mathrm{low}},\ldots,q_{2N}^{\mathrm{low}}]^{T}$.
Thus, an iterative projected gradient decent method for solving (34) can be
written as
$\mathbf{x}^{(\ell)}=\psi_{t_{\ell}}\left(\mathbf{x}^{(\ell-1)}+\alpha^{(\ell)}\nabla\tilde{\mathcal{P}}(\mathbf{x}^{(\ell-1)})\right)$
(37)
where $\ell$ is the iteration index, $\alpha^{(\ell)}$ is a step size, and
$\psi_{t_{\ell}}(\cdot)$ is also a projector as defined in (30).
#### IV-B2 Network structure of the proposed FBM-DetNet
In order to optimize the step sizes $\\{\alpha^{(\ell)}\\}$ and scaling
parameters $\\{t_{\ell}\\}$ of the projection function, we also use the deep
unfolding technique [43] to unfold each iteration in (37) as a layer of a DNN.
The overall structure of the proposed DNN-based data detector is also
illustrated in Fig. 1. The overall structure of FBM-DetNet is similar to that
of B-DetNet as each layer of both the networks takes a vector of $2K$ elements
as the input and generates an output vector of the same size.
The specific structure for each layer $\ell$ of the proposed FBM-DetNet is
illustrated in Fig. 6. Each layer of FBM-DetNet has two weight matrices
$\mathbf{H}$ and $\mathbf{H}^{T}$, and two bias vectors
$\mathbf{q}^{\mathrm{up}}$ and $\mathbf{q}^{\mathrm{low}}$. These weight
matrices and bias vectors are defined by the channel and the received signal,
respectively. The activation function is the Sigmoid function $\sigma(\cdot)$
due to the use of the approximation in (16). Since
$\mathbf{H}\in\mathbb{R}^{2N\times 2K}$, the learning process for each layer
of the proposed FBM-DetNet can be interpreted as first up-converting the
signal $\mathbf{x}^{(\ell-1)}$ from dimension $2K$ to dimension $2N$ using the
weight matrix $\mathbf{H}$, then applying nonlinear activation functions
$\sigma(\cdot)$ before down-converting the signal back to dimension $2K$ using
the weight matrix $\mathbf{H}^{T}$. Finally, the function
$\psi_{t_{\ell}}(\cdot)$ is implemented to project $\mathbf{x}^{(\ell-1)}$
into the discrete set $\bar{\mathcal{M}}^{K}$.
It is observed that the layer structure of FBM-DetNet is similar to that of
FBM-CENet in Fig. 2(b). However, while the weight matrices of FBM-CENet are
defined by the pilot matrix $\mathbf{P}$ which is trainable, the weight
matrices of FBM-DetNet are defined by the channel matrix $\mathbf{H}$ and thus
not trainable. In other words, FBM-DetNet is highly adaptive to the channel.
The trainable parameters of FBM-DetNet are the step sizes
$\\{\alpha^{(\ell)}\\}$, scaling parameters $\\{t_{\ell}\\}$ for the
projector, and a scaling parameter $\beta$ for the Sigmoid function. Note that
the coefficient $c\sqrt{2\rho}$ is also omitted in FBM-DetNet for the same
reason as in FBM-CENet.
### IV-C Training strategy
A training sample for the two proposed data detection networks, B-DetNet and
FBM-DetNet, can be obtained by randomly generating a channel matrix
$\mathbf{H}$, a transmitted signal $\mathbf{x}$, and a noise vector
$\mathbf{z}$. The cost function to be minimized is
$\|\mathbf{x}^{(L)}-\mathbf{x}\|^{2}$, where $\mathbf{x}$ is the target
signal, i.e., the transmitted signal. For training the proposed data detection
networks, we do not need to use the soft quantization model because the
trainable parameters do not appear in the received signals $\mathbf{y}$ or
$\mathbf{q}^{\mathrm{up}}$ and $\mathbf{q}^{\mathrm{low}}$. These received
signals are defined given a training sample
$\\{\mathbf{H},\mathbf{x},\mathbf{z}\\}$, and therefore the hard quantizer can
be used.
Figure 7: Channel estimation performance comparison for a given pilot matrix
with $K=4$ and $L=8$.
## V Numerical Results
This section presents numerical results to show the superiority of the
proposed channel estimation and data detection networks. The channel elements
are assumed to be i.i.d. and each channel element is generated from the normal
distribution $\mathcal{CN}(0,1)$.
For training the networks, we use TensorFlow [48] and the Adam optimizer [49]
with a learning rate starts at $0.002$ and decays at a rate of $0.97$ after
every $100$ training epochs. The size of each training batch is set to $1000$.
The input of the first layer is set to a zero vector. In case the pilot matrix
$\mathbf{P}$ is trainable, we use the soft quantization model in (21) and (22)
for the training phase and set $c_{1}=0.01$ and $c_{2}=1000$. For the channel
estimation phase, we set the training length to be five times the number of
users, i.e., $T_{\mathrm{t}}=5K$.
Fig. 7 presents a performance comparison of different channel estimation
methods for a given pilot matrix in terms of NMSE, defined here as
$\mathrm{NMSE}=\mathbb{E}[\|\hat{\mathbf{H}}-\bar{\mathbf{H}}\|_{\mathrm{F}}^{2}]/(KN)$,
where $\hat{\mathbf{H}}$ is a estimate of the channel $\bar{\mathbf{H}}$. The
given pilot matrix contains $K$ columns of a discrete Fourier transform (DFT)
matrix where the $k^{\text{th}}$ row of the pilot matrix
$\bar{\mathbf{X}}_{\mathrm{t}}$ is the $(k+1)^{\text{th}}$ column of the DFT
matrix of size $T_{\mathrm{t}}\times T_{\mathrm{t}}$. In case of one-bit ADCs,
it is observed that the proposed FBM-CENet slightly outperforms the SVM-based
method in [18] at medium-to-high SNRs. However, at low SNRs, the performance
gap between the proposed FBM-CENet and the SVM method is larger since the SVM
method does not perform well at low SNRs. For few-bit ADCs, it is clear to see
that the proposed FBM-CENet significantly outperforms other existing channel
estimation methods. Note that the SVM-based method in [18] was specifically
developed for one-bit ADCs. Therefore, we do not have results of the SVM-based
method for few-bit ADCs. Note that the BWZF method does not perform well in
case of one-bit ADCs because the BWZF method exploits the fact that the
variance of the received signals at different quantization bins are different
and sets the signals with lower variance to have higher weight. However, in
case of one-bit ADCs, there is only one bin in each quantization side
(positive or negative side). Therefore, there is no weight effects for one-bit
ADCs. On the other hand, more quantization bits result in more quantization
bins and thus different weights come into play. In other words, BWZF performs
better with few-bit quantization.
In Fig. 8, we consider the case where the pilot matrix is trained concurrently
with the channel estimator. The proposed FBM-CENet is compared with an
existing conventional DNN-based method in [23] which also jointly optimizes
the pilot matrix and the channel estimator like the proposed FBM-CENet. It can
be seen the proposed FBM-CENet significantly outperforms the channel estimator
in [23]. The reason is that the estimation network in [23] uses the data-
driven conventional DNN structure as illustrated in Fig. 2(a). On the other
hand, the structure of the proposed FBM-CENet takes advantages of the domain
knowledge in the ML estimation framework. In Fig. 8, we also include the
channel estimation performance of FBM-CENet for a given pilot matrix in order
to show that jointly optimizing the pilot matrix and the estimator can improve
the estimation accuracy.
Figure 8: Channel estimation performance comparison with trainable pilot
matrix, $K=4$ and $L=8$.
Performance comparison for data detection is given in Fig. 9 and Fig. 10 for
QPSK signalling and $16$QAM signalling, respectively. In these figures, we use
the estimated CSI obtained by the proposed FBM-CENet with trainable pilot
matrix. It can be easily seen that the proposed FBM-DetNet significantly
outperforms other data detection methods. We note that B-DetNet performs worse
than FBM-DetNet because FBM-DetNet is developed based on the original
quantized system model whereas B-DetNet relies on a linearized system model in
(25) whose the effective noise $\mathbf{n}$ is assumed to be Gaussian for
simplicity but in fact $\mathbf{n}$ is not Gaussian. Furthermore, the
distortion covariance matrix $\boldsymbol{\Sigma}_{\mathbf{n}}$ for the case
of few-bit ADCs is approximate since a closed-form expression of
$\boldsymbol{\Sigma}_{\mathbf{n}}$ is intractable.
(a) $b=1$ bit, $K=4$, and $L=8$.
(b) $b=2$ bit, $K=8$, and $L=16$.
(c) $b=3$ bit, $K=16$, and $L=24$.
Figure 9: Performance comparison for data detection methods with QPSK
signalling and $N=32$.
(a) $b=1$ bit, $K=4$, and $L=8$.
(b) $b=2$ bit, $K=8$, and $L=16$.
(c) $b=3$ bit, $K=16$, and $L=24$.
Figure 10: Performance comparison for data detection methods with $16$QAM
signalling and $N=64$.
## VI Conclusion
In this paper, we have developed a channel estimation network (FBM-CENet) and
two data detection networks (B-DetNet and FBM-DetNet) for massive MIMO systems
with low-resolution ADCs. The proposed networks are model-driven and have
special structures that can take advantages of domain-knowledge to efficiently
address the severe non-linearity caused by the low-resolution ADCs. An
interesting feature of the proposed FBM-CENet is that the pilot matrix
directly plays the role of the weight matrices in the network structure. Such
a feature makes it possible to jointly optimize the estimation network and the
pilot signal by simply treating the pilot matrix as trainable parameters. The
proposed detection networks are highly adaptive to the channel and easy to
train since they have a small number of trainable parameters in the network
structures. Simulation results have shown that the proposed networks
significantly outperform existing methods.
## References
* [1] R. H. Walden, “Analog-to-digital converter survey and analysis,” _IEEE J. Select. Areas in Commun._ , vol. 17, no. 4, pp. 539–550, Apr. 1999.
* [2] J. Choi, J. Mo, and R. W. Heath, “Near maximum-likelihood detector and channel estimator for uplink multiuser massive MIMO systems with one-bit ADCs,” _IEEE Trans. Commun._ , vol. 64, no. 5, pp. 2005–2018, May 2016\.
* [3] Y. Li, C. Tao, G. Seco-Granados, A. Mezghani, A. L. Swindlehurst, and L. Liu, “Channel estimation and performance analysis of one-bit massive MIMO systems,” _IEEE Trans. Signal Process._ , vol. 65, no. 15, pp. 4075–4089, Aug. 2017.
* [4] S. Rao, A. L. Swindlehurst, and H. Pirzadeh, “Massive MIMO channel estimation with 1-bit spatial sigma-delta ADCs,” in _Proc. IEEE Int. Conf. on Acoustics, Speech and Signal Process._ , Brighton, United Kingdom, May 2019, pp. 4484–4488.
* [5] Z. Shao, L. T. N. Landau, and R. C. d. Lamare, “Oversampling based channel estimation for 1-bit large-scale multiple-antenna systems,” in _Proc. Int. ITG Workshop on Smart Antennas_ , Vienna, Austria, April 2019\.
* [6] Z. Shao, L. T. N. Landau, and R. C. de Lamare, “Channel estimation using 1-bit quantization and oversampling for large-scale multiple-antenna systems,” in _Proc. IEEE Int. Conf. on Acoustics, Speech and Signal Process._ , Brighton, United Kingdom, May 2019, pp. 4669–4673.
* [7] F. Liu, H. Zhu, C. Li, J. Li, P. Wang, and P. Orlik, “Angular-Domain channel estimation for one-bit massive MIMO systems: Performance bounds and algorithms,” _IEEE Trans. Veh. Technol._ , vol. 69, no. 3, pp. 2928–2942, Mar. 2020.
* [8] I. Kim, N. Lee, and J. Choi, “Dominant channel estimation via MIPS for large-scale antenna systems with one-bit ADCs,” in _Proc. IEEE Global Commun. Conf._ , Abu Dhabi, United Arab Emirates, Dec. 2018.
* [9] H. Kim and J. Choi, “Channel AoA estimation for massive MIMO systems using one-bit ADCs,” _Journal of Communications and Networks_ , vol. 20, no. 4, pp. 374–382, Aug. 2018.
* [10] H. Kim and J. Choi, “Channel estimation for spatially/temporally correlated massive MIMO systems with one-bit ADCs,” _EURASIP J. Wireless Commun. and Networking_ , vol. 2019, no. 1, p. 267, 2019.
* [11] B. Srinivas, K. Mawatwal, D. Sen, and S. Chakrabarti, “An iterative semi-blind channel estimation scheme and uplink spectral efficiency of pilot contaminated one-bit massive MIMO systems,” _IEEE Tran. Veh. Technol._ , vol. 68, no. 8, pp. 7854–7868, Aug. 2019.
* [12] A. Mezghani and A. L. Swindlehurst, “Blind estimation of sparse broadband massive MIMO channels with ideal and one-bit ADCs,” _IEEE Trans. Signal Process._ , vol. 66, no. 11, pp. 2972–2983, June 2018.
* [13] I. S. Kim and J. Choi, “Channel estimation via gradient pursuit for mmWave massive MIMO systems with one-bit ADCs,” _EURASIP J. Wireless Commun. and Networking_ , vol. 2019, no. 1, p. 289, 2019.
* [14] J. Mo, P. Schniter, and R. W. Heath, “Channel estimation in broadband millimeter wave MIMO systems with few-bit ADCs,” _IEEE Trans. Signal Process._ , vol. 66, no. 5, pp. 1141–1154, Mar. 2018.
* [15] J. Rodríguez-Fernández, N. González-Prelcic, and R. W. Heath, “Channel estimation in mixed hybrid-low resolution MIMO architectures for mmWave communication,” in _Proc. Asilomar Conf. Signals, Systems and Computers_ , Pacific Grove, CA, USA, Nov. 2016, pp. 768–773.
* [16] C. Rusu, R. Mendez-Rial, N. Gonzalez-Prelcic, and R. W. Heath, “Adaptive one-bit compressive sensing with application to low-precision receivers at mmWave,” in _Proc. IEEE Global Commun. Conf._ , San Diego, CA, USA, Dec. 2015.
* [17] S. Rao, A. Mezghani, and A. L. Swindlehurst, “Channel estimation in one-bit massive MIMO systems: Angular versus unstructured models,” _IEEE J. Select. Topics in Signal Process._ , vol. 13, no. 5, pp. 1017–1031, Sep. 2019.
* [18] L. V. Nguyen, A. L. Swindlehurst, and D. H. N. Nguyen, “SVM-based channel estimation and data detection for one-bit massive MIMO systems,” _IEEE Trans. Signal Process._ , vol. 69, pp. 2086–2099, 2021.
* [19] E. Balevi and J. G. Andrews, “Two-stage learning for uplink channel estimation in one-bit massive MIMO,” in _Asilomar Conf. on Signals, Systems, and Computers_ , Pacific Grove, CA, USA, Nov. 2019, pp. 1764–1768.
* [20] Y. Dong, H. Wang, and Y.-D. Yao, “Channel estimation for one-bit multiuser massive MIMO using conditional GAN,” _IEEE Commun. Letters_ , vol. 25, no. 3, pp. 854–858, Mar. 2021.
* [21] Y. Zhang, M. Alrabeiah, and A. Alkhateeb, “Deep learning for massive MIMO with 1-bit ADCs: When more antennas need fewer pilots,” _IEEE Wireless Commun. Letters_ , vol. 9, no. 8, pp. 1273–1277, Aug. 2020\.
* [22] N. Kolomvakis, T. Eriksson, M. Coldrey, and M. Viberg, “Quantized uplink massive MIMO systems with linear receivers,” in _Proc. IEEE Int. Conf. Commun._ , Dublin, Ireland, June 2020.
* [23] D. H. N. Nguyen, “Neural network-optimized channel estimator and training signal design for MIMO systems with few-bit ADCs,” _IEEE Signal Process. Letters_ , vol. 27, pp. 1370–1374, 2020.
* [24] S. Gao, P. Dong, Z. Pan, and G. Y. Li, “Deep learning based channel estimation for massive MIMO with mixed-resolution ADCs,” _IEEE Commun. Letters_ , vol. 23, no. 11, pp. 1989–1993, Nov. 2019.
* [25] J. Zicheng, G. Shen, L. Nan, P. Zhiwen, and Y. Xiaohu, “Deep learning-based channel estimation for massive-MIMO with mixed-resolution ADCs and low-resolution information utilization,” _IEEE Access_ , vol. 9, pp. 54 938–54 950, Apr. 2021.
* [26] Y. Jeon, N. Lee, S. Hong, and R. W. Heath, “One-bit sphere decoding for uplink massive MIMO systems with one-bit ADCs,” _IEEE Trans. Wireless Commun._ , vol. 17, no. 7, pp. 4509–4521, July 2018.
* [27] S. Kim, J. Chae, and S.-N. Hong, “Machine learning detectors for MU-MIMO systems with one-bit ADCs,” _IEEE Access_ , vol. 8, pp. 86 608–86 616, Apr. 2020.
* [28] A. S. Lan, M. Chiang, and C. Studer, “Linearized binary regression,” in _Proc. Annual Conf. on Inform. Sciences and Systems_ , Princeton, NJ, USA, Mar. 2018.
* [29] L. V. Nguyen, A. Lee Swindlehurst, and D. H. N. Nguyen, “Linear and deep neural network-based receivers for massive MIMO systems with one-bit ADCs,” _IEEE Trans. Wireless Commun. (Early Access)_ , 2021.
* [30] O. T. Demir and E. Björnson, “ADMM-based one-bit quantized signal detection for massive MIMO systems with hardware impairments,” in _Proc. IEEE Int. Conf. on Acoustics, Speech and Signal Process._ , Barcelona, Spain, May 2020, pp. 9120–9124.
* [31] S. H. Mirfarshbafan, M. Shabany, S. A. Nezamalhosseini, and C. Studer, “Algorithm and VLSI design for 1-bit data detection in massive MIMO-OFDM,” _IEEE Open J. Circuits and Systems_ , vol. 1, pp. 170–184, Oct. 2020.
* [32] Y. Jeon, N. Lee, and H. V. Poor, “Robust data detection for MIMO systems with one-bit ADCs: A reinforcement learning approach,” _IEEE Trans. Wireless Commun._ , vol. 19, no. 3, pp. 1663–1676, Mar. 2020\.
* [33] S. H. Song, S. Lim, G. Kwon, and H. Park, “CRC-aided soft-output detection for uplink multi-user MIMO systems with one-bit ADCs,” in _Proc. IEEE Wireless Commun. and Networking Conf._ , Marrakesh, Morocco, Apr. 2019.
* [34] Y. Cho and S. Hong, “One-bit Successive-cancellation Soft-output (OSS) detector for uplink MU-MIMO systems with one-bit ADCs,” _IEEE Access_ , vol. 7, pp. 27 172–27 182, Feb. 2019.
* [35] Z. Shao, R. C. de Lamare, and L. T. N. Landau, “Iterative detection and decoding for large-scale multiple-antenna systems with 1-bit ADCs,” _IEEE Wireless Commun. Letters_ , vol. 7, no. 3, pp. 476–479, June 2018.
* [36] C. K. Wen, C. J. Wang, S. Jin, K. K. Wong, and P. Ting, “Bayes-optimal joint channel-and-data estimation for massive MIMO with low-precision ADCs,” _IEEE Trans. Signal Process._ , vol. 64, no. 10, pp. 2541–2556, May 2016\.
* [37] S. S. Thoota and C. R. Murthy, “Variational Bayes’ joint channel estimation and soft symbol decoding for uplink massive MIMO systems with low resolution ADCs,” _IEEE Trans. Commun._ , vol. 69, no. 5, pp. 3467–3481, May 2021.
* [38] Y. Jeon, S. Hong, and N. Lee, “Supervised-learning-aided communication framework for MIMO systems with low-resolution ADCs,” _IEEE Trans. Veh. Technol._ , vol. 67, no. 8, pp. 7299–7313, Aug. 2018.
* [39] L. V. Nguyen, D. T. Ngo, N. H. Tran, A. L. Swindlehurst, and D. H. N. Nguyen, “Supervised and semi-supervised learning for MIMO blind detection with low-resolution ADCs,” _IEEE Trans. Wireless Commun._ , vol. 19, no. 4, pp. 2427–2442, Apr. 2020.
* [40] J. Max, “Quantizing for minimum distortion,” _IRE Trans. Inf. Theory_ , vol. 6, no. 1, pp. 7–12, Mar. 1960.
* [41] J. W. Pratt, “Concavity of the log likelihood,” _J. the American Statistical Association_ , vol. 76, no. 373, pp. 103–106, 1981.
* [42] S. R. Bowling, M. T. Khasawneh, S. Kaewkuekool, and B. R. Cho, “A logistic approximation to the cumulative normal distribution,” _J. Industrial Engineering and Management_ , vol. 2, no. 1, pp. 114–127, Mar. 2009.
* [43] J. R. Hershey, J. L. Roux, and F. Weninger, “Deep unfolding: Model-based inspiration of novel deep architectures,” _arXiv:1409.2574_ , 2014.
* [44] A. Mezghani and J. A. Nossek, “Capacity lower bound of MIMO channels with output quantization and correlated noise,” in _Proc. IEEE Int. Symp. Inform. Theory_ , Cambridge, Massachusetts, USA, July 2012.
* [45] N. T. Nguyen and K. Lee, “Deep learning-aided Tabu search detection for large MIMO systems,” _IEEE Trans. Wireless Commun._ , vol. 19, no. 6, pp. 4262–4275, June 2020.
* [46] M. Khani, M. Alizadeh, J. Hoydis, and P. Fleming, “Adaptive neural signal detection for massive MIMO,” _IEEE Trans. Wireless Commun._ , vol. 19, no. 8, pp. 5635–5648, Aug. 2020.
* [47] A. Mezghani, M. Khoufi, and J. A. Nossek, “Maximum likelihood detection for quantized MIMO systems,” in _Proc. Int. ITG Workshop on Smart Antennas_ , Vienna, Austria, Feb. 2008, pp. 278–284.
* [48] M. Abadi, A. Agarwal, P. Barham, E. Brevdo, Z. Chen, C. Citro, G. S. Corrado, A. Davis, J. Dean, M. Devin, S. Ghemawat, I. Goodfellow, A. Harp, G. Irving, M. Isard, Y. Jia, R. Jozefowicz, L. Kaiser, M. Kudlur, J. Levenberg, D. Mané, R. Monga, S. Moore, D. Murray, C. Olah, M. Schuster, J. Shlens, B. Steiner, I. Sutskever, K. Talwar, P. Tucker, V. Vanhoucke, V. Vasudevan, F. Viégas, O. Vinyals, P. Warden, M. Wattenberg, M. Wicke, Y. Yu, and X. Zheng, “TensorFlow: Large-scale machine learning on heterogeneous systems,” 2015, Software available from tensorflow.org. [Online]. Available: https://www.tensorflow.org/
* [49] D. P. Kingma and J. Ba, “Adam: A method for stochastic optimization,” _arXiv preprint arXiv:1412.6980_ , 2014.
| arxiv-papers | 2021-07-26T05:05:31 | 2024-09-04T03:07:17.609403 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Ly V. Nguyen, Duy H. N. Nguyen, and A. Lee Swindlehurst",
"submitter": "Ly V. Nguyen",
"url": "https://arxiv.org/abs/2107.11958"
} |
2107.11961 | KUNS-2882
EPHOU-21-007
4D effective action from non-Abelian DBI action
with magnetic flux background
Yoshihiko [email protected], Tetsutaro
[email protected], Tatsuo
[email protected],
Shintaro [email protected] and Rei Takahashi2
1Department of Physics, Kyoto University, Kyoto 606-8502, Japan
2Department of Physics, Keio University, Yokohama 223-8533, Japan
3Department of Physics, Hokkaido University, Sapporo 060-0810, Japan
###### Contents
1. 1 Introduction
2. 2 Non-Abelian DBI action on magnetized extra dimensions
1. 2.1 Magnetized D9-branes on the six-dimensional torus
2. 2.2 Flux and matter zero modes
1. 2.2.1 Symmetry breaking of $U(3)\to U(1)_{a}\times U(1)_{b}\times U(1)_{c}$ and degeneracy
2. 2.2.2 Supersymmetry conditions on the background fluxes
3. 2.2.3 Matter zero modes in SUSY theories
3. 3 SUSY effective action of $U(1)_{a}\times U(1)_{b}\times U(1)_{c}$ theory
1. 3.1 Gauge couplings
2. 3.2 Kähler metric of chiral matters
3. 3.3 Scalar quartic term in the F-term scalar potential
4. 4 Summary and discussions
5. A Details of the calculations
1. A.1 Gauge couplings
2. A.2 Kinetic terms
1. A.2.1 Kähler metric of charged matters
2. A.2.2 Kähler metric of open string moduli
3. A.3 Quartic terms
1. A.3.1 F-term potential
6. B Comments on the Yukawa type superpotential
## 1 Introduction
Superstring theory is an attractive candidate for a unified theory consistent
with quantum gravity. The theory can provide us with a theoretical framework
to describe all the interactions and chiral matters such as quarks and leptons
as well as the Higgs field. The string theory can predict the existence of
extra dimensions and D-branes. Dynamics of low energy excitations on D-branes
is described by gauge theories. Compactification of string theory on tori is
one of simple ways to obtain four-dimensional (4D) effective field theories
but these are nonchiral, while the Standard Model is chiral. The chiral nature
of matter fields is realized by introducing magnetic fluxes on the world
volume of D-branes in the compact extra dimensions [1, 2, 3, 4]. Even in
toroidal compactfications, magnetic fluxes realize 4D chiral theory. Orbifold
compactification with magnetic fluxes is also studied in Refs. [5, 6, 7]. The
number of chiral generations is determined by the size of the magnetic flux on
compact extra dimensions.111The number of chiral generations also depends on
twisted boundary conditions, discrete Wilson lines, and Scherk-Schwarz phase
in orbifold models. Three-generation models have been classified in Refs. [8,
9, 10]. Moreover, as the zero-mode functions of the Dirac (Laplace) operator
are quasilocalized in compact space and Yukawa couplings as well as higher
order couplings are written by overlap integration among their zero mode
functions, hierarchical couplings can be realized [11, 12]. The realization of
quark and lepton masses and their mixing angles was studied in Refs. [13, 14,
15, 16]. Furthermore, their flavor structure is controlled by modular symmetry
[17, 18, 19, 20, 21, 22, 23]. Thus, compactification with magnetic background
fluxes is one of practical methods to derive realistic particle physics from
string theory.
4D low energy effective theories have often been constructed through
compactification of higher-dimensional super Yang-Mills (SYM) theory with the
canonical kinetic term [11, 24]. On the other hand, the Dirac-Born-Infeld
(DBI) action [25, 26] with the Chern-Simons (CS) terms [27, 28, 29, 30, 31,
32, 33] describes the dynamics of massless open string modes on the D-branes.
At the lowest order of the gauge field strength $F$, the DBI action reduces to
Yang-Mills theory. However, the DBI action can describe more stringy D-brane
natures, e.g. T duality. For non-Abelian DBI action, higher order terms of the
gauge field strength are less-known owing to its noncommutativity [34, 35, 36,
37, 38, 39, 40] and it is also less known to compute explicitly 4D effective
theories via compactification on a magnetized torus. This naturally motivates
us to study dimensional reduction of the non-Abelian DBI action for including
higher order corrections. Our purpose in this paper is to compute 4D
$\mathcal{N}=1$ supersymmetric effective action from ten-dimensional (10D)
non-Abelian DBI action compactified on the magnetized six-dimensional torus
with focus on terms up to $\mathcal{O}({F}^{4})$:
$\displaystyle\mathcal{L}_{\text{4D}}=\int_{\mathbb{T}^{6}}d^{6}y\,\mathcal{L}_{\text{non-
Abelian
DBI}}\sim\int_{\mathbb{T}^{6}}d^{6}y\,(\mathop{\mathrm{tr}}\nolimits{F}^{2}+\mathop{\mathrm{tr}}\nolimits{F}^{4})\quad\text{where
}\hat{{F}}_{y^{i}y^{j}}\neq 0.$ (1.1)
Here $y^{i}~{}(i=1,2,\ldots,6)$ denote the coordinates in the extra six
dimensions and $\hat{F}$ is the background flux. Hereafter, we drop the
Neveu–Schwarz-Neveu–Schwarz (NSNS) two-form potential for simplicity
throughout this paper. We ignore also CS terms in the D-brane action since
they mainly contribute to topological terms and supersymmetry (SUSY) breaking
terms which vanish for supersymmetric vacua with canceled tadpoles. We focus
on bosonic part of non-Abelian DBI action in this paper, since fermions can be
naturally introduced with SUSY.
In 4D action, we show the matter Kähler metric, gauge kinetic function, and
superpotential in supergravity (SUGRA) through a systematic study of
dimensional reduction. The DBI correction of $\mathcal{O}({F}^{4})$
contributes only to the matter Kähler metric and gauge kinetic function. It
turns out that there exists a new flux contribution to the matter Kähler
potential, while gauge kinetic functions and holomorphic Yukawa couplings in
the superpotential are consistent with previous works. Such a new flux
correction to the Kähler metric has been often neglected, although a flux
contribution to gauge coupling is frequently discussed for the coupling
unification. We take flux corrections into account consistently in this sense
and show a concrete dependence on fluxes in the Kähler potential of chiral
matters. Also, that of open string moduli, which was discussed in Refs. [41,
42, 43], is shown in Appendix A. Such consistent treatment may become
important to study swampland conjectures [44] with effective field theories
(see [45] for a review). The new matter Kähler metric is independent of flavor
but depends on the fluxes, 4D dilaton, Kähler moduli and complex structure
moduli, and will be always positive definite if an induced Ramond-Ramond (RR)
charge of the D-branes on which matters are living are positive. The
contribution of the matter Kähler metric to the scalar potential is shown to
be consistent with the SUGRA formulation, and the superpotential is read from
scalar quartic interaction.
The paper is organized as follows. In Sec. 2, we give a brief review of the
non-Abelian DBI action and a magnetized torus. In Sec. 3, we derive 4D
supersymmetric low energy effective action from the DBI action compactified on
a magnetized torus. The results turn out to be consistent with 4D SUGRA
formulation. Sec. 4 is devoted to the summary and discussion. In Appendixes A
and B, we give the details of the calculations.
## 2 Non-Abelian DBI action on magnetized extra dimensions
In this section, we introduce the DBI action and summarize our setup of flux
compactification of the DBI action on a six-dimensional torus.
The dynamics of massless open string modes on the D$p$-brane is described by
the DBI action with the CS terms. The DBI action for Abelian gauge theory is
expressed as
$\displaystyle S_{\text{DBI}}[g_{MN},\varphi,A_{M}]=-T_{p}\int
d^{p+1}\xi\,e^{-\varphi}\sqrt{-\det_{p+1}\bigl{(}g_{MN}+2\pi\alpha^{\prime}F_{MN}\bigr{)}},$
(2.1)
where $M,N=0,1,\ldots,p$ stand for the indices of the $(p+1)$-dimensional
world volume of D$p$-brane, and $g_{MN}$ is the pull back of the bulk metric
on the D-brane. $\alpha^{\prime}$ denotes the Regge slope, and $F_{MN}$ is the
gauge field strength on the D$p$-brane,
$F_{MN}=\partial_{M}A_{N}-\partial_{N}A_{M}$. $\varphi$ denotes the 10D
dilaton field and $T_{p}$ is the brane tension given by
$T_{p}=2\pi/\ell_{s}^{p+1}=2\pi/(2\pi\alpha^{\prime 1/2})^{p+1}$, where
$\ell_{s}=2\pi\alpha^{\prime 1/2}$ is the string length. The superpartner
fermions are dropped here for simplicity. The DBI action (2.1) is known to be
robust for an Abelian gauge theory living on a single D-brane.
A non-Abelian gauge theory is realized on a stack of D-branes. The author in
Ref. [46] proposed the non-Abelian version of the DBI action with a
prescription of the symmetrized trace, while terms higher than ${\cal
O}({F}^{6})$ in the non-Abelian DBI (NDBI) action are still ambiguous owing to
its noncommutativity [34, 35, 36, 37, 38, 39, 40]. As the extension of Eq.
(2.1), NDBI action is given by [46]
$\displaystyle S_{\text{NDBI}}=-T_{p}\int
d^{p+1}\xi\,e^{-\varphi}\mathop{\mathrm{str}}\nolimits\sqrt{-\det_{p+1}(g_{MN}+2\pi\alpha^{\prime}F_{MN})}.$
(2.2)
Here $F_{MN}$ is the field strength of non-Abelian gauge field,
$F_{MN}=\partial_{M}A_{N}-\partial_{N}A_{M}+i[A_{M},A_{N}]$, and
“$\mathop{\mathrm{str}}\nolimits$” denotes the symmetrized trace,
$\displaystyle\mathop{\mathrm{str}}\nolimits(T_{1}\cdots
T_{n})=\frac{1}{n!}\mathop{\mathrm{tr}}\nolimits\bigl{[}T_{1}\cdots
T_{n}+(\text{permutations})\bigr{]}.$ (2.3)
Hereafter, we consider space-filling D9-branes ($p=9$) for concreteness
because the Lagrangian in the bosonic part consists only of the gauge field.
We focus on terms up to ${\cal O}({F}^{4})$.
### 2.1 Magnetized D9-branes on the six-dimensional torus
We introduce background fluxes on a stack of D9-branes compactified on a six-
dimensional torus. Let us consider a six-dimensional torus consisting of three
two-dimensional tori as the extra dimension
$\mathbb{T}^{6}=\prod_{i=1}^{3}\mathbb{T}^{2}_{i}$. The 10D metric of
$M_{4}\times\prod_{i=1}^{3}\mathbb{T}^{2}_{i}$ is given by
$\displaystyle
ds_{10}^{2}=e^{2\Phi}\eta_{\mu\nu}dx^{\mu}dx^{\nu}+\ell_{s}^{2}\sum_{i=1}^{3}g_{mn}^{(i)}dy_{i}^{m}dy_{i}^{n},\quad
g^{(i)}_{mn}=e^{2\sigma_{i}}\begin{pmatrix}1&\tau^{(i)}_{R}\\\
\tau^{(i)}_{R}&|\tau^{(i)}|^{2}\end{pmatrix},$ (2.4)
where $\mu,\nu=0,1,2,3$, $\eta_{\mu\nu}=\mathop{\mathrm{diag}}(-1,1,1,1)$ is
the Minkowski metric and
$\tau^{(i)}=\tau^{(i)}_{R}+i\,\tau^{(i)}_{I}~{}(i=1,2,3)$ is the complex
structure modulus on the $i$th torus $\mathbb{T}^{2}_{i}$.
$y^{m}_{i}~{}(m=1,2)$ denotes the coordinate on $\mathbb{T}^{2}_{i}$ and
$0\leq y_{i}^{m}\leq 1$, where $y$’s are normalized by the string length. The
volume of the $i$th torus in the string length unit reads
$\displaystyle\mathop{\mathrm{Vol}}(\mathbb{T}^{2}_{i})=\sqrt{g^{(i)}}=\mathcal{A}^{(i)}=e^{2\sigma_{i}}\tau^{(i)}_{I}.$
(2.5)
Hence, $e^{2\sigma_{i}}$ is regarded as a volume modulus of
$\mathbb{T}^{2}_{i}$. For the 4D Einstein frame, we have introduced the 4D
dilaton $\Phi$,
$\displaystyle\Phi=\varphi-\frac{1}{2}\log\prod_{i}\mathcal{A}^{(i)}=\varphi-\frac{1}{2}\log\mathop{\mathrm{Vol}}(\mathbb{T}^{6}),$
(2.6)
where
$\mathop{\mathrm{Vol}}(\mathbb{T}^{6})=\mathcal{A}^{(1)}\mathcal{A}^{(2)}\mathcal{A}^{(3)}$
is the volume of $\mathbb{T}^{6}$. With the complex coordinate on the $i$th
torus
$\displaystyle dz_{i}=dy_{i}^{1}+\tau^{(i)}dy_{i}^{2},\quad i=1,2,3,$ (2.7)
the 10D metric is rewritten as
$\displaystyle
ds_{10}^{2}=e^{2\Phi}\eta_{\mu\nu}dx^{\mu}dx^{\nu}+\ell_{s}^{2}\sum_{i=1}^{3}e^{2\sigma_{i}}dz_{i}d\overline{z_{i}}.$
(2.8)
Thus, the metric on the $\mathbb{T}^{2}_{i}$ in the the complex basis is given
by
$\displaystyle
g_{i\overline{j}}=\ell_{s}^{2}\frac{e^{2\sigma_{i}}}{2}\delta_{i\overline{j}}.$
(2.9)
We shall focus on a stack of the space-filling D9-branes on the factorized
torus $\prod_{i=1}^{3}\mathbb{T}^{2}_{i}$ with nontrivial background fluxes on
the D-branes. The NDBI action (2.2) expanded up to $\mathcal{O}({F}^{4})$ is
given by [46]
$\displaystyle S_{\text{NDBI}}\approx$ $\displaystyle~{}-T_{9}\int
d^{10}X\sqrt{-\det
g_{MN}}\,e^{-\varphi}\frac{(2\pi\alpha^{\prime})^{2}}{4}\mathop{\mathrm{tr}}\nolimits\biggl{[}{F}_{MN}F_{MN}-\frac{(2\pi\alpha^{\prime})^{2}}{3}\biggl{(}{F}_{KL}{F}_{LM}{F}_{NK}{F}_{MN}$
$\displaystyle+\frac{1}{2}{F}_{KL}{F}_{LM}{F}_{MN}{F}_{NK}-\frac{1}{4}{F}_{KL}{F}_{KL}{F}_{MN}{F}_{MN}-\frac{1}{8}{F}_{KL}{F}_{MN}{F}_{KL}{F}_{MN}\biggr{)}+\mathcal{O}({F}^{6})\biggr{]},$
(2.10)
where the metric is omitted in contracting indices of the gauge field
strength, e.g. ${F}_{MN}{F}_{MN}\coloneqq g^{MK}g^{NL}{F}_{MN}{F}_{KL}$. $X$
denotes the bulk coordinate in 10D. The normalization of gauge group generator
is assumed to be given by
$\mathop{\mathrm{tr}}\nolimits(T^{a}T^{b})=\delta^{ab}$. The quadratic term
$\mathop{\mathrm{tr}}\nolimits{F}_{MN}^{2}$ can reduce to the well-known Yang-
Mills action with the canonical kinetic term.
With respect to the background fluxes on the D9-branes, it is assumed that
only the fluxes on the extra six dimension have nonzero values,
$\displaystyle{F}_{MN}\ni\hat{F}_{y^{m}_{i}y^{n}_{j}},\quad\text{where}~{}\hat{F}_{y^{1}_{i}y^{2}_{i}}\neq
0.$ (2.11)
Here, the background flux $\hat{F}$ is taken to be diagonal with respect to
the torus index, i.e., $\hat{F}_{y^{1}_{i}y^{2}_{i}}\neq 0$ for $i=1,2,3$ and
$\hat{F}_{y^{m}_{i}y^{n}_{j}}=0$ for $i\neq j$. In the complex basis,
nonvanishing components of the fluxes are given by
$\displaystyle\hat{F}_{z_{i}\overline{z}_{i}}=\frac{\partial
y_{i}^{m}}{\partial z_{i}}\frac{\partial
y_{i}^{n}}{\partial\overline{z}_{i}}\hat{F}_{y^{m}_{i}y^{n}_{i}},~{}~{}~{}i=1,2,3.$
(2.12)
See Appendix A for details. This is consistent with the SUSY condition as
discussed later.
### 2.2 Flux and matter zero modes
Although fermions are neglected so far, they exist in the presence of the
SUSY. We briefly review a zero (massless) mode solution of the Dirac equation
on the $\mathbb{T}^{2}$ with $U(1)$ magnetic flux [11]. A generalization of
the solution to the $\mathbb{T}^{6}$ case is discussed later.
The background magnetic flux on $\mathbb{T}^{2}$ in the string length unit is
given by
$\displaystyle\int_{\mathbb{T}^{2}}\frac{\hat{F}}{2\pi}=M~{}\to~{}\hat{F}=\frac{\pi
iM}{\tau_{I}}dz\wedge d\overline{z},\quad M\in{\mathbb{Z}}.$ (2.13)
Then, the gauge potential can be written as
$\displaystyle\hat{A}(z)=\frac{\pi
M}{\tau_{I}}\mathop{\mathrm{Im}}(\overline{z}\,dz).$ (2.14)
A large gauge transformation associated with translations on the torus is
given by
$\displaystyle\hat{A}(z+1)=\hat{A}(z)+d\bigg{(}\frac{\pi M}{\tau_{I}}{\rm
Im}(z)\bigg{)},\quad\hat{A}(z+\tau)=\hat{A}(z)+d\bigg{(}\frac{\pi
M}{\tau_{I}}{\rm Im}(\overline{\tau}z)\bigg{)}.$ (2.15)
Let us consider the spinor $\psi$ on the $\mathbb{T}^{2}$ with unit charge
$q=1$, where
$\displaystyle\psi=\begin{pmatrix}\psi_{+}(z)\\\ \psi_{-}(z)\end{pmatrix}.$
(2.16)
Here, $\pm$ denotes the eigenvalue of $SO(2)$ spinor algebra associated with
the torus (chirality). The gauge transformation acts on the spinor as
$\displaystyle\psi(z+1)=\exp\bigg{[}i\frac{\pi M}{\tau_{I}}{\rm
Im}(z)\bigg{]}\psi(z),\quad\psi(z+\tau)=\exp\bigg{[}i\frac{\pi
M}{\tau_{I}}{\rm Im}(\overline{\tau}z)\bigg{]}\psi(z).$ (2.17)
With these two boundary conditions, we solve the Dirac equation
$i\not{D}\psi=0$ on the $\mathbb{T}^{2}$. It is noted that the spinor becomes
a single-valued function up to the gauge transformation when
$M\in{\mathbb{Z}}$. For $M>0$, only $\psi_{+}$ is a normalizable zero modes,
which is $|M|$-fold degenerate; similarly, only $\psi_{-}$ is a normalizable
$|M|$-fold degenerate zero mode for $M<0$. Hence, the effective theory becomes
chiral in the low energy limit. Explicitly, for $M>0$ the $\psi_{+}$ is
written as
$\displaystyle\psi_{{\mathbb{T}}^{2}}^{A,M}=\Theta^{A,M}(z)\coloneqq\mathcal{N}_{M}\exp\bigg{[}\pi
iMz\frac{{\rm Im}(z)}{\tau_{I}}\bigg{]}\vartheta\begin{bmatrix}\frac{A}{M}\\\
0\end{bmatrix}(Mz,M\tau),\quad A=0,1,\cdots,M-1.$ (2.18)
Here, $\mathcal{N}_{M}$ is the normalization constant, $A$ labels the number
of degeneracy, i.e. flavor, and $\vartheta$ is the Jacobi theta function
$\displaystyle\vartheta\begin{bmatrix}a\\\
b\end{bmatrix}(\nu,\tau)\coloneqq\sum_{l\in{\mathbb{Z}}}e^{\pi
i(a+l)^{2}\tau}e^{2\pi i(a+l)(\nu+b)}.$ (2.19)
The normalization of $\psi_{+}$ reads
$\displaystyle\int_{\mathbb{T}^{2}}d^{2}y\sqrt{g_{2}}\,\overline{\Theta^{A,M}(z)}\Theta^{B,M}(z)=\delta^{AB}\frac{(\mathcal{N}_{M})^{2}\mathcal{A}}{\sqrt{2\tau_{I}|M|}},$
(2.20)
and we choose the following condition222The normalization factor for $M=0$ is
$\mathcal{N}=1/\sqrt{\mathcal{A}}$.
$\displaystyle(\mathcal{N}_{M})^{2}=\frac{\sqrt{2\tau_{I}|M|}}{\mathcal{A}}$
(2.21)
such that
$\int_{\mathbb{T}^{2}}d^{2}y\sqrt{g_{2}}\,\overline{\Theta^{A,M}(z)}\Theta^{B,M}(z)=\delta^{AB}$.
Here, we used dimensionless coordinate $z$. For $M<0$, the normalizable
solution of $\psi_{-}$ is written as
$\displaystyle\psi_{{\mathbb{T}}^{2}}^{A,M}=\overline{\Theta^{A,|M|}(z)},\quad
A=0,1,\ldots,|M|-1,$ (2.22)
where the normalization constant is the same as that for $M>0$. Thus, a
signature of $M$ is associated with the chirality of fermion.
#### 2.2.1 Symmetry breaking of $U(3)\to U(1)_{a}\times U(1)_{b}\times
U(1)_{c}$ and degeneracy
It is easy to extend the above solution to a 10D theory compactified on
${\mathbb{T}}^{6}=\prod_{i=1}^{3}\mathbb{T}^{2}_{i}$ with non-Abelian gauge
symmetries of our interest. In the 10D SYM theory, there exist gauge fields
$A_{M}$ and their superpartner gluinos $\lambda^{(10)}$.
It is necessary to take into account of background fluxes to identify which
zero modes survive in 4D theory. We give the following background fluxes in a
non-Abelian gauge theory:
$\displaystyle\hat{F}_{z_{i}\overline{z}_{i}}\eqqcolon\hat{F}_{i\overline{i}}=\frac{i\pi
M^{(i)}}{\tau_{I}^{(i)}},\quad i=1,2,3.$ (2.23)
Here, $M^{(i)}$ is a matrix-valued constant, and gives the gauge symmetry
which can survive in the 4D theory through $[M^{(i)},A_{\mu}]=0$. Otherwise,
gauge fields become massive. For simplicity, we hereafter focus on the case in
which the $U(3)$ gauge group in 10D is broken to $U(1)_{a}\times
U(1)_{b}\times U(1)_{c}$ in 4D by the diagonal background fluxes,
$\displaystyle\frac{1}{2\pi}\int_{\mathbb{T}^{2}_{i}}dz_{i}\wedge
d\overline{z}_{i}\,\hat{F}_{i\overline{i}}=M^{(i)}=\begin{pmatrix}M^{(i)}_{a}&&\\\
&M^{(i)}_{b}&\\\ &&M^{(i)}_{c}\end{pmatrix},\quad
M^{(i)}_{a,b,c}\in\mathbb{Z},$ (2.24)
where the fluxes are similarly quantized for a charged zero mode to have a
single-valued function on the each $\mathbb{T}^{2}$ up to gauge
transformation. Replacing unity with identity matrix in Eq. (2.24) can realize
4D non-Abelian gauge symmetries. It is noted that gauge fields and gluinos in
10D are both adjoint representations, in which they are coupled to the fluxes
with a commutator through their covariant derivatives. Hence, the degeneracy
of fermion zero modes $I_{\alpha\beta}$ depends on the difference of fluxes
between two gauge groups on each torus [11],
$\displaystyle
I_{\alpha\beta}\coloneqq\prod_{i=1}^{3}I^{(i)}_{\alpha\beta},\qquad
I^{(i)}_{\alpha\beta}\coloneqq
M_{\alpha}^{(i)}-M_{\beta}^{(i)}\quad(\alpha,~{}\beta=a,~{}b,~{}c),$ (2.25)
for matter with a charge of $(1,-1)$ against a $U(1)_{\alpha}\times
U(1)_{\beta}$ gauge group. It is noted that the definition of
$I_{\alpha\beta}$ gives
$\displaystyle I_{ab}^{(i)}+I_{bc}^{(i)}+I_{ca}^{(i)}=0.$ (2.26)
This equation can determine a relative signature among $I_{\alpha\beta}$’s.
Next, we show the SUSY condition for avoiding tachyons, and visit concrete
zero mode functions.
#### 2.2.2 Supersymmetry conditions on the background fluxes
We consider the condition for background fluxes to preserve 4D $\mathcal{N}=1$
SUSY for realizing chiral theories. The SUSY transformation of 10D fermions
should vanish to preserve the 4D SUSY. Then, the condition of the background
fluxes at $\mathcal{O}(\hat{F}^{2})$ on the complex manifold reads [47, 48,
41, 11, 49, 50]:
$\displaystyle g^{i\overline{j}}\hat{F}_{i\overline{j}}=0,$ (2.27)
$\displaystyle\hat{F}_{ij}=\hat{F}_{\overline{i}\overline{j}}=0.$ (2.28)
It is noted that an additional term of
$\hat{F}_{z_{1}\overline{z}_{1}}\hat{F}_{z_{2}\overline{z}_{2}}\hat{F}_{z_{3}\overline{z}_{3}}$
to the rhs of Eq. (2.27) is required for the calibration condition of
magnetized D-branes with DBI action. However, the above condition is
sufficient to us since we focus on the terms of
$\mathcal{O}(F^{4})\ni\hat{F}^{2}\times(\mathrm{fluctuations})$ in the
Lagrangian. Higher order corrections in
$\mathcal{O}(F^{6})\ni\hat{F}^{4}\times(\mathrm{fluctuations})$ neglected in
this paper can modify the condition to the terms involved in $F^{4}$. In our
case, the former condition (2.27) is satisfied when
$\displaystyle\sum_{i=1}^{3}\frac{M^{(i)}_{\alpha}}{\mathcal{A}^{(i)}}=0.\qquad(\alpha=a,b,c).$
(2.29)
The latter condition on the vanishing holomorphic flux condition (2.28) is
satisfied when we consider the diagonal fluxes in the torus index. Then
tachyons are absent in the effective theories since their mass squared is
proportional to [51, 11, 5]
$\displaystyle\sum_{i=1}^{3}\frac{M^{(i)}_{\alpha}-M^{(i)}_{\beta}}{\mathcal{A}^{(i)}}=\sum_{i=1}^{3}\frac{I^{(i)}_{\alpha\beta}}{\mathcal{A}^{(i)}}=0.$
(2.30)
This equation can also determine a relative signature among
$I_{\alpha\beta}^{(i)}$’s with fixed $\alpha$ and $\beta$ on top of Eq.
(2.26). For later convenience, we introduce the notation of the flux divided
by the torus area as
$\displaystyle
m^{(i)}_{\alpha}\coloneqq\frac{M^{(i)}_{\alpha}}{\mathcal{A}^{(i)}}\qquad(\alpha=a,b,c).$
(2.31)
#### 2.2.3 Matter zero modes in SUSY theories
We shall consider zero mode functions on ${\mathbb{T}}^{6}$ in the presence of
4D SUSY. Let us take 10D chirality of the gluino $\lambda^{(10)}$ as [24]
$\displaystyle\Gamma\lambda^{(10)}=+\lambda^{(10)}.$ (2.32)
Then, the gluino is decomposed into the irreducible spinor representation with
$SO(2)^{3}$ that is the Cartan subalgebra of $SO(6)$,
$\displaystyle\lambda_{0}\coloneqq\lambda_{+++},~{}~{}~{}\lambda_{1}\coloneqq\lambda_{+--},~{}~{}~{}\lambda_{2}\coloneqq\lambda_{-+-},~{}~{}~{}\lambda_{3}\coloneqq\lambda_{--+},$
(2.33)
where $\pm$ denotes the eigenvalues of $SO(2)^{3}$ spinor algebra
(chiralities). 10D gauge fields $A_{M}$ can be decomposed similarly into
$\displaystyle
A_{\mu},~{}~{}~{}A_{z_{1}},~{}~{}~{}A_{z_{2}},~{}~{}~{}A_{z_{3}},$ (2.34)
where
$A_{z_{i}}=\frac{i}{2\tau_{I}^{(i)}}(\overline{\tau^{(i)}}A_{y_{i}^{1}}-A_{y_{i}^{2}})$.
In 4D ${\cal N}=1$ SUSY theories, a vector multiplet $V$ consists of $A_{\mu}$
and $\lambda_{0}$, whereas chiral multiplets $\Phi_{i}$ can consist of
fluctuations of $A_{z_{i}}$ and $\lambda_{i}~{}(i=1,2,3)$. When the background
fluxes preserve the 4D SUSY in flat spacetime, bosonic partners have the same
zero mode function as fermions’ [11, 24]. Then, the zero mode function of the
massless gauge multiplet $V$ is independent of coordinates $y$ since there
exists no coupling to the fluxes in the zero mode equation, i.e.,
$[M^{(i)},A_{\mu}]=0$. For the chiral multiplets $\Phi_{i}(x)$, the zero mode
functions $\phi_{i}(y)$ are given by products of those on each torus:
$\displaystyle\Phi_{i}^{\text{10D}}(x,y)$
$\displaystyle=\sum_{{\mathbb{A}}}\Phi_{i}^{{\mathbb{A}},I_{\alpha\beta}}(x)\otimes\phi_{i}^{{\mathbb{A}},I_{\alpha\beta}}(y)~{}+~{}({\rm
massive~{}modes}),$ (2.35)
$\displaystyle\phi_{i}^{{\mathbb{A}},I_{\alpha\beta}}(y)$
$\displaystyle=\bigg{(}\prod_{r=1}^{3}\phi_{i,{\mathbb{T}}_{r}^{2}}^{A^{(r)},I_{\alpha\beta}^{(r)}}(y_{r})\bigg{)}.$
(2.36)
Here, for $I_{\alpha\beta}\neq 0$,
$\displaystyle\phi_{i,{\mathbb{T}}_{r}^{2}}^{A^{(r)},I_{\alpha\beta}^{(r)}}=\begin{cases}\Theta^{A^{(r)},I_{\alpha\beta}^{(r)}}(z_{r})~{}{\rm
with}~{}\tau^{(r)}&(r=i~{}\&~{}I_{\alpha\beta}^{(r)}>0),\\\
\overline{\Theta^{A^{(r)},|I_{\alpha\beta}^{(r)}|}(z_{r})}~{}{\rm
with}~{}\overline{\tau^{(r)}}&(r\neq i~{}\&~{}I_{\alpha\beta}^{(r)}<0),\\\
0&({\rm other~{}cases}).\end{cases}$ (2.37)
This is consistent with chiralities in Eq. (2.33). $A^{(r)}$ is the index of
flavor on each torus: $A^{(r)}=0,1,\ldots|I_{\alpha\beta}^{(r)}|-1$ ,and
hence, the total flavor index is
${\mathbb{A}}=0,1,\ldots,|I_{\alpha\beta}|-1$. It is noted that matter
$\Phi_{i}^{{\mathbb{A}},I_{\alpha\beta}}$ has a charge of $(1,-1)$ against the
$U(1)_{\alpha}\times U(1)_{\beta}$ gauge group.
Without loss of generality, we assume that
$\displaystyle I_{ab}^{(1)}>0,\qquad I_{ab}^{(2),(3)}<0,$ $\displaystyle
I_{bc}^{(2)}>0,\qquad I_{bc}^{(1),(3)}<0,$ (2.38) $\displaystyle
I_{ca}^{(3)}>0,\qquad I_{ca}^{(1),(2)}<0,$
to satisfy Eqs. (2.26) and (2.30). This is also consistent with decomposition
of Eq. (2.33) as below. As noted, we have the gauge symmetry breaking of
$U(3)\to U(1)_{a}\times U(1)_{b}\times U(1)_{c}$. Then, the fluctuations of
10D gauge fields are decomposed into 4D zero (massless) modes, which are,
namely, gauge fields and complex scalars charged under the 4D gauge
symmetries:
$\displaystyle a_{\mu}=\begin{pmatrix}a^{a}_{\mu}&&\\\ &a^{b}_{\mu}&\\\
&&a^{c}_{\mu}\end{pmatrix},$ (2.39) $\displaystyle
a_{z_{i}}=\begin{pmatrix}&a^{ab}_{i}&\\\ &&a^{bc}_{i}\\\
a^{ca}_{i}&&\end{pmatrix}\eqqcolon\begin{pmatrix}&A_{i}\phi_{i}^{ab}\delta_{i1}&\\\
&&B_{i}\phi_{i}^{bc}\delta_{i2}\\\
C_{i}\phi_{i}^{ca}\delta_{i3}&&\end{pmatrix},$ (2.40)
where $a_{M}$ denotes fluctuations of the 10D gauge fields; $a^{a,b,c}_{\mu}$
are the 4D gauge fields associated with $U(1)_{a,b,c}$ symmetries, $A_{i}$,
$B_{i}$ and $C_{i}$ denote 4D complex scalars.
$(\phi_{i}^{ab},\phi_{i}^{bc},\phi_{i}^{ca}):=(\phi_{i}^{I_{ab}},\phi_{i}^{I_{bc}},\phi_{i}^{I_{ca}})$
show zero mode functions relevant to each complex scalar and we suppressed the
flavor index. These scalars have bifundamental charges against $U(1)_{a}\times
U(1)_{b}\times U(1)_{c}$ symmetries, $Q(A_{i})=(1,-1,0)$, $Q(B_{i})=(0,1,-1)$
and $Q(C_{i})=(-1,0,1)$, respectively, where $Q({\rm scalar})$ denotes the
$U(1)$ charges of the scalar. According to Eqs. (2.37) and (2.38), the
surviving zero modes in 4D are only
$\displaystyle
A_{1}^{\mathbb{A}},~{}B_{2}^{\mathbb{B}}~{}\text{and}~{}C_{3}^{\mathbb{C}}.$
(2.41)
Here, $\mathbb{A},~{}\mathbb{B},$ and $\mathbb{C}$ are the flavor indices, and
their zero mode functions surviving in 4D are written as
$\displaystyle\phi_{1}^{{\mathbb{A}},ab}$
$\displaystyle=\Theta^{A^{(1)},I_{ab}^{(1)}}(z_{1})\otimes\overline{\Theta^{A^{(2)},|I_{ab}^{(2)}|}(z_{2})}\otimes\overline{\Theta^{A^{(3)},|I_{ab}^{(3)}|}(z_{3})},$
$\displaystyle\phi_{2}^{{\mathbb{B}},bc}$
$\displaystyle=\overline{\Theta^{B^{(1)},|I_{bc}^{(1)}|}(z_{1})}\otimes\Theta^{B^{(2)},I_{bc}^{(2)}}(z_{2})\otimes\overline{\Theta^{B^{(3)},|I_{bc}^{(3)}|}(z_{3})},$
(2.42) $\displaystyle\phi_{3}^{{\mathbb{C}},ca}$
$\displaystyle=\overline{\Theta^{C^{(1)},|I_{ca}^{(1)}|}(z_{1})}\otimes\overline{\Theta^{C^{(2)},|I_{ca}^{(2)}|}(z_{2})}\otimes\Theta^{C^{(3)},I_{ca}^{(3)}}(z_{3}),$
where $A^{(r)}=0,1,\cdots|I_{ab}^{(r)}|-1$,
$B^{(r)}=0,1,\cdots,|I_{bc}^{(r)}|-1$, and
$C^{(r)}=0,1,\cdots,|I_{ca}^{(r)}|-1$ ($r=1,2,3$):
${\mathbb{A}}=0,1,\cdots|I_{ab}|-1$, ${\mathbb{B}}=0,1,\cdots,|I_{bc}|-1$, and
${\mathbb{C}}=0,1,\cdots,|I_{ca}|-1$. The normalization factor of
$\Theta^{A^{(1)},I^{(1)}_{ab}}(z_{1})$ is denoted as
$\mathcal{N}^{1}_{I^{(1)}_{ab}}$ for instance. From Eq. (2.21), these zero
mode functions are normalized as
$\displaystyle\int_{\mathbb{T}^{6}}d^{6}y\sqrt{g_{6}}\,\phi^{\mathbb{A},ab}_{1}\overline{\phi^{\mathbb{A}^{\prime},ab}_{1}}=\delta_{\mathbb{A},\mathbb{A}^{\prime}}.$
(2.43)
$\phi$’s are zero mode solutions for 10D SYM with the canonical kinetic term.
In the case with the NDBI action, there are corrections of fluxes to this zero
mode solution. Since the flux is constant to the coordinates of a six-
dimensional torus, the corrections are expected to change the normalization of
the matter Kähler metric. In this paper, for simplicity, we neglect higher
order interactions with derivatives in 4D theories such as $|A|^{2}|\partial
A|^{2}$ or $|\partial A|^{4}$, where $A$ is a 4D complex scalar in a chiral
matter multiplet.
## 3 SUSY effective action of $U(1)_{a}\times U(1)_{b}\times U(1)_{c}$ theory
In this section, we will exhibit 4D SUSY effective action derived from the 10D
NDBI action, focusing on the bosonic sector. As noted already, we assume to
start with 10D $U(3)$ gauge symmetry which is broken to $U(1)_{a}\times
U(1)_{b}\times U(1)_{c}$ by the background flux of Eq. (2.24).
We can read the 4D gauge couplings, Kähler metrics of the chiral matters and
scalar quartic couplings, after substituting the fields of Eqs. (2.39), (2.40)
and the metric (2.8) into the NDBI action (2.10). For later convenience, we
define closed string moduli [11]:
$\displaystyle s$ $\displaystyle\coloneqq e^{-\varphi}{\cal A}^{(1)}{\cal
A}^{(2)}{\cal A}^{(3)}=e^{-\varphi}{\rm Vol}(\mathbb{T}^{6}),$ (3.1)
$\displaystyle t_{i}$ $\displaystyle\coloneqq e^{-\varphi}{\cal
A}^{(i)}=e^{-\varphi}{\rm Vol}(\mathbb{T}_{i}^{2}),$ (3.2) $\displaystyle
U_{i}$ $\displaystyle\coloneqq i\overline{\tau^{(i)}},\quad
u_{i}\coloneqq\mathop{\mathrm{Re}}(U_{i})=\tau^{(i)}_{I},$ (3.3)
where $s$ is the 4D dilaton, and $t_{i}$ are the Kähler moduli. $U_{i}$ stand
for the complex structure moduli of ${\mathbb{T}}^{2}_{i}$ in the SUGRA basis.
In combination with axions descended from RR tensors, the above moduli
constitute the complexified dilaton $S$ and the Kähler moduli $T_{i}$. The
Kähler potential of these closed string moduli $K^{(0)}$ is given by
$\displaystyle
K^{(0)}=-\log(S+\overline{S})-\sum_{i=1}^{3}\log(T_{i}+\overline{T_{i}})-\sum_{i=1}^{3}\log(U_{i}+\overline{U_{i}}).$
(3.4)
4D effective action of chiral matters is written with these closed string
moduli as seen below. See Appendix A for details of the computation.
### 3.1 Gauge couplings
The gauge couplings of $U(1)_{a}\times U(1)_{b}\times U(1)_{c}$ are read from
the coefficient of the gauge kinetic term. The canonical kinetic term
$\mathcal{L}_{\text{4D}}\ni\int
d^{6}y\sqrt{g_{6}}\,e^{-\varphi}\mathop{\mathrm{tr}}\nolimits(f_{\mu\nu})^{2}$
gives the leading contribution without fluxes, whereas the flux-corrected
contributions come from333A contribution of $\int
d^{6}y\sqrt{g_{6}}\,e^{-\varphi}{\rm
tr}[\hat{F}_{i\overline{j}}f_{\mu\nu}{\hat{F}}^{\overline{j}i}f^{\mu\nu}]$ is
included because $[\hat{F}_{i\overline{j}},f_{\mu\nu}]=0.$
$\mathcal{L}_{\text{4D}}\ni\int d^{6}y\sqrt{g_{6}}\,e^{-\varphi}{\rm
tr}[({\hat{F}}_{i\overline{j}}{\hat{F}}^{\overline{j}i})(f_{\mu\nu})^{2}]$.
Here, $f_{\mu\nu}$ is the fluctuation of the 10D gauge field strength of the
$U(3)$ gauge symmetry with the 4D subscripts. The former kinetic term depends
on $e^{-\varphi}{\rm Vol}(\mathbb{T}^{6})=s$ and the latter includes $s\times
m^{2}$, where $m$ is moduli-dependent flux defined in Eq. (2.31). Thus, we
find
$\displaystyle S_{\text{NDBI}}\ni-\frac{1}{2\pi}\int
d^{4}x\sqrt{-g_{4}}\,\frac{1}{4g_{a}^{2}}(f^{a}_{\mu\nu})^{2},$ (3.5)
where $f_{\mu\nu}^{a}=\partial_{\mu}a_{\nu}^{a}-\partial_{\nu}a_{\mu}^{a}$ is
the field strength for the $U(1)_{a}$, and the gauge coupling for the
$U(1)_{a}$ group is
$\displaystyle\frac{1}{g_{a}^{2}}=$
$\displaystyle~{}s\biggl{[}1+\frac{1}{2}\sum_{i=1}^{3}(m^{(i)}_{a})^{2}\biggr{]}$
(3.6) $\displaystyle=$
$\displaystyle~{}s-t_{1}M^{(2)}_{a}M^{(3)}_{a}-t_{2}M^{(1)}_{a}M^{(3)}_{a}-t_{3}M^{(1)}_{a}M^{(2)}_{a}.$
(3.7)
In the second line, the SUSY condition (2.29) is used. The results for
$U(1)_{b}$ and $U(1)_{c}$ symmetries are similar to that of the $U(1)_{a}$.
This is a well-known result of the D-brane models [41, 42, 43, 50] and is
regarded as the real part of a corresponding holomorphic gauge coupling
$f_{a}$,
$\displaystyle\mathop{\mathrm{Re}}(f_{a})$
$\displaystyle=\frac{1}{g_{a}^{2}},$ (3.8) $\displaystyle f_{a}$
$\displaystyle=S-T_{1}M^{(2)}_{a}M^{(3)}_{a}-T_{2}M^{(1)}_{a}M^{(3)}_{a}-T_{3}M^{(1)}_{a}M^{(2)}_{a}.$
(3.9)
The expansion in fluxes is valid when
$s>t_{i}|M^{(j)}_{a}M^{(k)}_{a}|~{}(i\neq j\neq k\neq i)$. Then a gauge
coupling will become weak for large vacuum expectation values of moduli. It is
noted that terms dependent on $T_{i}$ can be positive contributions to the
gauge coupling when an induced D5-brane charge $-M^{(j)}_{a}M^{(k)}_{a}$,
which is carried by a magnetized D9-brane, is positive.444The induced charge
and its contribution to a holomorphic gauge coupling are seen from CS term on
a D9-brane, $\int_{D9}(C_{6}+\frac{1}{2}C_{2}\wedge f\wedge
f)\wedge\hat{F}\wedge\hat{F}$, where $C_{2}$ and $C_{6}$ are RR two-from and
six-form potential.
### 3.2 Kähler metric of chiral matters
The coefficient of a scalar kinetic term gives the Kähler metric for chiral
matter in SUSY theories. The kinetic terms with the leading contribution
without fluxes are read from $\mathcal{L}_{\text{4D}}\ni\int
d^{6}y\,\sqrt{g_{6}}e^{2\Phi-\varphi}\mathop{\mathrm{tr}}\nolimits(f_{\mu
i}f^{\mu i})$, whereas the next leading contributions with fluxes are roughly
given by a combination of $\mathcal{L}_{\text{4D}}\ni\int
d^{6}y\sqrt{g_{6}}\,e^{2\Phi-\varphi}\mathop{\mathrm{tr}}\nolimits(\hat{F}_{j\overline{k}}\hat{F}^{\overline{k}j}f_{\mu
i}f^{\mu i}+\hat{F}_{j\overline{k}}f_{\mu i}\hat{F}^{\overline{k}j}f^{\mu i})$
and similar terms. Here, $f_{\mu i}\coloneqq f_{\mu z^{i}}$ is the fluctuation
of 10D field strength and includes the 4D kinetic term of a scalar
fluctuation, e.g. $\partial_{\mu}A^{\mathbb{A}}_{i}$, where $A_{i}$ is given
in Eq. (2.40) with the intersection number (2.38).555We have generalized
$A_{1}$ to $A_{i}$ with any $i$. A factor $e^{2\Phi}$ originates from the 4D
Einstein frame metric $g_{\mu\nu}=e^{-2\Phi}\tilde{g}_{\mu\nu}$ in the kinetic
term $\sqrt{-\tilde{g}_{4}}\times\tilde{g}^{\mu\nu}g^{i\overline{i}}f_{\mu
i}f_{\nu\overline{i}}$, where $\tilde{g}_{\mu\nu}$ is the Jordan frame metric,
$ds_{10}^{2}\ni
e^{2\Phi}g_{\mu\nu}dx^{\mu}dx^{\nu}\eqqcolon\tilde{g}_{\mu\nu}dx^{\mu}dx^{\nu}$
as in Eq. (2.4). For instance, we roughly estimate
$\displaystyle\int
d^{6}y\,\sqrt{g_{6}}e^{2\Phi-\varphi}\mathop{\mathrm{tr}}\nolimits(f_{\mu
i}f^{\mu i})$ $\displaystyle\sim
e^{2\Phi-\varphi}g^{i\overline{i}}|\partial_{\mu}A^{\mathbb{A}}_{i}|^{2}\int
d^{6}y\sqrt{g_{6}}\,|\phi_{i}^{\mathbb{A},ab}|^{2}$
$\displaystyle\sim\frac{2u_{i}}{t_{i}\mathop{\mathrm{Vol}}(\mathbb{T}^{6})}|\partial_{\mu}A^{\mathbb{A}}_{i}|^{2},$
(3.10)
for terms without fluxes and
$\displaystyle\int d^{6}y\,\sqrt{g_{6}}e^{2\Phi-\varphi}{\rm
tr}(\hat{F}_{j\overline{k}}\hat{F}^{\overline{k}j}f_{\mu i}f^{\mu i})$
$\displaystyle\sim
e^{2\Phi-\varphi}\hat{F}_{j\overline{k}}\hat{F}^{\overline{k}j}g^{i\overline{i}}|\partial_{\mu}A^{\mathbb{A}}_{i}|^{2}\int
d^{6}y\sqrt{g_{6}}\,|\phi_{i}^{\mathbb{A},ab}|^{2}$ $\displaystyle\sim
m^{2}\times\frac{2u_{i}}{t_{i}\mathop{\mathrm{Vol}}(\mathbb{T}^{6})}|\partial_{\mu}A^{\mathbb{A}}_{i}|^{2},$
(3.11)
for the flux-corrected terms with the moduli-dependent fluxes $m$ in Eq.
(2.31). Here, $\phi_{i}^{\mathbb{A},ab}$ is the zero mode function for $A_{i}$
in the magnetized extra dimension, and we used
$g^{i\overline{i}}=2e^{-\varphi}\frac{u_{i}}{t_{i}}$ and the normalization of
$\phi_{i}^{\mathbb{A},ab}$ in Eq. (2.43). In addition, let us rescale the
matter field as $A_{i}\to\alpha_{ab}^{(i)}A_{i}$ so that matter superpotential
becomes a holomorphic function of the moduli and the matter Kähler metric
results in a real function of the moduli [24], where
$\displaystyle\alpha^{(i)}_{\alpha\beta}=\frac{1}{\sqrt{2^{2}u_{i}}}\frac{\sqrt{\mathop{\mathrm{Vol}}(\mathbb{T}^{6})}}{(2^{3}u_{1}u_{2}u_{3})^{1/4}}\biggl{(}\frac{|I^{(i)}_{\alpha\beta}|}{\prod_{r\neq
i}|I^{(r)}_{\alpha\beta}|}\biggr{)}^{1/4},~{}~{}~{}\alpha,\beta=a,b,c,$ (3.12)
for $I_{ab}I_{bc}I_{ca}\neq 0$. Then, the metric for $A_{i}$,
$\mathcal{Z}^{i}_{ab}$, is obtained as
$\displaystyle S_{\text{NDBI}}\ni-\frac{1}{2\pi}\int
d^{4}x\sqrt{-g_{4}}\,\mathcal{Z}^{i}_{ab}|D_{\mu}A^{\mathbb{A}}_{i}|^{2},$
(3.13)
where
$D_{\mu}A^{\mathbb{A}}_{i}=(\partial_{\mu}+ia_{\mu}^{a}-ia_{\mu}^{b})A^{\mathbb{A}}_{i}$,
and
$\displaystyle\mathcal{Z}^{i}_{ab}=$ $\displaystyle
Z^{i}_{ab}\times\biggl{[}1-\frac{1}{6}\bigl{(}2m^{(j)}_{a}m^{(k)}_{a}+2m^{(j)}_{b}m^{(k)}_{b}+m^{(j)}_{a}m^{(k)}_{b}+m^{(j)}_{b}m^{(k)}_{a}\bigr{)}\biggr{]}\quad(i\neq
j\neq k\neq i)$ $\displaystyle=$ $\displaystyle
Z^{i}_{ab}\times\biggl{[}1-\frac{t_{i}}{6s}\bigl{(}2M^{(j)}_{a}M^{(k)}_{a}+2M^{(j)}_{b}M^{(k)}_{b}+M^{(j)}_{a}M^{(k)}_{b}+M^{(j)}_{b}M^{(k)}_{a}\bigr{)}\biggr{]}\quad(i\neq
j\neq k\neq i),$ (3.14) $\displaystyle Z^{i}_{ab}\coloneqq$
$\displaystyle\frac{2u_{i}}{t_{i}\mathop{\mathrm{Vol}}(\mathbb{T}^{6})}\bigl{(}\alpha^{(i)}_{ab}\bigr{)}^{2}=\frac{1}{2t_{i}}\biggl{(}\prod_{k=1}^{3}\frac{1}{\sqrt{2u_{k}}}\biggr{)}\sqrt{\frac{|I^{((i))}_{ab}|}{\prod_{j\neq
i}|I^{((j))}_{ab}|}}.$ (3.15)
Here, we used the SUSY condition in the computation. It is noted that
$Z^{(i)}_{ab}$ is the metric obtained 10D SYM with the canonical kinetic term
on the magnetized extra dimension [11, 24] and that the above
$\mathcal{Z}^{(i)}_{ab}$ in Eq. (3.14) is symmetric under exchange of $a$ and
$b$ and independent of labels of flavor. This is also rewritten with
complexified moduli and intersection numbers as
$\displaystyle\mathcal{Z}^{i}_{ab}=$ $\displaystyle
Z^{i}_{ab}\times\biggl{[}1+\frac{(T_{i}+\overline{T_{i}})}{6(S+\overline{S})}\bigl{(}I^{(j)}_{ab}I^{(k)}_{ab}-3M^{(j)}_{a}M^{(k)}_{a}-3M^{(j)}_{b}M^{(k)}_{b}\bigr{)}\biggr{]}\quad(i\neq
j\neq k\neq i),$ (3.16) $\displaystyle Z^{i}_{ab}$
$\displaystyle=\frac{1}{T_{i}+\overline{T_{i}}}\biggl{(}\prod_{k=1}^{3}\frac{1}{\sqrt{(U_{k}+\overline{U_{k}})}}\biggr{)}\sqrt{\frac{|I^{(i)}_{ab}|}{\prod_{j\neq
i}|I^{(j)}_{ab}|}}.$ (3.17)
The expansion in fluxes is valid when $s>t_{i}|M^{(j)}M^{(k)}|~{}(i\neq j\neq
k\neq i)$, and this is similar to the case of a gauge coupling. Then the
metric $\mathcal{Z}^{i}_{ab}$ can be positive definite in SUSY theories when
induced D5-brane charges, $-M^{(j)}_{a}M^{(k)}_{a}$ and
$-M^{(j)}_{b}M^{(k)}_{b}$, are positive, even if flux corrections become
large. This is because a sign of the product of intersection numbers,
$I^{(j)}_{ab}I^{(k)}_{ab}$, is always positive owing to a chirality of $A_{i}$
multiplet. A similar Kähler potential which depends on $S$ is obtained in type
II theories with string scattering amplitudes [41, 42, 43] and is found also
in Heterotic M-theory [52] with an effective field theory approach.
The Kähler metrics for the other fields are systematically given by the cyclic
replacement of the label of the tori and gauge groups.
### 3.3 Scalar quartic term in the F-term scalar potential
Let us check if the Kähler metric in the previous subsection is correct by
showing the scalar potential. We derive scalar quartic couplings in the F-term
potential from NDBI action and compare it with the SUGRA description. For
concreteness, we focus on $A_{1}B_{2}\overline{A_{1}}\overline{B_{2}}$ term
included in the potential. This is related to the Yukawa coupling in the
superpotential and hence is restricted by holomorphy. On the other hand, there
is another type of quartic terms of $|A_{1}|^{4}$ that is associated with D
term. The D-term scalar potential is less constrained than that of F term and
hence we do not discuss the details in this paper for simplicity.
The leading term in flux expansion of the F-term scalar potential which
consists of multiplication of the holomorphic function and its complex
conjugate one is estimated from
$\displaystyle 2\pi\mathcal{L}_{\text{4D}}\ni-V_{F}\ni 2\int
d^{6}y\sqrt{g_{6}}\,e^{4\Phi-\varphi}g^{i\overline{i}}g^{j\overline{j}}\mathop{\mathrm{tr}}\nolimits[a_{i},a_{j}][a_{\overline{i}},a_{\overline{j}}].$
Here, $V_{F}$ denotes the F-term scalar potential, and we drop the covariant
derivative on zero modes since we focus on a scalar quartic term.666It is
noted that
$D_{z_{i}}a_{z_{j}}=\partial_{z_{i}}a_{z_{j}}+i[\hat{A}_{z_{i}},a_{z_{j}}]=0$
for $i\neq j$ and
$D_{\overline{z}_{i}}a_{z_{i}}=\partial_{\overline{z}_{i}}a_{z_{i}}+i[\hat{A}_{\overline{z}_{i}},a_{z_{i}}]=0$
for zero modes [11, 24]. Terms proportional to $D_{z_{i}}a_{z_{i}}$ and
$D_{\overline{z}_{i}}a_{z_{j}}~{}(i\neq j)$ for zero modes will contribute to
4D action as a moduli-dependent Fayet-Illiopoulos D-term, which will be
vanishing if the SUSY condition is preserved. A factor of $e^{4\Phi}$
originates from $\sqrt{\tilde{g}_{4}}$ in the 4D effective action with the
Einstein frame metric $g_{\mu\nu}=e^{-2\Phi}\tilde{g}_{\mu\nu}$. The term of
$A^{\mathbb{A}}_{1}B^{\mathbb{B}}_{2}\overline{A^{\mathbb{A}^{\prime}}_{1}}\overline{B^{\mathbb{B}^{\prime}}_{2}}$
including flux corrections arises from those proportional to
$[a_{1},a_{2}][a_{\overline{1}},a_{\overline{2}}]$,
$\displaystyle V_{F}\ni$
$\displaystyle~{}2\frac{e^{3\varphi}}{(\mathop{\mathrm{Vol}}(\mathbb{T}^{6}))^{2}}\,g^{1\overline{1}}g^{2\overline{2}}\biggl{[}1+\frac{1}{6}\Bigl{(}2m^{(1)}_{a}m^{(2)}_{a}+2m^{(1)}_{c}m^{(2)}_{c}+m^{(1)}_{a}m^{(2)}_{c}+m^{(1)}_{c}m^{(2)}_{a}\Bigr{)}\biggr{]}$
$\displaystyle~{}\times(\alpha^{(1)}_{ab})^{2}\times(\alpha^{(2)}_{bc})^{2}\times
A^{\mathbb{A}}_{1}B^{\mathbb{B}}_{2}\overline{A^{\mathbb{A}^{\prime}}_{1}}\overline{B^{\mathbb{B}^{\prime}}_{2}}\times\biggl{(}\int
d^{6}y\sqrt{g_{6}}\,\phi^{\mathbb{A},ab}_{1}\phi^{\mathbb{B},bc}_{2}\overline{\phi^{\mathbb{A}^{\prime},ab}_{1}}\overline{\phi^{\mathbb{B}^{\prime},bc}_{2}}\biggr{)}$
(3.18) $\displaystyle=$ $\displaystyle
A^{\mathbb{A}}_{1}B^{\mathbb{B}}_{2}\overline{A^{\mathbb{A}^{\prime}}_{1}}\overline{B^{\mathbb{B}^{\prime}}_{2}}\times\frac{2Z^{3}_{ca}}{\mathcal{Z}^{3}_{ca}}\frac{e^{3\varphi}}{(\mathop{\mathrm{Vol}}(\mathbb{T}^{6}))^{2}}g^{1\overline{1}}g^{2\overline{2}}(\alpha^{(1)}_{ab})^{2}(\alpha^{(2)}_{bc})^{2}\biggl{(}\int
d^{6}\sqrt{g_{6}}\,\phi^{\mathbb{A},ab}_{1}\phi^{\mathbb{B},bc}_{2}\overline{\phi^{\mathbb{A}^{\prime},ab}_{1}}\overline{\phi^{\mathbb{B}^{\prime},bc}_{2}}\biggr{)},$
(3.19)
where Eq. (3.14) is used, and
$(\alpha^{(1)}_{ab})^{2}\times(\alpha^{(2)}_{bc})^{2}$ comes from the
rescaling of $A_{1}^{\mathbb{A}}\to\alpha^{(1)}_{ab}A^{\mathbb{A}}_{1}$ and
$B^{\mathbb{B}}_{2}\to\alpha^{(2)}_{bc}B^{\mathbb{B}}_{2}$ for the SUGRA
basis. Since
$Z^{3}_{ca}=e^{2\Phi-\varphi}g^{3\overline{3}}(\alpha^{(3)}_{ca})^{2}$ and
$\mathop{\mathrm{Vol}}(\mathbb{T}^{6})=e^{-2\Phi+2\varphi}$, this potential is
also written as
$\displaystyle V_{F}\ni
A^{\mathbb{A}}_{1}B^{\mathbb{B}}_{2}\overline{A^{\mathbb{A}^{\prime}}_{1}}\overline{B^{\mathbb{B}^{\prime}}_{2}}\times\frac{e^{K^{(0)}}}{\mathcal{Z}^{3}_{ca}}\biggl{(}\sqrt{2}e^{-K^{(0)}/2}e^{3\Phi-\varphi}\frac{\alpha^{(1)}_{ab}\alpha^{(2)}_{bc}\alpha^{(3)}_{ca}}{\sqrt{g_{1\overline{1}}g_{2\overline{2}}g_{3\overline{3}}}}\biggr{)}^{2}\int
d^{6}y\sqrt{g_{6}}\phi^{\mathbb{A},ab}_{1}\phi^{\mathbb{B},bc}_{2}\overline{\phi^{\mathbb{A}^{\prime},ab}_{1}}\overline{\phi^{\mathbb{B}^{\prime},bc}_{2}}.$
(3.20)
Here, $e^{K^{(0)}}=1/(2^{7}st_{1}t_{2}t_{3}u_{1}u_{2}u_{3})$ and
$g^{i\overline{i}}=1/g_{i\overline{i}}$.
Before carrying out the integration of four zero mode functions, we introduce
a holomorphic Yukawa coupling $W_{\mathbb{A}\mathbb{B}\mathbb{C}}$ with an
integration of three zero mode functions, since the former integration is
written as the square of the absolute value of the latter one. As discussed in
Ref. [11], a holomorphic Yukawa coupling is expressed as
$\displaystyle W_{\mathbb{A}\mathbb{B}\mathbb{C}}\coloneqq$
$\displaystyle~{}\sqrt{2}e^{-K_{0}/2}\alpha^{(1)}_{ab}\alpha^{(2)}_{bc}\alpha^{(3)}_{ca}\frac{e^{3\Phi-\varphi}}{\sqrt{g_{1\overline{1}}g_{2\overline{2}}g_{3\overline{3}}}}\int
d^{6}y\sqrt{g_{6}}\,\phi^{\mathbb{A},ab}_{1}\phi^{\mathbb{B},bc}_{2}\phi^{\mathbb{C},ca}_{3}$
(3.21) $\displaystyle=$ $\displaystyle
2\prod_{r=1}^{3}W_{A^{(r)}B^{(r)}C^{(r)}},$ (3.22)
where holomorphic function of $W^{(r)}_{A^{(r)}B^{(r)}C^{(r)}}~{}(r=1,2,3)$ is
given by
$\displaystyle W_{A^{(1)}B^{(1)}C^{(1)}}\coloneqq$
$\displaystyle~{}\overline{\vartheta\begin{bmatrix}\frac{B^{(1)}|I^{(1)}_{ca}|-C^{(1)}|I^{(1)}_{bc}|+m^{(1)}I^{(1)}_{bc}I^{(1)}_{ca}}{|I^{(1)}_{ab}I^{(1)}_{bc}I^{(1)}_{ca}|}\\\
0\end{bmatrix}(0,i\overline{U_{1}}|I^{(1)}_{ab}I^{(1)}_{bc}I^{(1)}_{ca}|)},$
(3.23) $\displaystyle W_{A^{(2)}B^{(2)}C^{(2)}}\coloneqq$
$\displaystyle~{}\overline{\vartheta\begin{bmatrix}\frac{C^{(2)}|I^{(2)}_{ab}|-A^{(2)}|I^{(2)}_{ca}|+m^{(2)}|I^{(2)}_{ab}I^{(2)}_{ca}|}{|I^{(2)}_{ab}I^{(2)}_{bc}I^{(2)}_{ca}|}\\\
0\end{bmatrix}(0,i\overline{U_{2}}|I^{(2)}_{ab}I^{(2)}_{bc}I^{(2)}_{ca}|)},$
(3.24) $\displaystyle W_{A^{(3)}B^{(3)}C^{(3)}}\coloneqq$
$\displaystyle~{}\overline{\vartheta\begin{bmatrix}\frac{A^{(3)}|I^{(3)}_{bc}|-B^{(3)}|I^{(3)}_{ab}|+m^{(3)}|I^{(3)}_{ab}I^{(3)}_{bc}|}{|I^{(3)}_{ab}I^{(3)}_{bc}I^{(3)}_{ca}|}\\\
0\end{bmatrix}(0,i\overline{U_{3}}|I^{(3)}_{ab}I^{(3)}_{bc}I^{(3)}_{ca}|)},$
(3.25)
and
$\displaystyle A^{(1)}=B^{(1)}+C^{(1)}+m^{(1)}|I^{(1)}_{bc}|,\quad
m^{(1)}=0,1,\ldots,I^{(1)}_{ab}-1,$ $\displaystyle
B^{(2)}=A^{(2)}+C^{(2)}+m^{(2)}|I^{(2)}_{ca}|,\quad
m^{(2)}=0,1,\ldots,I^{(2)}_{bc}-1,$ (3.26) $\displaystyle
C^{(3)}=A^{(3)}+B^{(3)}+m^{(3)}|I^{(3)}_{ab}|,\quad
m^{(3)}=0,1,.\ldots,I^{(3)}_{ca}-1.$
It is noted that this coupling depends on the complex structure moduli $U_{i}$
via the argument of the theta function. The coefficient in Eq. (3.21) is
chosen such that the Yukawa coupling becomes a holomorphic function consistent
with the SUGRA formulation as noted already (see also Appendix B).
To evaluate the zero mode integral in the rhs of Eq. (3.20), we first rewrite
the integral as
$\displaystyle\int_{\mathbb{T}^{6}}d^{6}y\sqrt{g_{6}}\,\phi^{\mathbb{A},ab}_{1}\phi^{\mathbb{B},bc}_{2}\overline{\phi^{\mathbb{B}^{\prime},bc}}\overline{\phi^{\mathbb{A}^{\prime},ab}}$
$\displaystyle=\int_{\mathbb{T}^{6}}d^{6}y\sqrt{g_{6}}\,\phi^{\mathbb{A},ab}_{1}(y)\phi^{\mathbb{B},bc}_{2}(y)\int_{\mathbb{T}^{6}}d^{6}y^{\prime}\sqrt{g_{6}}\,\overline{\phi^{\mathbb{B}^{\prime},bc}}(y^{\prime})\overline{\phi^{\mathbb{A}^{\prime},ab}}(y^{\prime})\times\frac{1}{\sqrt{g_{6}}}\delta(y-y^{\prime})$
(3.27)
and use the following completeness relation [12]777The integration on the
third torus is straightforward even without the completeness relation. We
obtain the result of $|W_{A^{(3)}B^{(3)}C^{(3)}}|^{2}$ explicitly consistent
with the SUGRA formulation after the integration because both
$\phi^{\mathbb{A},ab}_{1}$ and $\phi^{\mathbb{B},bc}_{2}$ have the (almost)
antiholomorphic solution on the third torus. :
$\displaystyle\sum_{n\geq
0,{\mathbb{C}}}\Xi_{n}^{{\mathbb{C}},ca}(y)\overline{\Xi_{n}^{{\mathbb{C}},ca}(y^{\prime})}=\frac{1}{\sqrt{g_{6}}}\delta(y-y^{\prime}).$
(3.28)
Here, $\Xi_{n}^{\mathbb{C},ca}$ are the eigenfunctions of the Dirac equation
with the magnetic flux of $I^{(i)}_{ca}=M^{(i)}_{c}-M^{(i)}_{a}$ on each
torus, and $n$ denotes the label of the Landau level including the zero mode.
The degeneracy is given by $|I_{ca}|$. These functions are assumed to be
normalized as
$\displaystyle\int_{\mathbb{T}^{6}}d^{6}y\sqrt{g_{6}}\,\overline{\Xi_{m}^{{\mathbb{C}}^{\prime},ca}}\Xi_{n}^{{\mathbb{C}},ca}=\delta_{m,n}\delta_{{\mathbb{C}},{\mathbb{C}}^{\prime}}.$
(3.29)
Massive modes in Landau level are orthogonal to zero modes, so Eq. (3.27)
becomes
$\displaystyle\sum_{{\mathbb{C}}}\int_{\mathbb{T}^{6}}d^{6}y\sqrt{g_{6}}\,\phi^{\mathbb{A},ab}_{1}(y)\phi^{\mathbb{B},bc}_{2}(y)\phi^{\mathbb{C},ca}_{3}(y)\times\int_{\mathbb{T}^{6}}d^{6}y^{\prime}\sqrt{g_{6}}\,\overline{\phi^{\mathbb{A}^{\prime},ab}}(y^{\prime})\overline{\phi^{\mathbb{B}^{\prime},bc}}(y^{\prime})\overline{\phi^{\mathbb{C},ca}_{3}}(y^{\prime}).$
Thus, this is evaluated as
$\displaystyle\int_{\mathbb{T}^{6}}d^{6}y\sqrt{g_{6}}\,\phi^{\mathbb{A},ab}_{1}\phi^{\mathbb{B},bc}_{2}\overline{\phi^{\mathbb{B}^{\prime},bc}}\overline{\phi^{\mathbb{A}^{\prime},ab}}$
$\displaystyle=\frac{1}{2^{2}}\frac{\sqrt{2^{3}u_{1}u_{2}u_{3}}}{\mathop{\mathrm{Vol}}(\mathbb{T}^{6})}\frac{\sqrt{I_{ab}I_{bc}I_{ca}}}{I^{(1)}_{ab}I^{(2)}_{bc}I^{(3)}_{ca}}\sum_{\mathbb{C}}W_{\mathbb{A}\mathbb{B}\mathbb{C}}\overline{W_{\mathbb{A}^{\prime}\mathbb{B}^{\prime}\mathbb{C}}}$
$\displaystyle=\biggl{(}\sqrt{2}e^{-K^{(0)}/2}e^{3\Phi-\varphi}\frac{\alpha^{(1)}_{ab}\alpha^{(2)}_{bc}\alpha^{(3)}_{ca}}{\sqrt{g_{1\overline{1}}g_{2\overline{2}}g_{3\overline{3}}}}\biggr{)}^{-2}\sum_{\mathbb{C}}W_{\mathbb{A}\mathbb{B}\mathbb{C}}\overline{W_{\mathbb{A}^{\prime}\mathbb{B}^{\prime}\mathbb{C}}},$
(3.30)
where flavor labels including $\mathbb{A}^{\prime}$ and $\mathbb{B}^{\prime}$
satisfy Eq. (3.26) and a factor $1/2^{2}$ comes from the normalization 2 of
$W_{\mathbb{A}\mathbb{B}\mathbb{C}}$ in Eq. (3.22). Using this result, Eq.
(3.20) becomes
$\displaystyle V_{F}\ni\frac{e^{K^{(0)}}}{\mathcal{Z}^{3}_{ca}}\times
A^{\mathbb{A}}_{1}B^{\mathbb{B}}_{2}\overline{A^{\mathbb{A}^{\prime}}_{1}}\overline{B^{\mathbb{B}^{\prime}}_{2}}\times\sum_{\mathbb{C}}W_{\mathbb{A}\mathbb{B}\mathbb{C}}\overline{W_{\mathbb{A}^{\prime}\mathbb{B}^{\prime}\mathbb{C}}}.$
(3.31)
Suppose that the superpotential is given by
$\displaystyle
W=\sum_{\mathbb{A},\mathbb{B},\mathbb{C}}W_{\mathbb{ABC}}A^{\mathbb{A}}_{1}B^{\mathbb{B}}_{2}C^{\mathbb{C}}_{3},$
(3.32)
where $W_{\mathbb{A}\mathbb{B}\mathbb{C}}$ is the holomorphic Yukawa coupling
defined in Eq. (3.21). This superpotential is discussed also in Refs. [11,
24]. With this superpotential, the above scalar potential turns out to be
written based on the SUGRA formulation:
$\displaystyle V_{F}$
$\displaystyle\ni\frac{e^{K^{(0)}}}{\mathcal{Z}^{3}_{ca}}\sum_{\mathbb{C}}\bigl{(}\partial_{C_{3}^{\mathbb{C}}}W)\overline{\bigl{(}\partial_{C_{3}^{\mathbb{C}}}W\bigr{)}}$
(3.33) $\displaystyle\ni\frac{e^{K^{(0)}}}{\mathcal{Z}^{3}_{ca}}\times
A^{\mathbb{A}}_{1}B^{\mathbb{B}}_{2}\overline{A^{\mathbb{A}^{\prime}}_{1}}\overline{B^{\mathbb{B}^{\prime}}_{2}}\times\sum_{\mathbb{C}}W_{\mathbb{ABC}}\overline{W_{\mathbb{A}^{\prime}\mathbb{B}^{\prime}\mathbb{C}}}.$
(3.34)
Thus, the Kähler metric derived from the NDBI action is consistent with the
scalar potential based on the SUGRA formulation.
## 4 Summary and discussions
4D $\mathcal{N}=1$ supersymmetric effective action is systematically derived
from the 10D NDBI action on a six-dimensional magnetized torus. The 10D action
is expanded in the series of fluxes up to ${\cal O}(F^{4})$ with a symmetrized
trace prescription. The eigenfunctions of the Dirac equations on the torus are
explicitly written with using the Jacobi theta function and contribute to the
4D effective action as an integrand in the extra dimension. We calculated the
flux corrections systematically to the matter Kähler metrics, the gauge
couplings and the holomorphic superpotential via scalar quartic couplings in
the F-term potential. Our finding is a new flux correction appearing in the
Kähler metrics of Eqs. (3.16) and (3.17) in a flavor-independent way. The new
matter Kähler metric depends on the fluxes, 4D dilaton, Kähler moduli, and
complex structure moduli and will be always positive definite if an induced RR
charge of the D-branes on which matters are living are positive. A
contribution of the new matter Kähler metric to the F-term scalar potential
turns out to be consistent with the SUGRA formula. The gauge coupling in Eq.
(3.9) and the holomorphic superpotential in Eq. (3.32) are consistent with the
previous works.
Phenomenologically, matter Kähler metrics contribute to physical Yukawa
couplings in a flavor-independent way. If fluxes on a stack of D-branes on
which quarks in the Standard Model are living are different from those on
which leptons are living, differences in their Kähler metrics will be induced
and could explain the mass difference between quarks and leptons. If fluxes
are common both in the quark sector and lepton one as in the Pati-Salam like
D-brane models, such an explanation will be difficult in toroidal
compactifications. As for SUSY breaking effects to chiral matters, even if
vacuum expectation values of F components of $T_{i}$ and $U_{i}$ are much
smaller than that of $S$, the flux corrections depending on $S$ in the Kähler
metrics can generate sizable soft terms in comparison with cases without the
corrections [43].
In this work, we consider the SUSY condition of (2.29). However, if the
configuration of D9-branes is supersymmetric, this condition will be modified
as
$\displaystyle\sum_{i}\frac{M^{(i)}_{\alpha}}{\mathcal{A}^{(i)}}=\prod_{j=1}^{3}\frac{M^{(j)}_{\alpha}}{\mathcal{A}^{(j)}},\quad\alpha=a,b,c.$
(4.1)
It will be worthwhile studying the D-term potential including the Fayet-
Illiopoulos term. Further, imposing this SUSY condition on the D9-brane action
requires higher order corrections to the Lagrangian. For instance, ${\cal
O}(F^{6})$ terms are required for the SUSY condition when we focus on ${\cal
O}(F^{4})$ terms as in this paper. We could identify a part of ${\cal
O}(F^{6})$ then. To include higher order interactions with derivatives can be
important to study swampland conjectures with effective field theories.
## Acknowledgments
This work is supported in part by JSPS Grant-in-Aid for Scientific Research
KAKENHI Grant No. JP20J11901 (Y.A.) and MEXT KAKENHI Grant No. JP19H04605
(T.K.).
## Appendix A Details of the calculations
In this section, we show the details of the calculations of the NDBI action
and use the action in Eq. (2.10) and the metric ansatz in Eq. (2.8). Using
these, we read the gauge couplings, matter kinetic terms, and quartic terms of
the scalar potential for the fluctuations in Eqs. (2.39) and (2.40) around the
background fluxes in Eq. (2.24). Then, the 10D field strength in Eq. (2.10) is
expressed as
$\displaystyle F_{MN}=\hat{F}_{MN}+f_{MN},$ (A.1)
where $\hat{F}_{MN}$ denotes the background flux with the background gauge
field $\hat{A}_{z_{i}}$ and the fluctuation $f_{MN}$ is given by
$\displaystyle f_{\mu\nu}=\partial_{\mu}a_{\nu}-\partial_{\nu}a_{\mu},\quad
f_{\mu
i}=\partial_{\mu}a_{z_{i}}+i[a_{\mu},a_{z_{i}}]+i[a_{\mu},\hat{A}_{z_{i}}],$
(A.2) $\displaystyle
f_{ij}=\partial_{z_{i}}a_{z_{j}}+i[\hat{A}_{z_{i}},a_{z_{j}}]-\partial_{z_{j}}a_{z_{i}}-i[\hat{A}_{z_{j}},a_{z_{i}}]+i[a_{z_{i}},a_{z_{j}}],$
(A.3) $\displaystyle
f_{i\overline{j}}=\partial_{z_{i}}a_{\overline{z}_{j}}+i[\hat{A}_{z_{i}},a_{\overline{z}_{j}}]-\partial_{\overline{z}_{j}}a_{z_{i}}-i[\hat{A}_{\overline{z}_{j}},a_{z_{i}}]+i[a_{z_{i}},a_{\overline{z}_{j}}].$
(A.4)
Here, $\hat{A}_{z_{i}}$ denotes the background gauge field, and $a_{M}$
denotes the fluctuation. In addition, let us introduce the following quantity
for simplicity:
$\displaystyle\hat{G}_{j}=g^{j\overline{j}}\hat{F}_{j\overline{j}}=i\frac{2u_{j}}{\ell_{s}^{2}\mathcal{A}^{(j)}}\frac{\pi}{u_{j}}M^{(i)}=\frac{i}{2\pi\alpha^{\prime}}m^{(j)};\quad
m^{(j)}\coloneqq\frac{M^{(j)}}{\mathcal{A}^{(j)}},$ (A.5)
where $j=1,2,3$, the summation with respect to $j$ is not taken, and $M^{(j)}$
is given by Eq. (2.24). The SUSY condition (2.27) is rewritten as the
condition of $\hat{G}_{j}$ as
$\displaystyle\hat{G}_{1}+\hat{G}_{2}+\hat{G}_{3}=0.$ (A.6)
In the following parts, we focus just on $f_{\mu\nu}$,
$\partial_{\mu}a_{z_{i}}\in f_{\mu i}$, $i[a_{z_{i}},a_{z_{j}}]\in f_{ij}$ and
$i[a_{z_{i}},a_{\overline{z}_{j}}]\in f_{i\overline{j}}$ to calculate the
effective action. Derivative terms of
$D_{z_{i}}a_{z_{j}}=\partial_{z_{i}}a_{z_{j}}+i[\hat{A}_{z_{i}},a_{z_{j}}]~{}(i\neq
j)$ and
$D_{\overline{z}_{i}}a_{z_{i}}=\partial_{\overline{z}_{i}}a_{z_{i}}+i[\hat{A}_{\overline{z}_{i}},a_{z_{i}}]$
are vanishing for zero modes [11, 24]. Terms proportional to
$D_{z_{i}}a_{z_{i}}$ and $D_{\overline{z}_{i}}a_{z_{j}}~{}(i\neq j)$ for zero
modes will contribute to 4D action as a moduli-dependent Fayet-Illiopoulos
D-term, which will be vanishing if the SUSY condition is preserved.
### A.1 Gauge couplings
The gauge coupling is read from the coefficient of the gauge kinetic term. Due
to the index structure of Eq. (2.10), only its third and forth terms in
$\mathcal{O}(F^{4})$ contribute to the gauge kinetic terms, and then the
expansion of the NDBI action is calculated as
$\displaystyle 2\pi\mathcal{L}_{\text{NDBI}}\ni$ $\displaystyle-\int
d^{6}y\sqrt{g_{6}}\,\frac{e^{4\Phi-\varphi}}{4}e^{-4\Phi}\biggl{[}\mathop{\mathrm{tr}}\nolimits
f_{\mu\nu}f^{\mu\nu}$
$\displaystyle~{}~{}~{}~{}~{}~{}~{}~{}~{}~{}+2\times\frac{(2\pi\alpha^{\prime})^{2}}{8}\frac{1}{3}\mathop{\mathrm{tr}}\nolimits\Bigl{(}2\hat{F}_{j\overline{k}}\hat{F}^{j\overline{k}}f_{\mu\nu}f^{\mu\nu}+\hat{F}_{j\overline{k}}f_{\mu\nu}\hat{F}^{j\overline{k}}f^{\mu\nu}\Bigr{)}\biggr{]}$
(A.7) $\displaystyle=$ $\displaystyle-\int
d^{6}y\sqrt{g_{6}}\,\frac{e^{-\varphi}}{4}\biggl{[}\mathop{\mathrm{tr}}\nolimits
f_{\mu\nu}f^{\mu\nu}-\frac{(2\pi\alpha^{\prime})^{2}}{6}\sum_{k}\mathop{\mathrm{tr}}\nolimits\Bigl{(}2\hat{G}_{k}\hat{G}_{k}f_{\mu\nu}f^{\mu\nu}+\hat{G}_{k}f_{\mu\nu}\hat{G}_{k}f^{\mu\nu}\Bigr{)}\biggr{]},$
(A.8)
where we used the fact that the background flux is diagonal,
$\hat{F}_{j\overline{k}}=\hat{F}_{k\overline{k}}\delta_{kj}$. Since these
fluxes are assumed to be Abelian, the Lagrangian reduces to
$\displaystyle 2\pi\mathcal{L}_{\text{NDBI}}$ $\displaystyle\ni-\int
d^{6}y\sqrt{g_{6}}\frac{e^{-\varphi}}{4}\mathop{\mathrm{tr}}\nolimits\biggl{[}\Bigl{(}1-\frac{(2\pi\alpha^{\prime})^{2}}{2}\sum_{k}\hat{G}_{k}\hat{G}_{k}\Bigr{)}f_{\mu\nu}f^{\mu\nu}\biggr{]}$
(A.9)
$\displaystyle=-\frac{s}{4}\mathop{\mathrm{tr}}\nolimits\biggl{[}\Bigl{(}1+\frac{1}{2}\sum_{k}(m^{(k)})^{2}\Bigr{)}f_{\mu\nu}f^{\mu\nu}\biggr{]},$
(A.10)
where we used $\int d^{6}y\sqrt{g_{6}}e^{-\varphi}=s$.
### A.2 Kinetic terms
The scalar kinetic terms come from those proportional to $f_{\mu
i}f_{\nu\overline{i}}g^{\mu\nu}g^{i\overline{i}}$. Such terms including flux
corrections are given by
$\displaystyle 2\pi\mathcal{L}_{\text{NDBI}}\ni$ $\displaystyle-\int
d^{6}y\sqrt{g_{6}}\,\frac{e^{2\Phi-\varphi}}{4}\biggl{[}4\sum_{i}\mathop{\mathrm{tr}}\nolimits
f_{\mu i}f_{\nu\overline{i}}g^{\mu\nu}g^{i\overline{i}}$
$\displaystyle~{}-\frac{(2\pi\alpha^{\prime})^{2}}{3}Z_{K1}-\frac{(2\pi\alpha^{\prime})^{2}}{6}Z_{K_{2}}+\frac{(2\pi\alpha^{\prime})^{2}}{12}Z_{K3}+\frac{(2\pi\alpha^{\prime})^{2}}{24}Z_{K_{4}}\biggr{]},$
(A.11)
where
$\displaystyle Z_{K1}=$
$\displaystyle-\sum_{i}g^{i\overline{i}}g^{\mu\nu}\mathop{\mathrm{tr}}\nolimits\bigl{[}2\hat{G}_{i}\hat{G}_{i}(f_{\mu
i}f_{\nu\overline{i}}+f_{\mu\overline{i}}f_{\nu i})+4\hat{G}_{i}f_{\mu
i}\hat{G}_{i}f_{\nu\overline{i}}\bigr{]},$ (A.12) $\displaystyle Z_{K2}=$
$\displaystyle-4\sum_{i}g^{i\overline{i}}g^{\mu\nu}\mathop{\mathrm{tr}}\nolimits\bigl{[}\hat{G}_{i}\hat{G}_{i}(f_{\mu
i}f_{\nu\overline{i}}+f_{\mu\overline{i}}f_{\nu i})\bigr{]},$ (A.13)
$\displaystyle Z_{K3}=$
$\displaystyle-8\sum_{i}\sum_{k}g^{i\overline{i}}g^{\mu\nu}\mathop{\mathrm{tr}}\nolimits\bigl{[}\hat{G}_{k}\hat{G}_{k}(f_{\mu
i}f_{\nu\overline{i}}+f_{\mu\overline{i}}f_{\nu i})\bigr{]},$ (A.14)
$\displaystyle Z_{K4}=$
$\displaystyle-16\sum_{i}\sum_{k}g^{i\overline{i}}g^{\mu\nu}\mathop{\mathrm{tr}}\nolimits\bigl{[}\hat{G}_{k}f_{\mu
i}\hat{G}_{k}f_{\nu\overline{i}}\bigr{]}.$ (A.15)
#### A.2.1 Kähler metric of charged matters
With the background and the fluctuations substituted into the above equations,
it turns out that the Kähler metric of chiral matter $A_{i}$ is given by
$\displaystyle 2\pi\mathcal{L}_{\text{NDBI}}\ni$
$\displaystyle-\frac{2u_{i}}{t_{i}\mathop{\mathrm{Vol}}(\mathbb{T}^{6})}\biggl{[}1-\frac{t_{i}}{6s}\bigl{(}2M^{(j)}_{a}M^{(k)}_{a}+2M^{(j)}_{b}M^{(k)}_{b}+M^{(j)}_{a}M^{(k)}_{b}+M^{(j)}_{b}M^{(k)}_{a}\bigr{)}\biggr{]}|\partial_{\mu}A_{i}|^{2}$
(A.16)
with $i\neq j\neq k\neq i$ and $i=1$ for a fixed choice of intersection number
in this paper. The Kähler metrics for the other fields are systematically
given by the cyclic replacement of the label of the tori and gauge groups.
#### A.2.2 Kähler metric of open string moduli
A diagonal part of gauge fluctuation $a_{i}$ is open string modulus
$a_{i}^{b}\coloneqq a_{i}^{bb}$. Its Kähler metric can be read from Eq.
(A.11),
$\displaystyle 2\pi\mathcal{L}_{\text{NDBI}}$
$\displaystyle\ni-\frac{2}{(2t_{i})(2u_{i})}\biggl{[}1-\frac{t_{i}}{s}M^{(j)}_{b}M^{(k)}_{b}\biggr{]}|\partial_{\mu}a^{b}_{i}|^{2},$
(A.17) $\displaystyle{\cal Z}_{bb}^{i}$
$\displaystyle=\frac{2}{(T_{i}+\overline{T}_{i})(U_{i}+\overline{U}_{i})}\biggl{[}1-\frac{(T_{i}+\overline{T}_{i})}{(S+\overline{S})}M^{(j)}_{b}M^{(k)}_{b}\biggr{]}.$
(A.18)
It is noted that a flux correction in this result is obtained also by
replacing $M_{a}$ with $M_{b}$. This matches the result discussed in Refs.
[53, 41, 42, 43]. The positivity condition on the kinetic term of the open
string modulus is same as that of the gauge coupling.
### A.3 Quartic terms
Scalar quartic terms originate from those including
$g^{i\overline{i}}g^{j\overline{j}}\bigl{(}f_{ij}f_{\overline{i}\overline{j}}+f_{i\overline{j}}f_{\overline{i}j}\bigr{)}$,
where $f_{ij}\coloneqq i[a_{i},a_{j}]$ and $f_{i\overline{j}}\coloneqq
i[a_{i},a_{\overline{j}}]$. We can read such terms from NDBI action,
$\displaystyle 2\pi\mathcal{L}_{\text{NDBI}}\ni$ $\displaystyle-\int
d^{6}y\sqrt{g_{6}}\,\frac{e^{4\Phi-\varphi}}{4}\biggl{[}\sum_{i,j}2g^{i\overline{i}}g^{j\overline{j}}\bigl{(}\mathop{\mathrm{tr}}\nolimits
f_{ij}f_{\overline{i}\overline{j}}+\mathop{\mathrm{tr}}\nolimits
f_{i\overline{j}}f_{\overline{i}j}\bigr{)}$
$\displaystyle~{}-\frac{(2\pi\alpha^{\prime})^{2}}{3}K_{1}-\frac{(2\pi\alpha^{\prime})^{2}}{6}K_{2}+\frac{(2\pi\alpha^{\prime})^{2}}{12}K_{3}+\frac{(2\pi\alpha^{\prime})^{2}}{24}K_{4}\biggr{]},$
(A.19)
and $K_{p=1,2,3,4}$ are decomposed to two parts: one is $K_{p,F}$ containing
$f_{ij}f_{\overline{i}\overline{j}}$ and the other is $K_{p,D}$ containing
$f_{i\overline{j}}f_{\overline{i}j}$. Explicitly they are given by
$\displaystyle K_{1,F}=$
$\displaystyle\sum_{i,j}g^{i\overline{i}}g^{j\overline{j}}\mathop{\mathrm{tr}}\nolimits\Bigl{\\{}2\hat{G}_{i}\hat{G}_{i}(f_{ij}f_{\overline{ji}}+f_{\overline{ij}}f_{ji})+4\hat{G}_{i}f_{ij}\hat{G}_{i}f_{\overline{ji}}$
$\displaystyle~{}-(\hat{G}_{i}\hat{G}_{j}+\hat{G}_{j}\hat{G}_{i})(f_{ij}f_{\overline{ji}}+f_{\overline{ij}}f_{ji})\Bigr{\\}},$
(A.20) $\displaystyle K_{1,D}=$
$\displaystyle\sum_{i,j}g^{i\overline{i}}g^{j\overline{j}}\mathop{\mathrm{tr}}\nolimits\Bigl{\\{}2\hat{G}_{i}\hat{G}_{i}(f_{j\overline{i}}f_{i\overline{j}}+f_{\overline{j}i}f_{\overline{i}j})+4\hat{G}_{i}f_{i\overline{j}}\hat{G}_{i}f_{j\overline{i}}$
$\displaystyle~{}+(\hat{G}_{i}\hat{G}_{j}+\hat{G}_{j}\hat{G}_{i})(f_{i\overline{j}}f_{j\overline{i}}+f_{\overline{i}j}f_{\overline{j}i})\Bigr{\\}},$
(A.21) $\displaystyle K_{2,F}=$
$\displaystyle\sum_{i,j}g^{i\overline{i}}g^{j\overline{j}}\mathop{\mathrm{tr}}\nolimits\Bigl{\\{}4\hat{G}_{i}\hat{G}_{i}(f_{ij}f_{\overline{ji}}+f_{\overline{ij}}f_{ji})-4\hat{G}_{i}f_{ij}\hat{G}_{j}f_{\overline{ji}}\Bigr{\\}},$
(A.22) $\displaystyle K_{2,D}=$
$\displaystyle\sum_{i,j}g^{i\overline{i}}g^{j\overline{j}}\mathop{\mathrm{tr}}\nolimits\Bigl{\\{}4\hat{G}_{i}\hat{G}_{i}(f_{i\overline{j}}f_{j\overline{i}}+f_{\overline{i}j}f_{\overline{j}i})+2(\hat{G}_{i}f_{i\overline{j}}\hat{G}_{j}f_{j\overline{i}}+\hat{G}_{i}f_{\overline{i}j}\hat{G}_{j}f_{\overline{j}i})\Bigr{\\}},$
(A.23) $\displaystyle K_{3,F}=$
$\displaystyle\sum_{i,j}g^{i\overline{i}}g^{j\overline{j}}\mathop{\mathrm{tr}}\nolimits\Bigl{\\{}4\bigl{(}\sum_{k}\hat{G}_{k}\hat{G}_{k}\bigr{)}(f_{ij}f_{\overline{ji}}+f_{\overline{ij}}f_{ji})\Bigr{\\}},$
(A.24) $\displaystyle K_{3,D}=$
$\displaystyle\sum_{i,j}g^{i\overline{i}}g^{j\overline{j}}\mathop{\mathrm{tr}}\nolimits\Bigl{\\{}4\bigl{(}\sum_{k}\hat{G}_{k}\hat{G}_{k}\bigr{)}(f_{i\overline{j}}f_{j\overline{i}}+f_{\overline{i}j}f_{\overline{j}i})$
$\displaystyle~{}+4\bigl{(}\hat{G}_{i}f_{i\overline{i}}\hat{G}_{j}f_{j\overline{j}}+\hat{G}_{i}\hat{G}_{j}f_{j\overline{j}}f_{i\overline{i}}+f_{i\overline{i}}\hat{G}_{i}f_{j\overline{j}}\hat{G}_{j}+\hat{G}_{i}f_{i\overline{i}}f_{j\overline{j}}\hat{G}_{j}\bigr{)}\Bigr{\\}}$
(A.25) $\displaystyle K_{4,F}=$
$\displaystyle\sum_{i,j}8g^{i\overline{i}}g^{j\overline{j}}\mathop{\mathrm{tr}}\nolimits\Bigl{\\{}\sum_{k}\hat{G}_{k}f_{ij}\hat{G}_{k}f_{\overline{ji}}\Bigr{\\}},$
(A.26) $\displaystyle K_{4,D}=$
$\displaystyle\sum_{i,j}g^{i\overline{i}}g^{j\overline{j}}\mathop{\mathrm{tr}}\nolimits\Bigr{\\{}8\sum_{k}\hat{G}_{k}f_{i\overline{j}}\hat{G}_{k}f_{j\overline{i}}+4\bigl{(}\hat{G}_{i}\hat{G}_{j}f_{i\overline{i}}f_{j\overline{j}}$
$\displaystyle~{}+\hat{G}_{i}f_{j\overline{j}}f_{i\overline{i}}\hat{G}_{j}+f_{i\overline{i}}\hat{G}_{j}\hat{G}_{i}f_{j\overline{j}}+f_{i\overline{i}}f_{j\overline{j}}\hat{G}_{i}\hat{G}_{j}\bigr{)}\Bigr{\\}}.$
(A.27)
If we want to get the specific quartic coupling such as
$A^{\mathbb{A}}_{1}B^{\mathbb{B}}_{2}\overline{B^{\mathbb{B}^{\prime}}_{2}}\overline{A^{\mathbb{A}^{\prime}}_{1}}$,
one needs to choose a term with a fixed index like
$f_{12}f_{\overline{1}\overline{2}}$.
#### A.3.1 F-term potential
The terms containing $f_{ij}~{}(i\neq j)$ contribute to the scalar F-term
potential. When the background fluxes satisfy the SUSY condition of Eq. (2.27)
or (A.6), we can show that $K_{p,D}~{}(p=1,2,3,4)$ does not include
$f_{i\overline{j}}~{}(i\neq j)$ and hence does not contribute to the F-term
scalar potential. In the leading contribution in the flux expansion, however,
$\mathop{\mathrm{tr}}\nolimits[a_{i},a_{\overline{j}}][a_{j},a_{\overline{i}}]$
is shown to have
$\mathop{\mathrm{tr}}\nolimits[a_{i},a_{j}][a_{\overline{i}},a_{\overline{j}}]$
contributing to the F-term potential through the Jacobi identity as [54]
$\displaystyle\mathop{\mathrm{tr}}\nolimits[a_{i},a_{\overline{j}}][a_{j},a_{\overline{i}}]+\mathop{\mathrm{tr}}\nolimits[a_{i},a_{\overline{i}}][a_{j},a_{\overline{j}}]+\mathop{\mathrm{tr}}\nolimits[a_{i},a_{j}][a_{\overline{i}},a_{\overline{j}}]=0.$
(A.28)
Then, the F-term potential from NDBI action is given by
$\displaystyle 2\pi\mathcal{L}_{\text{NDBI}}\ni$
$\displaystyle~{}-\frac{1}{4}\int
d^{6}y\sqrt{g_{6}}\,e^{4\Phi-\varphi}\sum_{i<j}g^{i\overline{i}}g^{j\overline{j}}\mathop{\mathrm{tr}}\nolimits\biggl{\\{}8f_{ij}f_{\overline{i}\overline{j}}-\frac{4(2\alpha^{\prime})^{2}}{3}\Bigl{[}\hat{G}_{i}f_{ij}\hat{G}_{j}f_{\overline{i}\overline{j}}+\hat{G}_{j}f_{ij}\hat{G}_{i}f_{\overline{i}\overline{j}}$
$\displaystyle~{}+(\hat{G}_{i}\hat{G}_{j}+\hat{G}_{j}\hat{G}_{i})(f_{ij}f_{\overline{i}\overline{j}}+f_{\overline{i}\overline{j}}f_{ij})\Bigr{]}\biggr{\\}}.$
(A.29)
Thus, we can get Eq. (3.19) by substituting the flux background and
fluctuations into this Lagrangian.
## Appendix B Comments on the Yukawa type superpotential
Here, we show that a factor 2 in Eq. (3.22) is consistent with the SUGRA
formulation. Let us consider the following Yukawa type superpotential with
introduction of a coefficient $w$:
$\displaystyle
W_{w}=w{\bm{\vartheta}_{\mathbb{A}\mathbb{B}\mathbb{C}}}A_{1}^{\mathbb{A}}B_{2}^{\mathbb{B}}C_{3}^{\mathbb{C}}=\frac{w}{\sqrt{2}}\frac{e^{-K_{0}/2}\alpha^{(1)}_{ab}\alpha^{(2)}_{bc}\alpha^{(3)}_{ca}e^{3\Phi-\varphi}}{\sqrt{g_{1\overline{1}}g_{2\overline{2}}g_{3\overline{3}}}}\int
d^{6}\sqrt{g_{6}}\phi^{\mathbb{A},ab}_{1}\phi^{\mathbb{B},bc}_{2}\phi^{\mathbb{C},ca}_{3}A^{\mathbb{A}}B^{\mathbb{B}}C^{\mathbb{C}},$
(B.1)
where
$\displaystyle{\bm{\vartheta}_{\mathbb{A}\mathbb{B}\mathbb{C}}}\coloneqq\prod_{r=1}^{3}W_{A^{(r)}B^{(r)}C^{(r)}}.$
(B.2)
With this superpotential, the scalar potential of
$|A^{\mathbb{A}}_{1}B^{\mathbb{B}}_{2}|^{2}$ derived from the NDBI action is
expressed as
$\displaystyle
A^{\mathbb{A}}_{1}B^{\mathbb{B}}_{2}\overline{A^{\mathbb{A}^{\prime}}_{1}}\overline{B^{\mathbb{B}^{\prime}}_{2}}\times\frac{2}{\mathcal{Z}^{3}_{ca}}e^{K_{0}}\biggl{(}\frac{e^{-K_{0}/2}\alpha^{(1)}_{ab}\alpha^{(2)}_{bc}\alpha^{(3)}_{ca}e^{3\Phi-\varphi}}{\sqrt{g_{1\overline{1}}g_{2\overline{2}}g_{3\overline{3}}}}\biggr{)}^{2}\int
d^{6}y\sqrt{g_{6}}\phi^{\mathbb{A},ab}_{1}\phi^{\mathbb{B},bc}_{2}\overline{\phi^{\mathbb{B}^{\prime},bc}_{2}}\overline{\phi^{\mathbb{A}^{\prime},ab}_{1}}$
(B.3) $\displaystyle\in$
$\displaystyle\biggl{(}\frac{2}{w}\biggr{)}^{2}\frac{1}{\mathcal{Z}^{3}_{ca}}e^{K_{0}}|\partial_{C_{3}}W_{w}|^{2},$
(B.4)
which implies that $w=2$ makes this SUGRA potential be equal to Eq. (3.20)
derived from NDBI action.
## References
* [1] C. Bachas, A Way to break supersymmetry, arXiv:hep-th/9503030.
* [2] R. Blumenhagen, L. Goerlich, B. Kors, and D. Lust, Noncommutative compactifications of type I strings on tori with magnetic background flux, JHEP 10 (2000) 006 [arXiv:hep-th/0007024].
* [3] C. Angelantonj, I. Antoniadis, E. Dudas, and A. Sagnotti, Type I strings on magnetized orbifolds and brane transmutation, Phys. Lett. B 489 (2000) 223–232 [arXiv:hep-th/0007090].
* [4] R. Blumenhagen, B. Kors, and D. Lust, Type I strings with F flux and B flux, JHEP 02 (2001) 030 [arXiv:hep-th/0012156].
* [5] H. Abe, T. Kobayashi, and H. Ohki, Magnetized orbifold models, JHEP 09 (2008) 043 [arXiv:0806.4748 [hep-th]].
* [6] T.-H. Abe, Y. Fujimoto, T. Kobayashi, T. Miura, K. Nishiwaki, and M. Sakamoto, $Z_{N}$ twisted orbifold models with magnetic flux, JHEP 01 (2014) 065 [arXiv:1309.4925 [hep-th]].
* [7] T.-h. Abe, Y. Fujimoto, T. Kobayashi, T. Miura, K. Nishiwaki, and M. Sakamoto, Operator analysis of physical states on magnetized $T^{2}/Z_{N}$ orbifolds, Nucl. Phys. B 890 (2014) 442–480 [arXiv:1409.5421 [hep-th]].
* [8] H. Abe, K.-S. Choi, T. Kobayashi, and H. Ohki, Three generation magnetized orbifold models, Nucl. Phys. B 814 (2009) 265–292 [arXiv:0812.3534 [hep-th]].
* [9] T.-h. Abe, Y. Fujimoto, T. Kobayashi, T. Miura, K. Nishiwaki, M. Sakamoto, and Y. Tatsuta, Classification of three-generation models on magnetized orbifolds, Nucl. Phys. B 894 (2015) 374–406 [arXiv:1501.02787 [hep-ph]].
* [10] K. Hoshiya, S. Kikuchi, T. Kobayashi, Y. Ogawa, and H. Uchida, Classification of three-generation models by orbifolding magnetized $T^{2}\times T^{2}$, PTEP 2021 no. 3, (2021) 033B05 [arXiv:2012.00751 [hep-th]].
* [11] D. Cremades, L. E. Ibanez, and F. Marchesano, Computing Yukawa couplings from magnetized extra dimensions, JHEP 05 (2004) 079 [arXiv:hep-th/0404229].
* [12] H. Abe, K.-S. Choi, T. Kobayashi, and H. Ohki, Higher Order Couplings in Magnetized Brane Models, JHEP 06 (2009) 080 [arXiv:0903.3800 [hep-th]].
* [13] H. Abe, T. Kobayashi, H. Ohki, A. Oikawa, and K. Sumita, Phenomenological aspects of 10D SYM theory with magnetized extra dimensions, Nucl. Phys. B 870 (2013) 30–54 [arXiv:1211.4317 [hep-ph]].
* [14] H. Abe, T. Kobayashi, K. Sumita, and Y. Tatsuta, Gaussian Froggatt-Nielsen mechanism on magnetized orbifolds, Phys. Rev. D 90 no. 10, (2014) 105006 [arXiv:1405.5012 [hep-ph]].
* [15] Y. Fujimoto, T. Kobayashi, K. Nishiwaki, M. Sakamoto, and Y. Tatsuta, Comprehensive analysis of Yukawa hierarchies on $T^{2}/Z_{N}$ with magnetic fluxes, Phys. Rev. D 94 no. 3, (2016) 035031 [arXiv:1605.00140 [hep-ph]].
* [16] K. Hoshiya, S. Kikuchi, T. Kobayashi, K. Nasu, H. Uchida, and S. Uemura, Majorana neutrino masses by D-brane instanton effects in magnetized orbifold models, arXiv:2103.07147 [hep-th].
* [17] T. Kobayashi, S. Nagamoto, S. Takada, S. Tamba, and T. H. Tatsuishi, Modular symmetry and non-Abelian discrete flavor symmetries in string compactification, Phys. Rev. D 97 no. 11, (2018) 116002 [arXiv:1804.06644 [hep-th]].
* [18] T. Kobayashi and S. Tamba, Modular forms of finite modular subgroups from magnetized D-brane models, Phys. Rev. D 99 no. 4, (2019) 046001 [arXiv:1811.11384 [hep-th]].
* [19] H. Ohki, S. Uemura, and R. Watanabe, Modular flavor symmetry on a magnetized torus, Phys. Rev. D 102 no. 8, (2020) 085008 [arXiv:2003.04174 [hep-th]].
* [20] S. Kikuchi, T. Kobayashi, S. Takada, T. H. Tatsuishi, and H. Uchida, Revisiting modular symmetry in magnetized torus and orbifold compactifications, Phys. Rev. D 102 no. 10, (2020) 105010 [arXiv:2005.12642 [hep-th]].
* [21] S. Kikuchi, T. Kobayashi, and H. Uchida, Modular flavor symmetries of three-generation modes on magnetized toroidal orbifolds, Phys. Rev. D 104 no. 6, (2021) 065008 [arXiv:2101.00826 [hep-th]].
* [22] Y. Almumin, M.-C. Chen, V. Knapp-Pérez, S. Ramos-Sánchez, M. Ratz, and S. Shukla, Metaplectic Flavor Symmetries from Magnetized Tori, JHEP 05 (2021) 078 [arXiv:2102.11286 [hep-th]].
* [23] Y. Tatsuta, Modular symmetry and zeros in magnetic compactifications, JHEP 10 (2021) 054 [arXiv:2104.03855 [hep-th]].
* [24] H. Abe, T. Kobayashi, H. Ohki, and K. Sumita, Superfield description of 10D SYM theory with magnetized extra dimensions, Nucl. Phys. B 863 (2012) 1–18 [arXiv:1204.5327 [hep-th]].
* [25] E. S. Fradkin and A. A. Tseytlin, Nonlinear Electrodynamics from Quantized Strings, Phys. Lett. B 163 (1985) 123–130.
* [26] R. G. Leigh, Dirac-Born-Infeld Action from Dirichlet Sigma Model, Mod. Phys. Lett. A 4 (1989) 2767.
* [27] M. R. Douglas, Branes within branes, NATO Sci. Ser. C 520 (1999) 267–275 [arXiv:hep-th/9512077].
* [28] M. B. Green, J. A. Harvey, and G. W. Moore, I-brane inflow and anomalous couplings on d-branes, Class. Quant. Grav. 14 (1997) 47–52 [arXiv:hep-th/9605033].
* [29] Y.-K. E. Cheung and Z. Yin, Anomalies, branes, and currents, Nucl. Phys. B 517 (1998) 69–91 [arXiv:hep-th/9710206].
* [30] J. F. Morales, C. A. Scrucca, and M. Serone, Anomalous couplings for D-branes and O-planes, Nucl. Phys. B 552 (1999) 291–315 [arXiv:hep-th/9812071].
* [31] B. Stefanski, Jr., Gravitational couplings of D-branes and O-planes, Nucl. Phys. B 548 (1999) 275–290 [arXiv:hep-th/9812088].
* [32] C. A. Scrucca and M. Serone, Anomalies and inflow on D-branes and O \- planes, Nucl. Phys. B 556 (1999) 197–221 [arXiv:hep-th/9903145].
* [33] C. A. Scrucca and M. Serone, Anomaly inflow and R R anomalous couplings, PoS tmr99 (1999) 047 [arXiv:hep-th/9911223].
* [34] D. Brecher and M. J. Perry, Bound states of D-branes and the nonAbelian Born-Infeld action, Nucl. Phys. B 527 (1998) 121–141 [arXiv:hep-th/9801127].
* [35] D. Brecher, BPS states of the nonAbelian Born-Infeld action, Phys. Lett. B 442 (1998) 117–124 [arXiv:hep-th/9804180].
* [36] M. R. Garousi and R. C. Myers, World volume interactions on D-branes, Nucl. Phys. B 542 (1999) 73–88 [arXiv:hep-th/9809100].
* [37] A. A. Tseytlin, Born-Infeld action, supersymmetry and string theory, arXiv:hep-th/9908105.
* [38] A. Hashimoto and W. Taylor, Fluctuation spectra of tilted and intersecting D-branes from the Born-Infeld action, Nucl. Phys. B 503 (1997) 193–219 [arXiv:hep-th/9703217].
* [39] R. C. Myers, Dielectric branes, JHEP 12 (1999) 022 [arXiv:hep-th/9910053].
* [40] C. V. Johnson, D-brane primer, in Theoretical Advanced Study Institute in Elementary Particle Physics (TASI 99): Strings, Branes, and Gravity, pp. 129–350. 7, 2000. arXiv:hep-th/0007170.
* [41] D. Lust, P. Mayr, R. Richter, and S. Stieberger, Scattering of gauge, matter, and moduli fields from intersecting branes, Nucl. Phys. B 696 (2004) 205–250 [arXiv:hep-th/0404134].
* [42] D. Lust, S. Reffert, and S. Stieberger, Flux-induced soft supersymmetry breaking in chiral type IIB orientifolds with D3 / D7-branes, Nucl. Phys. B 706 (2005) 3–52 [arXiv:hep-th/0406092].
* [43] A. Font and L. E. Ibanez, SUSY-breaking soft terms in a MSSM magnetized D7-brane model, JHEP 03 (2005) 040 [arXiv:hep-th/0412150].
* [44] C. Vafa, The String landscape and the swampland, arXiv:hep-th/0509212.
* [45] E. Palti, The Swampland: Introduction and Review, Fortsch. Phys. 67 no. 6, (2019) 1900037 [arXiv:1903.06239 [hep-th]].
* [46] A. A. Tseytlin, On nonAbelian generalization of Born-Infeld action in string theory, Nucl. Phys. B 501 (1997) 41–52 [arXiv:hep-th/9701125].
* [47] K. Becker, M. Becker, and A. Strominger, Five-branes, membranes and nonperturbative string theory, Nucl. Phys. B 456 (1995) 130–152 [arXiv:hep-th/9507158].
* [48] M. Marino, R. Minasian, G. W. Moore, and A. Strominger, Nonlinear instantons from supersymmetric p-branes, JHEP 01 (2000) 005 [arXiv:hep-th/9911206].
* [49] M. Haack, D. Krefl, D. Lust, A. Van Proeyen, and M. Zagermann, Gaugino Condensates and D-terms from D7-branes, JHEP 01 (2007) 078 [arXiv:hep-th/0609211].
* [50] R. Blumenhagen, B. Kors, D. Lust, and S. Stieberger, Four-dimensional String Compactifications with D-Branes, Orientifolds and Fluxes, Phys. Rept. 445 (2007) 1–193 [arXiv:hep-th/0610327].
* [51] J. Troost, Constant field strengths on T**2n, Nucl. Phys. B 568 (2000) 180–194 [arXiv:hep-th/9909187].
* [52] A. Lukas, B. A. Ovrut, and D. Waldram, On the four-dimensional effective action of strongly coupled heterotic string theory, Nucl. Phys. B 532 (1998) 43–82 [arXiv:hep-th/9710208].
* [53] B. Kors and P. Nath, Effective action and soft supersymmetry breaking for intersecting D-brane models, Nucl. Phys. B 681 (2004) 77–119 [arXiv:hep-th/0309167].
* [54] E. Witten, Dimensional Reduction of Superstring Models, Phys. Lett. B 155 (1985) 151.
| arxiv-papers | 2021-07-26T05:15:01 | 2024-09-04T03:07:17.624658 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yoshihiko Abe, Tetsutaro Higaki, Tatsuo Kobayashi, Shintaro Takada,\n Rei Takahashi",
"submitter": "Yoshihiko Abe",
"url": "https://arxiv.org/abs/2107.11961"
} |
2107.11963 | # Can we infer player behavior tendencies from a player’s decision-making
data? Integrating Theory of Mind to Player Modeling
Murtuza N. Shergadwala,1 Zhaoqing Teng,1 Magy Seif El-Nasr,1
1University of California, Santa Cruz
[email protected], [email protected], [email protected]
###### Abstract
> Game AI systems need the theory of mind, which is the humanistic ability to
> infer others’ mental models, preferences, and intent. Such systems would
> enable inferring players’ behavior tendencies that contribute to the
> variations in their decision-making behaviors. To that end, in this paper,
> we propose the use of inverse Bayesian inference to infer behavior
> tendencies given a descriptive cognitive model of a player’s decision
> making. The model embeds behavior tendencies as weight parameters in a
> player’s decision-making. Inferences on such parameters provide intuitive
> interpretations about a player’s cognition while making in-game decisions.
> We illustrate the use of inverse Bayesian inference with synthetically
> generated data in a game called BoomTown developed by Gallup. We use the
> proposed model to infer a player’s behavior tendencies for moving decisions
> on a game map. Our results indicate that our model is able to infer these
> parameters towards uncovering not only a player’s decision making but also
> their behavior tendencies for making such decisions.
## 1 Introduction
In this study, we consider the problem of computationally identifying behavior
tendencies from a player’s game decision-making data. By “behavior tendencies”
we refer to a player’s consideration of the relevance of attributes that helps
them make a specific decision. For example, a player’s decision to move on a
map can be influenced by several situational factors or attributes such as the
location of a valuable resource or the presence of a threat. Each player has
their own subjective characteristics that determine the relevance of such
attributes that ultimately influence the variations in their decision making
behaviors across a player population.
Humans can easily identify such behavior tendencies by observing someone’s
gameplay – an ability called the Theory of Mind (?), which is often attributed
to successful collaboration in teams (?) and other environments. While such
capability is important, game AI agents/characters are not developed with it.
While there has been much work on developing algorithms to infer plans, goals,
or personality from gamelog data e.g., (?; ?; ?), to mention a few, much of
this work face various challenges. First, inferring behavior tendencies,
intent or preferences require inferring latent (cognitive) variables that are
not observed through game logs or game data, which requires probabilistic
modeling. Second, such variables are player specific which makes it further
difficult to generalize inferences across a player population. This makes it
hard to use off-the-shelf machine learning techniques without further
modeling. Third, there is a lack of theory driven player models that are
required to make inferences on latent variables in an explainable and
meaningful manner. While cognitive science have made various strides, the
complexity of game environments accompanied by the need to integrate many
different cognitive processes to explain players’ problem-solving process
makes it hard to apply current cognitive models without an integrative
approach.
In this paper we address this gap by specifically targeting the research
question of: How can we infer player-specific tendencies that influence their
decision-making behaviors in a digital game? To address this question, we
develop a simple yet explainable probabilistic player model to simulate a
player’s 2-sequence decision $(\textit{D1},\textit{D2})$, where a player makes
decision D1 then as a consequence will need to make a decision D2. We limited
the decision model to two consecutive decisions as a starting point, which we
aim to expand in future work. We then leverage inverse Bayesian inference to
infer model parameters given synthetically generated data for agents with
varying behavior tendencies. We verify the inferred parameters with the actual
parameter values.
Our contribution includes the proposed model and an illustration of the model
contextualization and implementation via a use case game called BoomTown
developed by Gallup. The objective of the game is simple: maximize the amount
of gold (resource) collected through mining in a given map with rocks and
gold. In such a scenario, we consider two behavior tendencies: (1) rock
agnostic tendency, and (2) a rock aversion tendency. A player with rock
agnostic tendency would attribute much consideration to large gold clusters
and would not care about the amount of rock structures surrounding the gold
clusters. On the other hand, a player with rock aversion tendency focuses more
on the gold in the rock-free regions such that they would not have to mine
through the rocky mountains to reach to the gold. Our approach enables us to
model such behavior tendencies which can be extended to other games and
gameplay contexts.
## 2 Related Work
Existing work on player modeling can be categorized as “generative” or
“descriptive” based on the purpose of modeling (?). Generative purpose of
player modeling focuses more on producing simulations of human player (?; ?;
?; ?; ?). Whereas, descriptive purpose of player modeling focuses more on
describing player’s decisions, behaviors, and preferences (?; ?; ?; ?; ?). In
this paper, we focus on descriptive player modeling. However, we note that the
vocabulary provided by Smith et. al. (?) to classify descriptive player models
insinuates that descriptive models are intended to provide “high-level
description” of player behavior. On the contrary, we illustrate modeling the
decision-making process of the players at a granular level such that it
abstracts a player’s cognitive processes while making game-specific decisions.
Thus, there is a lack of descriptive and computational cognitive models of
player behaviors that are explainable towards understanding a player’s
behavioral tendencies. Consequently, we focus on related work in plan
recognition within games that closely matches the categorization of
descriptive modeling with granular details such as a player’s decision making
process.
Player plan recognition refers to algorithms that focus on computationally
recognizing a player’s behaviors, strategies, goals, plans, and intent. Work
in this area is summarized in (?; ?). The approaches used to do so span
different methods, including probabilistic plan-based approaches (e.g., (?)),
Bayesian Networks (e.g., (?)), Hidden Markov Models (e.g., (?)), and Partially
Observable Markov Decision Process (POMDPs) (?). While many approaches have
been proposed, none of these approaches target behavior tendencies modeled
through individual differences in a game, which is the goal of our current
work.
A great example that used a probabilistic plan-based approach to plan
recognition is the work of Kabanza et al. (?). They developed a plan-based
approach to probabilistically infer plans and goals in a strategy game. They
used a Hierarchical Task Network to generate plans and then used these plans
to infer what plans or goals players are taking given their behavioral data.
While the approach showed some success, it results in low accuracy prediction
rates and is intractable for most complex games. Bayesian Networks have been
used in several games, especially adventure games (?) to infer next action,
and educational games (?; ?) to infer knowledge or learning. However, none of
these techniques produce high enough accuracy or have been tested in today’s
games. Further, they also do not model behavior tendencies which tend to vary
across individuals and even across time.
The only work that investigated modeling individual variations to infer player
types or personalities was the work of Bunian et al. (?). They used HMMs to
uncover individual differences between players using VPAL (Virtual Personality
Assessment Lab) game data. However, it does not focus on why players tend to
exhibit such characteristics. The tendency of the players’ observed behaviors
to make decisions still remains elusive due to the lack of descriptive
modeling of behaviors such as players’ decision making.
Similar to our work is the work on using POMDPs to perform plan recognition
(?), belief modeling (?), and intent recognition (?). Specifically, Baker and
Tenanbaum’s work (?) is relevant as they develop a computational model to
capture theory of mind using POMDPs. While such works have made progress
towards enabling a computational approach to the theory of mind it does not
explicitly model the behavior tendencies that contribute to a player’s
decision making process. Instead, decisions are viewed as a means to fulfil
some desires or goals which are inverse inferred. Another sub-area of player
modeling relevant to our approach is player decision modeling (?; ?). In these
works, while the focus is on a player’s decision-making behaviors, the purpose
of modeling is generative (?) implying that there is a lack of rationale for a
given decision being made while the emphasis lies on the decisions being
closely reproduced (?). Such models has been applied to agents that act as
play testers (?). However, there is lack of player decision modeling from a
descriptive standpoint while maintaining the granularity and cognitive
underpinnings of the modeled decisions. Thus, further work is required to
model granular details of a player’s decision making process towards
understanding their behavioral tendencies.
## 3 A Computational Cognitive Model of A Player’s Decision Making
### 3.1 An Abstraction of A Player’s Decision Making Process
We make the following assumptions to abstract a player’s decision making
process. First, we assume that a player perfectly knows the game mechanism.
Thus, there is no uncertainty stemming from a player’s lack of knowledge about
the game. This is equivalent to assuming that a player is an expert. Second,
we assume that a player has bounded rationality and limited cognitive
resources. This implies that a player does not think multiple steps ahead
neither can they realize the end state of the map. Thus, a player’s decision
making is modeled myopically such that only the situational state of the game
at any time step influences their subsequent decisions. Third, we assume a
player can view the entire game map. This is equivalent to having a “mini-map”
feature in a game that enables players to have a birds eye view of the map.
Fourth, we do not model multiplayer interactions and consider individual
player’s decision making and cognitive behaviors.
We abstract a player’s sequential decision making process as follows. We
consider that a player makes two decisions $D=\left(X,Y\right)$ in a
sequential manner. We assume that the decisions have discrete and finite
outcomes such that the outcomes of decision $X$ influence the outcomes of
decision $Y$. Such an abstraction enables us to consider sequential decision
making in a parsimonious manner (minimum number of decisions required to
create a sequence of decisions). We also assume to have the game state data
$\mathcal{G}$ which holds relevant information about the state of the game
when a player made the corresponding decisions. Thus, for a random number of
samples $N$ of a player’s decision making data across several sessions of
their gameplay, we assume to have a set of player’s sequential decisions
$D_{1:N}=\left(X_{1:N},Y_{1:N}\right)$ and the corresponding game states
$\mathcal{G}_{1:N}$ .
### 3.2 Cognitive Modeling of A Player’s Decision Making
After abstracting a player’s decision-making process, we model how players
make the specific decisions. To do so, we leverage decision theory to model
decisions as functions of attributes or features of observed data within the
game weighted differently by each individual player. Feature functions enable
deterministic modeling that leverage game states to model decision attributes.
Decision outcomes are modeled probabilistically using likelihood functions,
with function parameters such as an individual’s behavior tendency
$\boldsymbol{\theta}$, which adds stochasticity in the predictions. Our
modeling approach acknowledges that players make decisions subjectively based
on their behavior tendency or individual preferences. Moreover, the assumption
of probabilistic decisions assumes the limited cognitive ability of a player
to make accurate decisions even though their judgments may be aligned with
rational judgments.
Formally, we refer to a mapping between the observed game data to some
situational factor as a feature function. A feature function (or simply
feature) incorporates the observed game state $\mathcal{G}_{n}$ for a data
sample $n$ into the decision models. Given that multiple situational factors
may influence decisions, a decision strategy is specified in terms of a
weighted sum of multiple independent features. Moreover, a threshold value is
associated with each feature to model an individual’s mental activation to the
subjective strength of a particular feature.
Mathematically, we characterize a decision strategy for a decision $X_{n}$ in
a data sample $n$ with $O$ discrete outcomes $\\{x_{n,1},\ldots,x_{n,O}\\}$
using $R$ independent attributes or features denoted by
$g_{1,x}(\mathcal{G}_{n}),\ldots,g_{R,x}(\mathcal{G}_{n})$. The values of the
features can be dependent on the decision alternative in consideration. Then,
we model the stochastic decision process as follows:
$X_{n}=\begin{cases}x_{n,o},&\text{with
probability}\;\operatorname{softmax}_{x_{o}}\left(\sum_{r=1}^{R}w_{r}\left(g_{r,x}(\mathcal{G}_{n})-\delta_{r}\right)\right)\end{cases}$
(1)
and, the outcome probability is given by,
$\operatorname{softmax}_{x_{o}}\left(\sum_{r=1}^{R}w_{r}\left(g_{r,x}(\mathcal{G}_{n})-\delta_{r}\right)\right)=\dfrac{\exp\left(\sum_{r=1}^{R}w_{r}\left(g_{r,x_{o}}(\mathcal{G}_{n})-\delta_{r}\right)\right)}{\sum\limits_{\forall
X}\exp\left(\sum_{r=1}^{R}w_{r}\left(g_{r,x}(\mathcal{G}_{n})-\delta_{r}\right)\right)}.$
(2)
where, $X_{n}=x_{n,o}$ is the observation that an individual chose an
alternative $x_{n,o}$ for a decision $X$ for a data sample $n$,
$\boldsymbol{\theta}=\\{w_{1:R},\delta_{1:R}\\}$ are player-specific cognitive
parameters modeled as the feature weight and feature threshold parameters. The
weight parameter $w_{r}$ can be positive or negative depending on whether an
increase in $g_{r,x}(\mathcal{G}_{n})$, respectively, increases or decreases
the probability of an outcome. The threshold parameters imply that the
weighted sum of the situational factors as activated by a player determines
their decision strategy.
The decision strategy for a decision $Y_{n}$ can also be defined using a
similar approach as discussed for the decision $X_{n}$ in Equation 1. The only
difference in consideration of the outcome probabilities of $Y_{n}$ will be
that they are conditioned on the outcomes of $X_{n}$.
### 3.3 Inverse Inference
Here, we mention a general strategy for inverse Bayesian inference. However,
we note that it’s important to consider game-specific causal models that
represent the influence of situational factors on a player’s decision making.
Given decision data $D_{1:N}$, a prior over $\theta$, $p(\theta)$, a prior
over game states $\mathcal{G}_{1:N}$, and the outcome probabilities for
decision $X_{n}$, $p(X_{n}|\theta,\mathcal{G}_{1:N})$ and the outcome
probabilities for decision $Y_{n}$, $p(Y_{n}|\theta,X_{n},\mathcal{G}_{1:N})$,
inference over posterior of $\theta$ is given by Bayes’ rule:
$p(\boldsymbol{\theta}|D_{1:N},\mathcal{G}_{1:N})\propto
p(X_{1:N}|\boldsymbol{\theta},\mathcal{G}_{1:N})p(Y_{1:N}|\boldsymbol{\theta},X_{1:N},\mathcal{G}_{1:N})p(\boldsymbol{\theta})p(\mathcal{G}_{1:N}).$
(3)
We illustrate this inference in further detail in our use case in Section 4.2.
## 4 Case Study: BoomTown Game
We implemented our model in a game called BoomTown which is a resource
acquisition game developed by Gallup. Figure 1 is a screenshot of the game.
The objective of BoomTown is for players to maximize their collection of “gold
nugget”, a game-specific resource. Players can do so by exploring a game map.
The map is constructed through fundamental units called tiles. There are four
different tiles including road tile, rock tile, gold nugget tile and obstacle
tile. An agent can be physically present only on a road tile. The rock tile
and the gold tile can be destroyed by the player while the obstacle tile is
immovable and cannot be destroyed. Players can destroy the rock tile and the
gold tile through the use of several items. By destroying gold tiles, players
get a certain amount of gold per gold tile. A destroyed rock or gold tile
becomes road tile. As players collect gold nuggets, a counter updates the
amount of gold overall collected by the team. The game ends after a fixed
period of time.
We chose BoomTown because, (1) the game is a single objective resource
collection game which enables us to abstract player’s decision making process
as a simple sequential decision making scenario. This enables us to focus on
the cognitive modeling aspect of computational player modeling as opposed to
modeling the complexity of a game environment, (2) we have human data on
gameplay behaviors which can be leveraged in the long run to run validation
studies on the proposed computational model in this study, and (3) the game
has multi-player mode which also provides flexibility to build our model in
future work for more complex scenarios that match esports-like contexts.
Figure 1: Screenshot of Boomtown.
### 4.1 Modeling BoomTown Decisions
For BoomTown, we consider players’ sequential moving decisions. These include
the decision to move or not $M_{n}$ and which direction to move $D_{n}$. At
any point in the game, a player decides whether they want to move in the map
or not. If they decide to move, then they need to decide which direction to
move. If they choose to stay, they decide to use items in the game to mine
gold. We do not model the use items decision. We only model the moving
decisions to illustrate the sequential nature of the decision making process.
We note that the first decision to move or not has two outcomes. We consider
two significant situational features in the game for a player’s decision to
move: the rock tile and the gold nugget tile. Thus, $R=2$ for our move
decision model. For other games, model developers would need to investigate
the situational factors that influence players’ gameplay. The first
situational factor is Gold Around, $GA_{n}=g_{1}(\mathcal{G}_{n})$ which
represents how many gold nuggets are around a player within some map region
for a data sample $n$. The second situational factor is Rock Around,
$RA_{n}=g_{2}(\mathcal{G}_{n})$ which represents how many rocks are around a
player within some map region for a data sample $n$. The calculation of these
features is independent of the alternative to move or not thus we drop the
term $x$ in $g_{r,x}(.)$ as discussed in Section 3.2. We model the stochastic
moving process $M_{n}$ for BoomTown as follows:
$M_{n}=\begin{cases}1,&\text{with
probability}\;\operatorname{sigm}\left(\sum_{r=1}^{2}w_{r}\left(g_{r}(\mathcal{G}_{n})-\delta_{r}\right)\right)\\\
0,&\text{otherwise},\end{cases}$
(4)
and, the moving probability is given by,
$\leavevmode\resizebox{433.62pt}{}{$p(M_{n}=1|\mathcal{G}_{n})=\dfrac{1}{1+\exp{\left(w_{1}(GA_{n}-\delta_{1})-w_{2}(RA_{n}-\delta_{2})\right)}}$},$
(5)
where, without loss of generality, the weight parameter $w_{1:2}$ are
considered to be positive while the negative or positive influence of each of
the features on the moving probability is intuitively coded. Thus, increase in
gold around the player decreases the probability to move while increase in
rock around the player increases their probability to move. Moreover, the
sigmoid function $\operatorname{sigm}()$ is a special case of the
$\operatorname{softmax}()$ function discussed in Equation 1 for a decision
with two outcomes.
The second decision of where to move $D_{n}$ is considered to have five
alternatives, namely, North ($d_{n,1}$), South ($d_{n,2}$), East ($d_{n,3}$),
West ($d_{n,4}$), and no direction ($d_{n,5}$) . Thus,
$D_{n}=\\{d_{n,1:5}\\}$. Moreover, we consider five situational factors such
that $R=5$. The situational factors are dependent on a direction alternative
$d_{n,i}$ and include Gold Around, $GA_{n,i}=g_{1,i}(\mathcal{G}_{n})$, and
Rock Around, $RA_{n,i}=g_{2,i}(\mathcal{G}_{n})$ which represents how many
gold nuggets and rocks are around a player in a direction $d_{n,i}$ for a data
sample $n$. There are three additional situational factors, namely,
$GD_{n,i}=g_{3,i}(\mathcal{G}_{n})$ the average distance of the gold around,
$RD_{n,i}=g_{4,i}(\mathcal{S}_{t})$ the average distance of the rock around
the player, and $OA_{n,i}=g_{5,i}(\mathcal{G}_{n})$ the obstacle tiles around
player position in direction $d_{n,i}$.
The player moves in no direction $D_{n}=d_{n,5}$ given the decision to move
$M_{n}=0$. Thus, the stochastic decision of which direction $D_{n}$ to move,
given the decision to move $M_{n}=1$, is modeled as follows:
$D_{n}=\begin{cases}d_{n,1},&\text{with
probability}\;\operatorname{softmax}_{d_{1}}\left(w_{1:R},g_{r,d}(\mathcal{G}_{n})\right)\\\
d_{n,2},&\text{with
probability}\;\operatorname{softmax}_{d_{2}}\left(w_{1:R},g_{r,d}(\mathcal{G}_{n})\right)\\\
d_{n,3},&\text{with
probability}\;\operatorname{softmax}_{d_{3}}\left(w_{1:R},g_{r,d}(\mathcal{G}_{n})\right)\\\
d_{n,4},&\text{with
probability}\;\operatorname{softmax}_{d_{4}}\left(w_{1:R},g_{r,d}(\mathcal{G}_{n})\right)\\\
\end{cases}$
(6)
where, the probability to move in a direction is given by,
$p(D_{n}=d|M_{n}=1,\mathcal{G}_{n},\boldsymbol{\theta})=\operatorname{softmax}_{d_{i}}\left(w_{1:R},g_{r,d}(\mathcal{G}_{n})\right),$
(7)
such that,
$\operatorname{softmax}_{d_{i}}\left(.\right)=\dfrac{\mathbbm{1}_{0}\left(g_{5,d}(\mathcal{G}_{n})w_{5}\right)\exp\left(\sum_{r=1}^{2}\dfrac{w_{r}}{w_{r+2}}\dfrac{g_{r,d_{i}}(\mathcal{G}_{n})}{g_{r+2,d_{i}}(\mathcal{G}_{n})}\right)}{\sum\limits_{d=1}^{d=4}\mathbbm{1}_{0}\left(g_{5,d}(\mathcal{G}_{n})w_{5}\right)\exp\left(\sum_{r=1}^{2}\dfrac{w_{r}}{w_{r+2}}\dfrac{g_{r,d}(\mathcal{G}_{n})}{g_{r+2,d}(\mathcal{G}_{n})}\right)}.$
(8)
where, $D_{n}=d$ is the observation that an individual moves in direction $d$
on the map for the $n^{\text{th}}$ sample, $\boldsymbol{\theta}=\\{w_{1:R}\\}$
are player-specific cognitive parameters modeled as feature weights for $R=5$.
The weight parameter $w_{r}$ can be positive or negative depending on whether
an increase in $g_{r,i}(\mathcal{G}_{n})$, respectively, increases or
decreases the probability of moving. We consider the average distance of the
gold and rock in a particular direction to be inversely proportional to an
individual’s utility to move in that direction. Moreover, the ratio of the
total gold amount to the average gold distance in a particular direction
signifies that an individual player would balance exploration of large gold
clusters further away with the exploitation of smaller clusters closer to
them. Similarly for rock, a player would be averse to large rock clusters in
vicinity and prefer less rock dense areas. The feature
$g_{5,d}(\mathcal{G}_{n})$ indicates if there is an obstacle in the cell next
to a player in direction $d$. Thus, the indicator function
$\mathbbm{1}_{0}\left(g_{5,d}(\mathcal{G}_{n})w_{5}\right)$ only avails the
directions where a player can move. Consequently, we drop $w_{5}$ as obstacles
are a part of game mechanics that affects each player in the same way.
Moreover, we set the threshold parameters $\delta_{r}=0$ to model where to
move. This is because the player has already decided to move and the minimum
threshold required to move in any direction is a positive value of the
features. Also, it’s the relative evaluation of the gold and rock amount to
their average distances which is of importance to a player’s direction
decision. We note that we deliberately wrote Equation 8 in a different form
than Equation 2 to highlight that game-specific considerations will influence
how model developers choose to represent decision models towards better
explainability. Figure 2 illustrates the behavior tendency parameters
$\boldsymbol{\theta}$ as utilized in BoomTown.
Figure 2: Graphical illustration of the decision to move $M_{n}$ and where to
move $D_{n}$ influenced by the game data $\mathcal{G}_{n}$ and individual
specific parameters $\boldsymbol{\theta}$. The parameters $w_{1:4}$ and
$\delta_{1:2}$ are cognitively realized by the individual. The parameters
$\lambda_{GA}$, $\mu_{GA}$, $\sigma_{GA}$, $\lambda_{RA}$, $\mu_{RA}$,
$\sigma_{RA}$, $\mu_{GD}$, $\sigma_{GD}$, $\mu_{RD}$, and $\sigma_{RD}$ are a
part of an individual’s behavior tendency $\boldsymbol{\theta}$.
### 4.2 Inferring A Player’s Cognitive Variables from Data
In this section, we discuss how to infer an individual’s modeled cognitive
parameters, that is, their $\boldsymbol{\theta}$ given $N$ samples of the game
state $\textbf{g}_{1:N}$ and the decision data history
$h_{1:N}=\\{m_{1:N},d_{1:N}\\}$ which includes the player’s data for their
decisions to move $m_{n}$ and where to move $d_{n}$ for each sample $n$.
We proceeded in a Bayesian way which required the specification of a prior
$p(\boldsymbol{\theta})$ for $\boldsymbol{\theta}$, a prior
$p(\textbf{g}_{1:N})$ for game state, a likelihood
$p(h_{1:N}|\boldsymbol{\theta})$ for decisions to move $m_{1:N}$ and where to
move $d_{1:N}$ given $\boldsymbol{\theta}$. The posterior state of knowledge
about $\boldsymbol{\theta}$ is simply given by Bayes’ rule:
$p(\boldsymbol{\theta}|h_{1:N},\textbf{g}_{1:N})\propto
p(h_{1:N}|\boldsymbol{\theta},\textbf{g}_{1:N})p(\boldsymbol{\theta})p(\textbf{g}_{1:N}),$
(9)
and we characterized it approximately via sampling. We now describe each of
these steps in detail.
We associate behavior tendency with the vector of parameters
$\boldsymbol{\theta}=\\{w_{1:R},\delta_{1:R}\\}$ defined in Section 4.1. We
describe our prior state of knowledge about $\boldsymbol{\theta}$ by assigning
a probability density function such that it becomes a random vector modeling
our epistemic uncertainty about the actual cognition of the individual. Having
no reason to believe otherwise, we assume that all components of an
individual’s behavior tendency are a priori independent, i.e., the prior
probability density (PDF) factorizes as:
$p(\boldsymbol{\theta})=\prod_{r=1}^{r=4}p(w_{r})\prod_{r=1}^{r=2}p(\delta_{r}),$
(10)
where, $p(w_{r})$ is assigned an uninformative Jeffrey’s prior, i.e.,
$p(w_{r})\propto\frac{1}{w_{r}}$, and
$\begin{array}[]{ccc}\delta_{1}&\sim&\mathcal{N}(50,25),\\\
\delta_{2}&\sim&\mathcal{N}(50,25).\\\ \end{array}$ (11)
The mean and standard deviation of the normal distribution for the threshold
priors were chosen based on the game ranges for rock and gold values.
The game state $\textbf{g}_{1:N}$ is a vector of features sampled randomly for
a sample $N$ and is thus assumed to have a uniform distribution. Such an
assumption enables us to circumvent the problem of modeling the game mechanics
where player actions influence the game states. Thus, we note that $N$ samples
of game data are equivalent to sampling decision data $m_{1:N}$ and $d_{1:N}$
of a player from $N$ randomly generated map scenarios. In general, derivation
of game specific prior probabilities for game states will require
understanding of the game mechanics that govern the initialization of game
states for a game map.
The likelihood $p(h_{1:N}|\boldsymbol{\theta},\textbf{g}_{1:N})$ is calculated
conditioned on $\boldsymbol{\theta}$ and $\textbf{g}_{1:N}$. We have:
$p(h_{1:N}|\boldsymbol{\theta},\textbf{g}_{1:N})=\prod_{q=1}^{N}p(h_{q}|\boldsymbol{\theta},\textbf{g}_{q}),$
(12)
given the independent sampling assumption of our model For each product term,
we have:
$p(h_{q}|\boldsymbol{\theta},\textbf{g}_{q})=p(m_{q}|\textbf{g}_{q},\boldsymbol{\theta})p(d_{q}|m_{q},\textbf{g}_{q},\boldsymbol{\theta}).$
(13)
The first term in Equation 13 is:
$\begin{array}[]{cc}p(m_{q}|\textbf{g}_{q},\theta)=&\left[\operatorname{sigm}\left(\sum_{r=1}^{R}w_{r}\left(g_{r}(\textbf{g}_{q})-\delta_{r}\right)\right)\right]^{m_{q}}\\\
&\left[1-\operatorname{sigm}\left(\sum_{r=1}^{R}w_{r}\left(g_{r}(\textbf{g}_{q})-\delta_{r}\right)\right)\right]^{1-m_{q}},\end{array}$
(14)
where, weights $w$ and threshold $\delta$ parameters are conditioned on
$\theta$. This equation is derived from Equation 4.
The second term is:
$\begin{array}[]{cc}p(d_{q}|m_{q},\textbf{g}_{q},\theta)=&\left[\operatorname{softmax}_{d_{1}}(\theta,\textbf{g}_{q,r,d})^{d_{1,q}}\operatorname{softmax}_{d_{2}}(\theta,\textbf{g}_{q,r,d})^{d_{2,q}}\right]^{m_{q}}\\\
&\left[\operatorname{softmax}_{d_{3}}(\theta,\textbf{g}_{q,r,d})^{d_{3,q}}\operatorname{softmax}_{d_{4}}(\theta,\textbf{g}_{q,r,d})^{d_{4,q}}\right]^{m_{q}}\end{array},$
(15)
where, $d_{q}=\\{d_{1,q},d_{2,q},d_{3,q},d_{4,q}\\}$ is $1$ or $0$ when a
player moves in one of the directions or not for each sample $q$. We note that
when a player chooses to stay then $m_{q}=0$ and the decision of where to move
is not relevant. This equation is derived from Equation 6.
## 5 Verification Strategy and Results
### 5.1 Synthetic Data Generation
We generate game play data by simulating the model discussed in Section 4.1
with $N=5000$. We considered two behavior tendencies, (1) rock agnostic
tendency, and (2) a rock aversion tendency. A player with rock agnostic
tendency is one who attributes a lot of consideration to large gold clusters
and is agnostic about the amount of rock structures. On the other hand, a
player with rock averse tendency focuses more on the gold in the rock-free
regions such that they would not have to mine through the rocks to reach to
the gold.
Our model enables capturing such player tendencies through an initialization
of the weight and threshold parameters. Table 1 tabulates the initialized
parameters for the two behavior tendencies. We note that the differentiating
parameter for the two tendencies is $w_{2}$. This parameter quantifies the
tendency of a player to consider the rock around. We note that a rock agnostic
tendency doesn’t focus much on the rock around $w_{2}=0.3$ whereas the rock
averse tendency attributes high weight to the rock around $w_{2}=0.8$ implying
an avoidance to rock clusters. We note that since the game objective is to
collect gold, both behavioral tendencies have a high weight $w_{1}$ on gold.
The threshold parameters $\delta_{1,2}$ focus on a player’s emphasis on the
size of the rock and gold clusters but they do not explain the tendencies of
interest.
Table 1: Player behavior tendency settings.
Tendency $\boldsymbol{\theta}$ | $w_{1}$ | $w_{2}$ | $\delta_{1}$ | $\delta_{2}$ | $w_{3}$ | $w_{4}$
---|---|---|---|---|---|---
Rock Agnostic Tendency | 0.90 | 0.30 | 20.00 | 60.00 | 1.13 | 1.00
Rock Averse Tendency | 0.95 | 0.80 | 50.00 | 20.00 | 3.17 | 1.14
### 5.2 Inverse Inference Using MCMC
We sampled from the posterior (Equation 9) using the No-U-Turn Sampler (NUTS)
(?), a self-tuning variant of Hamiltonian Monte Carlo (?) from the PyMC3 (?)
Python module. We ran two chains of the (Markov Chain Monte Carlo) MCMC
simulations and for each chain we ran $10000$ iterations with a burn-in period
of $2000$ samples that are discarded.
### 5.3 Results
We infer the behavioral tendency parameters for both the simulated datasets
and are able to differentiate the behavioral data using the inferred
parameters. Specifically, the posterior of $w_{2}$ differentiates the two
tendencies of interest as intended from the simulated data sets. Figure 3
shows the posteriors distributions over each modeled parameter. The blue and
red vertical lines represents the setting of the rock agnostic and rock averse
tendency used for data simulation, respectively.
Figure 3: Posterior of parameters for rock agnostic and rock averse
tendencies. Table 2: Rock agnostic tendency summary statistic values.
Variables Statistics | $mean$ | $sd$ | $hdi3\%$ | $hdi97\%$
---|---|---|---|---
$w_{1}$ | 0.84 | 0.06 | 0.73 | 0.95
$w_{2}$ | 0.28 | 0.02 | 0.24 | 0.31
$\delta_{1}$ | 19.52 | 7.91 | 4.17 | 33.88
$\delta_{2}$ | 59.19 | 23.88 | 13.44 | 103.02
$w_{3}$ | 1.13 | 0.09 | 0.71 | 1.06
$w_{4}$ | 0.97 | 0.03 | 0.26 | 0.37
Table 3: Rock averse tendency summary statistic values.
Variables Statistics | $mean$ | $sd$ | $hdi3\%$ | $hdi97\%$
---|---|---|---|---
$w_{1}$ | 0.93 | 0.08 | 0.79 | 1.08
$w_{2}$ | 0.78 | 0.06 | 0.66 | 0.90
$\delta_{1}$ | 64.93 | 16.25 | 34.05 | 94.99
$\delta_{2}$ | 37.86 | 19.22 | 1.21 | 73.31
$w_{3}$ | 2.97 | 0.01 | 0.30 | 0.35
$w_{4}$ | 1.06 | 0.03 | 0.69 | 0.80
Figure 4: MCMC traces of two chains for rock agnostic tendency. Figure 5:
Autocorrelation of $w_{1}$ for rock agnostic tendency.
For rock agnostic tendency, Table 2 shows the statistical summary data from
MCMC simulation.The (highest density interval) hdi%3 and hdi97% show the range
of points of distribution which is credible. We find that the weight
estimations have less standard deviation than the threshold estimations. The
narrow range between hdi3% and hdi97% also represents the certainty of belief
on weight estimations. Figure 4 shows the sampling process. Figure 5 shows a
representative autocorrelation of all six cognitive variables. The low
autocorrelation at the end shows the convergence of $w_{1}$. The same is also
true for other cognitive variables. We find similar results for rock averse
players and we only show the summary statistics in Table 3.
## 6 Conclusions and Future Work
The proposed model in this study serves as a stepping stone towards inferring
player cognition in digital games. Currently, our model is only verified to
retrieve cognitive parameters from synthetically generated data which does not
have any noise such as deviations from the modeled decision making strategy.
Thus, future work includes testing our model with human subjects data to
validate the generalizability of the modeled cognitive parameters across
several games where moving decisions are made by players.
Our model does not account for several other decisions that players make such
as drafting a team or selecting the resources or items used within a gameplay.
However, through this study we provide a foundation towards modeling such
decisions and extending our model to account for other decisions and decision
making processes. For example, in this study, we assume two sequential
decisions. This assumption can be relaxed by considering greater number of
decision sequences where each decision is conditionally dependent on previous
decisions. This would increase the number of nodes illustrated in Figure 2.
However, the specifics of the decisions and the sequences will be dependent on
the game mechanics, the level of abstraction of player behaviors, and the
game-specific processes.
Our model also does not consider multiple players which would be crucial in
several theory of mind contexts. Currently, our model is assumed to be a
spectator for a single player who may engage in practice sessions and receive
feedback about the model’s theory of mind for their gameplay. Moreover,
further work is required to transform the inferences about player cognition to
explainable rationales which would require further investigations on rationale
generation in context of the theory of mind.
## References
* [Albrecht, Zukerman, and Nicholson 1998] Albrecht, D. W.; Zukerman, I.; and Nicholson, A. E. 1998\. Bayesian models for keyhole plan recognition in an adventure game. User modeling and user-adapted interaction 8(1):5–47.
* [Andersen et al. 2010] Andersen, E.; Liu, Y.-E.; Apter, E.; Boucher-Genesse, F.; and Popović, Z. 2010\. Gameplay analysis through state projection. In Proceedings of the fifth international conference on the foundations of digital games, 1–8.
* [Baker and Tenenbaum 2014] Baker, C. L., and Tenenbaum, J. B. 2014\. Modeling human plan recognition using bayesian theory of mind. Plan, activity, and intent recognition: Theory and practice 7:177–204.
* [Baker, Saxe, and Tenenbaum 2009] Baker, C. L.; Saxe, R.; and Tenenbaum, J. B. 2009\. Action understanding as inverse planning. Cognition 113(3):329–349.
* [Baker 2012] Baker, C. L. 2012\. Bayesian theory of mind: Modeling human reasoning about beliefs, desires, goals, and social relations. Ph.D. Dissertation, Massachusetts Institute of Technology.
* [Bindewald, Peterson, and Miller 2016] Bindewald, J. M.; Peterson, G. L.; and Miller, M. E. 2016\. Clustering-based online player modeling. In Computer Games. Springer. 86–100.
* [Bunian et al. 2017] Bunian, S.; Canossa, A.; Colvin, R.; and El-Nasr, M. S. 2017\. Modeling individual differences in game behavior using hmm. In Proceedings of the AAAI Conference on Artificial Intelligence and Interactive Digital Entertainment, volume 13.
* [Conati et al. 1997] Conati, C.; Gertner, A. S.; VanLehn, K.; and Druzdzel, M. J. 1997\. On-line student modeling for coached problem solving using bayesian networks. In User Modeling, 231–242. Springer.
* [Conati, Gertner, and Vanlehn 2002] Conati, C.; Gertner, A.; and Vanlehn, K. 2002\. Using bayesian networks to manage uncertainty in student modeling. User modeling and user-adapted interaction 12(4):371–417.
* [Cowling et al. 2014] Cowling, P. I.; Devlin, S.; Powley, E. J.; Whitehouse, D.; and Rollason, J. 2014\. Player preference and style in a leading mobile card game. IEEE Transactions on Computational Intelligence and AI in Games 7(3):233–242.
* [Duane et al. 1987] Duane, S.; Kennedy, A. D.; Pendleton, B. J.; and Roweth, D. 1987\. Hybrid monte carlo. Physics letters B 195(2):216–222.
* [El-Nasr, Drachen, and Canossa 2016] El-Nasr, M. S.; Drachen, A.; and Canossa, A. 2016\. Game analytics. Springer.
* [Engel et al. 2014] Engel, D.; Woolley, A. W.; Jing, L. X.; Chabris, C. F.; and Malone, T. W. 2014\. Reading the mind in the eyes or reading between the lines? theory of mind predicts collective intelligence equally well online and face-to-face. PloS one 9(12):e115212.
* [Hoffman and Gelman 2014] Hoffman, M. D., and Gelman, A. 2014\. The no-u-turn sampler: adaptively setting path lengths in hamiltonian monte carlo. Journal of Machine Learning Research 15(1):1593–1623.
* [Holmgård et al. 2014a] Holmgård, C.; Liapis, A.; Togelius, J.; and Yannakakis, G. N. 2014a. Evolving personas for player decision modeling. In 2014 IEEE Conference on Computational Intelligence and Games, 1–8. IEEE.
* [Holmgard et al. 2014b] Holmgard, C.; Liapis, A.; Togelius, J.; and Yannakakis, G. N. 2014b. Generative agents for player decision modeling in games.
* [Holmgård et al. 2014c] Holmgård, C.; Liapis, A.; Togelius, J.; and Yannakakis, G. N. 2014c. Personas versus clones for player decision modeling. In International Conference on Entertainment Computing, 159–166. Springer.
* [Holmgård et al. 2015] Holmgård, C.; Liapis, A.; Togelius, J.; and Yannakakis, G. N. 2015\. Monte-carlo tree search for persona based player modeling. In Eleventh Artificial Intelligence and Interactive Digital Entertainment Conference.
* [Kabanza et al. 2010] Kabanza, F.; Bellefeuille, P.; Bisson, F.; Benaskeur, A. R.; and Irandoust, H. 2010\. Opponent behaviour recognition for real-time strategy games. Plan, Activity, and Intent Recognition 10(05).
* [Liapis et al. 2015] Liapis, A.; Holmgård, C.; Yannakakis, G. N.; and Togelius, J. 2015\. Procedural personas as critics for dungeon generation. In European Conference on the Applications of Evolutionary Computation, 331–343. Springer.
* [Machado, Fantini, and Chaimowicz 2011a] Machado, M. C.; Fantini, E. P.; and Chaimowicz, L. 2011a. Player modeling: Towards a common taxonomy. In 2011 16th international conference on computer games (CGAMES), 50–57. IEEE.
* [Machado, Fantini, and Chaimowicz 2011b] Machado, M. C.; Fantini, E. P.; and Chaimowicz, L. 2011b. Player modeling: What is it? how to do it? Proceedings of SBGames.
* [Matsumoto and Thawonmas 2004] Matsumoto, Y., and Thawonmas, R. 2004\. Mmog player classification using hidden markov models. In International Conference on Entertainment Computing, 429–434. Springer.
* [Premack and Woodruff 1978] Premack, D., and Woodruff, G. 1978\. Does the chimpanzee have a theory of mind? Behavioral and brain sciences 1(4):515–526.
* [Salvatier, Wiecki, and Fonnesbeck 2016] Salvatier, J.; Wiecki, T. V.; and Fonnesbeck, C. 2016\. Probabilistic programming in python using pymc3. PeerJ Computer Science 2:e55.
* [Seif El-Nasr et al. 2021 in press] Seif El-Nasr, M.; Nguyen, T.-H.; Drachen, A.; and Canossa, A. 2021 (in press). Game Data Science. Oxford University Press.
* [Smith et al. 2011] Smith, A. M.; Lewis, C.; Hullet, K.; Smith, G.; and Sullivan, A. 2011\. An inclusive view of player modeling. In Proceedings of the 6th International Conference on Foundations of Digital Games, 301–303.
* [Sukthankar et al. 2014] Sukthankar, G.; Geib, C.; Bui, H. H.; Pynadath, D.; and Goldman, R. P. 2014\. Plan, activity, and intent recognition: Theory and practice. Newnes.
* [van den Herik, Donkers, and Spronck 2005] van den Herik, H. J.; Donkers, H.; and Spronck, P. H. 2005\. Opponent modelling and commercial games. Proceedings of the IEEE 15–25.
* [Yannakakis et al. 2013] Yannakakis, G. N.; Spronck, P.; Loiacono, D.; and André, E. 2013\. Player modeling.
| arxiv-papers | 2021-07-26T05:19:49 | 2024-09-04T03:07:17.640293 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Murtuza N. Shergadwala and Zhaoqing Teng and Magy Seif El-Nasr",
"submitter": "Murtuza Shergadwala",
"url": "https://arxiv.org/abs/2107.11963"
} |
2107.11964 | # Superconducting Quantum Amplifier-Integrator in Ultra-High Speed Continuous-
time $\Delta$-$\Sigma$ Converter
Debopam Banerjee
Analog Devices, India
###### Abstract
The current semiconductor research is increasingly focussing on either
attaining higher speeds (Gigabits/s) or higher linearity (harmonic distortion
in dB) or sometimes both of them. Applications for such technologies range
from consumer to industrial to healthcare and to military. Typically such
circuits are fabricated in today’s low-voltage CMOS processes using Silicon
and in few cases BJT-CMOS combined processes using Gallium-Arsenide or Indium-
Phosphide. These technology nodes face a plethora of problems like reduction
of dynamic range of the circuit due to mismatch, distortion, noise, thermal
and electromigration issues due to excessive current densities with shrinking
device geometries, off-state leakage currents, etc. Compounding these problems
is the issue with lower achievable gain from an amplifier which often gets
limited due to lower supply voltages in such technology nodes. Slowly circuit
techniques like chopping, cascoding, cascading and calibration are nearing
their limits. In this paper we present a radically different approach to our
regular analog design building blocks using macroscopic quantum effects which
have hitherto not found favour with the design community. We will solely focus
on the effect of superconductivity and adopting its macroscopic phenomena to
amplifiers, integrators and comparators. Using staggered superconductors we
can achieve a gain which depends only on physical quantum constants and
remains invariant under process, temperature, supply, interference, etc. This
robustness of gain in an amplifier goes a long way in attaining higher
linearity. The comparator can resolve a minimum of 2.07fT magnetic flux but
when embedded inside the $\Delta$-$\Sigma$-loop can typically attain 100 times
smaller resolution pushing the boundaries of sensing.
Keywords—Magnetic field trapping, Supercurrent density, Amplifier, Integrator,
Comparator, $\Delta$-$\Sigma$ Analog to Digital Converter, Digital to Analog
Converter, Bose-Einstein statistics, $\varepsilon$-$k$ diagram of
superconductor in external electric field.
## I Introduction
Around the the turn of the twentieth century, there were observations made
from various experiments that challenged the accepted classical notion of
particles. We have tried to leverage some of these Quantum concepts which
manifest macroscopically and adapt them to our everyday circuits like
amplifiers, integrators, ADCs, etc. A case in point being superconductivity
which exhibits macroscopic quantum effects like ”Meissner effect” and
”Magnetic flux quantization” among others.
Out of the total numbers of integrated circuits fabricated all around the
world, a overwhelming majority of them are using silicon (Si) as their base
material. A few high-speed circuits class sometimes employ Gallium-Arsenide
(GaAs) as the wafer material while a minuscule fraction uses other exotic
iii@-v@ compounds like InP, GaN, etc. These are plagued with issues like -:
* •
Mismatch amongst transistors in a particular chip and mismatch in same
transistor from chip to chip. This reduces the achievable linearity of the
circuit. Techniques to reduce this in the past have involved methods like
chopping whereby the incoming signal is shifted to higher frequencies,
processed, and downconverted back. But as evident, this will not work as our
demand for higher and higher bandwidths keep increasing the speed demanded
from these devices would also increase exponentially.
* •
Parasitic capacitances arising due to the self junction capacitance from the
device, from routing the signals over the chip, and from the load that this
device is driving. This also directly affects the operating speed of the
device and in some cases causes linearity issues. Historically designers have
got around this problem by burning more and more current in the device. But as
we chase higher compaction and miniaturization the current density increases
dramatically leading to gross failures from electromigration, device
reliability, accelerated ageing of the transistor, etc.
* •
Another big headache that designers of such circuits have to deal with is the
issue of mismatch from circuit to circuit within the same chip e.g.-: between
current sources, between amplifiers, between voltage levels, etc. To get
around these issues, people have come up with techniques like Data Weighted
Averaging (DWA), Dynamic Element Matching (DEM), Shuffling and Dithering which
basically randomizes the harmonic tones into white noise and then shape out
the excess noise from the bandwidth of interest. This method though very
effective in low-speed applications, has very little success in higher speeds
and very high resolution applications. Moreover this introduces significant
power, area and design complexity penalty.
While the above discussion shows the limits stemming from devices, we will
briefly discuss the limits caused by circuits employing those devices. The
issue of finite gain of an amplifier is by far the most limiting cause in
analog circuits. This is primarily due to the lower geometries of device
technology nodes and lower supplies. Here too in the past people have employed
techniques like multi-stage gains, cascoding in higher supply voltages, etc.
But both these methods cause significant bandwidth reduction due to multiple
poles and cannot be used for really high-speed and high-linearity
applications. Moreover this also requires expensive and complex compensation
schemes for stabilization.
A $\Delta\Sigma$-ADC can very crudely be said to work on the principle of time
averaging the outputs from a crude comparator and feeding them back with the
input after proper amplifications to make it look like a very precise
comparator. So even using two voltage levels like “+1” and “-1” we can
represent an analog input like “0.31415” with good enough precision. As will
be discussed in later sections, the proposed comparator in this paper has a
resolution of 2.07fT (femto Tesla). So employing this comparator in our
proposed $\Delta\Sigma$-ADC loop, we can theoretically detect and reliably
measure much finer fields which would have been practically impossible using
the existing conventional electronic circuits. The implications from this and
the resulting applications that can be envisaged for such state-of-the-art
solutions is wide and far-reaching. These can range from
* •
high precision scientific measurements like those required in particle
colliders, high-energy experimentations, molecular research
* •
industrial measurements and control systems like those required in industrial
automation, power-plants, equipment monitoring, control systems
* •
exploration and mapping
* •
communication systems
* •
diagnostics, healthcare and medicine, tomographic measurements, etc
* •
astronomical observations and space explorations
* •
applications in military and aerospace
With new promising research showing the existence of room temperature
superconductivity, a lot of the problems associated with cryogenic setup,
robustness and scalability can be addressed in the coming years.
The paper is arranged in six sections. In section-ii@ we will give a brief
overview of an example circuit which we are trying to replace with this
proposed approach and methods. Post that we will briefly compare the pros and
cons of the proposed method over existing ones. In section-iii@ we will try to
explain in simplest possible terms how it works and when it might not. In
section-iv@ we will delve into detailed mathematical modelling of the proposed
circuits and proceed to derive the various controlling fields like
magnetic($\vec{B}$), electric($\vec{E}$) and supercurrent
density($\vec{J^{*}_{s}}$). In section-v@ we will look at the equations
governing the Superconductor-Metal-Superconductor(SNS) junction during
transition times when we turn on/off the controlling coils. Finally in
section-vi@ we will have a limited overview of the Bose-Einstein theory
central to the working of bosons as the Cooper-pairs in superconductors are
practically Bosons. This will help us in understanding the fundamental
limitations of these proposed devices.
## II Proposed Circuits and Devices
In this section we will first introduce the variety of circuits that we are
trying to implement with our proposed technique. In the following figure we
have a classical $\Delta$-$\Sigma$ modulator Analog to Digital Converter
showing the blocks it is made up of $\rightarrow$ opamps, passives like
capacitors and resistors, comparator, feedback elements comprising either
current-steering DACs or resistive-DACs depending upon the application needs.
If the input varies really slow compared to the clocking speed of this ADC,
the ”average of the output” will track the ”average of the input”. The quality
of this tracking is primarily dependant on the collective gain of the loop-
filter preceding the comparator, the resolution of the feedback signal and the
speed with which the ADC operates.The blocks highlighted in Fig. 1 are those
which we are trying to replace with our proposed set of circuits. Typically
the same $\Delta$$\Sigma$-ADC can be implemented via a feedforward or a
feedback configuration. Here we will stick to a feedforward (CIFF)
configuration to compare the implementations.
The macroscopic superconducting phenomena that we are interested in harnessing
are best captured by Meissner effect and trapping of external magnetic field
when a material changes phase from normal to superconduting. This change can
be brought about in 3 independent ways -:
* •
Increasing the current or supercurrent density beyond the critical limit
$J_{C}$
* •
Increasing the magnetic field strength beyond $H_{C}$ in type-i@
superconductors or beyond $H_{C2}$ in type-ii@ superconductors
* •
Increasing the tempetature above the critical temperature $T_{C}$ for the
superconductor
Figure 1: A classical $\Delta$-$\Sigma$ Modulator ADC showing the basic
building blocks.
In our proposed methods we will be using the $2^{n}d$ point to selectively
bring a superconductor in and out of it superconductive phase. The other
effect that we will be using, the Meissner effect, is basically a
phenomenological observation wherein if the material in the presence of an
external magnetic flux field is cooled below $T_{C}$ then it expells the
entire magnetic field from within its enclosed volume and acts as a perfect
diamagnetic. This effect, as we will see later in the paper, is a more
fundamental property and defining feature of superconductors than the oft
quoted ”zero resistivity”. These are best explained in the following Fig. 2
To better understand why we have the flux stored we beign from Maxwell-Faraday
equation in both differential and integral forms,
$\nabla\times\vec{E}=-\frac{\partial{\vec{B}}}{\partial t}$ (1)
$\oint_{C}\vec{E}\,dl=-\frac{\partial}{\partial t}\int_{S}\vec{B}\,dS$ (2)
Let us now consider the contour shown by dotted pink contour shown at the top
of the cylinder. As seen clearly, this lies within the normal ohmic material
in case-1. Evaluating the $2^{nd}$ equation above along this contour we
conclude that the flux threading the 2-D surface enclosed by this contour must
remain constant with time. For a superconductor due to Meissner effect, the
total magnetic flux inside a superconducting cylinder is zero. Thus the
magnetic field in the free space must remain constant and the integral on the
left-hand side evaluates to zero. After the matierial moves to superconducting
phase, an induced current of superelectrons or Cooper-pairs expel the flux
inside the material. However an equal and opposite current of superelectrons
flow in the opposite direction at the inner surface of the cylinder to keep
the magnetic field constant. Now finally in case-3 when the magnetic field is
turned off then the supercurrent on the outer surface ceases to flow but by
the same logic as before, the supercurrent on the inner surface flows and the
flux density originally present externally is now trapped.
This trapped current has been verified experimentally to have a decay constant
in tens of years and thus for all practical purposes can be considered
constant in our applications where each clock cycle might last not more than a
few 100$\mu$s. Now that we have a clear way of converting the input signal,
either voltage or current, into a magnetic field and process it we can move
forward to our original plan of somehow amplifying or integrating it. This
will solve the basic functionality of the saffron coloured blocks to build a
$\Delta$-$\Sigma$ ADC.
Comparing the pros and cons of the aforementioned method, we notice without
involved calculations the following -:
* •
We can have a circuit that it totally devoid of process and voltage variations
as compared to finer geometry CMOS processes.
* •
The minimum field that can be generated and stored is of the order of
2.07fT(femto Tesla). To get ourselves and idea of how fine this distinction is
in terms of physical parameters, let us consider an example. Suppose we
fabricate a solenoid with air filled core having 1 turn per 1nm, which is a
modest number. For $\vec{B}$ to assume such low fields, we will need to send a
current of 1pA through the coil woundings to generate a field small and stable
enough to match that resolved in a SQUID (Superconducting Quantum Interference
Device, basically a sliced version of the cylinder we are considering).
* •
These proposed devices might be bulkier than an average transistor fabricated
and might be trickier to fabricate than regular CMOS as this does not favour a
planar geometry. Also they are not scalable as their CMOS counterparts.
* •
Noise will definitely favour they proposed class of circuits as they are
operating at 2 orders of temperature lower than regular CMOS. Thus its not
fair to compare thermal noise performance.
* •
However these kind of circuits are almost immune to the concept of offset and
mismatch.
In following secitons, we will concentrate more on the amplification and
transient performances. Noise will however be dealt with in Appendix-A.
Figure 2: Flux trapping in a superconductor based on Meissner effect and
classical Electrodynamics.
## III Working of the Proposed Circuits and Devices
We will begin by borrowing the concept of magnetic diffusion in a material
present in magnetic field. From electromagnetodynamics and applying Gauss’s
law of magnetic field divergence
$\nabla\times\nabla\times\vec{B}=-\nabla^{2}\vec{B}=\mu_{0}\nabla\times\vec{J}$
(3)
Applying Ohm’s Law in a conducting medium stationary with respect to a
magnetic field
$\vec{E}=\sigma\vec{J}$ (4)
rearranging which gives
$\bigg{(}\mu_{0}\sigma_{0}\frac{\partial}{\partial
t}-\nabla^{2}\bigg{)}\vec{B}=0$ (5)
Now referring to Fig. 2 suppose we have a conductor which extends to infinity
in ŷ and ẑ directions but has finite width in x̂ direction. It is placed in a
region in space with uniform $\vec{B}$ directed in ẑ given by
$\vec{B}_{ext}=Re\bigg{\\{}\vec{B}_{0z}e^{j\omega t}\bigg{\\}}$ (6)
Solving for the magnetic field inside the conductor and the circulating
surface current from the above two equations are
$\vec{B}_{int}=Re\bigg{\\{}\vec{B}_{0z}\frac{cosh(1+j)\sqrt{\frac{\omega\mu\sigma}{2}}x}{cosh(1+j)\sqrt{\frac{\omega\mu\sigma}{2}}d}e^{j\omega
t}\bigg{\\}}$ (7)
where 2d is the finite thickness of the slab in x̂, $\omega\>\mu\>\sigma$ are
properties of the material of the slab and
$\vec{J}_{circ}=Re\bigg{\\{}\sqrt{\frac{\omega\sigma}{2\mu}}\vec{B}_{0z}(1+j)\frac{sinh(1+j)\sqrt{\frac{\omega\mu\sigma}{2}}x}{sinh(1+j)\sqrt{\frac{\omega\mu\sigma}{2}}d}e^{j\omega
t}\bigg{\\}}$ (8)
However, for a superconducting medium placed in a magnetic filed lower than
$\vec{H_{C}}$ for type-i@ or $\vec{H_{C2}}$ for type-ii@ we will have a
slightly different set of equations. First of all we get a modified Ohm’s Law
for superconductors proposed by the London brothers and given by
$\vec{E}=\frac{\partial}{\partial t}(\Lambda\vec{J})$ (9)
The magnetic diffusion equation is obtained by proceeding from
$\frac{\partial}{\partial
t}\bigg{(}\nabla\times\nabla\times\vec{B}\bigg{)}=-\frac{\partial}{\partial
t}\bigg{(}\nabla^{2}\vec{B}\bigg{)}=\mu_{0}\nabla\times\frac{\partial\vec{J}}{\partial
t}$ (10)
rearranging which gives
$\bigg{(}\frac{1}{\Lambda}-\frac{\nabla^{2}}{\mu_{0}}\bigg{)}\frac{\partial}{\partial
t}\vec{B}=0$ (11)
Again assuming the same orientation of superconductor and magnetic field as
before, we arrive at
$\vec{B}_{int}=Re\bigg{\\{}\vec{B}_{0z}\frac{cosh\>x\sqrt{\frac{\mu_{0}}{\lambda}}}{cosh\>d\sqrt{\frac{\mu_{0}}{\lambda}}}e^{j\omega
t}\bigg{\\}}$ (12)
where 2d is the finite thickness of the slab in x̂, $\omega\>\mu\>\sigma$ are
properties of the superconducting material of the slab and
$\vec{J}_{circ}=Re\bigg{\\{}\frac{1}{\sqrt{\mu\lambda}}\vec{B}_{0z}\frac{sinh\>x\sqrt{\frac{\mu_{0}}{\lambda}}}{sinh\>d\sqrt{\frac{\mu_{0}}{\lambda}}}e^{j\omega
t}\bigg{\\}}$ (13)
If by design we are in such a state where the current circulating inside a
superconducting block is composed of both normal
carriers$\rightarrow$electrons and supercarriers$\rightarrow$superelectrons,
then the equation describing the complete distribution over time and length
scales is
$\Bigg{[}1-\lambda^{2}\nabla^{2}+\bigg{(}\mu\sigma\lambda^{2}-\tau_{s}\lambda^{2}\nabla^{2}+\tau_{s}\bigg{)}\frac{\partial}{\partial
t}\Bigg{]}\vec{B}=0$ (14)
where $\tau_{s}$ is the scattering time-constant of the superconductor.
However such situations will only arise when transitioning from
superconducting pahse to normal phase or vice-versa. Now we will look at how
this stored magnetic is magnified and integrated. In the Fig. 3 a cylinder is
shown contructed from a type-i@ superconductor.
Figure 3: Construction of the magnetic flux trapping and
amplifying+integrating in a superconductor.
After we reach the trapped flux state of the rightmost case in Fig. 2, the
supercurrents will circulate all over the height of the cylinder. Instead if
we selectively make some regions of the superconducting annulus ohmic and only
a part as superconducting, this circulating current will be limited to only
those portions which are in superconducting phase. This can be achieved by
sending currents into the coils shown by ✕ and ⚫ which generate an axial
magnetic field through the superconductor strong enough to break the Cooper-
pairs and convert the superconductor locally under the coil into normal ohmic
metal. Thus the trapped magnetic flux will be maintained by circulating
supercurrents flowing in only certain section of the superconductor. The
supercurrent can be given by the following approximate solenoidal relation
$\vec{B}_{trapped}=\mu nI_{S}$ (15)
which is not valid at the upper and lower edges of the cylinder due to
fringing. Here n is the effective turns per unit length and $I_{S}$ is the
total supercurrent flowing in the part which is still superconducting. Now let
us focus on a small section of Fig. 3 consisting of two windings separated by
a grounded metal shielding with the superconducting material enclosed within.
Let us also consider that only the top coil is carrying a current such that
the portion enclosed by it is not superconducting whereas the lower coil is
not carrying any current and thus the portion enclosed by it is still
superconducting. After establishing such a condition, if we go through the
steps shown in Fig. 2, the circulating supercurrent will be flowing only in
the lower portion which is still superconducting and not the upper part. Then
if we stop the current in the upper coil, the material enclosed will return to
the normal superconducting state and the supercurrent will spread over twice
the original area to satify the Maxwell-Faraday equation
${\sum_{1}^{S}}\oint_{C}\vec{E}\,dl={\sum_{1}^{S}}\Bigg{[}-\frac{\partial}{\partial
t}\int_{S}\vec{B}\,dS\Bigg{]}$ (16)
with the difference being, here we consider miltiple closed contours in the
void enclosed by the total cylinder defining a surface through which the
magnetic field needs to be constant. Here S is the number of contours we are
considering in the given space between end to end of two coils; the answer
remains the same independent of the number of such contours chosen. Thus
evidently we see that after turning on both the coils, the supercurrent
density ($\vec{J}_{S}$) becomes almost half but the supercurrent
($\vec{I}_{S}$) remains the same. Next if we turn the current through the
lower coil only thus making the lower portion of the enclosed material normal,
the supercurrent will flow only in the upper portion where the material is
still superconducting. By doing this whole sequence of turning on/off
sequentially each coils we are able to bring such ”discs” of superconducting
currents into the same horizontal plane. Because the current flowing in each
strand of superconductor is bounded on either sides and not have dissipated,
we now have an amplification of the trapped magnetic field by a factor
$\bf{N}_{\bf{SC}}^{\bf{*}}$ where $\bf{N}_{\bf{SC}}^{\bf{*}}$ is the number of
stacks of coil that can be manufactured into the same cylinder. Based on our
working knowledge of the device developed so far we can readily draw the
following conclusions on the amplification factor $\bf{N}_{\bf{SC}}^{\bf{*}}$
-:
* •
We can have an amplification factor that is totally independent of fabrication
imperfections like process, mismatch, gradients, etc.
* •
We will see in later sections that this factor depends on only quantum
mechanical and thermodynamic constants thus making it robust.
* •
In classical CMOS circuits we could achieve higher gains by cascading
amplifier stages and increasing headroom. However this posed a stability issue
as most of the times such structure were operated in closed loop. With our
proposed structure we are not bound by stability and can theoretically cascade
such structures to resemble a distributed amplifier with high enough gain.
* •
Also this amplification factor does not have any low frequency problems like
drift which plague classical CMOS circuits.
If this device is to be used as an amplifier, we can do so easily by placing a
SQUID on top of the cylinder but isolated from it electromagnetically. So once
one set of amplificaiton sequence is done, the resultant magnetic field is
stored in the SQUID. The cylinder is reset and next amplification sequence
begins. Post that the resultant magnetic field is again added to the SQUID and
the overall device performs like an integrator with gain. For more detailed
description of how a SQUID functions, one can refer to the books mentioned in
reference section. Thus we have formulated a replacement of those building
blocks marked in saffron in Fig. 1. The feedback digital-to-analog converter
block shown in the same figure can also implemented by passing the reference
current through a solenoid as can be done with the input current too. The only
blocks that are now left are the comparator and the feedback-DAC which will be
taken up in the last section.
Shown below is a similar construction of the cylinder but with a type-ii@
superconductor which shows the formation of vortices for fields higher than
first critical field.
Figure 4: Presence of Abrikosov vortices in type-ii@ superconductor for
magnetic fields higher than HC1$<$HEXT$<$HC2.
Here too the same mathematics and arguments hold as would in the case of a
type-i@ superconductor discussed previously in this section.
There remains one final thing in this section, applicable to both type-i@ and
type-ii@ superconductors, which expresses the magnetic field trapped inside a
superconductor is quantized. This is not true for any normal fields generated
by a current carrying wire or a solenoid; those can assume any particular
value but not the trapped field inside a superconductor. This concept of
quantization is foreign to the classical domain and we will need to invoke
quantum electromagnetics to explain it. Consider the following Schrödinger
wave equation in the simplest form
$i\hbar\frac{\partial\psi}{\partial
t}=-\frac{\hbar^{2}}{2m}\nabla^{2}\psi+V(x,y,z,t)\psi$ (17)
We define a probability density of the aforementioned wavefunction $\psi$ as
$\frac{\partial\rho_{S}}{\partial t}=-\nabla J_{\rho}$ (18)
where $\rho_{S}$ is the given by $\left|\psi^{2}\right|$ as defined by Born to
be the probability of finding the particle at a given location and a given
time, $J_{\rho}$ is the associated probability current of the particle/s
described by $\psi$. Thus $J_{\rho}$ is given by
$J_{\rho}=-\frac{\hbar}{2im}(\psi^{*}\nabla\psi-\psi\nabla\psi^{*})=Re\bigg{\\{}\psi^{*}\frac{\hbar}{im}\nabla\psi\bigg{\\}}$
(19)
In our specific case involving the motion of charged particle in electric and
magnetic fields, we need to find a quantum-mechanical version of the classical
Lorentz’s Force law which can be readily obtained from textbooks dealing in
such given by the following classical and quantum-mechanical versions
$m\frac{d\vec{v}}{dt}=q\\{\vec{E}+(\vec{v}\times\vec{B})\\}$ (20)
$i\hbar\frac{\partial\psi}{\partial
t}=-\frac{1}{2m}\bigg{[}\frac{\hbar}{i}\nabla-
qA(x,y,z,t)\bigg{]}^{2}\psi+q\phi(x,y,z,t)\psi$ (21)
For such a wavefunction $\psi$ the probability current is given by
$J_{\rho}=Re\bigg{\\{}\psi^{*}\bigg{[}\frac{\hbar}{im}\nabla-\frac{qA(x,y,z,t)}{m}\bigg{]}\psi\bigg{\\}}$
(22)
If we define the constituents of the supercurrent using an overall macroscopic
many-body wavefunction instead of individual wavefunctions for wach
superelectons
$\Psi(x,y,z,t)=\sqrt{n^{*}(x,y,z,t)}e^{i\theta(x,y,z,t)}$ (23)
where $n^{*}(x,y,z,t)$ is the local density of superelectrons. Using this
expression for $\Psi$ in the previous equation, we get
$J_{S}^{*}=q^{*}n^{*}(x,y,z,t)\bigg{[}\frac{\hbar}{m^{*}}\nabla\theta(x,y,z,t)-\frac{q^{*}}{m^{*}}A(x,y,z,t)\bigg{]}$
(24)
where the term in the brackets is the effective superelectrons velocity. After
rearranging and some algebraic manipulations we get
$\Lambda
J_{S}^{*}=-\bigg{[}A(x,y,z,t)-\frac{\hbar}{q^{*}}\nabla\theta(x,y,z,t)\bigg{]}$
(25)
Remembering the definition of magnetic vector potential and electric potential
$\vec{B}=\nabla\times\vec{A},\;\;\;\;\;\vec{E}=-\nabla\phi-\frac{\partial\vec{A}}{\partial
t}$ (26)
Integrating the prior equation we get
$\oint_{C}(\Lambda\vec{J}_{S}^{*}).\vec{dl}+\int_{S}\vec{B}\vec{dS}=\frac{\hbar}{q^{*}}\oint_{C}\nabla\theta.\vec{dl}$
(27)
In general integration of a spatial derivative over a countour is simply given
by
$\int_{r_{a}}^{r_{b}}\nabla\theta.\vec{dl}=\theta(r_{b},t)-\theta(r_{a},t)$
(28)
if $r_{a}$$\rightarrow$$r_{b}$ such that a closed contour is traced the the
integral evaluates to zero. However if the function $\theta$ is composed of a
particular and a general solution given by
$\theta(x,y,z,t)=\theta_{P}(x,y,z,t)+2\pi n$ where n is an integer, then the
solution to the above integral is just $2\pi n$. Thus we see that the RHS of
the integration gives $\frac{hn}{q_{S}^{*}}$ which shows that the magnetic
field flux trapped inside the hollow of our superconducting cylinder is indeed
quantized by $\phi_{0}=\frac{h}{q_{S}^{*}}$ = 2.0706 femto-
Tesla$\cdot$$m^{2}$.
To get an idea about the speed and performance comparison of the proposed
device and its classical counterpart let us assume that we have a
superconducting cylinder as shown in Fig. 3 wherein by construction we have
$N_{AMP}$ rings. Also let us assume that the magentic field trapped inside the
superconducting cylinder needs a finite settling time based on the mobility of
the Cooper-pairs among other things $\rightarrow$ $\tau_{cooper}$. In any
typical sampled system the time taken to settle to $\frac{1}{2}$LSB accuracy
for a “N-bits” system is
$t_{settle}=\tau_{RC}(N+1)\ln(2)$ (29)
where R and C are the total path resistance and sampling capacitor of the
network. So for a 22-bit linearity system we would require about 16 time
constants. Assuming the engaging and disengaging of each of the E-coils takes
$\tau_{E-coil}$, we would need a total time for the settling of the integrator
around
$\mathbf{t_{settle}^{*}}=\bigg{[}16\tau_{cooper}\ln(2)\bigg{]}+\bigg{[}(2N_{AMP}+1)\times\tau_{E-coil}\bigg{]}$
(30)
For the purpose of illustration, we note that the $2^{nd}$-term in the above
equation dominates. Assuming the digital standard-logic gates are in lower
technology nodes, we can roughly conclude the settling-time to be of the order
of 20 nano-seconds. If the same was to be achieved using a classical CMOS
opamp based discrete time integrator with a transfer function
$A_{V}=\frac{C_{i}}{C_{f}}\bigg{(}\frac{z^{-1}}{1-z^{-1}}\bigg{)}$ (31)
we would require to burn about 1.12mA in just the input differential-pair to
meet 20ns settling time across corner and temperature assuming C=2pF and
feedback factor $\beta=\frac{1}{10}$. Whereas in the proposed device it would
primarily be the current required by the digital control gates driving the
E-coils. Overall current benefits would be compared with existing ADC
architectures in table-i@.
For those conversant in the art of electronic circuit design, the arangement
shown in Fig. 5. The operation can be easily understood by following the
outlined steps.
Figure 5: A typical arrangement showing the amplifier with an input coil.
* •
We begin by turning $E_{COIL}$[1:4] on and the input solenoid also on.
* •
Thing to note here is that $B_{IN}$ has to be lower than the absolute magnetic
field of the superconductor material.
* •
Next, only $E_{COIL}$[1] and $E_{COIL}$[4] are turned off, transitioning the
respective segments into superconducting regions. Rest remain in ohmic
regions.
* •
Now the input solenoid is turned off making $B_{IN}$=0.
* •
The magnetic field information of $B_{IN}$ is stored by the circulating
supercurrent inside the superconducting segments 1 and 4.
* •
Next keeping $E_{COIL}$[3] on, we turn off $E_{COIL}$[2] thus allowing the
current a larger cross-section to flow or circulate.
* •
Finally we turn on $E_{COIL}$[1] restricting the two concentric superconductor
currents in segments 2 and 4. Thus we can magnify $B_{IN}$ by a factor of two.
Another probable method of implementing a gain stage is shown below in Fig. 6
Figure 6: Arrangement showing the storage of magnetic flux in connected
superconducting coils.
The time derivative of the total flux linked by the coils will be constant as
we will show below. Consider we begin with the loops being in normal ohmic
state which can be easily done using the E-coil arrangement shown in the prior
amplifiers. This makes sure that the flux incident on the loops do penetrate
through. After the flux threading the loops settle with a time constant, the
loops are again brought back to superconducting state using the same E-coil
action. The total circulating current $i_{CIRC}$ is given by
$\frac{d}{dt}(\lambda_{1}+\lambda_{2})=-i_{CIRC}\times R_{LOOP}$ (32)
But due to the perfect conduction properties, the electric field inside the
superconductor is zero ($\vec{E}=0$) and thus $R_{LOOP}$ is also zero. This
means the total incident flux at the time instant when the loop became
superconducting is now “sampled” or “frozen”. This idea is now extended to
multiple such loops or woundings shown in Fig. 7. Initially all the coils are
exposed to the external magnetic field $\vec{B}_{IN}$ which leads us to the
following equation
$N\lambda_{0}+(N-1)i+L_{AMP}i_{AMP-I}=k$ (33)
where $\lambda_{0}$ is $\vec{B}_{IN}\times A_{loop}$ and k is a constant. Next
we reduce the magnetic fields to the loops from $\vec{B}_{IN}$ to
$\epsilon\vec{B}_{IN}$. The loops or inductors being linear, the current in
them would also scale down by the same amount i.e. from $i$ to $\epsilon i$.
Hence we would have
$N\epsilon\lambda_{0}+(N-1)\epsilon i+L_{AMP}i_{AMP-F}=k$ (34)
From the above two equations we get
$\Delta\lambda_{AMP}=\lambda_{AMP-F}-\lambda_{AMP-I}=N(1-\epsilon)\lambda_{0}+(N-1)(1-\epsilon)Li$
(35)
The first term gives us the amplification of input flux while the second term
can be easily calibrated out using digital post-processing. Typically the
fields would be turned off in an application which would lead to $\epsilon=0$.
In other words when the flux inside the inductors [$L_{1}\rightarrow L_{N}$]
are simultaneously changed, the flux through $L_{amplify}$ changes in such a
fashion that the initial flux given by $B_{IN}\times A_{loop}$ remains
constant. In other words, if the net flux through [$L_{1}\rightarrow L_{N}$]
are made zero, we can get a amplified field through the $L_{amplify}$
inductor. The inductors can be easily laid out in a fashion similar to the
ones shown in the previous amplifiers.
Figure 7: Arrangement showing multiple coils whose stored flux can be made to
move to the amplifying coil creating higher magnetic flux.
## IV Transient control of Superconductivity through controlling coils
In this section we will look at the transient behaviour of the device when the
current carrying coils are sequentially turned on or off to control
superconductivity. From here onwards we will refer to these coils as E-coils.
Shown below are the strengths of external magnetic fields beyond which a
type-i@ superconductor becomes normal ($H_{C1}$ or $H_{C}$) and beyond which a
type-ii@ superconductor becomes normal ($H_{C2}$). The E-coils when energized
locally make the superconductor back to normal by this action of higher
magnetic field passing through them. Following figure shows the temperature
dependence of these critical field limits on temperature.
Figure 8: Variation of critical fields in type-i@ and type-ii@ superconductors
with temperature.
So our current problem becomes that of modelling the “normal-superconducting”
junction. To do so we turn to the Hartree-Fock method of approximation of the
many-body wavefunction by a permanent in case of bosons (as our current case).
To cope with the problems which arise when the superconducting order parameter
varies spatially like in the case of a junction or a vortex, physicists have
used the Bogoliubov-de Gennes equations which extend the Hartree-Fock
equations to include the rairing potential $\Delta$($\vec{x}$) as well as the
ordinary magnetic and non-magnetic potentials. However, before we begin, we
would like to briefly describe the carrier concentration on either side of the
junction. This is described using the following figure.
Figure 9: Energy-position diagram showing the desity of states and carrier
concentration and band occupation on both sides of the junction for
temperatures greater than 0K but less than $T_{C}$.
Here the electrons can tunnel from the normal side to the superconducting side
to available energy-states in two ways. First is the normal mode where the
thermally excited electons move to the density of states which are $\Delta$
above the Fermi-energy. Notice the bandgap-kind of structure characteristic of
a semiconductor; difference being the denisty of states N(E) does not diverge
near the bandgap, instead is follows a parabolic increase with energy. These
electrons having much higher energy than the normal Cooper-pairs do not take
part in the circulating supercurrent and thus do not affect the magnitude of
the trapped magnetic field. Second and more important mode are when the lower
energy electrons below $E_{F}$-$\Delta$ tunnel to the superconducting side. An
electron propagating in such a way experiences a special type of scattering
called Andreev reflection wherein a hole is reflected into the metal with
opposite momentum and a Cooper-pair is generated in the superconductor side.
The Bogoliubov-de Gennes equations for the motion of particles in “normal-
superconducting” interface become:
$\begin{split}-\frac{\hbar^{2}}{2m}\bigg{(}\nabla-\frac{ie}{\hbar
c}\vec{A}\bigg{)}^{2}\\!\vec{u}\;+\;[\vec{U_{ex}}-E_{F}]\vec{u}\;+\;\vec{\Delta}\vec{v}=\epsilon\vec{u}\end{split}$
(36) $\begin{split}\frac{\hbar^{2}}{2m}\bigg{(}\nabla+\frac{ie}{\hbar
c}\vec{A}\bigg{)}^{2}\\!\vec{v}\;-\;[\vec{U_{ex}}-E_{F}]\vec{v}\;+\;\vec{\Delta^{*}}\vec{u}=\epsilon\vec{v}\end{split}$
(37)
Considering the system to be uniform in $\hat{y}$ and $\hat{z}$ directions we
can define u and v as
$\begin{bmatrix}\vec{u}\\\
\vec{v}\end{bmatrix}=e^{ik_{y}\hat{y}+ik_{z}\hat{z}}\begin{bmatrix}u(x)\\\
v(x)\end{bmatrix}$ (38)
Inserting them into the BdG equations, assuming anisotropic $\Delta$ with no
surface imperfections or barriers, we get
$\bigg{[}-\frac{\hbar^{2}}{2m}\frac{d^{2}}{dx^{2}}-E_{x}\bigg{]}u_{x}+\Delta
v_{x}=\epsilon u_{x}$ (39)
$-\bigg{[}-\frac{\hbar^{2}}{2m}\frac{d^{2}}{dx^{2}}-E_{x}\bigg{]}v_{x}+\Delta^{*}u_{x}=\epsilon
v_{x}$ (40)
where
$E_{x}=E_{F}-\frac{\hbar^{2}(k_{y}^{2}+k_{x}^{2})}{2m}$ (41)
and the momentum vector traces out a sphere in momentum space. At the boundary
the wavefunctions u, v and their derivatives u’, v’ must be continuous given
by
$\begin{bmatrix}u_{x}(0)\\\
v_{x}(0)\end{bmatrix}_{normal}=\begin{bmatrix}u_{x}(0)\\\
v_{x}(0)\end{bmatrix}_{superconducter}$ (42)
$\begin{bmatrix}u^{\prime}_{x}(0)\\\
v^{\prime}_{x}(0)\end{bmatrix}_{normal}=\begin{bmatrix}u^{\prime}_{x}(0)\\\
v^{\prime}_{x}(0)\end{bmatrix}_{superconducter}$ (43)
For the sake of keeping it concise we will state the results from the above
equations directly for the four use cases of when an electron or a hole is
incident on the interface from the normal or the superconducting side. For a
particle excitation with energy $\epsilon>\Delta$:
$\begin{bmatrix}u_{x}\\\
v_{x}\end{bmatrix}_{normal}=e^{ik_{x}^{N_{x}}}\begin{bmatrix}1\\\
0\end{bmatrix}+\frac{U}{V}e^{ik_{x}^{-N_{x}}}\begin{bmatrix}0\\\
1\end{bmatrix}$ (44) $\begin{bmatrix}u_{x}\\\
v_{x}\end{bmatrix}_{superconductor}=\frac{1}{U}e^{ik_{s}^{N_{s}}}\begin{bmatrix}U\\\
V\end{bmatrix}$ (45)
here U and V are called the coherence factors in a uniform superconductor
given by
$\begin{split}U=\frac{1}{\sqrt{2}}\bigg{(}1+\frac{\sqrt{\epsilon^{2}-\Delta^{2}}}{\epsilon}\bigg{)}^{\frac{1}{2}}\\\
V=\frac{1}{\sqrt{2}}\bigg{(}1-\frac{\sqrt{\epsilon^{2}-\Delta^{2}}}{\epsilon}\bigg{)}^{\frac{1}{2}}\end{split}$
(46)
For the case when the particle excitation with energy $\epsilon<\Delta$ is
incident on the interface, the same equations for $u_{x}$ and $v_{x}$ are
still valid but U and V are modified:
$\begin{split}U=\frac{1}{\sqrt{2}}\bigg{(}1+i\frac{\sqrt{\Delta^{2}-\epsilon^{2}}}{\epsilon}\bigg{)}^{\frac{1}{2}}\\\
V=\frac{1}{\sqrt{2}}\bigg{(}1-i\frac{\sqrt{\Delta^{2}-\epsilon^{2}}}{\epsilon}\bigg{)}^{\frac{1}{2}}\end{split}$
(47)
From the above equations we come to the following conclusions for the four
cases of incidence -:
* •
If the incident particle is an electron from the metal side then its anti-
particle (hole) is Andreev reflected back into the metal while a similar
particle (an electron) is generated in the superconductor and moves in the
transmission direction. There are no specular refelction components.
* •
If the incident particle is a hole from the metal side then its anti-particle
(electron) is Andreev reflected back into the metal while a similar particle
(a hole) is generated in the superconductor and moves in the transmission
direction. Here too there are no specular refelction components.
* •
If the incident particle is an electron with energy $\epsilon<\Delta$ from the
metal side then its anti-particle (hole) is Andreev reflected back into the
metal while a Cooper-pair is generated in the superconductor and moves in the
transmission direction with no specular refelction components.
The contribution of this effect to noise in the circulating supercurrent
inside the superconductor will be taken up in Appendix-A. We will now end this
section with the derivation of currents originating from the above tunnelling
process.
Considering we have particle and hole excitations given by something similar
to eqn(31) and inserting them into the first BdG equation would give
$\begin{split}-\frac{\hbar^{2}k_{F}}{2m}\bigg{[}2i\vec{k}\nabla
U(x)+\frac{2e}{\hbar c}\vec{k}\vec{A}U(x)-\frac{2ie}{\hbar
k_{F}c}\vec{A}\nabla U(x)\\\
-\frac{e^{2}}{k_{F}\hbar^{2}c^{2}}\vec{A}^{2}U(x)+\frac{1}{k_{F}}\nabla^{2}U(x)\bigg{]}=\epsilon
U(x)\end{split}$ (48)
Using the approximations $\frac{1}{k_{K}}\approx a_{0}$ (the de Broglie
wavelength associated with inter-atomic distance), $\nabla U(x)\approx
U(x)/\xi$, $\nabla^{2}U(x)\approx U(x)/\xi^{2}$, $e\vec{A}/(\hbar c)\approx
1/\xi$ and $\vec{(}A)\approx\frac{\hbar c}{e\xi}$ we can neglect the last
three terms in the bracket obtaining the Andreev equations:
$-i\hbar v_{F}\bigg{(}\nabla-\frac{ie}{\hbar c}\vec{A}\bigg{)}U+\Delta
V=\epsilon U$ (49) $i\hbar v_{F}\bigg{(}\nabla+\frac{ie}{\hbar
c}\vec{A}\bigg{)}V+\Delta^{*}U=\epsilon V$ (50)
The solution to this in the normal region where $\Delta$ is zero would give
$\begin{bmatrix}u_{x}\\\
v_{x}\end{bmatrix}_{normal}=\begin{bmatrix}a_{0}e^{i(\frac{\epsilon}{\hbar
v_{F}}+\frac{e\vec{A}}{\hbar c})x}\\\ a_{1}e^{-i(\frac{\epsilon}{\hbar
v_{F}}+\frac{e\vec{A}}{\hbar c})x}\end{bmatrix}$ (51)
where $a_{0}$ and $a_{1}$ are constants of integration. Now the quantum
supercurrent flowing through the normal-superconductor junction would have a
supercurrent density given by
$\mathbf{J_{S}^{*}}=\frac{e}{m}{\sum_{n}}\bigg{[}f(\epsilon_{n})(u_{n}^{*}\hat{p}u_{n}+u_{n}\hat{p}^{\dagger}u_{n}^{*})+(1-f(\epsilon_{n}))(v_{n}\hat{p}v_{n}^{*}+v_{n}^{*}\hat{p}^{\dagger}v_{n})\bigg{]}$
(52)
summed over n quantum states, $f(\epsilon)$ is the Fermi-Dirac distribution
and $\hat{p}$ is the canonical momentum operator. Finally, skipping the
rigorous mathematics and jumping directly to the result for long junctions
gives
$\begin{split}\mathbf{I_{SN}^{*}}&=\frac{2eSv_{F}k_{F}^{2}}{\pi^{2}d}e^{-d/\xi_{N}}sin\phi\\\
&=\frac{4\hbar N(0)v_{F}^{2}eS}{d}e^{-d/\xi_{N}}sin\phi\\\ &=\frac{16\hbar
v_{F}}{2edR_{SH}}e^{-d/\xi_{N}}sin\phi\\\ \end{split}$ (53)
where $R_{SH}$ is the resistance coming from the normal-superconductor
contact, $\xi_{N}=\frac{\hbar v_{F}}{2\pi k_{B}T}$ gives the distance over
which the superelectrons’ current decays into the normal metal.
## V Bose-Einstein statistics in presence of external Electromagnetic fields
In this section we will relook at the seminal Bose-Einstein statistics for
bosons in an interacting electromagnetic field which in our case are the
Cooper pairs.
$<<$This is still a work in progress and will be added when the underlying
mathematics is on a firmer footing.$>>$
## VI Comparator and Feedback-DAC Design
The comparator can be a superconducting ring made of the same material as that
used for the amplifier-integrators in the loop-filter. A planar 2-D surface
encompassing the total cross-sectional area of the amplifying+integrating
cylinders can be designed and placed at the same axis as the cylinders
themselves.
Figure 10: Construction of the comparator.
While the loop-filter is integrating, the comparator superconducting loop can
be made to be in a normal mode either by the action of suitably placed E-coils
or by pumping a current more than the critical current $I_{C}$ such that
superconductivity is lost. Later on after the loop-filter is finished with the
amplification of the current cycle, the comparator loops can be allowed to
regain superconductivity. It is at this time that the final output of the
loop-filter is stored in the comparator loops by the differential circulating
currents depending upon the magnetic field. From Biot–Savart law we have the
magnetic field at the center of the loop as
$\frac{|I_{1}-I_{2}|}{2}=\frac{\pi L\vec{B}_{LF}}{2\sqrt{2}\mu_{0}}$ (54)
where $L$ denotes the lenght of one side of the comparator loops and
$\vec{B}_{LF}$ is the output magnetic field from the loop-filter. The
difference in current in the two arms is to maintain the resultant magnetic
field.
Figure 11: Resultant magnetic field inside the comparator loop during normal
operation (i@) and when saturated (ii@).
From this relation we can easily conclude a few facts
* •
The maximum magnetic-field that the comparator loop can detect without
overload is when it is so strong that the entire bias current for the
comparator loop is diverted to one side.
* •
The minimum magnetic field that can be detected reliably would be the single
quanta of magnetic flux given by $B_{LSB}=\frac{h}{2eL^{2}}$.
* •
“$I_{1}+I_{2}$” shown in Fig.10 can be noisy and crude as any noise on them
will act as a common-mode noise and what finally matters is the circulating
current stored in the loop.
* •
As a comparison with classical circuits, to store a field of 2.07fT we would
need a LSB current resolution of less than 5pA circulating in a loop of
100$\mu$m radius which is too fine by a long shot for existing converters.
This same differential current is made to flow through another square loop at
the other end of the loop-filter which basically acts as the feedback, recall
Fig. 1 from earlier in this paper. The vertical running lines which carry the
currents $I_{1}$ and $I_{2}$ to the input side of the loop-filter would not
interfere with the existing supercurrent loops due to magnetic field screening
from Meissner effect. Thus comes forth another benefit of utilizing the
internally circulating supercurrent rings.
Also a careful look at the structure would yield that the comparator alongwith
the feedback is effectively working as a multibit system without any extra
budgeting for randomizing the mismatch in feedback elements. Methods involving
the budgeting and removal of mismatch in feedback elements of classical
$\Delta\Sigma$-ADCs be it continuous-time or discrete-time consume a huge
amount of designer’s time, effort not to mention the area and power penalties.
This also to some extent limits the speed in the continuous-time versions of
these ADCs as compensation of the excess loop delays from randomizing and
shaping this mismatch consumes clock time. The step-size of this quantizer is
thus given by whatever $\Delta I$ can store $B_{LSB}$ in the loop.
The number of steps in the quantizer is obtained using the same Biot–Savart
law as follows
$B_{LF-max}=\frac{\sqrt{2}\mu_{0}I}{\pi L}$ (55) $B_{LF-
min}=\frac{h}{2eL^{2}}$ (56) $N_{Lev}=\frac{B_{LF-max}}{B_{LF-
min}}=\frac{2\sqrt{2}\mu_{0}eLI}{\pi h}$ (57)
where $I=\frac{I_{1}+I_{2}}{2}$. If we have a square loop with each side being
200$\mu$m and $I=9.371mA$ then $N_{Lev}=512$.
TABLE I: Comparison with existing ADC types published in journals Performance Metric | [8] | [9] | [10] | This Work
---|---|---|---|---
Type | Continuous-Time | Discrete-Time | Precision | Using proposed
| $\Delta-\Sigma$ ADC | $\Delta-\Sigma$ADC | SAR ADC | Devices and Circuits
SNDR | 107dB | 105.3dB | 96.2dB | With comparable power numbers can target $\geq$135dB
Bandwidth | 391.5KHz | 125KHz | 175KHz | Can be $\geq$1MHz depending on speed of digital logic
Area111 | N/A | N/A | N/A | Will heavily depend on fabrication methods
Power222 | 126mW | 34mW | 44mW | $I_{Q}$ of comparator-loop, input-drivers, digital logic
Supplies | 3.3V, 1.8V, 1.1V | 5V, 2V, 1.1V | 5V, 1.8V, 1.1V | Will need just one supply
$\vec{E}$ and $\vec{B}$ shielding | No | No | No | Yes
$Area^{1}$ Exact die not available, package dimensions reliable metric |
$Power^{2}$ Multiple supply domains for Analog, Digital and I/O blocks |
## VII Conclusion
In this paper we have presented a device which leverages the macroscopic
quantum phenomena of superconductivity to modify the existent building blocks
of complex electonic circuits. For the sake of brevity we have jumped detailed
derivations to draw conclusions from the final results which give us an
indication of the performance metrics that can be extracted and fabrication
challenges we might face.
## APPENDIX
## Appendix-A : Noise calculations
We will begin by a very brief review of the flicker noise process occuring in
MOSFETs. A typical n-type MOSFET is shown in the diagram below.
Figure 12: A typical n-type MOSFET device showing one of the origins of
flicker noise from the traps in silicon and oxide interface. Also shown is a
typical variation of a power law phenomenon.
An analogy can be drawn from the burst-noise which occurs when a trap or
impurity catches a charge carrier and then releases it after some relaxation
time $\tau_{1}$. The auto-correlation function and power spectral density are
given by
$R_{XX}(\tau)=R_{XX}(0)e^{-\frac{|\tau|}{\tau_{1}}}$ (I.1)
$S_{X}(\omega)=\frac{4R_{XX}(0)\tau_{1}}{1+(\tau_{1}\omega)^{2}}$ (I.2)
Flicker noise can be considered as an assortment of many such trapping and
releasing events by impurities, lattice defects, interface defects, etc with
the last one being the dominant in modern MOSFETs. Also shown in the above
diagram is the power law of statistics which in our specific case can be
formulated to state that the events with lower disruptive potential occur more
than those with higher disruptive potential. This tells us that if we have a
very clean semiconductor sample, the bulk of trapping-releasing activites
would be from the silicon and oxide interface. These will have lowest
relaxation times followed by those caused by lattice defects. Thus we can
conclude that the number of such events would be inversely proportional to the
magnitude and the relaxation time $\tau_{1}$. Integrating over all such
processes to get the final power spectral density of the flicker noise
$\begin{split}S_{X}(\omega)&=\int_{\tau_{1}}^{\tau_{2}}N(\tau)\frac{4R_{XX}(0)\tau}{1+(\tau\omega)^{2}}d\tau\\\
&=\int_{\tau_{1}}^{\tau_{2}}\frac{k}{\tau}\frac{4R_{XX}(0)\tau}{1+(\tau\omega)^{2}}d\tau\\\
&=\frac{k^{{}^{\prime}}}{\omega}\int_{\omega\tau_{1}}^{\omega\tau_{2}}\frac{d(\omega\tau)}{1+(\tau\omega)^{2}}\\\
&=\frac{k^{{}^{\prime}}}{\omega}\bigg{[}tan^{-1}(\omega\tau_{2})-tan^{-1}(\omega\tau_{1})\bigg{]}\\\
\end{split}$ (I.3)
This is the typical inverse dependence on frequency seen for flicker noise. In
the case of the device proposed in the earlier sections, the trapping and
releasing action seen at the oxide interface in MOSFETs is absent as the total
cylinder is made up of the same material with some portions in superconducting
mode while some in normal mode due to the action of the E-coils. However those
due to impurity atoms or lattice imperfections will still be present. Thus
overall compared to its classical couterparts, the proposed device will
exhibit much lower flicker noise power spectral density.
In the case of thermal nosie, we will begin by considering the case of the
normal resistor or a metal wire. Here the random but zero-mean ($\mu$ = 0)
voltage at the two terminals is primarily due to the scattering of electrons
in the three dimensional gas moving in Brownian motion. The scattering is a
function of the mean velocity of the electrons and are thus dependent on the
temperature.
Figure 13: Cartesian to cylindrical co-ordinate transformation.
Consider an electron travelling towards any one of the terminals of the
resistor or wire. If there is a scattering event in any $\vec{x}$ or $\vec{y}$
or $\vec{z}$ directions, then we would notice a change in the voltage measured
across the terminals. Or in other words, all the three directions of
scattering would lead to an overall noise.
In the case of the device proposed, we would shift to the cylindrical
coordinates system instead of the cartesian coordinate for ease without any
loss of generality. Here since the information is stored in form of the
trapped magnetic field due to the circulating supercurrent, any fluctuations
in the supercurrent would lead to a noise. Let us consider a Cooper-pair which
is travelling along the concentric region inside the superconductor then
slight variations in its $\vec{z}$ due to scattering from impurities would not
matter. Also any variations in the $\vec{r}$ also would not impact the stored
magnetic field. The only scattering direction that will cause a change in the
magnetic field would be a variation in $\vec{\phi}$ direction. Thus we can say
that only one out of the three degrees of freedom for scattering would impact
our stored magnetic field. Or in other words this superconducting device is
three times more robust than its classical counterpart MOSFETs. The normal to
superconductor tunnelling current $I_{SN}$ would however contribute to
additional noise. The spectral characteristics of it is unknown at this point.
## Appendix-B : Errors from traps and impurities
In this portion we would consider the effects of non-magnetic impurities which
are weak disorders and do not cause the eigenstates of the single particle
Hamiltonian to be localized in space. Let us consider the Bogoliubov–de Gennes
equations -:
$\bigg{[}-\frac{\hbar^{2}}{2m}\nabla^{2}-\mu+U(\vec{r})\bigg{]}u(\vec{r})+\Delta
v(\vec{r})=\epsilon u(\vec{r})$ (II.1)
and
$-\bigg{[}-\frac{\hbar^{2}}{2m}\nabla^{2}-\mu+U(\vec{r})\bigg{]}v(\vec{r})+\Delta^{*}u(\vec{r})=\epsilon
v(\vec{r})$ (II.2)
Here U($\vec{r}$) describes the electrostatic potential due to the impurity.
Now consider a single-electron wavefunction of a normal metal $w_{n}(\vec{r})$
that satisfies
$\bigg{[}-\frac{\hbar^{2}}{2m}\nabla^{2}-\mu+U(\vec{r})\bigg{]}w_{n}(\vec{r})=\xi_{n}w_{n}(\vec{r})$
(II.3)
With $\Delta$ being a constant, we have
$\displaystyle u_{n}(\vec{r})=w_{n}(\vec{r})U_{n}$ (II.4) $\displaystyle
v_{n}(\vec{r})=w_{n}(\vec{r})V_{n}$ (II.5)
where the coherence factors and the energy spectrum is given by
$|U_{n}|^{2}=\frac{1}{2}\bigg{(}1+\frac{\xi_{n}}{\epsilon_{n}}\bigg{)}$ (II.6)
$|V_{n}|^{2}=\frac{1}{2}\bigg{(}1-\frac{\xi_{n}}{\epsilon_{n}}\bigg{)}$ (II.7)
$\epsilon_{n}=\sqrt{\xi_{n}^{2}+|\Delta|^{2}}$ (II.8)
Thus we see that if the elastic scattering mean free path is more than the
coherence length inside the superconductor and the desity of states is not
significantly affected by the disorder parameter then we reach the same
$\Delta$ and $T_{C}$ as obtained by the BCS theory.
However, if we have a magnetic impurity scattering which leads to spin-flips
of the Cooper-pair it can result in loss of superelecton density. Thus
depending on the impurity atom’s size and denisty of such impurities
throughout the superconductor the overall supercurrent denisty, $\Delta$ and
$T_{C}$ will be modified.
## Appendix-C : SIN junction based device as another alternative to the
proposed device
Here we will look at another alternative to the device proposed in the main
section of this paper. Whereas that works by sliding the supercurrent rings up
through the successive turning on/off of the E-coils, the following device
works on the principle of sliding the supercurrent rings through the
application of a transverse electric field on either ends of the cylinder.
Following diagram shows the same cylindrical construction as the original
device.
Figure 14: Cylindrical construction of the original device.
The cutaway section shaded in the above figure is shown below with the new
addition of the insulating and conducting layers at the two ends of the
cylinder. The working and setup of the magentic field is same as the previous
device upto the point where the external magnetic field is frozen inside the
cylindrical cavity by the circulating supercurrent bunces spread out over the
height of the cylinder. At this point the E-coils are disengaged and an
opposite polarity voltage is applied on the top and bottom plates of the
structure. Assuming the top plate is applied a potential $+V$ and the bottom
plate is applied the opposite potential $-V$, this causes the supercurrent
rings which are of negative charge carriers to shift upwards towards the top-
plate like a capacitor action with the insulating dielectric between the
conducting metal plates.
Figure 15: Construction of the cutaway section.
When the rings converge at the top end of the structure this will lead to an
amplification of the frozen magnetic field thus giving us another amplifier
topology. This device incorporates another type of junction called Normal-
Insulator-Superconductor (NIS) which we will briefly describe here and mention
some relevant equations.
We again begin from the Bogoliubov–de Gennes equations but modify them based
on the following assumptions -:
* •
The normal metal and the superconductor are separated by the insultor
extending in the $\hat{y}$ and $\hat{z}$ directions. Thus we have a variation
of potential along the $\hat{x}$ direction only. This causes the wavefunction
to take the form
$e^{i(k_{y}y+k_{z}z)}\begin{bmatrix}u(x)\\\ v(x)\end{bmatrix}$.
* •
The momentum along $\hat{y}$ and $\hat{z}$ directions are conserved,
$E_{x}=E_{F}-\frac{\hbar^{2}(k_{y}^{2}+k_{z}^{2})}{2m}$
* •
We model the insultating region as a thin layer and the potential barrier by
$U(x)=I\delta(x)$ where $I$ represent the height of the potential barrier.
Also, here we assume that the incident electron from the normal region will be
reflected back with two components given by the normal reflection where we
have an similar particle as the electron going back into the metal alongwith
an Andreev reflected anti-particle too.
$\bigg{[}-\frac{\hbar^{2}}{2m}\frac{d^{2}}{dx^{2}}-E_{x}+I\delta(x)\bigg{]}u(x)+\Delta
v(x)=\epsilon u(x)$ (III.9)
$-\bigg{[}-\frac{\hbar^{2}}{2m}\frac{d^{2}}{dx^{2}}-E_{x}+I\delta(x)\bigg{]}v(x)+\Delta^{*}u(x)=\epsilon
v(x)$ (III.10)
Boundary conditions require the solution to $u$ and $v$ to be equal on either
side of the insultating barrier. Also the difference between the
$\hat{x}$-derivative of $u$ and $v$ on the right and left side respectively
should be equal to $\frac{2mI}{\hbar^{2}}$ times the spatial solution of $u$
and $v$ at $x=0$.
Figure 16: A normal metal - insulator - superconductor junction.
Consider the case when the energy of the incident electron is more than the
energy-gap in the superconductor $\epsilon>\Delta$ which can lead to four
possibilities
* •
The resulting transmitted and reflected wavefunctions when an electron is
incident on the junction from the normal metal region
* •
The resulting transmitted and reflected wavefunctions when a hole is incident
on the junction from the normal metal region
* •
The wavefunctions when an electron is incident on the junction from the
superconductor region
* •
The wavefunctions when a hole is incident on the junction from the
superconductor region
Skipping detailed derivations, we simply state that in the $1^{st}$-case the
reflected wavefunction contains an electron and its anti-particle, while an
electron and it’s anti-particle is transmitted into the superconductor.
Similarly in the $2^{nd}$-case the reflected wavefunction contains a hole and
also its anti-particle, while an electron and it’s anti-particle is
transmitted into the superconductor. For the $3^{rd}$ and $4^{th}$ cases we
have a similar scenario as in the previous two cases; transmitted electrons
and holes alongwith two reflected components of similar and opposite nature to
that of the incident particle.
Now for the case when $\epsilon<\Delta$ we consider the $1^{st}$-case as an
example where a particle is incident on the junction from the normal-metal
side. Here the incident particle is reflected as a combination of a particle
of same nature and its anti-particle. In the absence of the insulating barrier
we would have a reflection of only the anti-particle of the incident particle.
To derive the tunneling current across the NIS junction, we consider the case
when a voltage V is applied across the interface with the normal metal being
at the higher potential. The total tunnelling current is given by the combined
contribution from the 4 possible tunnelling scenarios discussed above.
Ignoring any charge buildup in the insulator, we can conclude that the current
on the normal metal side would be equal to that on the superconductor side.
This would be a function of the occupied density of states on the metal side
for the incident paticle and the vacant states of its reflected anti-particle
on the same side. Skipping the thorough derivations, we arrive at
$\begin{split}I_{NIS}&=AeN(0)v_{F}S\int_{-\infty}^{\infty}\big{[}1-|b|^{2}+|a|^{2}\big{]}\times\big{[}f_{0}(\epsilon-
eV)\\\ &-f_{0}(\epsilon)\big{]}d\epsilon\end{split}$ (III.11)
where A is a constant dependant on the junction’s geometry, N(0) is density of
states at Fermi surface, $v_{F}$ is the Fermi velocity, S is the surface area
of the junction, $(1-|b|^{2}+|a|^{2})$ is proportional to the transmission
coefficient at the interface and
$f_{0}=\frac{1}{e^{\frac{\epsilon}{T}}+1}$ (III.12)
For low temperatures this can be approximated as
$I_{NIS}=\frac{Ae^{2}N(0)v_{F}S}{1+\big{(}\frac{mI}{\hbar^{2}|k_{x}|}\big{)}^{2}}\sqrt{(eV)^{2}-|\Delta|^{2}}\Theta\bigg{(}V-\frac{|\Delta|}{e}\bigg{)}$
(III.13)
## Acknowledgment
The authors would like to thank… for their valuable inputs.
## References
* [1] Terry P. Orlando and Kevin A. Delin , _Foundations of Applied Superconductivity_ , MIT.
* [2] Michael Tinkham, _Introduction to Superconductivity_ , Harvard University.
* [3] Quantum Physics i@-ii@-iii@, by MIT OCW.
* [4] Superconductivity (web course), by IIT-Bombay and NPTEL.
* [5] Statistical Mechanics i@: Statistical Mechanics of Particles, by MIT OCW.
* [6] Ramamurti Shankar, _Quantum Field Theory and Condensed Matter: An Introduction_.
* [7] Stephen Blundell, _Magnetish in Condensed Matter_ , Oxford University.
* [8] Continuous-time Delta-Sigma Modulator ADC, https://www.analog.com/en/products/ad7134.html
* [9] Discrete-time Delta-Sigma Modulator ADC, https://www.analog.com/en/products/ad7175-2.html
* [10] Precision SAR ADC, https://www.analog.com/en/products/ltc2357-18.html
| arxiv-papers | 2021-07-26T05:21:29 | 2024-09-04T03:07:17.652854 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Debopam Banerjee",
"submitter": "Debopam Banerjee",
"url": "https://arxiv.org/abs/2107.11964"
} |
2107.11965 | # Playtesting: What is Beyond Personas
Sinan Ariyurek0, Elif Surer0, Aysu Betin-Can0 0
Graduate School of Informatics
Middle East Technical University
06800, Ankara, Turkey
{sinan.ariyurek, elifs, betincan}@metu.edu.tr
###### Abstract
Playtesting is an essential step in the game design process. Game designers
use the feedback from playtests to refine their designs. Game designers may
employ procedural personas to automate the playtesting process. In this paper,
we present two approaches to improve automated playtesting. First, we propose
developing persona, which allows a persona to progress to different goals. In
contrast, the procedural persona is fixed to a single goal. Second, a human
playtester knows which paths she has tested before, and during the consequent
tests, she may test different paths. However, Reinforcement Learning (RL)
agents disregard these previous paths. We propose a novel methodology that we
refer to as Alternative Path Finder (APF). We train APF with previous paths
and employ APF during the training of an RL agent. APF modulates the reward
structure of the environment while preserving the agent’s goal. When
evaluated, the agent generates a different trajectory that achieves the same
goal. We use the General Video Game Artificial Intelligence (GVG-AI) and
VizDoom frameworks to test our proposed methodologies. We use Proximal Policy
Optimization (PPO) RL agent during experiments. First, we compare the playtest
data generated by developing and procedural persona. Our experiments show that
developing persona provides better insight into the game and how different
players would play. Second, we present the alternative paths found using APF
and argue why traditional RL agents cannot learn those paths.
###### Index Terms:
Reinforcement Learning, Player Modeling, Automated Playtesting, Play Persona
## I Introduction
Game designers envision how a game will work during a play through. As the
game develops, it becomes increasingly difficult to predict how players will
interact with the game. Playtesters help out this process by providing
feedback by playing the game. However, human playtesting introduces latency
and additional costs to the process. Therefore, researchers proposed methods
to automate the playtesting process [1] [2] [3].
Additionally, the playtesting process may employ players with distinct
playstyles. These players will respond to the game differently, and they will
generate different play traces. The game designer can use these play traces to
shape her game. In order to automate playtesting with different players,
researchers replaced these playtesters with procedural personas. A procedural
persona describes an archetypal player’s behavior. Researchers used personas
to playtest a Role-Playing Game [4] and a Match-3 [5] game. As a result,
personas enabled distinct playstyles and helped to playtest a game like
distinct players.
In order to realize the personas using RL agents, researchers used a utility
function [6] to define the decision model of a persona. This utility function
was used as the reward function of the Q-Learning agents. However, this
replacement makes the agents bound to the utility function. Since the utility
function is tailored for a specific decision model, the behavior of these
agents is constant throughout the game. Therefore, the procedural personas
approach is not flexible enough to create personas with developing decision
models. For example, a player may change her objectives while playing the
game. Consequently, the decision model of this player cannot be captured by a
utility function.
Bartle [7] presents examples of these changes that a player can undergo while
playing a Massively Multiplayer Online Role-Playing Game. We believe that the
change in the playstyle occurs after accomplishing a goal. For example, a
player may start a game by opening the treasures to find a required item and
then killing monsters. This player chooses her actions like a Treasure
Collector until she finds the desired item and becomes a Monster Killer. We
propose a sequence of goals to model the decision-making mechanism of this
player. The sequence-based approach was previously used in automated video
game testing agents [8] and was found more practical than non-sequence-based
approaches.
The developing persona model consists of multiple goals that are linked. Each
goal consists of criteria and a utility function. The utility function serves
the same purpose as in procedural personas. The criteria determine until which
condition the current goal is active. When the current goal criteria are
fulfilled, the next goal becomes active. The agent plays until the last goal
criterion is fulfilled or until the end of the game. The game designer sets
the criteria and utility functions of each goal. The goal structure enables
the creation of dynamic personas. Additionally, this approach gives a more
granularized control over a persona. The game designer can create variations
of Monster Killer by setting different criteria. In order to playtest a casual
Monster Killer, the game designer may set a health threshold as the criterion;
and to playtest a hardcore Monster Killer, the game designer may set the
percentage of monsters killed as the criterion.
Furthermore, the game designer may envision a game with various endings. In
order to playtest her game, she utilizes an agent that behaves like an Exit
persona and exercises this agent multiple times. Then, the game designer
analyzes the trajectories generated by this agent and sees that all the
trajectories provide data for only one of the possible endings. On the other
hand, a human playtester would have generated trajectories that cover various
endings. Thus, the shortcoming of automated playtests is not caused by the
Exit persona but by the inherent nature of RL algorithms. RL algorithms such
as Deep Q-Network (DQN) [9], Proximal Policy Optimization (PPO) [10], and
Monte Carlo Tree Search (MCTS) [11] disregard the previous trajectories.
Consequently, even if we train an agent with any of these algorithms and then
evaluate the agent, and repeat this process numerous times, all the generated
trajectories would be similar most of the time. However, the trajectories may
be different due to the following reasons a) the random initialization of the
Neural Network (DQN and PPO) b) $\epsilon$-greedy policy of DQN c)
stochasticity of MCTS d) the game’s nondeterminism. The critical point is that
even if the agent generates a distinct trajectory, this result is not by
design but by random chance.
Exploration methods in RL improve the agent’s policy by motivating the agent
to explore the environment. As the agent explores an environment, the agent
improves its policy. The researchers proposed methods to motivate the agent to
explore less visited states [12] [13] [14]. Compared to the traditional
exploration methods such as $\epsilon$-greedy, where exploration is achieved
through randomness, these modern algorithms entice exploration logically.
These algorithms learn to distinguish the unvisited states from the visited
states, consequently, these algorithms guide the agents to less-visited
states. As a result, exploration methods vastly improved the agent’s score,
such as Montezuma’s Revenge [12].
On the other hand, APF knows the previous trajectories and guides the agent to
learn to play differently from previous ones. For this purpose, APF penalizes
the agent when the agent visits a similar state and rewards the agent when the
agent visits a different state, compared to the states in the previous
trajectories. APF employs the state comparison algorithms used in exploration
algorithms. These state comparison algorithms are the backbone of exploration
research, and researchers tested these algorithms in multiple games. We show
how we build the APF framework to generate new and unique playtests and how
APF augments any RL agent.
In this paper, we list the contributions as follows. Our first contribution is
the developing persona. The developing persona is more flexible and capable
than the current persona models. We show how game designers can utilize the
developing persona to empower the playtesting. Our second contribution is the
Alternative Path Finder. We present a generic APF framework that can augment
every RL agent. We use the GVG-AI [15] and VizDoom [16] environments to
demonstrate our proposed methodologies.
This paper is structured as follows: Section II describes the examples and
methodologies of related research. We grouped the related research into four
subsections: Playtesting, Personas in Playtesting, Automated Playtesting, and
Exploration Methods in Reinforcement Learning. The developing persona is based
on the first three subsections. Next, APF is founded on the Exploration
Methods in Reinforcement Learning. Our proposed methodology that consists of
developing persona and APF is presented in Section III. Section IV describes
our experimentation setup and Section V presents the results of these
experiments. Section VI discusses the outcomes of the strategies used, their
contributions and limitations. Lastly, Section VII concludes this paper.
## II Related Research
### II-A Playtesting
Playtesting is a methodology used in the game design process. Playtesters test
a game, and feedback is collected from these playtesters. The game designers
use this feedback to improve their game. As this process requires a human
effort, researchers proposed methods to automate game playtesting. Powley et
al. [1] coupled automated playtesting with a game development application.
Gudmundsson et al. [2] trained a convolutional neural network to predict the
most humane action in Candy Crush, and they used this network to assess level
difficulty. Roohi et al. [3] used RL and a population model to determine level
difficulty for Angry Birds Dream Blast. These approaches derive the automated
playtesters from an individual player archetype. Nevertheless, during a
playtest, there can be various playtesters resembling a different player
archetype.
### II-B Personas in Playtesting
In playtesting, personas provide game designers information about how
different player archetypes would play the game. Persona is a fictional
character that represents a user type. Bartle [17] introduces a taxonomy of
personas that are identified from a Multi-user Dungeon Game. The author
acknowledges these four distinct personas as Socializers, Explorers,
Achievers, and Killers. The author introduces a graph with axes that maps the
players’ interest in a persona. Bartle [7] extends this research by
introducing development sequences for personas. The development sequences
reveal how and why a player may change to a different persona. Tychsen and
Canossa [18] present a study on collecting game metrics and how different
personas can be identified by these metrics. The authors present the personas
of the game Hitman Blood Assassin. The game identifies these personas: Mass
Murderer, Silent Assassin, Mad Butcher, and The Cleaner. They argue that a
persona can be recognized using the metrics collected from a play trace. These
approaches focus on identifying different personas in a game.
### II-C Automated Playtesting
In order to automate the playtesting, researchers proposed techniques to
realize the decision model of a persona. Holmgård et al. [6] used a utility
function to realize the decision model of a persona. This utility function is
used as the reward function for the Q-Learning agent. The agents are exercised
in an environment called MiniDungeons. The agents produced play traces as if
they are of a specific persona. Holmgård et al. [19] extended their previous
work by substituting the Q-Learning agents with a neural network. The inputs
to the neural network were hard-coded, handpicked parameters. The authors used
a genetic algorithm to find the weights of this neural network. They called
their new method ‘evolved agent’. Evolved agent required less training than
the Q-Learning agent and was able to generalize to other levels better.
Holmgård et al. [20] upgraded the environment to MiniDungeons 2. In this
study, the authors proposed to generate personas using MCTS agents that use
their proposed utility function. Their reasoning for using MCTS, especially
Vanilla MCTS [11], was to provide faster data to the game designer. In
Q-Learning and Evolved agents, these agents have to be trained first. Holmgård
et al. [4] extend the MCTS by improving the selection method of MCTS. In their
previous study, the authors state that the Mini Dungeons 2 game was too
complex for Vanilla MCTS. Therefore, they model a new selection phase that is
specifically tailored towards a specific persona. They accomplish this by
evolving the UCB formula by a genetic algorithm. The authors crafted the
fitness function of each persona. This fitness function also determined the
fitness function of the evolutionary algorithm. The evolved UCB formula
improved their results among every persona. Silva et al. [21] used personas to
playtest the Ticket to Ride board game. The authors designed four different
competitive personas to play the board game. The authors handcrafted a set of
heuristics for each persona. They showed that personas revealed useful
information that the game rules did not provide rules for two situations.
Mugrai et al. [5] employed four different personas for Match-3 games. These
personas are Max Score, Min Score, Max Moves, and Min Moves. The authors
showed that these four personas could give the game designer valuable
information about a level.
The main drawback of persona research is the utility function. First, the
utility function is static and stays constant throughout the game. Therefore,
the game designers cannot model players with development sequences [7].
Second, depending on the level layout, personas can execute a similar sequence
[4]. Hence, the synthetic playtesters would provide ineffective feedback.
Lastly, synthetic playtesters are realized using RL agents. Since RL agents
optimize the total accumulated reward, synthetic playtesters would not test
all playable paths.
### II-D Exploration Methods in Reinforcement Learning
An RL agent explores the environment to learn which action yields the highest
reward in a state. In order to learn this policy, the RL agent has to explore
the environment. Intrinsically motivating an RL agent to explore novel states
is an exploration problem. The researchers proposed different ways to make
agents explore distinct states of the environment. Count-based approaches
reward the less-visited states more than frequently visited states. Therefore,
the agent becomes inclined to visit the less visited states. The count is
formulated using a density model [12], a neural density model [22], a hash
table [23], and exemplar models [13]. Another proposed approach is to augment
the reward function by measuring the agent’s uncertainty about the
environment. Researchers measured the uncertainty using bootstrapped DQN [24],
state-space features [14], and error of a neural function [25]. Additionally,
researchers proposed approaches that explore the state space by optimizing the
state marginal distribution to match a target distribution [26]. These
exploration proposals intelligently incite the agent to explore the
environment. The goal of exploration is not to find a unique way of playing
but to find the best path every time we execute the RL agent. However, these
methods can differentiate between similar states and new states. We base our
APF proposal based on this accomplishment.
## III Methodology
In this paper, we address the shortcomings of the procedural persona with a
multi-goal oriented persona, the developing persona. Additionally, we
recognize there may be alternative playtests that a persona may produce. We
propose APF to discover those playtests.
In the following subsections, first, we introduce the developing persona.
Afterward, we present the necessity for an APF and introduce the foundation of
APF. Next, we show how we use the techniques in exploration field to implement
the APF. Finally, we describe how to use APF with an RL agent.
### III-A Developing Persona
A persona reflects an archetypal player’s decision model. In order to realize
a persona, first, the persona’s decision model should be translated to game
conditions. Second, an actor should play according to this translation.
Researchers [4] [5] proposed using a utility function to map the decision
model to game conditions. This utility function replaces the reward mechanism
of the environment and provides a tailored reward mechanism for each persona.
Researchers [4] [5] used RL agents as actors. Consequently, these RL agents
are akin to synthetic playtesters that represent the decision model of a
persona. These playtesters, procedural personas, represented various personas
such as the Monster Killer, Treasure Collector, and Exit personas. In this
paper, we extend the procedural persona framework by introducing a multi-goal
persona.
We propose a multi-goal persona to generate a more customizable playtester. We
have two reasons that a multi-goal persona would be beneficial for game
designers. First, the game designer does not have granular control over the
personas. For example, the game designer may want to playtest a monster killer
persona that kills monsters until its health drops below a certain percent.
However, when to cease killing monsters was left to the RL agent, and the game
designer had little control over these decisions [27]. Second, the previous
approaches do not allow development in persona. Though procedural personas may
realize the persona archetypes that Bartle [7] presented, procedural personas
cannot realize the development sequences that Bartle also presents. For
example, if the goal of the procedural persona is killing monsters, the
procedural persona will always be a Monster Killer.
A multi-goal persona is a procedural persona with a linked sequence of goals
rather than a single utility function. A goal contains a utility function and
a transition to the next goal. If there is a single goal in the sequence,
there is no need to define the transition. Hence, a goal-based persona with a
single goal is equivalent to a procedural persona. The transition connects the
goals, and the transition occurs depending on the criteria. Game designers
determine the criteria, and criteria hold conditions related to the game. For
example, a criterion can be killing 50% of the monsters or exploring 90% of
the game or having health less than 20% or the combination of these
conditions. The developing persona maintains knowledge of interactions such as
how many Monsters, Treasures have been killed or collected. Next to the
interactions, the developing persona knows how much of its health is left.
Developing persona uses this knowledge to check whether the current criteria
are fulfilled. When all of the criteria of the current goal are fulfilled, the
next goal becomes active. When there are no more goals, the training or the
evaluation of the goal-based persona ends.
In this section, we have described the “sudden” transitions between goals, the
previous goal becomes inactive, and the next goal becomes active immediately.
However, this transition could also be “fuzzy”. The current goal and the next
goal can be active simultaneously. A possible implementation of fuzzy
transition may use the criteria fulfillment percentage. For example, when the
criteria are completed at least 50%, the next goal could become active while
not deactivating the current goal. The persona would be rewarded from both of
the utility functions. Whenever the persona fulfills the current goal
completely, the next goal becomes the only active goal. Consequently, a fuzzy
transition would create a smoother progression of playstyles.
Figure 1: An example level created by GVG-AI framework.
In Figure 1, we created an example level to demonstrate the goal-based
personas. In this example, the Avatar situated at bottom right corner can
execute the following actions Pass, Attack, Left, Right, Up, and Down. The
direction of the Avatar is shown by a pink triangle. If the direction of the
Avatar and the action align, the Avatar moves one space in that direction,
else the Avatar changes direction. When Avatar executes Attack, the Avatar
slashes towards its direction. The Avatar can slay Monsters by Attacking them.
The monsters move randomly and kill the Avatar if they collide with the
Avatar. There are also Treasure chests that Avatar can pick up by simply
moving over them. Lastly, when the Avatar exits through the Door, the game
terminates successfully.
TABLE I: Utility weights for the goals | Goal Names
---|---
Game Event | Killer | Collector | Exit
Death | -1.0 | -1.0 | -1.0
Exit Door | | | 1.0
Monster Killed | 1.0 | |
Treasure Collected | | 1.0 |
A game designer may playtest a Monster Killer persona in the game shown in
Figure 1 and generate the following two developing personas. First one kills
the Monsters and then collects the Treasure as trophy. Second one collects the
Treasure hoping to gain an advantage against the Monsters and then kills the
Monsters. In order to realize the aforementioned personas, the game designer
designs two developing personas, as seen in Figure 2. Next, she designs the
utility functions of the goals, as seen in Table I. In order to realize these
personas as playtesters in a game, the game designer can employ any RL agent.
When the agent finishes training, the game designer can use the agent for
playtesting. The importance of developing personas is that developing personas
introduces a framework to formalize how players change their goals over the
course of playing a game.
Figure 2: Developing Persona
### III-B Alternative Path Finder
The actions of an RL agent are motivated based on the feedback received from
an environment. As the agent is trained in an environment, the feedback will
shape the agent’s policy. When the training is over, the agent will behave
according to the learned policy. Additionally, if we train the same agent in
the same environment multiple times, the learned policies will be similar. At
the end of each training, we can evaluate the trained agent in the same
environment to obtain trajectories. These trajectories will be similar as the
learned policies were similar. On the other hand, the game designer might be
interested in seeing different playstyles.
In order to diversify the learned policies, one has to change the feedback
mechanism of the environment. Procedural personas [4] [5] accomplish this by
rewiring the feedback mechanism by a utility function. An agent representing a
persona will learn a different policy than another agent that represents a
different persona. However, when the game designer wants to see different
playstyles within the same persona, the procedural persona approach also falls
short. For example, the game designer may want to see how different players
complete a game with multiple endings. To model these players, she trains an
agent that mimics the Exit persona, and she analyzes the trajectory from this
agent’s execution. Nevertheless, the resultant trajectory of this persona will
be the path to the closest ending. The other endings in the game will be
neglected, and the game designer will only have playtest data that corresponds
to one possible end of the game. A preliminary solution to this problem is
masking the feedback from some of the endings. Thus, the agent will generate a
playtest towards a particular ending. However, this solution requires
additional tinkering, and there might be additional playtests towards the same
ending. Another subpar solution is that the game designer would apply
randomness to the agent’s actions or add random noise to the input to
diversify the trajectories. However, randomness does not guarantee that the
agent will generate different playtests. Therefore, this solution also does
not give complete control to the game designer.
On the other hand, with human playtesters, the game designer could have asked
a playtester to play differently. The playtester already knows which paths or
particular states she has visited before, so she uses this past knowledge to
play the game differently. Therefore, the source of this problem is that the
current agent does not know what the previous agents did in the prior runs.
Every playtester which an RL agent represents generates a playtest anew. In
order to solve this problem, we propose Alternative Path Finder.
#### III-B1 Measuring Similarity
A game can be formulated using a Markov Decision Process (MDP). MDP formulates
the interaction between an actor and the environment [28].
Suppose a human player or an agent played a game, and we obtain the trajectory
$\tau{=}$ $\\{s_{0},a_{0},s_{1},a_{1},...,s_{n}\\}$ where $s$ corresponds to a
state, $a$ corresponds to an action, and the subscripts denote the state or
action at time $t$. We want to train an agent that knows $\tau$, and we want
this agent to generate a trajectory different than $\tau$. Therefore, we need
to calculate a measure to represent the similarity of these two trajectories.
We propose two different methods to calculate the similarity. First method is
to calculate the recoding probability of a state $s$, $p(s|\tau)$. If
$s\in\tau$, then the probability should be high, and if $s\notin\tau$, then
the probability should be low. Second method is calculating the prediction
error of a dynamics model $q((s_{t},a_{t},s_{t+1})|\tau)$. If the transition
$s_{t},a_{t},s_{t+1}$ exists in $\tau$, then the prediction error should be
low, and if this transition does not exist in $\tau$, then the error should be
high.
In the rest of this paper, we swap the state $s$ with observation $o$, which
the RL agent sees. In most of the frameworks such as GVG-AI [15] and VizDoom
[16], the observation $o$ seen by the RL agent corresponds to a frame $f$.
#### III-B2 From Recoding Probability to Intrinsic Feedback
Bellemare et al. [12] used Context Tree Switching (CTS) [29] to intrinsically
motivate an RL agent for exploration. CTS uses a filter to evaluate the
recoding probability of a pixel. The filter used by the authors and in our
experiments is shown in Figure 3(a) and Figure 3(b), respectively. The filter
gathers information around a pixel and CTS uses this information to predict
this pixel. When this operation is done for every pixel of an image, the
recoding probability of an image is calculated.
(a) L-shaped Filter (b) +-shaped Filter
Figure 3: Filters mask the pixels around the orange pixel, the data from white
pixels are blocked, and the data from the cyan pixels are supplied. Finally,
CTS uses the information gathered from cyan pixels to predict the recoding
probability of the orange pixel.
In order to use the recoding probability to differentiate between the novel
frames from similar frames, we need a boundary probability value. We refer to
this probability as $p_{min}$ (see Eq. 1). First, we train a CTS model using
all of the frames in trajectories. Then, we calculate the recoding probability
of every frame in this trajectory. Next, we set the $p_{min}$ equal to the
minimum of all these recoding probabilities. As CTS is a learning-positive
model, every frame from these trained trajectories will have a higher recoding
probability than $p_{min}$.
$\displaystyle
p_{min}=\min(p(f_{0}|\text{CTS}),p(f_{1}|\text{CTS}),...,p(f_{n}|\text{CTS}))$
(1) $\displaystyle s.t.~{}f_{0..n}\in\tau_{0},...,\tau_{n}$
When an agent or a human player plays the game, the actor will receive a new
frame $f_{new}$. First, we calculate its recoding probability
$p_{new}{=}p(f_{new}|\text{CTS})$. If $p_{new}$ is smaller than $p_{min}$,
this indicates that this frame provides new information and if $p_{new}$ is
greater than $p_{min}$, this indicates that this frame does not provide new
information. Next, the magnitude of the information depends on how close
$p_{new}$ is to $p_{min}$. We use this difference to calculate the amount of
reward or penalty.
$\displaystyle
p_{new}>p_{min}:feedback=\frac{\beta}{1+\log\frac{p_{new}}{p_{min}}}-\beta$
(2) $\displaystyle p_{new}\leq
p_{min}:feedback=\beta-\frac{\beta}{1+\log\frac{p_{min}}{p_{new}}}$
We use Eq. 2 to calculate the additional reward signal. This formula yields
maximum $\beta$ reward when $p_{new}\to 0$ and minimum $-\beta$ when
$p_{new}\to 1$. This additional reward signal provides a negative feedback for
visiting similar states and positive feedback for visiting novel states. We
refer to the APF method that uses CTS internally as APFCTS.
#### III-B3 From Predicting Dynamics to Intrinsic Feedback
Pathak et al. [14] used the Intrinsic Curiosity Module (ICM) to intrinsically
motivate an RL agent for exploration. ICM is a Neural Network (NN)
architecture that learns to predict the environment dynamics and uses the
prediction error as the intrinsic motivation. ICM has two NNs called as
forward model and inverse model. The forward model predicts the next state
features $\phi(s_{t+1})$ using the current state features $\phi(s_{t})$ and
current action $a_{t}$. The inverse model predicts the current action $a_{t}$
using the current state features $\phi(s_{t})$ and the next state features
$\hat{\phi}(s_{t+1})$. ICM uses Convolutional Neural Network (CNN) to encode
the states into state features, $\phi(s_{t})=\text{CNN}(s_{t+1})$. The
prediction error is the difference between the predicted next state features
$\hat{\phi}(s_{t+1})$ and extracted next state features $\phi(s_{t+1})$.
Therefore, if the agent has seen the transition
$\phi(s_{t}),a_{t},\phi(s_{t+1})$, the prediciton error will be low, and if
not, the prediction error will be high.
In order to use the prediction error to differentiate between the novel frames
from similar frames, we need a boundary value. We refer to this value as
$q_{mean}$ (see Eq. 3). First, we initialize an empty ICM architecture. Next,
we use transfer learning to set the weights of CNN encoders, and then we
freeze the weights of CNN. The source can be the CNN layers of the RL agent,
or if the agent also used ICM, we can use ICM’s CNN layers. Afterward, we use
the previous trajectories to train the forward and inverse models of ICM. At
the end of the training, we have an ICM model that has a better prediction
towards the transitions that exist in the given trajectories and a worse
prediction towards the transitions that do not exist. Lastly, we replay the
previous trajectories, gather all of the prediction errors, and calculate the
mean of all the prediction errors. We do not calculate the max of all the
prediction errors as the ICM may not improve the predictions for every
transition or make prediction errors. Therefore, max would be a poor choice
for a boundary value.
$\displaystyle
q_{mean}=\operatorname{mean}(\text{ICM}(f_{0},a_{0},f_{1}),...,\text{ICM}(f_{n-1},a_{n-1},f_{n}))$
(3) $\displaystyle s.t.~{}f_{0..n}\in\tau_{0},...,\tau_{n}$ $\displaystyle
s.t.~{}a_{0..n-1}\in\tau_{0},...,\tau_{n}$
When an agent or a human player plays the game, the actor executes action $a$
on frame $f$. As a result, the actor sees a new frame $f_{new}$. First, we
calculate the prediction error of this transition,
$q_{new}{=}\text{ICM}(f,a,f_{new})$. If $q_{new}$ is greater than $q_{mean}$,
this indicates that this transition is less likely to exist in the previous
trajectories. If $q_{new}$ is less than $q_{mean}$, this indicates that this
transition is likely to exist in the previous trajectories.
$\displaystyle
q_{new}>q_{mean}:feedback=\beta-\frac{\beta}{1+\log\frac{q_{new}}{q_{mean}}}$
(4) $\displaystyle q_{new}\leq
p_{min}:feedback=\frac{\beta}{1+\log\frac{q_{mean}}{q_{new}}}-\beta$
We use Eq. 4 to calculate the additional reward signal. This formula yields
maximum $\beta$ reward when $q_{new}\to 0$ and minimum $-\beta$ when
$q_{new}\to\infty$. We use this additional feedback signal to reward the novel
transitions and to penalize similar transitions. We refer to the APF method
that uses ICM internally as APFICM.
#### III-B4 APF Architecture
We augment the traditional Agent and Environment interaction by adding a new
box. This augmented architecture is shown in Figure 4. The APF corresponds to
either APFCTS or APFICM. Before we start training an agent, we first train the
APF with the previous trajectories as described in Section III-B2 or Section
III-B3. At this point, we have an APF module that discerns the states or
transitions. Afterward, when a new state and a new reward are observed from
the environment during the training, these observations first enter the APF.
APF modulates the reward signal by adding a penalty or reward by using the Eq.
2 or Eq. 4.
Figure 4: Alternative Path Finding Architecture.
The one drawback of this approach is that the feedback is unbounded. Since the
feedback is infinite, the agent may loop over novel states or get stuck in a
novel state [25]. The agent may visit a novel state repeatedly to get a
positive reward and forget the actual task in the environment. The second
drawback is that some portion of the game may be strict, offering no
alternative paths such as Super Mario Bros. [14]. Consequently, APF will
penalize this portion of the game, naively thinking there may be alternative
paths.
We propose a solution for each of these drawbacks. For the first drawback, we
propose to put a cap on the total reward and penalty that APF provides. This
solution limits the infinite feedback, and this process operates as follows:
if a state is distinct, APF clamps the reward by the positive cap $pos_{cap}$.
Then, APF yields this clamped reward and updates the positive cap by
subtracting the clamped reward. Once the positive cap is exhausted, the
additional reward that APF provides becomes zero. We also apply the same
principles for the penalty by providing a negative cap, $neg_{cap}$. This
solution limits the agent looping over distinct states or getting stuck in a
state like the noisy TV problem [25]. Furthermore, as the total reward and
penalty are known beforehand, this solution also simplifies the design of the
utility function for personas. For the second drawback, we propose to cut
these portions from the collected trajectories. Consequently, APF will not
penalize the agent, as APF will be blind for this portion of the path.
We introduced two different APF approaches as each has its advantages and
disadvantages. The advantage of APFCTS is that the CTS model can be trained
from a trajectory that consists of a few frames. However, APFICM is more data-
intensive compared to APFCTS. Furthermore, APFICM requires a previously
trained agent for transfer learning, which is not required for APFCTS.
Nevertheless, as APFCTS operates directly on pixels, a slight noise in a frame
would decrease the recoding probability.
Last but not least, though we presented the APF on top of exploration methods
CTS and ICM, APF may also be formulated on other exploration methods such as
exemplar models [13]. As APF depends on methods used in exploration, we need
to draw a line between exploration and APF. The goal of exploration methods is
to increase the agent’s knowledge about its environment during training. So
that when we evaluate, this agent delivers top performance in this
environment. The goal of APF is to help the agent to discover the different
performances without changing the agent’s goal. Therefore during training, APF
modulates the reward structure so that the old performances are penalized, and
different performances are rewarded.
## IV Experiments
In this paper, we used two different environments to test our proposals, GVG-
AI [15] and VizDoom [16]. We describe the environments and the experimental
setup in this section.
The first testbed game is created using the GVG-AI framework, shown in Figure
5. The game has a $14\times 20$ grid-size, and consists of an Avatar, Exits,
static Monsters, Treasures, and Walls. The human player or an agent controls
the Avatar. The game lasts until the Avatar goes to one of the Exits, or gets
killed by a Monster, or until 200 timesteps. The action space consists of six
actions No-Op, Attack, Left, Right, Up, and Down. GVG-AI framework is extended
to run a game with more than one Door. The actor receives distinct feedback
for the following interactions killing a Monster, getting killed by a Monster,
collecting a Treasure, and colliding with a Door.
Figure 5: Map of the first testbed game.
The second testbed game is a Doom level, shown in Figure 7. The game has a
$1600\times 832$ grid size, and consists of an Avatar, Exit, Monsters,
Treasures, and Walls. The human player or an agent controls the Avatar. The
game lasts until the Avatar goes to the Door, or gets killed by a Monster, or
until 2000 timesteps. The action space consists of seven actions Attack, Move
Left, Move Right, Move Up, Move Down, Turn Left, and Turn Right. The actor
receives distinct feedback for the following interactions killing a Monster,
getting killed by a Monster, collecting a Treasure, and colliding with the
Door. Additionally, the actor receives constant negative feedback of $0.001$
for every step taken.
Figure 6: Doom in-game snapshot. Figure 7: Map of second testbed game.
The third testbed game is another Doom level, shown in Figure 8. The game has
a $1664\times 704$ grid size, and consists of an Avatar, an Exit, and Walls.
The human player or an agent controls the Avatar. The game lasts until the
Avatar goes to the Door, or until 2000 timesteps. The action space consists of
seven actions Attack, Move Left, Move Right, Move Up, Move Down, Turn Left,
and Turn Right. The actor receives feedback if the actor interacts with the
Door. Additionally, the actor receives constant negative feedback of $0.001$
for every step taken.
Figure 8: Map of third testbed game.
We experiment with the procedural and goal-based personas in the first and
second testbed games. We test the APF in the first and third testbed games. We
used the same random seed during the APF experiment to properly test the APF
method. We use PPO [10] agent in all of the experiments. For the PPO+CTS,
PPO+ICM, PPO+APFCTS, and PPO+ICM+APFICM, we change the base PPO implementation
slightly. The base PPO implementation is from the Stable-Baselines project
[30]. We also tested the proposed persona with other RL agents during the
initial experiments, and we found that PPO requires less hyperparameter
tuning, so we used PPO in all of our experiments. The hyperparameters of PPO
agents are presented in Table XI, and the hyperparameters of APF techniques
are shown in Table XII. Lastly, as the first game is deterministic, we
evaluated the trained agent once. On the other hand, as the second and the
third games are stochastic, we evaluated the trained agent 1000 times.
Furthermore, we noticed that our training was more consistent whenever we used
an exploration algorithm such as CTS or ICM. Consequently, we had to restart
the training in the first game.
GVG-AI environment sends an observation with shape $160\times 112\times 4$, we
downscale this observation to $80\times 56$ and then convert the observation
into grayscale. Afterward, we stack the most recent four observations, and
lastly feed the stacked observations to the agent. For CTS used in PPO+CTS and
APFCTS, we process the observation into we $42\times 42$, 3-bit grayscale
image, and calculate the recoding probability of this observation. Doom
environment sends the observation with shape $160\times 120\times 1$, we
resize this observation to $84\times 84\times 1$, and we feed the agent and
the APFICM this resized observation.
We created four different procedural personas and five different developing
personas. The four procedural personas are Exit, Monster Killer, Treasure
Collector, and Completionist. The utility weights of these procedural personas
is given in Table II. We chose these procedural personas from [4], and we drew
inspiration from these personas to make their developing persona counterparts.
The five developing personas are Developing Monster Killer, Developing
Treasure Collector, Developing Raider, Developing Completionist, and
Developing Casual Completionist. The development sequences of these personas
are presented in Table IV, the utility function of the goals are given in
Table III, and the criteria of these goals are shown in Table V.
TABLE II: Utility weights for procedural personas. Exit (E), Monster Killer (MK), Treasure Collecter (TC), and Completionist (C). | Personas
---|---
Game Event | (E) | (MK) | (TC) | (C)
Reaching an Exit | 1 | 0.5 | 0.5 |
Killing a Monster | | 1 | | 1
Collecting a Treasure | | | 1 | 1
Dying | -1 | -1 | -1 | -1
TABLE III: Utility weights for the goals. Killer (K), Collecter (Col), Exit (E), and Completionist (Com). | Goal Names
---|---
Game Event | (K) | (Col) | (E) | (Com)
Death | -1 | -1 | -1 | -1
Exit Door | | | 1 |
Monster Killed | 1 | | | 1
Treasure Collected | | 1 | | 1
TABLE IV: Sequences for the developing personas. Hyperparameters | Development Sequence
---|---
Dev. Killer | Killer -> Exit
Dev. Collector | Collector -> Exit
Dev. Raider | Killer -> Collector -> Exit
Dev. Completionist | Completionist -> Exit
Dev. Casual Completionist | Casual Completionist -> Exit
TABLE V: Criteria of the goals. Killer (K), Collecter (Col), Completionist (Com), and Casual Completionist (Cas. Com.). | Goal Names
---|---
Criterion | (K) | (Col) | (Com) | (Cas. Com.)
Monsters Killed | 50% | | 100% |
Treasure Collected | | 50% | 100% |
Remaining Health | | | | 50%
## V Results
In this study, we asked the following research questions.
* •
How does a goal-based persona perform compared to a procedural persona?
* –
Diversity of playtests generated by personas
* –
Agreement between interactions performed and Persona’s decision model
* •
Which additional paths can be discovered with APF?
### V-A Experiment I: Procedural vs Goal-based personas:
Table VI presents the interactions done by seven different personas. The Exit
persona directly goes to the Door, which is four spaces below the Avatar. The
other three procedural personas also go to the same Door, but also collecting
the Treasure and killing the Monster on the way. The Developing Killer persona
defeats all of the Monsters on the upper half of the level. The Developing
Collector persona collects four of the Treasures on the upper half of the
level. The Developing Raider is a combination of Developing Killer and
Developing Collector, consequently kills the Monsters and then collects the
Treasures in the upper half of the level. Lastly, the Developing Completionist
kills more Monsters and collects more Treasures than every other persona.
However, Developing Completionist misses the Monster and the Treasure below
the starting position. We see all procedural personas interact with a small
region of the level, whereas the developing personas interact with a broader
region. Therefore, we conducted the same experiment for procedural personas
with PPO + CTS RL agent. Table VI displays the interactions performed by
procedural personas when the agent explores the environment. We see that the
interactions performed by PPO + CTS RL agent fit better to the persona’s
decision model.
TABLE VI: Interactions of Personas performed by the PPO RL agent in Experiment I. | Game Event
---|---
Personas | Monsters Killed | Treasures Collected | Door
Exit | 0 | 0 | 1
Monster Killer | 1 | 1 | 1
Treasure Collector | 1 | 1 | 1
Completionist | 1 | 1 | 1
Dev. Killer | 3 | 0 | 1
Dev. Collector | 1 | 4 | 1
Dev. Raider | 3 | 4 | 1
Dev. Completionist | 5 | 8 | 1
TABLE VII: Interactions of Personas performed by the PPO + CTS RL agent in Experiment I. | Game Event
---|---
Personas | Monsters Killed | Treasures Collected | Door
Monster Killer | 2 | 0 | 1
Treasure Collector | 0 | 3 | 1
Completionist | 2 | 3 | 1
### V-B Experiment II: Alternative paths found in GVG-AI:
We used the path found by the Exit persona in Experiment I to train APFCTS
(see Path 1 in Figure 9). Then, we trained the PPO + CTS + APFCTS agent in the
first testbed game while using the Exit persona’s utility weights. We repeated
the experiment for each path obtained from the PPO + CTS + APFCTS agent.
First, an APFCTS is trained using one of the obtained paths, and then we use
this trained APFCTS to train a PPO + CTS + APFCTS agent. The paths identified
at the end of the process are shown in Figure 9. Table
LABEL:table:r:e_2_results shows the total discounted rewards—the rewards
received from the environment and the APFCTS. The bold values indicate the
alternative paths of the trained path. For example, Path 1 has four
alternative paths—Paths 2 to 6. Table LABEL:table:r:e_2_results also shows
that, when we use APFCTS, we see that the reward of playing the same path
decreases by at least $0.1$, and the reward of space-disjoint paths increases
by at least $0.1$. This reward difference justifies why APF supports finding
alternative paths.
Lastly, from Table LABEL:table:r:e_2_results we notice that APFCTS clusters
the paths in Experiment II into two equivalence classes, which are $\\{1,2\\}$
and $\\{3,4,5,6\\}$. Therefore, we may interpret that distinct paths refer to
paths that are space-disjoint from the one trained on for APFCTS.
TABLE VIII: Total Discounted Reward without APFCTS and with APFCTS. The first row shows the total discounted reward without APFCTS. For the rows with a path number, the number indicates which path we used to train the APFCTS. The values under tested paths show the total discounted reward that the agent receives when APFCTS modulates the environment reward. The bold values demonstrate the found paths when we execute the PPO + CTS + APFCTS agent. | Tested Paths
---|---
Trained Path | 1 | 2 | 3 | 4 | 5 | 6
- | 0.86 | 0.78 | 0.84 | 0.84 | 0.86 | 0.76
1 | 0.76 | 0.77 | 0.98 | 0.98 | 0.98 | 0.98
2 | 0.82 | 0.61 | 0.98 | 0.99 | 0.98 | 0.98
3 | 0.98 | 0.98 | 0.74 | 0.86 | 0.82 | 0.88
4 | 0.99 | 0.98 | 0.86 | 0.72 | 0.86 | 0.86
5 | 0.98 | 0.98 | 0.81 | 0.85 | 0.76 | 0.87
6 | 0.99 | 0.98 | 0.87 | 0.87 | 0.88 | 0.60
Figure 9: Paths found by Exit persona with PPO and with PPO + CTS + APFCTS.
### V-C Experiment III: Personas in Doom:
We experimented with 9 different personas in the second testbed game, a Doom
level (see Figure 7). The interaction results are presented in Table IX, and
all of the personas behave similarly to their specifications. The Exit persona
always finishes the game, and in some of the evaluations, Exit persona kills a
Monster but never collects a Treasure. The Monster Killer persona generally
kills all of the Monsters, rarely collects a Treasure, and habitually finishes
the game. Developing Killer is similar to Monster Killer but kills half of the
Monsters and rarely dies. The Treasure Collector and Developing Collector are
alike. They both collect a single Treasure, kill the least Monsters and die
the most. The Completionist, Developing Completionist, and Developing Casual
Completionist personas behave similarly, but minor differences exist. The
Developing Casual Completionist always finishes the level but usually cannot
collect the second Treasure. The Completionist and Developing Completionist
regularly collect the second Treasure, but in doing so, rarely die and cannot
finish the level.
TABLE IX: Interactions of Personas in Experiment III over 1000 evaluations. | Game Event
---|---
Personas | Monsters | Treasures | Door | Death
Exit | 0.27 $\pm$ 0.48 | 0.00 $\pm$ 0.00 | 1.00 $\pm$ 0.00 | 0.00 $\pm$ 0.00
MK | 5.79 $\pm$ 0.91 | 0.01 $\pm$ 0.07 | 0.98 $\pm$ 0.15 | 0.00 $\pm$ 0.00
Dev. Killer | 3.54 $\pm$ 0.98 | 0.01 $\pm$ 0.08 | 0.96 $\pm$ 0.19 | 0.01 $\pm$ 0.08
TC | 1.94 $\pm$ 0.70 | 0.94 $\pm$ 0.24 | 0.80 $\pm$ 0.40 | 0.19 $\pm$ 0.39
Dev. Collector | 2.00 $\pm$ 0.65 | 0.95 $\pm$ 0.22 | 0.87 $\pm$ 0.34 | 0.13 $\pm$ 0.34
Dev. Raider | 3.52 $\pm$ 0.73 | 0.98 $\pm$ 0.15 | 0.97 $\pm$ 0.17 | 0.01 $\pm$ 0.08
Comp. | 5.76 $\pm$ 1.06 | 1.91 $\pm$ 0.38 | 0.95 $\pm$ 0.22 | 0.01 $\pm$ 0.11
Dev. Comp. | 5.81 $\pm$ 0.92 | 1.91 $\pm$ 0.36 | 0.96 $\pm$ 0.19 | 0.01 $\pm$ 0.09
Dev. Cas. Comp. | 5.83 $\pm$ 0.53 | 0.98 $\pm$ 0.13 | 0.98 $\pm$ 0.14 | 0.00 $\pm$ 0.00
### V-D Experiment IV: Alternative paths found in Doom:
We trained an Exit persona in the third testbed game using PPO + ICM agent.
The first path shown in Figure 10 is the trajectory taken by the Exit persona.
We trained an APFICM using this first path, and then we trained a new Exit
persona using PPO + ICM + APFICM agent. The new Exit persona played the second
path. The total discounted reward obtained by these two Exit personas is shown
in Table LABEL:table:r:e_4_results. As the first path consists of 52 steps,
whereas the second path consists of 77 steps, the total reward of the first
path is higher than the second. However, applying APFICM, we increase the
total reward obtained from the second path and decrease the total reward
obtained from the first path.
Figure 10: Paths found by Exit persona with PPO and with PPO + ICM + APFICM. TABLE X: Total Discounted Reward without APFICM and with APFICM over 1000 evaluations. First row shows the total discounted reward without APFICM. For the rows with a number, we train the APFICM and calculate the discounted reward by using ICM. The bold values demonstrate the found paths when we execute the PPO + ICM + APFICM agent by training APFICM with the trained path. | Tested Paths
---|---
Trained Path | 1 | 2
- | 0.80 $\pm$ 0.02 | 0.68 $\pm$ 0.01
1 | 0.51 $\pm$ 0.02 | 0.78 $\pm$ 0.01
## VI Discussion
In this paper, we presented an advancement for procedural persona, goal-based
persona and introduced a method to let RL agents discover different paths,
APF. We experimented with these methods in GVG-AI and Doom environments.
Procedural personas and developing personas are two methods used by game
designers to automate the playtesting process. One drawback of the procedural
personas originates from the utility function. A utility function realizes the
decision model of a persona. For example, a Treasure Collector receives
positive feedback from finishing the level and collecting a Treasure. However,
if the starting position of the agent is close to the Door, the agent may
neglect the Treasures. Conversely, if the Door is positioned after the
Treasures, the agent is likely to interact with most of the Treasures. We saw
this dilemma in Experiment I. Without any exploration technique, the
procedural personas Monster Killer, Treasure Collector, and Completionist
executed the same set of actions. When we integrated exploration into the
agents that realize these personas, the set of actions executed by these
personas became different. Furthermore, these new sets of actions were more
fitting to their decision model. This problem is also seen in the MCTS agent
playtesting the MiniDungeons 2 game [4].
The problem with the utility function is that the utility function is an
amalgamation of multiple goals. Hence, depending on the level composition and
RL agent’s hyperparameters, the procedural persona represents one of those
playstyles. In Experiment I, we believe that the Developing Completionist fits
better with the idea of a “Completionist” persona than the procedural
Completionist. Developing persona addresses this problem by introducing a
sequence of goals. Consequently, a game designer may use the developing
persona to choose which playstyle she wants to playtest carefully.
Another advantage of developing personas over procedural personas is that
developing personas support playstyles that involve alteration. For example,
in Experiment I, the Developing Raider killed the Monsters and then collected
the Treasures. The Developing Raider starts the game as a Monster Killer and
becomes a different persona —a Treasure Collector— after fulfilling a
criterion. These development sequences were mentioned by Bartle [17], but
development sequences were impractical while using a single utility function.
Consequently, this behavior performed by Developing Raider was missing in
procedural personas. On the other hand, another important aspect of
playtesting is the ability to generate playtraces as if a human would. In this
paper, we used handcrafted utility functions, however, these utility functions
could have been extracted from human playtest data by Inverse Reinforcement
Learning [28]. This alteration might help the RL agent to generate a playtest
that is more human-like [8][31].
In addition to the GVG-AI environment, we conducted experiments on the Doom
environment. To the best of our knowledge, this paper is the first study to
playtest personas in a 3D environment. In 2D environments, the researchers
[4][5] employed MCTS RL agent to realize personas. Nevertheless, MCTS would be
an ineffective choice for 3D environments, and MCTS would underrepresent the
persona. Consequently, we used the PPO agent in Experiments III and IV, as PPO
is a competent agent used by OpenAI [32]. In Experiment III, we see that the
PPO agent realized the decision models of personas properly. From the results
in Table IX, we interpret that a player has to kill a Monster to finish this
level. The level is hardest for Treasure Collector and Developing Collector as
they have to kill a Monster to collect the Treasures. We see an interesting
fact about the game when we compare the Developing Casual Completionist and
the Developing Completionist personas. The former never dies but collects only
a single Treasure, whereas the latter seldom dies but collects both of the
Treasures. From this data, we understand that collecting the second Treasure
causes the death of the player. As the Developing Casual Completionist fears
losing her health more, this persona finds collecting the second Treasure
risky. Furthermore, comparing the Killer and the Developing Killer personas
shows that the latter die more than the former. This comparison unravels
another fact about this level. If a player engages in combat to kill Monsters,
then this player should kill as much as possible. Otherwise, this player is
likely to die, such as the Developing Killer. On the other hand, the
Developing Casual Completionist also kills as much Monsters as a Monster
Killer. This indifference indicates that the game may not be challenging
enough for a hardcore player.
In Experiment II, we prepared a game that consists of five Doors. We found
that —without APF— the Exit persona would take either the first or the fifth
path shown in Figure 9. The lengths of these paths are the same and shorter
than every possible path that ends with a Door. Consequently, in the first row
of Table LABEL:table:r:e_2_results, we see that the first and the fifth path
share the highest score. Furthermore, in Experiment IV, we saw that —without
APF— the Exit persona would take the first path (see Figure 10). Since this
path is the closest towards the Door, and therefore, playing this path yields
a higher score compared to the other path, shown in Table
LABEL:table:r:e_4_results.
We proposed APF to let RL agents discover these additional paths shown in
Figure 9 and Figure 10. A human playtester would have played these paths, but
without APF, the Exit persona would overlook them as these paths yield a lower
score. Hence, the game designer would not have any playtest data for other
endings. Table LABEL:table:r:e_2_results and Table LABEL:table:r:e_4_results
show insight on how APF achieves this feat. APF modulates the reward signal of
the environment. When the agent tries to learn a similar path, the agent is
penalized, and when the agent tries to learn a distinct path, the agent is
rewarded. This reward modulation is the reason how APF promotes finding
distinct paths. The game designer can exercise the APF to get a distinct path
and then study this path to improve her game. Afterward, she can exercise the
APF to generate as many paths as she needs. However, the game designer might
be interested in examining the play traces that could have come from human
playtesters. We could employ an auxiliary NN trained to select the best human-
like action given an observation [2]. Nevertheless, carefully combining this
NN with APF is a topic of another study.
On the other hand, an alternative path is a subjective concept. Every human
playtester may think of another way to represent the Exit persona. In Table
LABEL:table:r:e_2_results, we see that when we train the APFCTS with the
second path, the score of the first path decreases, and the score of the sixth
path increases. According to APFCTS, the first and second paths are more
similar than the second and sixth paths (see Figure 9). However, one might
argue that the first and second paths are distinct as they reach different
Doors, and the second and sixth paths are similar as they reach the same Door.
Though APFCTS is objective in finding alternative paths, these alternative
paths are “subjectively” different for the game designers. The objectivity of
APFCTS and APFICM comes from the recoding probability of a frame and the
dynamics prediction error, respectively.
Additionally, we found that APFICM is more robust compared to APFCTS. We also
experimented with APFCTS in Doom. However, CTS calculated the recoding
probability of some frames as $0$. Furthermore, we observed that for our
experimentation setup the plus-shaped filter in Figure 3(b) yielded better
results than the original CTS filter in Figure 3(a). Lastly, researchers
employed curiosity to increase the playtesting coverage of an RL agent [33].
Though we promoted APF to find distinct paths, APF may help game tester agents
[8]. Coverage is crucial for testing, and APF increases coverage by finding
distinct paths.
Limitations & Challenges: The performance of developing and procedural persona
is dependent on the RL algorithms. If the RL algorithm cannot play a game, the
game designer could not benefit from these automated playtesters. Furthermore,
our APF proposals are based on exploration algorithms. The performance of APF
in an environment is linked to how well the exploration algorithm would
perform in this environment.
## VII Conclusion
This paper focused on the problem of providing additional tools to game
designers for playtesting. In this regard, we proposed developing persona, a
direct successor to procedural personas. Furthermore, we presented a novel
method to help RL agents to discover alternative trajectories, APF. We
introduced two APF approaches, APFCTS and APFICM.
Our results show that developing personas are a successor of procedural
personas. A game designer can embody various personalities in developing
personas to generate unique playtests. Furthermore, our experiments indicate
that developing personas provide information to game designers that procedural
personas cannot provide. Furthermore, we show that automated playtesting can
be extended to 3D environments using state-of-the-art RL algorithms.
We proposed APF to discover alternative paths in an environment. We based APF
on exploration research techniques and proposed two methodologies to implement
APF, APFCTS, and APFICM. In our experiments in GVG-AI and Doom environments,
we found that APF ensures that the same path is not generated again.
In the future, we would like to experiment with different personas using APF.
Next, APFICM can be improved by substituting the linear layer with an LSTM
layer. This substitution will provide path information rather than state
transition information. Lastly, we would like to experiment with other 3D
environments such as Minecraft [34].
## References
* [1] E. J. Powley, S. Colton, S. Gaudl, R. Saunders, and M. J. Nelson, “Semi-automated level design via auto-playtesting for handheld casual game creation,” in _2016 IEEE Conference on Computational Intelligence and Games (CIG)_ , 2016, pp. 1–8.
* [2] S. Gudmundsson, P. Eisen, E. Poromaa, A. Nodet, S. Purmonen, B. Kozakowski, R. Meurling, and L. Cao, “Human-like playtesting with deep learning,” in _2018 IEEE Conference on Computational Intelligence and Games (CIG)_ , 08 2018, pp. 1–8.
* [3] S. Roohi, A. Relas, J. Takatalo, H. Heiskanen, and P. Hämäläinen, _Predicting Game Difficulty and Churn Without Players_ , ser. CHI PLAY ’20. New York, NY, USA: Association for Computing Machinery, 2020, p. 585–593.
* [4] C. Holmgard, M. C. Green, A. Liapis, and J. Togelius, “Automated playtesting with procedural personas with evolved heuristics,” _IEEE Transactions on Games_ , pp. 1–1, 2018.
* [5] L. Mugrai, F. Silva, C. Holmgård, and J. Togelius, “Automated playtesting of matching tile games,” in _2019 IEEE Conference on Games (CoG)_. IEEE, 2019, pp. 1–7.
* [6] C. Holmgård, A. Liapis, J. Togelius, and G. N. Yannakakis, “Generative agents for player decision modeling in games,” in _Proceedings of the 9th International Conference on the Foundations of Digital Games (FDG)_ , 2014\.
* [7] R. Bartle, “Virtual worlds: Why people play,” _Massively Multiplayer Game Development 2_ , vol. 2, pp. 3–18, 01 2005.
* [8] S. Ariyurek, A. Betin-Can, and E. Surer, “Automated video game testing using synthetic and humanlike agents,” _IEEE Transactions on Games_ , vol. 13, no. 1, pp. 50–67, 2021.
* [9] V. Mnih, K. Kavukcuoglu, D. Silver, A. A. Rusu, J. Veness, M. G. Bellemare, A. Graves, M. A. Riedmiller, A. Fidjeland, G. Ostrovski, S. Petersen, C. Beattie, A. Sadik, I. Antonoglou, H. King, D. Kumaran, D. Wierstra, S. Legg, and D. Hassabis, “Human-level control through deep reinforcement learning,” _Nature_ , vol. 518, pp. 529–533, 2015.
* [10] J. Schulman, F. Wolski, P. Dhariwal, A. Radford, and O. Klimov, “Proximal policy optimization algorithms,” _CoRR_ , vol. abs/1707.06347, 2017.
* [11] C. B. Browne, E. Powley, D. Whitehouse, S. M. Lucas, P. I. Cowling, P. Rohlfshagen, S. Tavener, D. Perez, S. Samothrakis, and S. Colton, “A survey of monte carlo tree search methods,” _IEEE Transactions on Computational Intelligence and AI in Games_ , vol. 4, no. 1, pp. 1–43, March 2012.
* [12] M. G. Bellemare, S. Srinivasan, G. Ostrovski, T. Schaul, D. Saxton, and R. Munos, “Unifying count-based exploration and intrinsic motivation,” in _Advances in Neural Information Processing Systems 29: Annual Conference on Neural Information Processing Systems 2016, December 5-10, 2016, Barcelona, Spain_ , 2016, pp. 1471–1479.
* [13] J. Fu, J. D. Co-Reyes, and S. Levine, “EX2: exploration with exemplar models for deep reinforcement learning,” in _Advances in Neural Information Processing Systems 30: Annual Conference on Neural Information Processing Systems 2017, December 4-9, 2017, Long Beach, CA, USA_ , 2017, pp. 2577–2587.
* [14] D. Pathak, P. Agrawal, A. A. Efros, and T. Darrell, “Curiosity-driven exploration by self-supervised prediction,” in _Proceedings of the 34th International Conference on Machine Learning, ICML 2017, Sydney, NSW, Australia, 6-11 August 2017_ , 2017, pp. 2778–2787.
* [15] D. Perez-Liebana, J. Liu, A. Khalifa, R. D. Gaina, J. Togelius, and S. M. Lucas, “General video game ai: A multitrack framework for evaluating agents, games, and content generation algorithms,” _IEEE Transactions on Games_ , vol. 11, no. 3, pp. 195–214, 2019.
* [16] M. Kempka, M. Wydmuch, G. Runc, J. Toczek, and W. Jaśkowski, “ViZDoom: A Doom-based AI research platform for visual reinforcement learning,” in _IEEE Conference on Computational Intelligence and Games_. Santorini, Greece: IEEE, Sep 2016, pp. 341–348, the best paper award.
* [17] R. A. Bartle, “Hearts, clubs, diamonds, spades: Players who suit MUDs,” http://www.mud.co.uk/richard/hcds.htm , 2019.
* [18] A. Tychsen and A. Canossa, “Defining personas in games using metrics,” in _Proceedings of the 2008 Conference on Future Play: Research, Play, Share_ , ser. Future Play ’08. New York, NY, USA: ACM, 2008, pp. 73–80.
* [19] C. Holmgård, A. Liapis, J. Togelius, and G. N. Yannakakis, “Evolving personas for player decision modeling,” in _2014 IEEE Conference on Computational Intelligence and Games_. IEEE, 2014, pp. 1–8.
* [20] C. Holmgård, A. Liapis, J. Togelius, and G. N. Yannakakis, “Monte-carlo tree search for persona based player modeling,” in _Eleventh Artificial Intelligence and Interactive Digital Entertainment Conference_ , 2015.
* [21] F. de Mesentier Silva, S. Lee, J. Togelius, and A. Nealen, “Ai-based playtesting of contemporary board games,” in _Proceedings of the 12th International Conference on the Foundations of Digital Games_. ACM, 2017, p. 13.
* [22] G. Ostrovski, M. G. Bellemare, A. van den Oord, and R. Munos, “Count-based exploration with neural density models,” in _Proceedings of the 34th International Conference on Machine Learning, ICML 2017, Sydney, NSW, Australia, 6-11 August 2017_ , 2017, pp. 2721–2730.
* [23] H. Tang, R. Houthooft, D. Foote, A. Stooke, X. Chen, Y. Duan, J. Schulman, F. D. Turck, and P. Abbeel, “#exploration: A study of count-based exploration for deep reinforcement learning,” in _Advances in Neural Information Processing Systems 30: Annual Conference on Neural Information Processing Systems 2017, December 4-9, 2017, Long Beach, CA, USA_ , 2017, pp. 2753–2762.
* [24] I. Osband, C. Blundell, A. Pritzel, and B. V. Roy, “Deep exploration via bootstrapped DQN,” in _Advances in Neural Information Processing Systems 29: Annual Conference on Neural Information Processing Systems 2016, December 5-10, 2016, Barcelona, Spain_ , 2016, pp. 4026–4034.
* [25] Y. Burda, H. Edwards, A. J. Storkey, and O. Klimov, “Exploration by random network distillation,” in _7th International Conference on Learning Representations, ICLR 2019, New Orleans, LA, USA, May 6-9, 2019_ , 2019.
* [26] L. Lee, B. Eysenbach, E. Parisotto, E. P. Xing, S. Levine, and R. Salakhutdinov, “Efficient exploration via state marginal matching,” _CoRR_ , vol. abs/1906.05274, 2019.
* [27] J. A. Brown, “Towards better personas in gaming : Contract based expert systems,” in _2015 IEEE Conference on Computational Intelligence and Games (CIG)_ , 2015, pp. 540–541.
* [28] R. S. Sutton and A. G. Barto, _Reinforcement learning: An introduction_. MIT press, 2018.
* [29] M. G. Bellemare, J. Veness, and E. Talvitie, “Skip context tree switching,” in _Proceedings of the 31th International Conference on Machine Learning, ICML 2014, Beijing, China, 21-26 June 2014_ , 2014, pp. 1458–1466.
* [30] A. Hill, A. Raffin, M. Ernestus, A. Gleave, A. Kanervisto, R. Traore, P. Dhariwal, C. Hesse, O. Klimov, A. Nichol, M. Plappert, A. Radford, J. Schulman, S. Sidor, and Y. Wu, “Stable baselines,” https://github.com/hill-a/stable-baselines, 2018.
* [31] B. Tastan and G. Sukthankar, “Learning policies for first person shooter games using inverse reinforcement learning,” in _Proceedings of the Seventh AAAI Conference on Artificial Intelligence and Interactive Digital Entertainment_ , ser. AIIDE’11. AAAI Press, 2011, pp. 85–90.
* [32] B. Baker, I. Kanitscheider, T. M. Markov, Y. Wu, G. Powell, B. McGrew, and I. Mordatch, “Emergent tool use from multi-agent autocurricula,” in _8th International Conference on Learning Representations, ICLR 2020, Addis Ababa, Ethiopia, April 26-30, 2020_ , 2020.
* [33] C. Gordillo, J. Bergdahl, K. Tollmar, and L. Gisslén, “Improving playtesting coverage via curiosity driven reinforcement learning agents,” in _2021 IEEE Conference on Games (CoG)_ , 2021, pp. 1–8.
* [34] M. Johnson, K. Hofmann, T. Hutton, D. Bignell, and K. Hofmann, “The malmo platform for artificial intelligence experimentation,” in _25th International Joint Conference on Artificial Intelligence (IJCAI-16)_. AAAI - Association for the Advancement of Artificial Intelligence, July 2016.
## Appendix A Hyperparameters used in Experiments
TABLE XI: Hyperparameters of PPO Agents | Agents
---|---
Hyperparameters | PPO | PPO+CTS | PPO+ICM
Policy | CNN | CNN | CNNLstm
Timesteps | 1e8 | 1e8 | 2e8
Horizon | 256 | 256 | 64
Num. Minibatch | 8 | 8 | 8
GAE $(\lambda)$ | 0.95 | 0.95 | 0.99
Discount $(\gamma)$ | 0.99 | 0.99 | 0.999
Learning Rate $(\alpha)$ | $5\times 10^{-4}$ | $5\times 10^{-4}$ | $5\times 10^{-4}$
Num. Epochs | 3 | 3 | 4
Entropy Coeff. | 0.01 | 0.01 | 0.001
VF Coeff. | 0.5 | 0.5 | 0.5
Clipping Param. | 0.2 | 0.2 | 0.1
Max Grad. Norm. | 0.5 | 0.5 | 0.5
Num. of Actors | 16 | 16 | 32
CTS Beta $(\beta)$ | - | 0.05 | -
CTS Filter | - | L-shaped | -
ICM State Features | - | - | 256
ICM Beta $(\beta)$ | - | - | 0.2
TABLE XII: Hyperparameters of APF Techniques Hyperparameters | APFCTS | APFICM
---|---|---
$pos_{cap}$ | 0.4 | 0.1
$neg_{cap}$ | -0.4 | -0.4
APF Beta $(\beta)$ | 0.01 | 0.01
| arxiv-papers | 2021-07-26T05:23:45 | 2024-09-04T03:07:17.668289 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Sinan Ariyurek, Elif Surer, Aysu Betin-Can",
"submitter": "Elif Surer",
"url": "https://arxiv.org/abs/2107.11965"
} |
2107.11966 | # When SRv6 meets 5G Core: Implementation and Deployment of a Network Service
Chaining Function in SmartNICs
1st Guilherme Matos
3rd Luis Miguel Contreras Department of Computer Science, UFSCar
Sorocaba, Brazil
[email protected] Telefonica
Madri, Spain
[email protected] 2nd Leandro C. de Almeida
4th Fábio Luciano Verdi Department of Computer Science, UFSCar
Sorocaba, Brazil
[email protected] Department of Computer Science, UFSCar
Sorocaba, Brazil
[email protected]
###### Abstract
Currently, we have witnessed a myriad of solutions that benefit from
programmable hardware. The 5G Core (5GC) can and should also benefit from such
paradigm to offload certain functions to the dataplane. In this work, we
designed and implemented a P4-based solution for traffic identification and
chaining using the Netronome Agilo SmartNIC. The solution here presented is
deployed in-between the RAN and UPF (User Plane Function) so that traffic
coming from the RAN is identified and chained using SRv6 based on different
rules defined by the control plane. The traffic identification and the
construction of the SRv6 list of segments are done entirely in the SmartNIC. A
minimalist Proof-of-Concept (PoC) was deployed and evaluated to show that this
function is perfectly capable to build service function chainings in a
transparent and efficient way.
###### Index Terms:
5G, Service Function Chaining, P4, SRv6
## I Introduction
By leveraging network softwarization technologies such as Software Defined
Networking (SDN) and Network Functions Virtualization (NFV), a high level of
programmability, flexibility, and modularity may be created on top of a common
network.
Aligned with such softwarization, SRv6 has became a key element for the IPv6
data-plane instantiation of Segment Routing [1]. SRv6 works as an extension of
IPv6 header, creating a segment list of IPv6 addressees having a pointer to
identify which segment is active. Every time that the packet pass through a
segment endpoint (SR-capable nodes whose address is in the IPv6 destination
address) the pointer decreases, and the new segment-id of the segment list is
copied to the destination address. Undoubtley, SRv6 is an enabler to satisfy
new consumer, service and business demands for 5G and beyound [2].
In this demonstration, we will show a P4-based solution capable of identifying
traffic and building the list of SRv6 segments in the dataplane, named INCA
(In-Network IdentifiCation and chAining). The solution is deployed in-between
the RAN and UPF so that traffic is transparently captured, identified and
chained according to the control plane pre-defined policies. INCA is capable
of parsing traffic coming from the RAN, analysing different types of fields
for classification such as IPv6 header (inner/outter), TEID (Tunnel Endpoint
ID), QoS ID, among others. In this work, GTP is used as the tunneling protocol
between the RAN and UPF.
The deployment was done using a Netronome Agilio CX 2x10GbE SmartNIC. We
evaluated the solution by using DASH traffic as well as ICMP traffic from an
emulated UE to test different service chaining based on general policies. The
evaluation shows the feasibility of INCA in supporting such approach entirely
in the dataplane.
## II Design and working flow
Figure 1: INCA working flow.
Figure 1 ilustrates how our solution works. For sake of simplicity, only the
UE, RAN, UPF and DN are shown in the figure. In addition, we also have two
examples of network functions, A:: and B::.
In (1) a packet leaves the UE to the DN. When this packet arrives in the RAN
(2) it is then tunneled with the standard 5G stack (UDP + IPv6 + GTP) and sent
to UPF. INCA transparently captures this traffic before the UPF and applies
the rules according to what was configured by the control plane. Several
fields may be used to control the traffic such as the Tunnel Endpoint ID (TEID
- inside GTP), QoS ID, transport and network layers of the user’s original
packet and slice ID. In addition, we can also detect traffic at the flow level
(5-tuple), services, QoS or any combination of those elements.
In step (3), INCA builds an SRv6 header and forwards the packet to the first
function. The last VNF forwards the packet back to INCA so that the SRv6
header is removed and the original traffic is sent to the UPF (7), which in
turn delivers the packet to its destination (8).e
## III PoC deployment
The Netronome SmartNIC uses single-root input/output virtualization (SRIOV),
which enables virtual functions (VFs) to be created from a physical function
(PF). The VFs thus share the resources of a PF, while VFs remain isolated from
each other. The isolated VFs are typically assigned to virtual machines (VMs)
on the host. In this way, the VFs allow the VMs to directly access the PCI
device, thereby bypassing the host kernel. In our solution, we have two
physical (p0, p1) and five virtual interfaces (Vf0_1 to Vf0_5).
Figure 2 summarizes the setup, showing seven virtual machines used to host the
UE, RAN, UPF and DN, as well as three virtual functions: NFV1 (Intrusion
Detection System), NFV2 (Intrustion Prevention System) and NFV3 (Packet
Filter). To encapsulate and decapsulate packets into GTP tunnels (in the RAN
and UPF) we are using Python scripts with the Scapy library.
Figure 2: Testbed setup.
In this scenario, the UE runs two applications: a DASH client (VLC) and a ICMP
monitoring tool. Using the QoS ID field, the DASH traffic is identified to go
through two virtual functions, NFV1 and NFV2. The ICMP traffic is identified
to gothrough the functions NFV1 and NFV3. This last function is configured to
block ICMP traffic.
No scalability evaluation was done so far. The PoC here presented shows that
it is possible to build SFC using SRv6 entirely in the dataplane. The NFs and
the services used are just examples of what can be done once the INCA is
running, and any other NF can be used since INCA is agnostic of the functions
applied in the traffic.
In summary, INCA may be used as a starting point framework to create several
different chainings using SRv6 in the dataplane. In addition, the usage of
Stratum [3] for configuring the rules in INCA is a natural step in this work
so that INCA becomes adherent to the ONF next generation SDN.
## References
* [1] C. Filsfils, S. Previdi, L. Ginsberg, B. Decraene, S. Litkowski, and R. Shakir, “Segment Routing Architecture,” RFC 8402, Jul. 2018. [Online]. Available: https://rfc-editor.org/rfc/rfc8402.txt
* [2] M. Gramaglia, V. Sciancalepore, F. J. Fernandez-Maestro, R. Perez, P. Serrano, and A. Banchs, “Experimenting with srv6: a tunneling protocol supporting network slicing in 5g and beyond,” in _2020 IEEE 25th International Workshop on Computer Aided Modeling and Design of Communication Links and Networks (CAMAD)_. IEEE, 2020, pp. 1–6.
* [3] ONF, “Open source Silicon-independent Switch Operating System,” https://opennetworking.org/stratum/, 2021, [Online; accessed 12-April-2021].
| arxiv-papers | 2021-07-26T05:45:02 | 2024-09-04T03:07:17.683645 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Guilherme Matos, Fabio Luciano Verdi, Luis Miguel Contreras, Leandro\n C. de Almeida",
"submitter": "Guilherme Matos",
"url": "https://arxiv.org/abs/2107.11966"
} |
2107.11967 | # COMPARE: Accelerating Comparative Queries in Relational Databases for Data
Analytics
Tarique Siddiqui Surajit Chaudhuri Vivek Narasayya
Microsoft Research
{tasidd surajitc viveknar}@microsoft.com
# COMPARE: Accelerating Groupwise Comparison in Relational Databases for Data
Analytics
Tarique Siddiqui Surajit Chaudhuri Vivek Narasayya
Microsoft Research
{tasidd surajitc viveknar}@microsoft.com
# COMPARE: Accelerating Groupwise Comparison in Relational Databases for Data
Analytics
(Extended Version)
Tarique Siddiqui Surajit Chaudhuri Vivek Narasayya
Microsoft Research
{tasidd surajitc viveknar}@microsoft.com
###### Abstract
Data analysis often involves _comparing_ subsets of data across many
dimensions for finding unusual trends and patterns. While the comparison
between subsets of data can be expressed using SQL, they tend to be complex to
write, and suffer from poor performance over large and high-dimensional
datasets. In this paper, we propose a new logical operator Compare for
relational databases that concisely captures the enumeration and comparison
between subsets of data and greatly simplifies the expressing of a large class
of comparative queries. We extend the database engine with optimization
techniques that exploit the semantics of Compare to significantly improve the
performance of such queries. We have implemented these extensions inside
Microsoft SQL Server, a commercial DBMS engine. Our extensive evaluation on
synthetic and real-world datasets shows that Compare results in a significant
speedup over existing approaches, including physical plans generated by
today’s database systems, user-defined functions (UDFs), as well as middleware
solutions that compare subsets outside the databases.
## 1 Introduction
Comparing subsets of data is an important part of data exploration [8, 30, 43,
19, 47], routinely performed by data scientists to find unusual patterns and
gain actionable insights. For instance, market analysts often compare products
over different attribute combinations (e.g., revenue over week, profit over
week, profit over country, quantity sold over week, etc.) to find the ones
with similar or dissimilar sales. However, as the size and complexity of the
dataset increases, this manual enumeration and comparison of subsets becomes
challenging. To address this, a number of visualization tools [47, 49, 19, 43]
have been proposed that automatically compare subsets of data to find the ones
that are relevant. Figure 1a depicts an example from Seedb [47] where the user
specifies the subsets of population (e.g., based on marital status, race) and
the tool automatically find a socio-economic indicator (e.g., education,
income, capital gains) on which the subsets differ the most. Similarly, Figure
1b depicts an example from Zenvisage [43] for finding states with similar
house pricing trends. Unfortunately, most of these tools perform comparison of
subsets in a middleware and as depicted in Figure 2, with the increase in size
and number of attributes in the dataset, these tools incur large data movement
as well as serialization and deserialization overheads, resulting in poor
latency and scalability.
(a) Seedb [47]
(b) Zenvisage [43]
Figure 1: Examples of comparative queries from visual analytic tools: a)
Finding socio-economic indicators that differentiate married and unmarried
couples in Seedb [47].The user specifies the subsets (A) after which the tool
outputs a pair of attributes (B) along with corresponding visualizations (C)
that differentiates the subsets the most. b) A comparative query in Zenvisage
[43] for finding states with comparable housing price trends.
The question we pose in this work is: _can we efficiently perform comparison
between subsets of data within the relational databases to improve performance
and scalability of comparative queries?_ Supporting such queries within
relational databases also makes them broadly accessible via general-purpose
data analysis tools such as PowerBI [3], Tableau [5], and Jupyter notebooks
[27]. All of these tools let users directly write SQL queries and execute them
within the DBMS to reduce the amount of data that is shipped to the client.
Figure 2: Relative performance of different execution approaches for a
comparative query w.r.t unmodified SQL Server execution time (higher the
better). The query finds a pair of origin airports that have the most similar
departure delays over week trends in the flight dataset [1]
One option for in-database execution is to extend DBMS with _custom user-
defined functions_ (UDFs) for comparing subsets of data. However, UDFs incur
invocation overhead and are executed as a batch of statements where each
statement is run sequentially one after other with limited resources (e.g.,
parallelism, memory). As such, the performance of UDFs does not scale with the
increase in the number of tuples (see Figure 2). Furthermore, UDFs have
limited interoperability with other operators, and are less amenable to
logical optimizations, e.g., PK-FK join optimizations over multiple tables.
While comparative queries can be expressed using regular SQL, such queries
require complex combination of multiple subqueries. The complexity makes it
hard for relational databases to find efficient physical plans, resulting in
poor performance. While prior work have proposed extensions [14, 13, 22, 18,
44] such as grouping variables, GROUPING SETs, CUBE; as we discussed in the
later sections, expressing and optimizing _grouping_ and _comparison_
simultaneously remains a challenge. To describe the complexity using regular
SQL, we use the following example.
Example. Consider a market analyst exploring sales trends across different
cities. The analyst generates a sample of visualizations depicting different
trends, e.g., average revenue over week, average profit over week, average
revenue over country, etc., for a few cities. She notices that trends for
cities in Europe look different from those in Asia. To verify whether this
observation generalizes, she looks for a counterexample by searching for pairs
of attributes over which two cities in Asia and Europe have most similar
trends. Often, an $L_{p}$ norm-based distance measure (e.g., Euclidean
distance, Manhattan distance) that measures deviation between trends and
distributions is used for such comparisons [43, 47, 19].
Figure 3 depicts a SQL query template for the above example. The query
involves multiple subqueries, one for each attribute pair. Within each
subquery, subsets of data (one for each city) are aggregated and compared via
a sequence of self-join and aggregation functions that compute the similarity
(i.e., sum of squared differences). Finally, a join and filter is performed to
output the tuples of subsets with minimum scores. Clearly, the query is quite
verbose and complex, with redundant expressions across subqueries. While
comparative queries often explore and compare a large number of attribute
pairs [47, 28], we observe that even with only a few attribute pairs, the SQL
specification can become extremely long.
Furthermore, the number of groups to compare can often be large—determined by
the number of possible constraints (e.g., citi- es), pairs of attributes, and
aggregation functions—which grow significantly with the increase in dataset
size or number of attributes. This results in many subqueries with each
subquery taking substantially long time to execute. In particular, while there
are large opportunities for sharing computations (e.g., aggregations) across
subqueries, the relational engines execute subqueries for each attrib- ute-
pair separately resulting in substantial overhead in both runtime as well as
storage. Furthermore, as depicted in subquery 1 in Figure 3, while each pair
of groups (e.g., set of tuples corresponding to each city) can be compared
independently, the relational engines perform an expensive self-join over a
large relation consisting of all groups. The cost of doing this increases
super-linearly as the number and size of subsets increases (discussed in more
detail in Section 4.1). Finally, in many cases, we only need the aggregated
result for each comparison; however the join results in large intermediate
data—one tuple for each pair of matching tuples between the two sets,
resulting in substantial overheads.
Figure 3: A SQL query for comparing subsets of data over different attribute
combinations, depicting the complexity of specification using existing SQL
expressions.
### 1.1 Overview of Our Approach
In this paper, we take an important step towards making specification of the
comparative queries easier and ensuring their efficient processing. To do so,
we introduce a logical operator and extensions to the SQL language, as well as
optimizations in relational databases, described below.
Groupwise comparison as a first class construct (Section 2 and 3). We
introduce a new logical operation, Compare ($\Phi$), as a first class
relational construct, and formalize its semantics that help capture a large
class of frequently used comparative queries. We propose extensions to SQL
syntax that allows intuitive and more concise specification of comparative
queries. For instance, the comparison between two sets of cities $C_{1}$ and
$C_{2}$ over $n$ pairs of attributes: ($x_{1}$, $y_{1}$), ($x_{2}$, $y_{2}$),
…, ($x_{n}$, $y_{n}$) using a comparison function $\mathcal{F}$ can be
succinctly expressed as Compare [$C_{1}$<->$C_{2}$][ ($x_{1}$, $y_{1}$),
($x_{2}$, $y_{2}$), …, ($x_{n}$, $y_{n}$)] USING $\mathcal{F}$. As illustrated
earlier, expressing the same query using existing SQL clauses requires a UNION
over $n$ subqueries, one for each ($x_{i}$, $y_{i}$) where each subquery
itself tends to be quite complex. Overall, while Compare does not give
additional expressive power to the relational algebra, it reduces the
complexity of specifying comparative queries and facilitates optimizations via
query optimizer and the execution engine.
Figure 4: Illustrating comparative queries described in Section 2.1
Efficient processing via optimizations (Section 4 and 5). We exploit the
semantics of Compare to share aggregate computations across multiple attribute
combinations, as well as partition and compare subsets in a manner that
significantly reduces the processing time. While these optimizations work for
any comparison function, we also introduce specific optimizations (by
introducing a new physical operator) that exploit properties of frequently
used comparison functions (e.g., $L_{p}$ norms). These optimizations help
prune many subset comparisons without affecting the correctness.
Inter-operator optimizations (Section 6). We introduce new transformation
rules that transform the logical tree containing the Compare operator along
with other relational operators into equivalent logical trees that are more
efficient. For instance, the attributes referred in Compare may be spread
across multiple tables, involving PK-FK joins between fact and dimension
tables. To optimize such cases, we show how we can push Compare below join
that reduces the number of tuples to join. Similarly, we describe how
aggregates can be pushed below Compare, how multiple Compare operators can
reordered and how we can detect and translate an equivalent sub-plan expressed
using existing relational operators to Compare.
Implementation inside commercial database engine (Section 7). We have
prototyped our techniques in Microsoft SQL Server engine, including the
physical optimizations. Our experiments show that even over moderately-sized
datasets (e.g., $10$–$20$ GB) Compare results in up to 4$\times$ improvement
in performance relative to alternative approaches including physical plans
generated by SQL Server, UDFs, and middlewares (e.g., Zenvisage, Seedb). With
the increase in the number of tuples and attributes, the performance
difference grows quickly, with Compare giving more than a order of magnitude
better performance.
## 2 Characterizing Comparative
Queries
In this section, we first characterize comparative queries with the help of
additional examples drawn from visualization tools [19, 43, 47] and data
mining [35, 10, 8, 30]. Then, we give a formal definition that concisely
captures the semantics of comparative queries.
### 2.1 Examples
We return to the example scenario discussed in introduction: a market analyst
is exploring sales trends of products with the help of visualizations to find
unusual patterns. The analyst first looks at a small sample of visualizations,
e.g., average revenue over week trends for a few regions (e.g., Asia, Europe)
and for a subset of cities and products within each region. She observes some
unusual patterns and wants to quickly find additional visualizations that
either support or disprove those patterns (without examining all possible
visualizations). Note that we use the term "trend" to refer to a set of tuples
in a more general sense where both categorical (e.g., country) or ordinal
attributes (e.g., week) can be used for ordering or alignment during
comparison. We consider several examples below in increasing order of
complexity. Figure 4 illustrates each of these examples, depicting the
differences in how the comparison is performed.
Example 1a. The analyst notes that the average revenue over week trends for
Asia as well as for a subset of products in that region look similar. As a
counterexample, she wants to find a product whose revenue over week trend in
Asia is very dissimilar (typically measured using $L_{p}$ norms) to that of
the Asia’s overall trend. There are visualization systems [48, 12, 43, 28]
that support similar queries.
Example 1b. In the above example, the analyst finds that the trend for product
‘Inspiron’ is different from the overall trend for the region ‘Asia’. She
finds it surprising and wants to see the attributes for which trends or
distributions of Inspiron and Asia deviate the most. More precisely, she wants
to _compare ‘Inspiron’ and ‘Asia’ over multiple pairs of attributes (e.g.,
average profit over country, average quantitysold over week, …, average profit
over week) and select the one where they deviate the most_. Such comparisons
can be found in features such as Explain Data[4] in Tableau and tools such as
Seedb [47], Zenvisage [43], Voyager [49].
Example 2a. Consider another scenario: the analyst visualizes the revenue
trends of a few cities in Asia and in Europe, and finds that while most cities
in Asia have increasing revenue trends, those in Europe have decreasing
trends. Again, as a counterexample to this, she wants to find a pair of cities
in these regions where this pattern does not hold, i.e., they have the most
similar trends. Such tasks involving search for similar pair of items are
ubiquitous in data mining [36] and time series [35, 10, 8, 30].
Example 2b. In the above example, the analyst finds that the output pair of
visualizations look different, supporting her intuition that perhaps no two
cities in Europe and Asia have similar revenue over week trends. To verify
whether this observation generalizes when compared over other attributes, she
_searches for pairs of attributes (similar to ones mentioned in Example 1b)
for which two cities in Asia and Europe have most similar trends or
distributions_. Such queries are common in tools such as Zenvisage [43] that
support finding outlier visualizations over a large set of attributes.
In summary, the comparative queries in above examples _fast-forward_ the
analyst to a _few_ visualizations that depict a _pattern_ she wants to
verify—thereby allowing her to skip the tedious and time-consuming process of
manual comparison of all possible visualizations. As illustrated in Figure 4,
each query involves comparisons between two sets of visualizations (henceforth
referred as Set1 and Set2) to find the ones which are similar or dissimilar.
Each visualization depicting a trend is represented via two attributes (X
attribute, e.g., week and a Y attribute, e.g., average revenue) and a set of
tuples (specified via a constraint, e.g., product = ‘Inspiron’). We now
present a succinct representation to capture these semantics.
### 2.2 Formalization
We formalize our notion of comparative queries and propose a concise
representation for specifying such queries.
#### 2.2.1 Trend
A trend is a set of tuples that are compared together as one unit. Formally,
###### Definition 1 (Trend).
Given a relation R, a trend $t$ is a set of tuples derived from R via the
triplet: constraint $c$, grouping $g$, measure $m$ and represented as
($c$)($g$, $m$).
###### Definition 2 (Constraint).
Given a relation R, a constraint is a conjunctive filter of the form:
$({p_{1}}=\alpha_{1},p_{2}=\alpha_{2},...,p_{n}=\alpha_{n})$ that selects a
subset of tuples from R. Here, $p_{1},p_{2},...,p_{n}$ are attributes in $R$
and $\alpha_{i}$ is a value of $p_{i}$ in $R$. One can use ‘ALL’ to select all
values of $p_{i}$, similar to [22].
###### Definition 3 ((Grouping, Measure)).
Given a set of tuples selected via a constraint, all tuples with the same
value of grouping are aggregated using measure. A tuple in one trend is only
compared with the tuple in another trend with the same value of grouping.
In example 1a, (R.region = ‘Asia’)(R.week, AVG(R.revenue)) is a trend in Set1,
where (region = ‘Asia’) is a constraint for the trend and all tuples with the
same value of grouping:‘week’ are aggregated using the measure:
‘AVG(revenue)’. We currently do not support range filters for constraint.
#### 2.2.2 Trendset
A comparative query involves two sets of trends. We formalize this via
trendset.
###### Definition 4 (Trendset).
A trendset is a set of trends. A trend in one trendset is compared with a
trend in another trendset.
In example 1a, the first trendset consists of a single trend: {(R.region =
‘Asia’)(R.week, AVG(R.revenue))}, while the second trendset consists of as
many trends as there are are unique products in $R$: {(R.region = ‘Asia’,
R.product = ‘Inspiron’) (R.week, AVG(R.reven-ue)), (R.region = ‘Asia’,
R.product = ‘XPS’)(R.week, AVG(R.reven- ue)), $...$, (R.region = ‘Asia’,
R.product = ‘G7’) (R.week, AVG(R.rev- enue))}.
As is the case in the above example, often a trendset contains one trend for
each unique value of an attribute (say $p$) as a constraint, all sharing the
same (grouping, measure). Such a trendset can be succinctly represented using
only the attribute name as constraint, i.e., [$p$][($g_{1}$, $m_{1}$)]. If
$\alpha_{1}$, $\alpha_{2}$, ..$\alpha_{n}$ represent all unique values of $p$,
then,
[$p$][($g_{1}$, $m_{1}$)] $\Rightarrow$ {($p=\alpha_{1}$)($g_{1}$, $m_{1}$),
($p=\alpha_{2}$)($g_{1}$, $m_{1}$), …, ($p=\alpha_{n}$)($g_{1}$, $m_{1}$)}
($\Rightarrow$ denotes equivalence)
Similarly, [$p_{1}$, $p_{2}=\beta$][($g_{1}$, $m_{1}$)] $\Rightarrow$
{($p_{1}=\alpha_{1}$, $p_{2}=\beta$)($g_{1}$, $m_{1}$), ($p_{1}=\alpha_{2}$,
$p_{2}=\beta$)($g_{1}$, $m_{1}$), …, ($p_{1}=\alpha_{n}$,
$p_{2}=\beta$)($g_{1}$, $m_{1}$)}
Alternatively, a trendset consisting of different (grouping, measure)
combinations but the same constraint (e.g., $p=\alpha_{1}$) can be succinctly
written as:
[($p=\alpha_{1}$)][($g_{1}$, $m_{1}$), …, ($g_{n}$, $m_{n}$)] $\Rightarrow$
{($p=\alpha_{1}$)($g_{1}$, $m_{1}$), …, ($p=\alpha_{1}$)($g_{n}$, $m_{n}$)}
#### 2.2.3 Scoring
We first define our notion of ‘Comparability’ that tells when two trends can
be compared.
###### Definition 5 (Comparability of two trends).
Two trends $t_{1}$: ($c_{1}$)($g_{1}$, $m_{1}$) and $t_{2}$:
($c_{1}$)($g_{2}$, $m_{2}$) can be compared if $g_{1}$ $=$ $g_{2}$ and $m_{1}$
$=$ $m_{2}$, i.e., they have the same grouping and measure.
For example, a trend (R.product = ‘Inspiron’) (R.week, AVG( R.revenue)) and a
trend (R.product = ‘XPS’)( R.month, AVG(R.pro- fit)) cannot be compared since
they differ on grouping and measure.
Next, we define a function scorer for comparing two trends.
###### Definition 6 (Scorer).
Given two trends $t_{1}$ and $t_{2}$, a scorer is any function that returns a
single scalar value called ‘score’ measuring how $t_{1}$ compares with
$t_{2}$.
While we can accept any function that satisfies the above definition as a
scorer; as mentioned earlier, two trends are often compared using distance
measures such as Euclidean distance, Manhattan distance [31, 47, 43]. Such
functions are also called aggregated distance functions [34]. All aggregated
distance functions use a function DIFF(.) as defined below.
###### Definition 7 (DIFF($m_{1},m2,p$)).
111Note that the function DIFF is distinct from another operator [6] with
similar name.
Given a tuple with measure value $m_{1}$ and grouping value $g_{i}$ in trend
$t_{1}$ and another tuple with measure value $m_{2}$ and the same grouping
value $g_{i}$, DIFF($m_{1}$, $m_{2}$, p) = $|m_{1}-m_{2}|^{p}$ where
$p\in\mathbb{Z}^{+}$. Tuples with non-matching grouping values are ignored.
Since $m_{1}$ and $m_{2}$ are clear from the definition of $t_{1}$ and $t_{2}$
and tuples across trends are compared only when they have same grouping and
measure expressions, we succinctly represent DIFF($m_{1}$, $m_{2}$, $p$) =
DIFF($p$)
###### Definition 8 (Aggregated Distance Function).
An aggregated distance function compares trends $t_{1}:(c_{i})(g_{i},m_{i})$
and $t_{2}:(c_{j})$ $(g_{i},m_{i})$ in two steps: (i) first DIFF(p) is
computed between every pairs of tuples in $t_{1}$ and $t_{2}$ with same values
of $g_{i}$, and (ii) all values of DIFF(p) are aggregated using an aggregate
function AGG such as SUM, AVG, MIN, and MAX to return a score. An aggregated
distance function is represented as AGG OVER DIFF(p).
For example, $L_{p}$ norms222We ignore the $p$th root as it does not affect
the ranking of subsets. such as Euclidean distance can be specified using SUM
OVER DIFF(2), Manhattan distance using SUM OVER DIFF(1), Mean Absolute
Deviation as AVG OVER DIFF(1), Mean Square Deviation as AVG OVER DIFF(2).
#### 2.2.4 Comparison between Trendsets
We extend Definition 5 to the following observation over trendsets.
Observation 1 [Comparability between two trendsets] Given two trendsets
$T_{1}$ and $T_{2}$, a trend $(c_{i})(g_{i},m_{i})$ in $T_{1}$ is compared
with only those trends $(c_{j})(g_{j},m_{j})$ in $T_{2}$ where $g_{i}=g_{j}$
and $m_{i}=m_{j}$.
Thus, given two trendsets, we can automatically infer which trends between the
two trendsets need to be compared. We use $T1$<->$T2$ to denote the comparison
between two trendsets $T_{1}$ and $T_{2}$. For example, the comparison in
example 1a can be represented as:
[region = ‘Inspiron’][(week, AVG(revenue))] <-> [region = ‘Asia’, product][
(week, AVG (revenue))]
If both $T_{1}$ and $T_{2}$ consist of the same set of grouping and measure
expressions say {($g_{1}$, $m_{1}$), $...$, ($g_{n}$, $m_{n}$)} and differ
only in constraint, we can succinctly represent $T_{1}$ <-> $T_{2}$ as
follows:
[$c_{1}$][($g_{1}$, $m_{1}$), $...$, ($g_{n}$, $m_{n}$)] <->
[$c_{2}$][($g_{1}$, $m_{1}$), $...$, ($g_{n}$, $m_{n}$)] $\Rightarrow$
[$c_{1}$ <-> $c_{2}$][($g_{1}$, $m_{1}$), $...$, ($g_{n}$, $m_{n}$)]
Thus, the comparison between trendsets in example 1a can be succinctly
expressed as:
[(region = ‘Asia’) <-> (region = ‘Asia’, product) ][(week, AVG(reve- nue))]
Similarly, the following expression represents the comparison in example 1b.
[(region = ‘Asia’) <-> (region = ‘Asia’, product = ‘Inspiron’)][(week,
AVG(revenue)), (country, AVG(profit)), … , (month, AVG(revenue))]
We can now define a comparative expression using the notions introduced so
far.
###### Definition 9 (Comparative expression).
Given two trendsets $T_{1}$ <-> $T_{2}$ over a relation $R$, and a scorer
$\mathcal{F}$, a comparative expression computes the scores between trends
$(c_{i})(g_{i},m_{i})$ in $T_{1}$ and $(c_{j})$ $(g_{j},m_{j})$ in $T_{2}$
where $g_{i}=g_{j}$ and $m_{i}=m_{j}$.
## 3 The COMPARE Operator
In this section, we introduce a new operator Compare, that makes it easier for
data analysts and application developers to express comparative queries. We
first explain the syntax and semantics of Compare and then show how Compare
inter-operates with other relational operators to express top-k comparative
queries as discussed in Section 2.1.
### 3.1 Syntax and Semantics
Compare, denoted by $\Phi$, is a logical operator that takes as input a a
comparative expression specifying two trendsets $T_{1}$ <->$T_{2}$ over
relation $R$ along with a scorer $\mathcal{F}$ and returns a relation
$R^{\prime}$.
$\Phi(R,T_{1}\text{{\color[rgb]{.75,.5,.25}\definecolor[named]{pgfstrokecolor}{rgb}{.75,.5,.25}\bf{<->}}}T_{2},\mathcal{F})$
$\rightarrow$ $R^{\prime}$
$R^{\prime}$ consists of scores for each pair of compared trends between the
two trendsets. For instance, the table below depicts the output schema (with
an example tuple) for the Compare expression [$c_{1}$ <-> $c_{2}$][($g_{1}$,
$m_{1}$), ($g_{2}$, $m_{2}$)]. The values in the tuple indicate that the trend
($c1$ = $\alpha_{1}$)($g_{1}$, $m_{1}$) is compared with the trend ($c_{2}$ =
$\alpha_{2}$)($g_{1}$, $m_{1}$) and the score is $10$.
$c_{1}$ | $c_{2}$ | $g_{1}$ | $m_{1}$ | $g_{2}$ | $m_{2}$ | score
---|---|---|---|---|---|---
$\alpha_{1}$ | $\alpha_{2}$ | True | True | False | False | $10$
… | … | … | … | … | … | …
We express the Compare operator in SQL using two extensions: Compare and
USING:
⬇
COMPARE T1 <-> T2
USING $\mathcal{F}$
For instance, for example 1a, the comparison between the AVG(reve- nue) over
week trends for the region ‘Asia’ and each of the products in region ’Asia’
can be succinctly expressed as follows:
Listing 1: COMPAREXPR1A
⬇
SELECT R1, P, W, V, score
FROM sales R
COMPARE [((R.region = Asia) AS R1) <-> (R1, R.product AS P)]
[R.week AS W, AVG (R.revenue) AS V]
USING SUM OVER DIFF(2) AS score
Table 1: Output of Compare in Example 1a
R1 | P | W | V | score
---|---|---|---|---
Asia | XPS | True | True | 30
Asia | Inspiron | True | True | 24
… | … | … | … | …
Asia | G8 | True | True | 45
Here $T_{1}$ = [((R.region = Asia) AS R1)][R.week AS W, AVG (R.revenue) AS V]
and $T_{2}$ = [((R.region = Asia) AS R1, R.product AS P)][R.week AS W, AVG
(R.revenue) AS V]. Observe that $T_{1}$ and $T_{2}$ share the same set of
(grouping, measure) and the filter predicate (R.region = Asia) in their
constraints, thus it is concisely expressed as [((R.region = Asia) AS
R1)<->(R1, R.product AS P)][R.week AS W, AVG (R.revenue) AS V].
Table 1 illustrate the output of this query. The first two columns R1 and P
identify the values of constraint for compared trends in T1 and T2. The
columns W and V are Boolean valued denoting whether R.week and AVG(R.revenue)
were used for the compared trends. Thus, the values of (R1, P, W, V) together
identify the pairs of trends that are compared. Since R.week and
AVG(R.revenue) are grouping and measure for all trends in this example, their
values are always True. Finally, the column score specifies the scores
computed using Euclidean distance, expressed as SUM OVER DIFF(2).
Now, consider below the query for example 1b that compares tuples where
(R.region = Asia) with tuples where (R.region = Asia) and (R.product =
’Inspiron’) over a set of (grouping, measure):
Listing 2: COMPAREXPR1B
⬇
SELECT R1, P, W, C, V, …, M, score
FROM sales R
COMPARE [((R.region = Asia) AS R1) <-> (R1, (R.product = ’Inspiron’)
AS P)][(R.week AS W, AVG(R.revenue) AS V), (R.country AS
C, AVG(R.profit) AS O), …, (R.month AS M, V)]
USING SUM OVER DIFF(2) AS score
Table 2: Output of Compare in Example 1b
R1 | P | W | C | M | V | O | score
---|---|---|---|---|---|---|---
Asia | Inspiron | True | False | False | True | False | 40
Asia | Inspiron | False | True | False | True | False | 20
… | … | … | … | … | … | … | …
Asia | Inspiron | False | False | True | True | False | 10
Table 2 depicts the output for this query. The columns R1 and P are always set
to "Asia" and "Inspiron" since the constraint for all trends in T1 and T2 are
fixed. W, C, M, V, and P consist of Boolean values telling which columns among
R.week, R.country, R.month, AVG(R.revenue), and AVG(R.profit) were used as
(grouping, measure) for the pair of compared trends.
From above examples, it is easy to see that we can write queries with Compare
expression for examples 2a and 2b as follows:
Listing 3: COMPAREXPR2A
⬇
SELECT R1, C1, R2, C2, W, V, score
FROM sales R
COMPARE [((R.Region = Asia) AS R1, (R.city) AS C1) <-> ((R.Region
= Europe) AS R2, (R.city) AS C2)][R.week AS W,
AVG(R.revenue) AS V]
USING SUM OVER DIFF(2) AS score
Listing 4: COMPAREXPR2B
⬇
SELECT R1, C1, R2, C2, W, C, V, …, M, score
FROM sales R
COMPARE [((R.Region = Asia) AS R1, (R.city) AS C1) <-> ((R.Region
= Europe) AS R2, (R.city) AS C2)][(R.week AS W, AVG(R.revenue) AS
V), (R.country AS C, AVG(R.profit) AS O), …, (R.month AS M, V)]
USING SUM OVER DIFF(2) AS score
Note that Compare is semantically equivalent to a standard relational
expression consisting of multiple sub-queries involving union, group-by, and
join operators as illustrated in introduction. As such, Compare does not add
to the expressiveness of relational algebra SQL language. The purpose of
Compare is to provide a succinct and more intuitive mechanism to express a
large class of frequently used comparative queries as shown above. For
example, expressing the query in Listing 2 using existing SQL clauses (see
Figure 3) is much more verbose, requiring a complex sub-query for each
(grouping, measure). Prior work have proposed similar succinct abstractions
such as GROUPING SETs [17] and CUBE [22] (both widely adopted by most of the
databases) and more recently DIFF [6], which share our overall goal that with
an extended syntax, complex analytic queries are easier to write and optimize.
Furthermore, the input to Compare is a relation, which can either be a base
table or an output from another logical operator (e.g., join over multiple
tables); similarly the output relation from Compare can be an input to another
logical operator or the final output. Thus, Compare can interoperate with
other operators. In order to illustrate this, we discuss how Compare
interoperates with other operators such as join, filter to select top-k
trends.
### 3.2 Expressing Top-k Comparative Queries
While Compare outputs the scores for each pair of compared trends, comparative
queries often involve selection of top-$k$ trends based on their scores
(Section 2.1). In this section, we show how we can use the above-listed
Compare sub-expressions (referred by COMPAREXPR1A, COMPAREXPR1B, COMPAREXPR2A,
and COMPAREXPR1B) with LIMIT and join to select tuples for trends belonging to
top-$k$.
Example 1a. The following query selects the tuples of a product in region
‘Asia’ that has the most different AVG(revenue) over week trends compared to
that of region ‘Asia’ overall. COMPAREXPR1A refers to the sub-expression in
Listing 1.
⬇
SELECT T.product, T.week, T.revenue, S.score
FROM sales T JOIN
(SELECT * FROM COMPAREXPR1A
ORDER BY score DESC
LIMIT 1) AS S
WHERE T.product = S.P
The ORDER BY and LIMIT clause select the top-1 row in Table 1 with the highest
score with P consisting of the most similar product. Next, a join is performed
with the base table to select all tuples of the most similar product along
with its score.
Example 2a. The query for example 2a differs from example 1a in that both
trendsets consist of multiple trends. Here, one may be interested in selecting
tuples of both cities that are similar, thus we use the WHERE condition
(T.city = S.C1 AND T.Region = S.R1) OR (T.city = S.C2 AND T.Region = S.R2).
(S.R1, S.R2, S.C1, S.C2) in SELECT clause identifies the pair of compared
trends.
⬇
SELECT T.Region, T.city, T.week, T.revenue, S.R1, S.C1, S.R2, S.C2,
S.score
FROM sales T JOIN
(SELECT * FROM COMPAREXPR2A
ORDER BY score
LIMIT 1) AS S
WHERE (T.city = S.C1 AND T.Region = S.R1) OR (T.city = S.C2 AND
T.Region = S.R2)
Examples 1b and 2b. These examples extend the first two examples to multiple
attributes. We show the query for example 2b; it’s a complex version of
(example 1b) where trends in each trendsets are created by varying all three:
constraint, grouping, measure (example 1b has a fixed constraint for each
trendset).
⬇
SELECT T.city, S.R1, S.R2, S.C1, S.C2,
CASE WHEN S.W THEN T.week ELSE NULL END,
…
CASE WHEN S.V THEN T.revenue ELSE NULL END,
S.score
FROM sales T JOIN
(SELECT * FROM COMPAREXPR2B
ORDER BY score
LIMIT 1) AS S
WHERE (T.city = S.C1 AND T.Region = S.R1) OR (T.city = S.C2 AND
T.Region = S.R2)
The SELECT clause only outputs the values of columns for which corresponding
trends has the highest score, setting NULL for other columns to indicate that
those columns were not part of top-1 pair of trends. This idea of setting NULL
is borrowed from prior work on CUBE [22]. Nevertheless, an alternative is to
output values of all columns, and add (S.W, S.M, S.C, S.P, S.V) (as in the
previous example) to the output to indicate which columns were part of the
comparison between top-1 pair of trends.
## 4 Optimizing Comparative Queries
In this section, we discuss how we optimize a logical query plan consisting of
a Compare operation. We extend the Microsoft SQL Server optimizer to replace
Compare with a sub-plan of existing physical operators using two steps. First,
we transform Compare into a sub-plan of existing logical operators. These
logical operators are then transformed into physical operators using existing
rules to compute the cost of Compare. The cost of the sub-plan for Compare is
combined with costs of other physical operators to estimate the total cost of
the query. We state our problem formally:
###### Problem 4.1.
Given a logical query plan consisting of Compare operation: $\Phi(R,$
[$c_{1}$<->$c_{2}$] [($d_{1}$, $m_{1}$), $...$, ($d_{n}$, $m_{n})]$,
$\mathcal{F})$ $\rightarrow$ $R^{\prime}$, replace Compare with a sub-plan of
physical operators with the lowest cost.
For ease of exposition, we assume that both trendsets contain the same set of
trends, one for each unique value of $c$, i.e., $c_{1}$ = $c_{2}$ = $c$.
### 4.1 Basic Execution
We start with a simple approach that transforms Compare into a sub-plan of
logical operators. The sub-plan is similar to the one generated by database
engines when comparative queries are expressed using existing SQL clauses
(discussed in Section 1). We perform the transformation using the following
steps:
(1) $\forall(d_{i},m_{i})$: $R_{i}\leftarrow\text{Group-
by}_{c,d_{i}}\text{Agg}_{m_{i}}(R)$
(2) $\forall$ $R_{i}$:
$R_{ij}\leftarrow\Join_{R_{i}.c!=R_{i}.c,R_{i}.d_{i}=R_{i}.d_{i}}(R_{i})$
(3) $\forall$ $R_{ij}$: $R_{ijk}\leftarrow\text{Group-
by}_{c^{i},c^{j}}\text{Agg}_{\text{UDA}_{\mathcal{F}}}(R_{ij})$ // $c^{i}$,
$c^{j}$ are aliases of column $c$
(4) $R^{\prime}\leftarrow\underset{i,j,k}{\text{Union All}}(R_{ijk}$)
First, we create trendsets for each (grouping, measure) combination (e.g.,
GROUP BY product, week, AGG on AVG(reve- nue)). Next, we join tuples between
each pair of trends that are compared, i.e., tuples with different constraints
but same value of grouping (e.g., $\Join_{\text{R'.product !=\\\ R'.product,
R'.week = R'.week}})$). The score between each pair of trends is computed by
applying $\mathcal{F}$ specified as an user-defined aggregate (UDA). This is
done by first partitioning the join output to create a partition for each pair
of trends. Each partition is then aggregated using $\mathcal{F}$. Finally, the
scores from comparing each pairs of trends are aggregated via Union All.
Unfortunately, this approach has two issues that make it less efficient as the
size of the input dataset and the number of (grouping, measure) combinations
become large. First, aggregations across (grouping, measure) are performed
separately, even when there are overlaps in the subset of tuples being
aggregated. Second, the cost of join increases rapidly as the number of trends
being compared and the size of each trend increases (see Figure 5(b)). We next
discuss how we address these issues via merging and partitioning optimizations
(a) Variation in performance as we merge group-by aggregates to share
computations
(b) Improvements due to trendwise join after partitioning trendset into trends
(the size of each trend is fixed to 1000 tuples)
Figure 5: Improvement in performance due to merging group-by aggregates and
trendwise comparison (via partitioning)
### 4.2 Merging and Partitioning Optimization
To generate a more efficient plan, we adapt the sub-plan generated above using
two optimizations. We first describe each of these optimizations and then
present an algorithm that incorporates both of these optimizations to find an
overall efficient plan.
Merging group-by aggregates. The first optimization shares the computations
across a set of group-by aggregates, one for each (grouping, measure), by
merging them into fewer group-by aggregates. We observe that (grouping,
measure) often share a common grouping column, e.g., [(day, AVG(revenue),
(day, AVG(profit)] or have correlated grouping columns (e.g., [(day,
AVG(revenue), (month, AVG(revenue)]) or have high degree of overlapping tuples
across trends. For example, we considered a set of $20$ group-by aggregates in
the flights [1] dataset, computing AVG(ArrivalDelay), AVG(DepDelay), …,
AVG(Duration) grouped by day, week, …, airport. As depicted in Figure 5(a), by
merging them (using an approach discussed shortly) into $12$ aggregates, the
latency improves by 2$\times$. However, merging is helpful only up to a
certain point, after which the performance degrades due to less sharing and
much larger increase in the output size of group-by aggregates.
Finding the optimal merging of group-by aggregates is NP- Complete [7]. Prior
work on optimizing GROUPING SETs computation [17] have proposed best-first
greedy approaches that merge those group-by aggregates first that lead to
maximum decrease in the cost. Unfortunately, in our setting, we also need to
consider the impact of merging on the cost of subsequent comparison between
trends; ignoring which can lead to sub-optimal plans as we describe shortly.
We first introduce the second optimization for comparison.
Trendwise Comparison via Partitioning. The second optimization is based on the
observation that _pairwise joins of multiple smaller relations is much faster
than the a single join between two large relations_. This is because the cost
of join increases super-linearly with the increase in the size of the
trendsets. In addition to improvement in complexity, trendwise joins are more
amenable to parallelization than a single join between two trendsets. Figure
5(b) depicts the difference in latency for these two approaches as we increase
the number of trends from $10$ to $10^{5}$ (each of size $1000$). The black
dotted line shows the partitioning overhead incurred while creating partitions
for each trend, showing that the overhead is small (linear in $n$) compared to
the gains due to trendwise join. Moreover, this is much smaller than the
overhead incurred when partitioning is performed on the join output ($\propto$
$n^{2}$) in the basic plan (see step 3 in Section 4.1).
Figure 7 depicts the query plan after applying the above two optimizations on
the basic query plan. First,we merge multiple group-by aggregates to share
computations (using the approach discussed below). Then, we partition the
output of merged group-by aggregates into smaller relations, one for each
trend. This is followed by joining and scoring between each pair of trends
independently and in parallel. Observe that the merging of group-by aggregates
results in multiple trends with overlapping (grouping, measure) in the output
relation. Hence, we apply the partitioning in two phases. In the first phase,
we partition it vertically, creating one relation for each (grouping,
measure). In the second phase, we partition horizontally, creating one
relation for each trend.
Joint Optimization of Merging and Partitioning. As depicted in Figure 6b, the
cost of partitioning increases with the increase in the size of its input. The
input size is proportional to the number of unique group-by values, which
increases with the increase in the number of merging of group-by aggregates.
Thus, when the input becomes large, the cost of partitioning dominates the
gains due to merging. It is therefore important to merge group-by aggregates
such that the overall cost of computing group-by aggregates, partitioning and
trendwise comparison together is minimal.
Figure 6: Optimized query plan generated after applying merging and
partitioning on basic query plan in Figure 7.
In order to find the optimal merging and partitioning, we follow a greedy
approach as outlined in Algorithm 1. _Our key idea is to merge at the
granularity of sub-plans instead of the group-by aggregates_. We start with a
set of sub-plans, one for each (grouping, measure) as generated by the basic
execution strategy discussed earlier and merge two sub-plan at a time that
lead to the maximum decrease in cost.
Formally, if the two sub-plans operate over ($d_{1}$, $m_{1}$) and ($d_{2}$,
$m_{2}$) respectively, we merge them using the following steps (illustrated in
Figure 6):
(1) $R1\leftarrow\text{Group-by}_{c,d_{1},d_{2}}\text{Agg}_{m_{2},m_{2}}[R]$
// merge group-by aggregates
(2) $\forall$ $(d_{i},m_{i})$: $R_{i}\leftarrow\Pi_{(d_{i},m_{i})}(R1)$ //
vertical partitioning
(3) $\forall$ $i$: $R_{ij}\leftarrow\text{Partition }R_{i}\text{ ON }c$ //
horizontal partitioning, one partition for each value of c
(4) $\forall$ $i,j$: $R_{i^{\prime}j^{\prime}}\leftarrow\text{Group-
by}_{c_{j},d_{i}}\text{Agg}_{m_{i}}[R_{ij}]$ // aggregate again
(5) $\forall$ $i^{\prime},j^{\prime},k$: $R_{i^{\prime}j^{\prime}k}\leftarrow
R_{i^{\prime}j^{\prime}}\Join_{d_{i}}R_{i^{\prime}k}$ //partitition-wise join
(6) $\forall$ $i^{\prime},j^{\prime},k$:
$R^{{}^{\prime}}_{i^{\prime}j^{\prime}k}\leftarrow\text{Agg}_{\text{UDA}_{\mathcal{F}}}(R_{i^{\prime}j^{\prime}k})$
// compute scores
(7) $R^{\prime}\leftarrow\underset{i^{\prime},j^{\prime},k}{\text{Union
All}}(R^{{}^{\prime}}_{i^{\prime}j^{\prime}k}$)
We first merge group-by aggregates to share the computation, followed by
creating one partitions for each trend using both vertical and horizontal
partitioning. Then, we join pairs of trends and compute the score as discussed
in Section 4.1. For computing the cost of the merged sub-plan, we use the
optimizer cost model. The cost is computed as a function of available database
statistics (e.g., histograms, distinct value estimates), which also captures
the effects of the physical design, e.g., indexes as well as degree of
parallelism (DOP). We merge two sub plans at a time until there is no
improvement in cost.
Algorithm 1 Merge-Partition Algorithm
1:Let $B$ be a basic sub-plan computed from $\Phi$ as described in Section 4.1
2:while true do
3: $C$ $\leftarrow$ OptimizerCost(B)
4: Let $s_{i}$ $\in$ $S$ be a sub-plan in B consisting of a sequence of group-
by aggregate, join and partition operations over ($d_{i}$, $m_{i}$)
5: Let $MP$ = Set of all sub-plans obtained by merging a pair of sub-plans in
$S$ as described in Section 4.2
6: Let $B_{new}$ be the sub-plan in $MP$ with lowest cost ($C_{new}$) after
merging two sub-plans $s_{i},s_{j}$
7: if $C_{new}>C$ then
8: break;
9: end if
10: $C$ $\leftarrow$ $C_{new}$
11: $B$ $\leftarrow$ $B_{new}$
12:end while
13:Return B
## 5 Optimizing DIFF-based Comparison
While the approach discussed in the previous section works for any arbitrary
scorer (implemented as UDA), we note that for top-$k$ comparative queries
involving aggregated distance functions (defined in Section 2.2) such as
Euclidean distance, we can substantially reduce the cost of comparison between
pairs of trends. We first outline the three properties of DIFF(.) function
that we leverage for optimizations.
1\. Non-negativity: DIFF( $m_{1},m_{2},p$) $\geq 0$
2\. Monotonicity: DIFF($m_{1},m_{2},p$) varies monotonically with the increase
or decrease in $|m_{1}-m_{2}|$.
3\. Convexity: DIFF( $m_{1},m_{2},p$) are convex for all $p$.
### 5.1 Summarize $\rightarrow$ Bound $\rightarrow$ Prune
Overview. We introduce a new physical operator that minimizes the number of
trends that are compared using the following three steps (illustrated in
Figure 8). 1. We summarize each trend _independently_ using a set of three
aggregates: SUM, MIN and MAX and a bitmap corresponding to the grouping
column. 2. Next, we intersect the bitmaps between trends to compute the COUNT
of matching tuples between trends, which together with three aggregates help
compute the upper and lower bounds on the score between the two trends. Given
bounds on scores for each pair of trends, we find a pruning threshold T on the
lowest possible top $k$ score, as the $k$th largest lower bound score. Any
pair with its upper bound score smaller than T can thus be pruned. 3. Finally,
we perform join only between those trends that are not pruned.
Figure 7: Illustrating pruning for DIFF-based comparisons
18 18 14 18 18 16 14 14 10 14 12 10 13 13 14 14 26 23 23 29 30 28 24 25 27 24
24 20 21 25 20 22 Score $=1717$ 16, 229, 10, 18 16, 394, 20, 30 Bounds
$=[1700,6400]$ 8, 129, 13, 18 8, 100, 10, 14 8, 211, 23, 30 8, 183, 20, 27
Bounds $=[1702,4624]$ (a) Exact score on comparing two trends (b) Bounds on
score using a single summary (c) Bounds on score using two-segment summaries
Figure 8: Using summaries to bound scores. $\mathcal{F}$ = SUM OVER DIFF($2$).
Each value in (a) corresponds to a single tuple in a trend.
While the pruning incurs an overhead of first computing the summary aggregates
and bitmap for each candidate trend, the gains from skipping tuple comparisons
for pruned trends offsets the overhead. Moreover, the summary aggregates of
each trend can be computed independently in parallel.
Computing Bounds. The simplest approach is to create a single set of summary
aggregates for each trend as depicted in Figure 8b. The gray and yellow blocks
depict the summary aggregates for two trends respectively, consisting of COUNT
(computed using bitmaps), SUM, MIN, and MAX in order.
First, for deriving the lower bound, we prove the following useful property
based on the convexity property of DIFF functions (see [2] for the proof).
Theorem 1. $\forall$ DIFF$(m_{1},m_{2},p)$,
AVG (DIFF$(m_{1},m_{2},p))\geq$ DIFF(AVG $(m_{1})$,AVG $(m_{2}),p)$
This essentially allows us to apply DIFF on the average values of each trend
to get a sufficiently tight lower bounds on scores. For example, in Figure 8b,
we get a lower bound of $1700$ for a score of $1717$ for the two trends shown
in Figure 8b.
For the upper bound, it is easy to see that the maximum value of DIFF($m_{1}$,
$m_{2}$, 2) between any pairs of tuples in R and S is given by: MAX ( |MAX
($m_{1}$) $-$ MIN ($m_{2}$)|, |MAX ($m_{2}$) $-$ MIN ($m_{1}$)|). Given that
DIFF($m_{1},m_{2},2$) is Non-negative and Monotonic, we can compute the upper
bound on SUM by multiplying the the MAX (DIFF($m_{1},m_{2},2$)) by COUNT. For
example, in Figure 8b, we get an upper bound of $6400$.
Multiple Piecewise Summaries. Given that the value of measure can vary over a
wide range in each trend, using a single summary aggregate often does not
result in tight upper bound. Thus, to tighten the upper bound, we create
multiple summary aggregates for each trend, by logically dividing each trend
into a sequence of $l$ _segments_ , where segment $i$ represents tuples from
index: $(i-1)\times\frac{n}{l}+1$ to $i\times\frac{n}{l}$ where $n$ is the
number of tuples in the trend. Instead of creating a single summary, we
compute a set of same summary aggregates over _each_ segment, called _segment
aggregates._ For example, Figure 8c depicts two segment aggregates for each
trend, with each segment representing a range of $8$ tuples. The bounds
between a pair of matching segments is computed in the same way as we
described above for a single summary aggregates. Then, we sum over the bounds
across all pairs of matching segments to get the overall bound (see [2] for
formal description). To estimate the number of summary aggregates for each
trend, we use Sturges formula, i.e., ($\left\lfloor
1+log_{2}(n)\right\rfloor$) [42], which assumes the normal distribution of
measure values for each trend. Because of its low computation overhead and
effectiveness in capturing the distribution or trends of values, Sturges
formula is widely used in the statistical packages for automatically
segmenting or binning data points into fewer groups. We empirically evaluate
the effectiveness of Sturges formula in Section 8.
### 5.2 Early Termination
When selecting top-$k$ trends, we can further reduce the computation by
ordering the comparison of trends that are not pruned in the previous step. To
do so, we assign an utility to each of the trends that tells how likely they
are going to be in the top-$k$. For estimating the utility of trends, we use
the bounds computed using segment aggregates. Specifically, for selecting
top-$k$ trends in descending order of their scores, _a trend with higher upper
bound score has a higher utility_ and for ascending order of scores, a trend
with the smallest lower bound has a higher utility. The processing of higher
utility trends leads to the faster improvement in the pruning threshold,
thereby minimizing wastage of tuple comparisons over low utility trends.
Furthermore, the utility of a trend can vary after comparing a few tuples in a
candidate trend. Hence, instead of processing the entire trend in one go, we
process one segment of a trend at a time, and then update the bounds to check
(i) if the trend can be pruned, or (ii) if there is another trend with better
utility that we can switch to. Incrementally comparing high utility trends
leads to pruning of many trends without processing all of their tuples.
### 5.3 Putting It All Together
We implemented a new physical operator, $\Phi_{p}$, that takes as input the
trends, and replaces the join and $\mathcal{F}$ in query plan discussed in
Section 4. It outputs a relation consisting of tuples that identify the
top-$k$ pairs of trends along with their scores. The algorithm used by the
operator makes use of four data structures: (1) SegAgg : An array where index
$i$ stores summary aggregates for segment $i$. There is one SegAgg per trend.
(2) TState : It consists of the current upper and lowers bounds on the score
between two trends, as well as the next segment within the trends to be
compared next. There is one TState for each pairs of trends, and is updated
after comparing each pairs of segment. (3) $\mathcal{PQ}_{\mathcal{P}}$: a max
priority queue that keeps track of the trend pairs with the highest upper
bound. It is updated after comparing each segment. (4)
$\mathcal{PQ}_{\mathcal{S}}$: a min priority queue that keeps track of the
trend pairs with the smallest lowest bound. It is updated after comparing each
segment.
Algorithm 2 Pruning Algorithm for DIFF-based Comparison
1:Compute SegAgg and bitmaps for each trend $c_{i}$
2:for each pair of trends $c_{i}$, $c_{j}$ do
3: Compute bounds on scores (Section 5.1)
4: Update $\mathcal{PQ}_{\mathcal{S}}$
5:end for
6:for each pair of trends $(c_{i},c_{j})$ do
7: If ($(c_{i},c_{j})$ upper bound $<$ $\mathcal{PQ}_{\mathcal{S}}$.Top())
Continue;
8: Initialize $(c_{i},c_{j})$ TState and push to $\mathcal{PQ}_{\mathcal{P}}$
9:end for
10:while size of $\mathcal{PQ}_{\mathcal{P}}$ $>$ $k$ do
11: $(c_{i},c_{j})=$ $\mathcal{PQ}_{\mathcal{P}}$.Top()
12: Compare a segment of $c_{i}$ with that of $c_{j}$
13: Update bounds and $\mathcal{PQ}_{\mathcal{S}}$
14: If ($(c_{i},c_{j})$ upper bound $<$ $\mathcal{PQ}_{\mathcal{S}}$.Top())
Continue;
15: Push $(c_{i},c_{j})$ to $\mathcal{PQ}_{\mathcal{P}}$
16:end while
17:Return Top $k$ trend pairs of trends and their scores from
$\mathcal{PQ}_{\mathcal{P}}$
Algorithm 2 depicts the pseudo-code for a single threaded implementation. We
first compute the segment aggregates for trends (line $1$). For each pair of
trends, we compute the bounds on scores as discussed in Section 5.1, and
update $\mathcal{PQ}_{\mathcal{S}}$ to keep track of top $k$ lower bounds
(lines $2$—$5$). The upper bound for each pair of trend is compared with
$\mathcal{PQ}_{\mathcal{S}}$.Top() to check if it can be pruned (line $7$). If
not pruned, the TState is initialized and pushed to
$\mathcal{PQ}_{\mathcal{P}}$ (line $8$). Once the TState of all unpruned
trends are pushed to $\mathcal{PQ}_{\mathcal{P}}$, we fetch the pair of trends
with the highest upper bound score ((line $11$)), and following the process
outlined in Section 5.2, compare a pair of segments (line $12$). After the
comparison, we check if the current pair of trends is pruned or if there is
another pair of trends with higher upper bound (line $14$–$15$). This process
is continued until we are left with $k$ pairs of trends . Finally, we output
values of $k$ pairs of trends with highest scores (line $17$).
Memory Overhead. Given a relation of $n$ tuples consisting of $p$ trends,
$\Phi_{p}$ creates $p\times log(n/p)$ segment aggregates (assuming tuples are
uniformly distributed across trends), with each segment aggregate consisting
of fixed set of aggregates. In addition, the operator maintains a TState
consisting of bounds on scores between each pair of trends as well as the
priority queues to maintain top-k pairs of trends. Thus, the overall space
overhead is $O(p\times log(n/p)+p^{2})$.
## 6 Additional Algebraic Rules
The query optimizer in Microsoft SQL Server relies on algebraic equivalence
rules for enumerating query plans to find the plan with the least cost. When
Compare occurs with other logical operators, we present five transformation
rules (see Table LABEL:tab:equivrules) that reorder $\Phi$ with other
operators to generate more efficient plans.
R1. Pushing $\Phi$ below join. Data warehouses often have a snowflake or star
schema, where the input to Compare operation may involve a PK-FK join between
fact and dimension tables. If one or more columns in $\Phi$ are the PK columns
or have functional dependencies on the PK columns in the dimension tables ,
$\Phi$ can be pushed down below the join on fact table by replacing the
dimension tables columns with the corresponding FK columns in the fact table
(see Rule $R_{1}$ in Table LABEL:tab:equivrules.) For instance, consider
example 1a in Section 2.1 that finds a product with a similar average revenue
over week trend to ‘Asia’. Here, revenue column would typically be in a fact
table along with foreign key columns for region, product and year. In such
cases, we can push $\Phi$ below the join by replacing dimension table columns
(e.g., product, week) values with corresponding PK column values.
R2. Pushing Group-by Aggregate ($\Upsilon$) below $\Phi$ to remove duplicates.
When an aggregate operation occurs above a Compare operation, in some cases we
can push the aggregate operation below the Compare to reduce the size of each
partition. In particular, consider an aggregate operation $\Upsilon_{G,A}$
with group by attributes $G$ and aggregate function $A$ such that all columns
used in $\Phi$ are in $G$. Then, if all aggregation functions in $\Phi$ $\in$
{MAX, MIN }, we can push $\Upsilon$ below $\Phi$ as per the Rule $R_{2}$ in
Table LABEL:tab:equivrules. Pushing aggregation operation below $\Phi$ reduces
the size of each partition by removing the duplicate values.
R3. Predicate pushdown. A filter operation ($\sigma$) on partition column
(e.g., product) can be pushed down below $\Phi$, to reduce the number of
partitions to be compared. While predicate pushdown in a standard
optimization, we notice that optimizers are unable to apply such optimizations
when the Compare are expressed via complex combination of operations as
described in Section 1. Adding an explicit logical Compare, with a predicate
pushdown rule makes it easier for the optimizer to apply this optimization.
Note that if $\sigma$ involves any attribute other than the partitioning
column, then we cannot push it below $\Phi$. This is because the number of
tuples for partitions compared in $\Phi$ can vary depending on its location.
R4. Commutativity. Finally, a single query can consist of a chain of multiple
Compare operations for performing comparison based on different metrics (e.g.,
comparing products first on revenue, and then on profit). When multiple $\Phi$
operations on the same partitioning attribute, we can swap the order such that
more selective Compare operation is executed first.
R5. Reducing comparative sub-plans to $\Phi$. Finally, we extend the optimizer
to check for an occurrence of the comparative sub-expression specified using
existing relational operators to create an alternative candidate plan by
replacing the sub-expression with $\Phi$. In order to do so, we add the
equivalence rule R5 where the expression on the left side represents the sub-
expression using existing relational operators. This rule allows us to
leverage physical optimizations for comparative queries expressed without
using SQL extensions.
## 7 Discussion
We discuss the generalizability and robustness of our proposed optimizations
as well as potential applications of Compare.
Generalizability of optimizations. Our proposed optimizations in Section 4
deal with replacing Compare to a sub-plan of logical and physical operators
within existing database engines. These optimizations can be incorporated in
other database engines supporting cost-based optimizations and addition of new
transformation rules. Concretely, given a Compare expression, one can generate
a sub-plan using Algorithm 1 and transformation rules implementing steps
outlined in Section 4.1 and Section 4.2. Furthermore, we discuss additional
transformation rules (see Table 3) in Section 6 that optimize the query when
Compare occurs along with other logical operators such as join, group-by, and
filter. We show that DIFF-based comparisons can be further optimized by adding
a new physical operator that first computes the upper and lower bounds on the
scores of each trend, which can then be used for pruning partitions without
performing costly join.
Robustness to physical design changes. A large part of Compare execution
involves operators such as group-by, joins and partition (See Figure 6).
Hence, the effect of physical design changes on Compare is similar to their
effect on these operators. For instance, since column-stores tend to improve
the performance of group-by operations, they will likely improve the
performance of Compare. Similarly, if indexes are ordered on the columns used
in constraints or grouping, the optimizer will pick merge join over hash-join
for joining tuples from two trends. Finally, if there is a materialized view
for a part of the Compare expression, modern day optimizers can match and
replace the part of the sub-plan with a scan over the materialized view. We
empirically evaluate the impact of indexes on Compare implementation in
Section 8.
Applications of Compare. Compare is meant to be used by data analysts as well
as applications to issue comparative queries over large datasets stored in
relational databases. It has two advantages over regular SQL and middleware
approaches (e.g., Zenvisage, Seedb). First, it allows succinct specification
of comparative queries which can be invoked from data analytic tools
supporting SQL clients. Second, it helps avoid data movement and serialization
and deserialization overheads, and is thus more efficient and scalable. We
classify the applications into three categories:
_BI Tools_. BI applications such as Tableau and Power BI do not provide an
easier mechanism for analysts to compare visualizations. However, for
supporting complex analytics involving multiple joins and sub-queries, these
tools support SQL querying interfaces. For comparative queries, users
currently have to either write complex SQL queries as discussed in
Introduction, or generate all possible visualizations and compare them
manually. With Compare, users can now succinctly express such queries (as
illustrated in Section 3) for in-database comparison.
_Notebooks._ For large datasets stored in relational databases, it is
inefficient to pull the data into notebook and use dataframe APIs for
processing. Hence, analysts often use a SQL interface to access and manipulate
data within databases. While one can also expose Python APIs for comparative
queries and automatically translate them to SQL, such features are limited to
the users of the Python library. SQL extensions, on the other hand, can be
invoked from multiple applications and languages that support SQL clients.
Furthermore, in the same query, one can use Compare along with other
relational operators such as join and group-by that are frequently used in
data analytics (see Section 3.2).
_Visual analytic tools._ Finally, there are visual analytic tools such as as
Zenvisage and Seedb that perform comparison between subsets of data in a
middle-ware. With Compare, such tools can scale to large datasets and decrease
the latency of queries as we show in Section 8.
Table 3: Queries over Flight and TPC-DS datasets
ID | 1cmType | Flight | TPC-DS
---|---|---|---
| | trendset 1 | trendset 2 | trendset 1 | trendset 2
| | constraint, # | (grouping,measure), # | # trends | constraint, # | (grouping,measure), # | # trends | constraint, # | (grouping,measure), # | # trends | constraint, # | (grouping,measure), # | # trends
Q1 | One to many with fixed attributes | airport=‘SFO’, 1 | (Days, ArrDelays), 1 | 1 | all airports, 384 | (Days, ArrDelays) | 384 | webpage = 1; 1 | (Items, NetProfits), 1 | 1 | all webpages; 2040 | 1 | 2040
Q2 | Many to many with fixed attributes | all airports, 384 | (Days, ArrDelays), 1 | 384 | all airports, 384 | (Days, ArrDelays) | 384 | all webpages; 2040 | (Items, NetProfits), 1 | 2040 | all webpages; 2040 | (Items,NetProfits), 1 | 2040
Q3 | One to one with varying attributes | airport=‘SFO’, 1 | (Days, ArrDelays), (Days, DepDelays), (Weeks, ArrDelays), …, (Weeks, WeatherDelays,); 10 | 10 | airport = ‘SFO’, 1 | (Days, ArrDelays), (Days, DepDelays)), (Weeks, ArrDelays), …, (Weeks, DepDelays); 10 | 10 | webpage = 1; 1 | (Items, NetProfits), (Days, NetProfits), …, (Days, Quantity),5 | 5 | webpage = 1; 1 | (Items, NetProfits), (Days, NetProfits), …, (Days, Quantity),5 | 5
Q4 | Many to many with varying attribues | all airports, 384 | (Days, ArrDelays), (Days, DepDelays), (Weeks, ArrDelays), …, (Weeks, WeatherDelays,); 10 | 3840 | all airports | (Days, ArrDelays), (Days, DepDelays), (Weeks, ArrDelays), …, (Weeks, WeatherDelays,); 10 | 3840 | all webpages; 2040 | (Items, NetProfits), (Days, NetProfits), …, (Days, Quantity),5 | 10200 | all webpages; 2040 | (Items, NetProfits), (Days, NetProfits), …, (Days, Quantity),5 | 10200
## 8 Performance Evaluation
(a) Comparison with Baselines
(b) Ablative analysis quantifying the impact of each optimization. Each
optimization is successively turned on from left to right.
Figure 9: Improvement in end-to-end latency w.r.t. unmodified SQL Server
(a) Varying number of trends with fixed (grouping, measure)
(b) Varying number of (grouping, measure)
(c) Increasing number of trends with proportional decrease in trend size over
a fixed data of size $10^{5}$
Figure 10: Impact on latency on varying the number and size of trends on the
flight dataset.
Using our prototype implementation on SQL Server (referred as Compare below),
we evaluate the improvement in latency with respect to current execution
strategy in SQL Server as described in Section 4.1. We consider two
alternative strategies as baselines: (b) Middleware: Issuing select-aggregate
queries to retrieve the data from SQL Server over a network (average speed of
10 MB/s) and performing comparison and filtering in a C# implementation; this
approach mimics the data retrieval approach followed by visualization tools
such as Zenvisage [43] while also incorporating trendwise comparison and
segment-aggregates based pruning optimizations (discussed in Section 5), and
(c) an UDF implementation that executes within SQL Server. It takes as input
the UNION of all group-by aggregates (computed via GROUPING SETs clause) and
incorporates trendwise comparison and segment-aggregates based pruning
optimizations.
Datasets and Queries. We use two datasets: Flight [1] and TPC-DS with a scale
factor of $100$ [32](summarized in Table 4). We use websales table in TPC-DS
which has PK-FK joins with tables webpages and warehouses. As depicted in
Table 3, we issue four types of comparative queries (with characteristics
similar to examples discussed in Section 2.1), with the default number of
output pair of trends set to $5$. All measure attributes are aggregated using
AVG() and we use SUM() OVER DIFF(2) as scorer.
Table 4: Datasets
Dataset | Disk Size | Buffer Size | Number of rows
---|---|---|---
Flight | 8GB | 11GB | 74M
TPC-DS | 20GB | 24 GB | 720M
Setup. All experiments were conducted on a 64-bit Windows 2012 Server with
2.6GHz Intel $\times$eon E3-1240 10-core, 20 logical processors and 192GB of
2597 MHz DDR3 main memory. Unless specified, we use the default settings for
the degree of parallelism (DOP) and buffer memory, where the SQL Server tries
to utilize the maximum possible resources available in the system. We report
the results of warm runs by loading the tables referenced in the query into
memory.
### 8.1 End-to-End Latency
Figure 9(a) depicts the end-to-end improvement in latency of Compare,
Middleware, and UDF with respect to the unmodified SQL Server runtimes. We see
that Compare provides a substantial improvement with respect to all
approaches, with improvement being proportional number and size of trends.
For Q1 that involves one to many comparisons over a fixed attribute
combination, we see a speed-up of about 26% on Flight and about 36% on the
TPC-DS. The improvement increases substantially as we increase the complexity
of the query; for example we see upto 4$\times$ improvement in latency for Q2
and Q4 which involve a large number of trend comparisons. For Middleware, the
main bottleneck is the data transfer and deserialization overhead, which takes
up to $70\%$ of the overall execution time. While UDF also incurs an overhead
in invocation and reading the input from downstream aggregate operators, a
large part of its time ( $>$ 90%) is spent on processing, indicating that
inline execution of Compare via partitioning and join operators is much
faster. In summary, we find that Compare gives the best of both worlds:
requires minimal data transfer and deserialization overhead, and runs much
faster by efficiently comparing tuples within databases.
Ablative Analysis. Next, we conducted an ablative analysis to evaluate the
effectiveness of each of the optimizations described in Section 4 and Section
5. Figure 9(b) depicts the impact of each optimization as we add them
successively from left to right. Each level of Compare optimization provides a
substantial speed-up in latency compared to basic execution strategy. For Q3
and Q4, sharing aggregates improves the runtime by about 30% (note that there
are no sharing opportunities for Q1 and Q2). The trend-wise processing further
improves the processing by 25% on average—more the number of trend
comparisons, the higher the improvement. Note that both sharing aggregates and
trend-wise processing do not depend on the properties of scorer and hence can
be applied on arbitrary scorer. The next two optimizations based on segment-
aggregates and early termination, although only applicable for
DIFF($m_{1},m_{2},2$)-based comparison, result in the massive improvement
ranging between 20-25% by pruning trends early that are guaranteed to be not
in top-k.
### 8.2 Sensitivity to Data Characteristics
We now evaluate the impact of dataset characteristics on the performance of
Compare. For these experiments, we use the flight dataset (consisting of real-
world trends/distributions) and scale its size as described below.
Impact of number of trends. To evaluate this, we scale the number of trends
for query Q2 between $10$ and $10^{4}$ by randomly removing or replicating the
trends corresponding to original $384$ airports. While replicating, we update
the original value $m_{o}$ of each measure column $m$ by a new value $m_{n}$
where $m_{n}$ = $m_{o}$ ± $stdev(m)$. This ensures that the replicated trends
are not duplicates but still represent the original distribution. We find that
the increase in the number of trends leads to the increase in latency for all
approaches; however the increase is much higher for UDF and Middleware due to
data movement and deserialization overhead. Compare is further able to reduce
comparisons due to early pruning of partitions using segment-aggregates.
Impact of number of (grouping, measure). In this case, we scale the number of
(grouping, measure) for query Q3 between $1$ and $50$ by randomly removing or
replicating the columns for each trend while updating the values of replicated
measure column as described above. All approaches incur increase in latency;
however, the increase in latency is much higher for SQL Server compared to
Compare, Middleware and UDF due to higher sharing of aggregate computations.
Varying number and size of trends while keeping the overall data size fixed.
Using a similar process as described above, we scale the number of trends
between $10$ and $10^{5}$ while proportionally decreasing the size of each
trend such that the size of the dataset is fixed to $10^{5}$. Here, we see an
interesting observation. The latency of SQL Server decreases as we increase
the number of trends and reduce their size. This is because with the decrease
in the size of trends, the number of tuple comparison decreases. As a result
of this, the improvement in latency w.r.t SQL Server decreases for all of
Compare, Middleware, and UDF. However, for Compare, the latency initially
decreases as sorting and comparison can done faster in parallel as the number
of partitions increase. As the number of partitions become too large, the
improvement due to parallelism decreases.
### 8.3 Impact of Number of Segment Aggregates
Recall from Section 5.1 that we use the Sturges formula [42], i.e.,
($\left\lfloor 1+log_{2}(n)\right\rfloor$) (where $n$ is the estimated size of
trend) to estimate the number of segment-aggregates. To measure the efficacy
of this formula, we measure the changes in latency as we increase the number
of segment-aggregates for Q2 (Figure 11(a)) and Q4 (Figure 11(b)). With the
increase in number of segments, the overall latency decreased initially.
However, as the number of segments is increased beyond a certain number, the
latency starts increasing. This is because of the increase in the number of
segment-aggregates comparisons without further pruning. The dotted line shows
the results for the number of segments (i.e., ($\left\lfloor
1+log_{2}(n)\right\rfloor$) that is automatically selected by Compare, showing
that the latency for selected segments is close to minimal possible latency.
Next, we measure the impact of number of tuples processed per update for early
termination (Section 5.2). Figure 12 depicts the impact of overall latency for
$Q2$ and $Q_{4}$ as we vary the number of tuples processed for a given trend
for updating the upper and lower bounds. The dotted black line depicts the
performance for the number of tuples that Compare automatically decides, i.e.,
($\frac{n}{(\left\lfloor 1+log_{2}(n)\right\rfloor)}$) (i.e., estimated size
of a segment). We see that the latency is very high when we only consider a
few tuples ($<10$) at time. This is because of cache misses and many updates
to the priority queues for reprocessing the same set of partitions repeatedly.
On the other hand, processing too many tuples leads to extra processing, even
for low utility partitions that can be pruned earlier. As depicted by the
dotted line, the number chosen by Compare, although not perfect, is close to
the optimal performance that we can get by processing few tuples at a time.
(a) $Q_{2}$
(b) $Q_{4}$
Figure 11: Varying number of segment-aggregates
(a) $Q_{2}$
(b) $Q_{4}$
Figure 12: Varying number of tuples compared per update during early
termination
### 8.4 Impact of Transformation Rules
Figure 13 depicts the performance results on pushing $\Phi$ below PK-FK joins
($\Join$) and pushing Aggregate ($\Upsilon$) below $\Phi$. We omit the results
on other logical optimizations such as predicate pushdown and reordering of
multiple $\Phi$ operations as the gains in these cases are always proportional
to the selectivity of predicates and $\Phi$ operation pushed down.
Pushing $\Phi$ below $\Join$. We consider $Q_{3}$ and $Q_{4}$ over websales
table of TPC-DS dataset which has PK-FK joins with two other tables. We
observe that by pushing $\Phi$ below join leads to the improvement in the
runtime of both queries due to reduction in amount of time taken by join. For
$Q_{3}$, $\Phi$ reduces of size of websales to $\frac{1}{30}$th of the
original size, which improves the overall latency by about $18\%$. On the
other hand, the selectivity of $\Phi$ for $Q_{4}$ is more ($\frac{1}{200}$th
of the original size), which leads to a relatively higher improvement of about
(32%) in latency. Thus, the amount of gain increases with the increase in the
selectivity of $\Phi$.
Pushing $\Upsilon$ (aggregation) below $\Phi$. In order to evaluate this, we
use MAX as aggregation function for measure and scorer in Q1 and Q2 over the
Flight dataset. We added a simple aggregation operation $\Upsilon_{G,A}$ on
top of $\Phi$, setting $G$ = {Days, ArrDelays} and $A$ = COUNT (*). While
$\Upsilon$ needs to process more tuples compared to when it is above $\Phi$,
the pushdown helps improve the overall latency by reducing duplicate values of
$G$, which minimize the number of all pair comparisons for $\Phi$ above. In
particular, we observe that pushing $\Upsilon$ down reduces the input to
$\Phi$ by about $24$% leading to an improvement of of about $14$% for $Q1$ and
$19$% for Q2.
### 8.5 Impact of Indexes
To evaluate the changes in physical design on Compare, we made the following
changes on Flight data set. We removed all columns from the tables that are
not part of queries, and created non-clustered indexes on the queried columns.
Adding indexes results between 20% to 38% improvement in overall runtime
across queries; the major changes in physical plan include the use of index
scan and the replacement of hash join with merge join. As depicted in Figure
14, due to overall decrease in runtime, the performance improvement for
Compare when indexes are used is less than when indexes are not used. However,
compared to regular SQL, Compare is still between $2-3\times$ faster. This is
primarily because of the reduction in CPU time due to sharing of aggregates,
trend-wise processing and pruning of trend comparisons.
### 8.6 Parallelism and Memory Overhead
Figure 15(a) shows the improvement in latency of Compare w.r.t. SQL Server on
$Q_{1}$ as we vary the Degree of Parallelism (DOP) from $1$ to $64$. Both SQL
Server and Compare benefit significantly from increasing DOP up to a point,
after which they experience diminishing returns. For any given DOP, COMPARE is
usually faster (between $2\times$ to $3$$\times$) similar to what we see in
previous experiments.
(a) Join pushdown
(b) Aggregate pushdown
Figure 13: Pushdown logical optimizations
Figure 14: Impact of adding non-clustered indexes on referenced columns and
removing other columns
(a) Varying DOP
(b) Memory consumption
Figure 15: Impact of Parallelism and Memory Overhead
Figure 15(b) shows the additional overhead in committed memory usage of
Compare w.r.t. to SQL Server for each of the queries. Although Compare uses
additional data-structures for maintaining segment-aggregates, and bounds in
the priority queue, the overhead is minimal ($<$ 13%) compared to the memory
already used by the system for sorting and maintaining aggregates which are
common to all approaches. Moreover, the execution engine reuses the memory
already committed by the downstream operators in the plan, instead of
allocating new memory. Thus, the total memory used during query processing is
bounded by the maximum memory used by any operator in the plan.
## 9 Related Work
Visual Analytics. Our work has been motivated by many recent visual analytic
tools [19, 47, 43, 49, 31] where comparing subsets or groups of tuples using a
deviation-based measures (e.g., $L_{p}$ norms) is the common theme.
Unfortunately, as discussed in Section 1 these tools either retrieve the data
into a middleware or issue complex SQL queries for comparison, both approaches
do not scale to large datasets. As a result, recent work [45, 50, 20] have
called for supporting new abstractions and query optimization techniques for
addressing the impedance mismatch between relational databases and analytic
tasks—our work is a concrete step in this direction.
OLAP. Damianos et al. have proposed grouping variables and operations such as
MD-Join [13, 14] for succinctly expressing complex aggregate queries such as
finding products with sales _above average sales_. Similarly, CUBE [22],
GROUPING SETs [51], Semantic Group By [44] allow flexible specification and
optimization of group by queries. In our work, we extend grouping of tuples to
support (i) easier and more direct specification of _comparison_ between
groups of tuples using complex aggregate expressions (e.g., $L_{p}$ norms),
and (ii) jointly optimize both aggregation and comparison between groups of
tuples. Sarawagi et al. have proposed techniques for interactive browsing of
interesting cells in data cube [39, 41]. Similarly, These work suggest raw
aggregates that are informative given past browsing, or those that show a
generalization or explanation of a specific cell. In contrast, we provide
extensions to traditional query optimization and execution layers of
relational databases to support comparative queries like other SQL queries.
Similar to our approach, there have been database extensions [38, 23, 26, 33],
the most recent being the DIFF operator [6], that support association and
frequent pattern mining. While our focus is on aggregate distance measures
such as $L_{p}$ norms (our focus), we share their goal that with an extended
syntax, complex analytic queries are easier to write and optimize.
Similarity Join. There has been work on similarity join that use set
similarity functions such as edit distance, Jaccard similarity, cosine
similarity or their variants to join two relations [40, 21, 37, 11, 15, 9].
While these work are based on _measuring set overlap or edit distance between
strings_ , Compare optimizes _aggregate distance functions between groups of
tuples_ such as Euclidean distance, requiring fundamentally different
execution techniques. Similarly, there is a vast body of work on top-k query
processing [25], including ones that extend relational databases [16, 46, 29,
24]. While these work rank each tuple independently based on an aggregate
expression, our focus is on ranking _groups of tuples_ by _comparing_ them
with other groups of tuples in the same relation.
Spatial Databases. Finally, spatial databases such as PostGIS [52] extend
traditional databases to optimize for storage and querying of spatial data.
The similarity search queries supported is spatial databases (e.g., [34])
operate in a different settings from ours. First, the physical design is
typically optimized to store all information (e.g., sales) for each entity
(e.g., product) required for distance computation as a single object, thus no
grouping or sorting of tuples is typically required at runtime. In addition,
spatial indexes such as R-Tree are built to optimize for search at runtime. In
contrast, our work is meant for supporting ad hoc similarity search queries
over traditional databases, which are typically used as back-end for BI tools
such as Power BI and Tableau.
## 10 Conclusion
In this work, we introduce Compare, a complex operator that concisely captures
comparison between groups of tuples using aggregated distance measures. We
introduce physical optimizations within the execution engine and extend the
query optimizer with new algebraic rules that improve the performance by
significantly reducing the number of subset comparisons and intermediate data
size. Together, these logical and physical optimizations help address the
impedance mismatch problem between data exploration systems and relational
databases for supporting comparative queries. There are several avenues for
future work such as supporting primitives for easily expressing comparison
metrics such as Jaccard similarity, cosine similarity, as well as using
sampling-based techniques to tighten the bounds on scores for further reducing
the number of comparisons.
## Acknowledgements
We would like to thank the anonymous reviewers at VLDB 2021, Arnd Christian
König, Wentao Wu, and Bailu Ding for their valuable feedback.
## APPENDIX
### A. Proof of Theorem 1
Here, we provide the proof for Theorem 1 stated in Section 5.1.
The proof directly derives from the property of convex function. For a convex
$f(x)$,
$k_{1}$$f(x_{1})+k_{2}$$f(x_{2})+...+k_{n}$$f(x_{n})$ $\geq$
$f(k_{1}x_{1}+x_{2},x_{2},...,k_{n}x_{n})$
Let each $x_{i}$ be the value a $|m_{1}-m_{2}|$ resulting from comparing a
pair of tuples between two trends, and $n$ be the total number of tuple
comparisons. On setting, $k_{i}=1/n$ and $f(x)=|x|^{p}$:
$\frac{|m_{1}-m_{2}|^{p}}{n}\geq|\frac{m_{1}}{n}-\frac{m_{2}}{n}|^{p}$
$\Rightarrow$ AVG (DIFF$(m_{1},m_{2},p))\geq$ DIFF(AVG $(m_{1})$, AVG
$(m_{2}),p)$ (by def. of DIFF)
$\square$
### B. Formal Description of Bounds Computation
Here, we formally describe how we compute the bounds on scores of Compare
using segment aggregates (Section 5.1).
Let $p_{1}$ and $p_{2}$ be two trends having same number of tuples $c$ for
which we want to to compute the upper and lower bounds on score. Let
$max_{1i}$ and $min_{1i}$ be the maximum and minimum values of attribute
$m_{1}$ in segment $i$ of trend $p_{1}$, and similarly $max_{2i}$ and
$min_{2i}$ be the maximum and minimum values of $m_{2}$ in segment $j$ in
$p_{2}$. Let $c_{i}$ be the number of tuples in segment $i$. For succinctness,
we use $\Delta(m_{1},m_{2})$ for DIFF($m_{1},m_{2},p$).
We know that the bounds on the $\Delta_{i}(m_{1},m_{2})$ between segment $i$
in $p_{1}$ and $p_{2}$, is given by:
MAX $(\Delta_{i}(m_{1},m_{2}))\leq\Delta_{i}($MAX
$(|max_{1i}-min_{2i}|,|min_{1i}-max_{2i}$|))
MIN $(\Delta_{i}(m_{1},m_{2}))\geq\Delta_{i}(($AVG $(m_{1})$,AVG $(m_{2}))$
(From Theorem 1)
From above we get,
$\Delta_{i}$((AVG $(m_{1})$,AVG $(m_{2}))\leq$ AVG
$(\Delta_{i}(m_{1},m_{2}))\leq$ MAX $(\Delta_{i}(m_{1},m_{2}))$
Using the non-negativity and Monotonicity property of DIFF, we can replace the
value for each tuple comparison with minimum and maximum bounds to get the
bounds on SUM.
$c_{i}.$AVG $(\Delta_{i}(m_{1},m_{2}))\leq$ SUM $(\Delta_{i}(m_{1},m_{2}))\leq
c_{i}$ MAX $(\Delta_{i}(m_{1},m_{2}))$
The above bounds over a single pair of segments can be extended to segments
using the union bound principle. Let $sum_{i}^{u}$, $max_{i}^{u}$,
$min_{i}^{u}$ be the upper bounds, and $sum_{i}^{l}$, $max_{i}^{l}$,
$min_{i}^{l}$ be the lower bounds on the score of SUM($\Delta(.)$),
MAX($\Delta(.)$), and MIN($\Delta(.)$) on scoring segment $i$ in $p_{1}$ and
$p_{2}$. Then, the bounds across all segments can be computed as follows:
$\underset{i}{\text{AVG}}(sum_{i}^{l})$ $\leq$ AVG ($\Delta(.)$) $\leq$
$\underset{i}{AVG}(sum_{i}^{u})$
$c.\underset{i}{\text{SUM}}(\frac{sum_{i}}{c_{i}}^{l}$) $\leq$ SUM
$\Delta(.)$) $\leq c.\underset{i}{\text{SUM}}(\frac{sum_{i}}{c_{i}}^{u})$
MIN ($\Delta(.)$) $=$ $\underset{i}{\text{MIN}}(min_{i}^{l})$
MAX ($\Delta(.)$) $=$ $\underset{i}{\text{MAX}}(max_{i}^{u})$
## References
* [1] Airline dataset (http://stat-computing.org/dataexpo/2009/the-data.html). [Online; accessed 30-Oct-2015].
* [2] Compare technical report. https://bit.ly/3gnUFAU.
* [3] Powerbi (https://powerbi.microsoft.com/en-us/). [Online; accessed 3-June-2019].
* [4] Powerbi (https://www.tableau.com/products/new-features/explain-data). [Online; accessed 3-June-2020].
* [5] Tableau public (www.tableaupublic.com/). [Online; accessed 11-Nov-2019].
* [6] F. Abuzaid, P. Kraft, S. Suri, E. Gan, E. Xu, A. Shenoy, A. Ananthanarayan, J. Sheu, E. Meijer, X. Wu, et al. Diff: a relational interface for large-scale data explanation. Proceedings of the VLDB Endowment, 12(4):419–432, 2018.
* [7] S. Agarwal, R. Agrawal, P. M. Deshpande, A. Gupta, J. F. Naughton, R. Ramakrishnan, and S. Sarawagi. On the computation of multidimensional aggregates. In VLDB, volume 96, pages 506–521, 1996.
* [8] R. Agrawal, C. Faloutsos, and A. Swami. Efficient similarity search in sequence databases. In International conference on foundations of data organization and algorithms, pages 69–84. Springer, 1993.
* [9] A. Arasu, V. Ganti, and R. Kaushik. Efficient exact set-similarity joins. In Proceedings of the 32nd international conference on Very large data bases, pages 918–929. VLDB Endowment, 2006.
* [10] C. Böhm and F. Krebs. The k-nearest neighbour join: Turbo charging the kdd process. Knowledge and Information Systems, 6(6):728–749, 2004.
* [11] C. Bohm and H.-P. Kriegel. A cost model and index architecture for the similarity join. In Proceedings 17th International Conference on Data Engineering, pages 411–420. IEEE, 2001.
* [12] P. Buono, A. Aris, C. Plaisant, A. Khella, and B. Shneiderman. Interactive pattern search in time series. In Visualization and Data Analysis 2005, volume 5669, pages 175–187. International Society for Optics and Photonics, 2005.
* [13] D. Chatziantoniou. Using grouping variables to express complex decision support queries. Data & Knowledge Engineering, 61(1):114–136, 2007.
* [14] D. Chatziantoniou and K. A. Ross. Querying multiple features of groups in relational databases. In VLDB, volume 96, pages 295–306, 1996.
* [15] S. Chaudhuri, V. Ganti, and R. Kaushik. A primitive operator for similarity joins in data cleaning. In 22nd International Conference on Data Engineering (ICDE’06), pages 5–5. IEEE, 2006.
* [16] S. Chaudhuri and L. Gravano. Evaluating top-k selection queries. In VLDB, volume 99, pages 397–410, 1999.
* [17] Z. Chen and V. Narasayya. Efficient computation of multiple group by queries. In Proceedings of the 2005 ACM SIGMOD international conference on Management of data, pages 263–274, 2005.
* [18] C. Cunningham, C. A. Galindo-Legaria, and G. Graefe. Pivot and unpivot: Optimization and execution strategies in an rdbms. In Proceedings of the Thirtieth international conference on Very large data bases-Volume 30, pages 998–1009. VLDB Endowment, 2004.
* [19] R. Ding, S. Han, Y. Xu, H. Zhang, and D. Zhang. Quickinsights: Quick and automatic discovery of insights from multi-dimensional data. In Proceedings of the 2019 International Conference on Management of Data, pages 317–332. ACM, 2019.
* [20] J. V. D’silva, F. De Moor, and B. Kemme. Aida: abstraction for advanced in-database analytics. Proceedings of the VLDB Endowment, 11(11):1400–1413, 2018.
* [21] L. Gravano, P. G. Ipeirotis, H. V. Jagadish, N. Koudas, S. Muthukrishnan, D. Srivastava, et al. Approximate string joins in a database (almost) for free. In VLDB, volume 1, pages 491–500, 2001.
* [22] J. Gray, S. Chaudhuri, A. Bosworth, A. Layman, D. Reichart, M. Venkatrao, F. Pellow, and H. Pirahesh. Data cube: A relational aggregation operator generalizing group-by, cross-tab, and sub-totals. Data mining and knowledge discovery, 1(1):29–53, 1997.
* [23] J. Han et al. Dmql: A data mining query language for relational databases. In Proc. 1996 SiGMOD, volume 96, pages 27–34, 1996.
* [24] I. F. Ilyas, W. G. Aref, and A. K. Elmagarmid. Supporting top-k join queries in relational databases. The VLDB Journal—The International Journal on Very Large Data Bases, 13(3):207–221, 2004.
* [25] I. F. Ilyas, G. Beskales, and M. A. Soliman. A survey of top-k query processing techniques in relational database systems. ACM Computing Surveys (CSUR), 40(4):11, 2008.
* [26] T. Imieliński and A. Virmani. Msql: A query language for database mining. Data Mining and Knowledge Discovery, 3(4):373–408, 1999.
* [27] T. Kluyver, B. Ragan-Kelley, F. Pérez, B. E. Granger, M. Bussonnier, J. Frederic, K. Kelley, J. B. Hamrick, J. Grout, S. Corlay, et al. Jupyter notebooks-a publishing format for reproducible computational workflows. In ELPUB, pages 87–90, 2016.
* [28] D. J.-L. Lee, J. Lee, T. Siddiqui, J. Kim, K. Karahalios, and A. Parameswaran. You can’t always sketch what you want: Understanding sensemaking in visual query systems. IEEE transactions on visualization and computer graphics, 2019.
* [29] C. Li, K. C.-C. Chang, I. F. Ilyas, and S. Song. Ranksql: query algebra and optimization for relational top-k queries. In Proceedings of the 2005 ACM SIGMOD international conference on Management of data, pages 131–142. ACM, 2005.
* [30] R. A. K.-l. Lin and H. S. S. K. Shim. Fast similarity search in the presence of noise, scaling, and translation in time-series databases. In Proceeding of the 21th International Conference on Very Large Data Bases, pages 490–501. Citeseer, 1995.
* [31] S. Macke, Y. Zhang, S. Huang, and A. Parameswaran. Adaptive sampling for rapidly matching histograms. Proceedings of the VLDB Endowment, 11(10):1262–1275, 2018.
* [32] R. O. Nambiar and M. Poess. The making of tpc-ds. In Proceedings of the 32nd international conference on Very large data bases, pages 1049–1058. VLDB Endowment, 2006.
* [33] A. Netz et al. Integrating data mining with sql databases: Ole db for data mining. In ICDE’01, pages 379–387. IEEE, 2001.
* [34] D. Papadias, Y. Tao, K. Mouratidis, and C. K. Hui. Aggregate nearest neighbor queries in spatial databases. ACM Transactions on Database Systems (TODS), 30(2):529–576, 2005\.
* [35] D. Rafiei and A. Mendelzon. Similarity-based queries for time series data. In Proceedings of the 1997 ACM SIGMOD international conference on Management of data, pages 13–25, 1997.
* [36] A. Rajaraman and J. Ullman. Finding similar items. Mining of massive datasets, 77:73–80, 2010.
* [37] K. Ramasamy, J. M. Patel, J. F. Naughton, and R. Kaushik. Set containment joins: The good, the bad and the ugly. In VLDB, pages 351–362, 2000.
* [38] S. G. Rao, A. Badia, and D. Van Gucht. Providing better support for a class of decision support queries. In ACM SIGMOD Record, volume 25, pages 217–227. ACM, 1996.
* [39] S. Sarawagi. Explaining differences in multidimensional aggregates. In VLDB, volume 99, pages 7–10, 1999.
* [40] S. Sarawagi and A. Kirpal. Efficient set joins on similarity predicates. In Proceedings of the 2004 ACM SIGMOD international conference on Management of data, pages 743–754. ACM, 2004.
* [41] S. Sarawagi and G. Sathe. i3: intelligent, interactive investigation of olap data cubes. ACM SIGMOD Record, 29(2):589, 2000.
* [42] D. W. Scott. Sturges’ rule. Wiley Interdisciplinary Reviews: Computational Statistics, 1(3):303–306, 2009.
* [43] T. Siddiqui, A. Kim, J. Lee, K. Karahalios, and A. Parameswaran. Effortless data exploration with zenvisage: an expressive and interactive visual analytics system. Proceedings of the VLDB Endowment, 10(4):457–468, 2016.
* [44] M. Tang, R. Y. Tahboub, W. G. Aref, M. J. Atallah, Q. M. Malluhi, M. Ouzzani, and Y. N. Silva. Similarity group-by operators for multi-dimensional relational data. IEEE Transactions on Knowledge and Data Engineering, 28(2):510–523, 2015.
* [45] N. Tang, E. Wu, and G. Li. Towards democratizing relational data visualization. In Proceedings of the 2019 International Conference on Management of Data, pages 2025–2030. ACM, 2019.
* [46] P. Tsaparas, T. Palpanas, Y. Kotidis, N. Koudas, and D. Srivastava. Ranked join indices. In Proceedings 19th International Conference on Data Engineering (Cat. No. 03CH37405), pages 277–288. IEEE, 2003.
* [47] M. Vartak et al. Seedb: Efficient data-driven visualization recommendations to support visual analytics. VLDB, 8(13), Sept. 2015.
* [48] M. Wattenberg. Sketching a graph to query a time-series database. In CHI ’01 Extended Abstracts on Human Factors in Computing Systems, CHI EA ’01, pages 381–382, New York, NY, USA, 2001. ACM.
* [49] K. Wongsuphasawat, Z. Qu, D. Moritz, R. Chang, F. Ouk, A. Anand, J. Mackinlay, B. Howe, and J. Heer. Voyager 2: Augmenting visual analysis with partial view specifications. In Proceedings of the 2017 CHI Conference on Human Factors in Computing Systems, pages 2648–2659. ACM, 2017.
* [50] E. Wu, L. Battle, and S. R. Madden. The case for data visualization management systems: vision paper. Proceedings of the VLDB Endowment, 7(10):903–906, 2014.
* [51] M. Zaharioudakis, R. Cochrane, G. Lapis, H. Pirahesh, and M. Urata. Answering complex sql queries using automatic summary tables. In Proceedings of the 2000 ACM SIGMOD international conference on Management of data, pages 105–116, 2000.
* [52] L. Zhang and J. Yi. Management methods of spatial data based on postgis. In 2010 Second Pacific-Asia Conference on Circuits, Communications and System, volume 1, pages 410–413. IEEE, 2010.
| arxiv-papers | 2021-07-26T05:48:32 | 2024-09-04T03:07:17.693569 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Tarique Siddiqui, Surajit Chaudhuri and Vivek Narasayya",
"submitter": "Tarique Siddiqui",
"url": "https://arxiv.org/abs/2107.11967"
} |
2107.11969 | # a note on Clebsch-Gordan integral, Fourier-Legendre expansions and closed
form for hypergeometric series
Marco Cantarini Dipartimento di Ingegneria Industriale e Scienze Matematiche
Università Politecnica delle Marche
Via Brecce Bianche, 12
60131 Ancona, Italia [email protected]
###### Abstract.
In this paper we show that a closed form formula for the generalized Clebsch-
Gordan integral and the Fourier-Legendre expansion theory allow to evaluate
hypergeometric series involving powers of the normalized central binomial
coefficient ${\displaystyle\frac{1}{4^{n}}\dbinom{2n}{n}}$.
###### Key words and phrases:
Hypergeometric functions, Fourier-Legendre expansion, Clebsch-Gordan integral,
complete elliptic integral of the first kind, closed form.
Mathematical Subject Classification 2020: 33C20, 33E05, 42C10, 33C75.
## 1\. introduction
The study of hypergeometric transformation, and its link to the analysis of
closed-form of infinite series in terms of well-known mathematical constants
and special values of Euler’s Gamma function, has been deeply analyzed in many
articles and with different techniques. Indeed, it is well known that this
type of research is of interest mathematics and in other scientific fields; a
very exhaustive illustration can be found in [5]. Among the many tools
developed, recently it was shown that the Fourier-Legendre (FL) expansion
theory is a very useful approach for the study of a class of hypergeometric
series, in particular series whose summands are powers of the normalized
central binomial coefficients, harmonic numbers and rational functions (see
[9],[14]) because it allows to formulate these series in terms of Euler sums
or in integrals involving special functions like polylogarithms or complete
elliptic integrals of the first and second kind. This information shows again
the interest about this type of problems since, as we know, the evaluation of
multiple elliptic integrals where the integrands are combinations of complete
elliptic integrals of the first or second kind is an active research area and
with applications in, for example, high-energy physics, statistical mechanics
and probability theory. Very recently [10] it was observed that the FL theory
combined with the theory of fractional operators, in particular with semi-
differentiation and semi-integration (for some details about fractional
calculus see, for example, [18]) of scalar product of some functions,
including complete elliptic integrals of the first or second kind, allow us to
evaluate particular hypergeometric functions with fractional (in particular,
quarter-integers) parameters.
It is important to emphasize again how these topics and techniques can be
relate to other, and sometimes unexpected, mathematical topics; for example,
if it is quite natural to think about the classical Ramanujan-type series for
$1/\pi$ (for a survey of this topic see for example, [3] and for formulas via
hypergeometric transformations see [15]), the connection with additive number
theory problems is probably less evident, in particular asymptotic formulas of
functions that count the number of representations of an integer as the sum of
elements that are in some subset of natural numbers (essentially, primes or
powers of primes). Indeed, fractional operators applied to particular power
series are involved in the study of explicit formulas for the so-called Cesàro
average of these counting functions (for the interested reader, see
[7][11][12][13][20]), therefore it is plausible to think that the techniques
developed may also be of interest for these types of problems
In this paper, we will focus on a results of Zhou [21] about a closed form for
the generalized Clebsch-Gordan integral
$\int_{-1}^{1}P_{\mu}\left(x\right)P_{\nu}\left(x\right)P_{\nu}\left(-x\right)dx$
where $P_{\nu}\left(x\right),P_{\mu}\left(x\right)$ are the Legendre functions
of arbitrary complex degree $\nu,\mu\in\mathbb{C}$. We show that this result
can be can be interpreted in terms of the FL theory and this point of view
allows to evaluate series whose addends are powers of central binomials (and
so, particular hypergoemetric functions). Furthermore, we will show that from
Zhou results we can obtain some formulas that recall the well-known Brafman’s
formula [6] and we can evaluate very easily some integral moment regarding
combinations of complete elliptic integrals of the first kind.
Note that we adopt the convention whereby the argument of a complete elliptic
integral is the elliptic modulus, that is
$K(x):=\int_{0}^{\pi/2}\frac{du}{\sqrt{1-x\sin^{2}\left(u\right)}}.$
I thank the referee very much for the comments and suggestions, which have
greatly improved the article.
## 2\. On some consequences of Zhou’s Paper
We start our analysis observing that the closed form for the Clebsch-Gordan
integral can be interpreted as the FL expansions of a combination of
particular Gauss hypergeometric functions ${}_{2}F_{1}(a,b;c;z)$.
###### Theorem 1.
Let $\nu\in\mathbb{C}$ and $x\in\left[0,1\right]$. The following FL expansions
there holds:
(1)
${}_{2}F_{1}\left(-\nu,\nu+1;1;x\right){}_{2}F_{1}\left(-\nu,\nu+1;1;1-x\right)$
$=-\frac{\sin\left(\pi\nu\right)}{2}\sum_{m\geq
0}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{2}\frac{\Gamma\left(m-\nu\right)\Gamma\left(m+\nu+1\right)\left(4m+1\right)}{\Gamma\left(m-\nu+\frac{1}{2}\right)\Gamma\left(m+\nu+\frac{3}{2}\right)}P_{2m}\left(2x-1\right)$
where the indeterminate form must be interpreted as limits.
###### Proof.
Recalling that
${}_{2}F_{1}\left(-\nu,\nu+1;1;x\right)=P_{\nu}\left(1-2x\right),\,x\in\left[0,1\right],\,\nu\in\mathbb{C},$
(see equation $6.2$ of [19]) we have, for $m\in\mathbb{N}$,
$\displaystyle\int_{0}^{1}{}_{2}F_{1}\left(-\nu,\nu+1;1;x\right){}_{2}F_{1}\left(-\nu,\nu+1;1;1-x\right)P_{m}\left(2x-1\right)dx$
$\displaystyle=\int_{0}^{1}P_{\nu}\left(1-2x\right)P_{\nu}\left(2x-1\right)P_{m}\left(2x-1\right)dx$
(2)
$\displaystyle=\int_{-1}^{1}P_{\nu}\left(x\right)P_{\nu}\left(-x\right)P_{m}\left(x\right)dx.$
From equation ($19_{(m,n)}$) and ($19_{(2m+1,\nu)}$) of [21] we have that (2)
is $0$ for any Legendre polynomial $P_{n}\left(2x-1\right)$ of odd degree $n$
and
$\int_{-1}^{1}P_{\nu}\left(x\right)P_{\nu}\left(-x\right)P_{2m}\left(x\right)dx=-\frac{\sin\left(\pi\nu\right)}{2}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{2}\frac{\Gamma\left(m-\nu\right)\Gamma\left(m+\nu+1\right)}{\Gamma\left(m-\nu+\frac{1}{2}\right)\Gamma\left(m+\nu+\frac{3}{2}\right)}$
where $m$ is a positive integer, $\nu\in\mathbb{C}$ and the indeterminate form
must be interpreted as limits. The thesis follows recalling that if
$f(x)/\sqrt[4]{1-x^{2}},\,x\in(-1,1)$ is integrable, then
$\sum_{n\geq
0}\left(n+\frac{1}{2}\right)P_{n}\left(\xi\right)\int_{-1}^{1}f\left(x\right)P_{n}\left(x\right)dx=\frac{f\left(\xi+0\right)-f\left(\xi-0\right)}{2}$
for a certain $\xi\in(-1,1)$ if some conditions for convergence are met (for
more details see [17], Chapter VII, p. $329$).
∎
As we had anticipated, the previous formula clearly recalls the well-known
Brafman’s formula (see [6]) and it has some interesting consequences.
###### Theorem 2.
For
$\nu\in\mathbb{C}\setminus\left(\left\\{-2\mathbb{N}+1\right\\}\cup\left\\{2\mathbb{N}\right\\}\right)$
we have
(3)
$\frac{\cot\left(\frac{\pi\nu}{2}\right)\Gamma\left(\frac{1+\nu}{2}\right)^{2}}{\pi\Gamma\left(\frac{2+\nu}{2}\right)^{2}}=\sum_{m\geq
0}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{3}\left(-1\right)^{m+1}\frac{\Gamma\left(m-\nu\right)\Gamma\left(m+\nu+1\right)\left(4m+1\right)}{\Gamma\left(m-\nu+\frac{1}{2}\right)\Gamma\left(m+\nu+\frac{3}{2}\right)}$
where the undetermined forms must be interpreted as limits and, for
$x\in\left[0,1\right]$, we have
(4) $K\left(x\right)K\left(1-x\right)=\frac{\pi^{3}}{8}\sum_{m\geq
0}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{4}\left(4m+1\right)P_{2m}\left(2x-1\right).$
###### Proof.
Due to the fact that
(5)
${}_{2}F_{1}\left(-\nu,\nu+1;1;\frac{1}{2}\right)=\frac{\sqrt{\pi}}{\Gamma\left(\frac{1-\nu}{2}\right)\Gamma\left(\frac{\nu+2}{2}\right)}$
by the Gauss’s second summation theorem [2], formula (3) follows from the
$x=1/2$ case of (1) and (4) follows from the $\nu=-1/2$ case of (1). ∎
These results produce some interesting identities that CAS like Mathematica
does not recognize or it only recognizes them as combinations of generalized
hypergeometric functions; in the next corollary we show some interesting
examples. Note that we will write the combination of hypergeometric functions
only in cases where the CAS is able to recognize it and if the formula
contains at most two terms, to make the results more readable.
###### Corollary 3.
We have
(6) $\displaystyle\sum_{m\geq
0}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{3}\frac{\left(-1\right)^{m+1}(4m+1)^{2}}{\left(4m-1\right)\left(4m+3\right)}=\frac{32\left(2+\sqrt{2}\right)\Gamma\left(\frac{1}{4}\right)^{2}}{\Gamma\left(\frac{1}{8}\right)^{4}}$
(7) $\sum_{m\geq
0}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{3}\frac{\left(-1\right)^{m+1}\left(4m-1\right)\left(4m+3\right)}{\left(4m-3\right)\left(4m+5\right)}=\frac{32\sqrt{2}\left(1+\sqrt{2}\right)\Gamma\left(\frac{1}{4}\right)^{2}}{9\Gamma\left(\frac{1}{8}\right)^{4}},$
(8) $\sum_{m\geq
0}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{5}\frac{\left(-1\right)^{m}\left(4m+1\right)\left(4m^{2}+2m+1\right)}{\left(2m-1\right)^{2}\left(m+1\right)^{2}}=\frac{128}{\Gamma\left(\frac{1}{4}\right)^{4}},$
(9) $\sum_{m\geq
0}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{5}\left(-1\right)^{m}\left(4m+1\right)\left(\psi^{(1)}\left(m+\frac{1}{2}\right)-\psi^{(1)}\left(m+1\right)\right)=\frac{2\Gamma\left(\frac{1}{4}\right)^{4}C}{\pi^{4}}$
$\displaystyle\sum_{m\geq
0}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{5}\left(-1\right)^{m}\left(4m+1\right)$
$\displaystyle=\frac{1}{8}\left(8\,_{5}F_{4}\left(\frac{1}{2},\frac{1}{2},\frac{1}{2},\frac{1}{2},\frac{1}{2};1,1,1,1;-1\right)\right.$
$\displaystyle\left.-\,_{5}F_{4}\left(\frac{3}{2},\frac{3}{2},\frac{3}{2},\frac{3}{2},\frac{3}{2};2,2,2,2;-1\right)\right)$
(10) $\displaystyle=\frac{\Gamma\left(\frac{1}{4}\right)^{4}}{2\pi^{4}}$
where $C$ is the Catalan’s constant and $\psi^{(1)}(x)$ is the trigamma
function.
###### Proof.
Equations (6) and (7) follow from the $\nu=1/4$ and $\nu=3/4$ cases of (3) ;
differentiating (8) with respect to $\nu$ before specializing to $\nu=1/2$,
one arrives to (6); twice differentiating (6) with respect to $\nu$ before
specializing $\nu=-1/2$, one arrives to (9); equation (10) follows from the
$x=1/2$ case of (4). ∎
Note that formula (4) allow us to evaluate quite easily the moments of the
function $K(x)K(1-x)$.
###### Corollary 4.
For every $n\in\mathbb{N}$ we have
$\displaystyle\int_{0}^{1}x^{n}K\left(x\right)K\left(1-x\right)dx$
$\displaystyle=\frac{\pi^{3}\Gamma\left(n+1\right)^{2}}{8}\sum_{m\leq
n/2}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{4}\frac{4m+1}{\Gamma\left(n+2m+2\right)\Gamma\left(n+1-2m\right)}$
and for every $n\in\mathbb{N}^{+}$ we have
$\displaystyle\int_{0}^{1}x^{n-1}K\left(x\right)\left(1-x\right)^{n-1}K\left(1-x\right)dx$
$\displaystyle=\frac{\pi^{7/2}}{8}\frac{\Gamma\left(n\right)^{3}\Gamma\left(n+\frac{1}{2}\right)}{\Gamma\left(2n\right)}\sum_{m<n}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{4}\frac{4m+1}{\Gamma\left(\frac{1-2m}{2}\right)\Gamma\left(m+1\right)\Gamma\left(\frac{2m+1}{2}+n\right)\Gamma\left(-m+n\right)}.$
###### Proof.
Using (4) and switching the integral with the series (it is quite easy to
prove that it is allowed) we get
$\int_{0}^{1}x^{n}K\left(x\right)K\left(1-x\right)dx=\frac{\pi^{3}}{8}\sum_{m\geq
0}\left[\frac{1}{4^{m}}\dbinom{2m}{m}\right]^{4}\left(4m+1\right)\int_{0}^{1}x^{n}P_{2m}\left(2x-1\right)dx$
and the result follows by the well-known identity
$\int_{0}^{1}x^{\mu-1}P_{n}\left(2x-1\right)dx=\frac{\Gamma\left(\mu\right)^{2}}{\Gamma\left(\mu+n+1\right)\Gamma\left(\mu-n\right)},\mathrm{Re}\left(\mu\right)>0$
(see [16], page $792$). Similarly, for the second identity we use the relation
$\displaystyle\int_{0}^{1}x^{\mu-1}\left(1-x\right)^{\nu-1}P_{n}\left(2x-1\right)dx$
$\displaystyle=\left(-1\right)^{n}\frac{\Gamma\left(\mu\right)\Gamma\left(\nu\right)}{\Gamma\left(\nu+\mu\right)}\,_{3}F_{2}\left(-n.n+1,\mu;1,\mu+\nu;1\right),\,\text{Re}(\mu)>0,\,\text{Re}(\nu)>0$
(see [16], page $792$) and the classical Watson theorem (see, for example,
[1], Theorem $3.5.5$).
${}_{3}F_{2}\left(a,b,c;\frac{a+b+1}{2},2c;1\right)=\frac{\sqrt{\pi}\Gamma\left(c+\frac{1}{2}\right)\Gamma\left(\frac{a+b+1}{2}\right)\Gamma\left(\frac{1-a-b}{2}+c\right)}{\Gamma\left(\frac{a+1}{2}\right)\Gamma\left(\frac{b+1}{2}\right)\Gamma\left(\frac{1-a}{2}+c\right)\Gamma\left(\frac{1-b}{2}+c\right)},$
with $\text{Re}(-a-b+2c)>-1$ ∎
## 3\. Dougall’s expansions, Mehler-Dirichlet theory and fl expasions
There are interesting applications of Lemma $2.1$ in Zhou’s paper, when we
combine it with other identities. We recall the Dougall’s expansion (see [4],
page $167$)
(11) ${}_{2}F_{1}\left(-\nu,\nu+1;1;x\right)=\sum_{m\geq
0}\left[\frac{\sin\left(\pi\left(m-\nu\right)\right)}{\pi\left(m-\nu\right)}+\frac{\sin\left(\pi\left(m+\nu+1\right)\right)}{\pi\left(m+\nu+1\right)}\right]P_{m}\left(2x-1\right)$
where the indeterminate forms must be interpreted as limits. Clearly, this
identity can be read as the FL expansion of the function
${}_{2}F_{1}\left(-\nu,\nu+1;1;x\right)$.
###### Corollary 5.
We have that
$\displaystyle\sum_{m\geq
0}\dbinom{2m}{m}\frac{\left(-1\right)^{m}}{4^{m}}\left[\frac{1}{\left(4m-1\right)^{2}}-\frac{1}{\left(4m+3\right)^{2}}\right]$
$\displaystyle=\frac{1}{9}\left(9\,_{3}F_{2}\left(-\frac{1}{4},-\frac{1}{4},\frac{1}{2};\frac{3}{4},\frac{3}{4};-1\right)\right.$
$\displaystyle\left.-\,_{3}F_{2}\left(\frac{1}{2},\frac{3}{4},\frac{3}{4};\frac{7}{4},\frac{7}{4};-1\right)\right)$
(12) $\displaystyle=\frac{2\pi^{3/2}}{\Gamma\left(\frac{1}{4}\right)^{2}}.$
###### Proof.
From (5) and (11) we get, taking $x=1/2$ and recalling the well known relation
$P_{m}\left(0\right)=\begin{cases}\tbinom{2m}{m}\frac{\left(-1\right)^{m}}{4^{m}},&m\text{
even}\\\ 0,&m\text{ odd}\end{cases}$
that
$\frac{\sqrt{\pi}}{\Gamma\left(\frac{1-\nu}{2}\right)\Gamma\left(\frac{\nu+2}{2}\right)}=\sum_{m\geq
0}\dbinom{2m}{m}\frac{\left(-1\right)^{m}}{4^{m}}\left[\frac{\sin\left(\pi\left(2m-\nu\right)\right)}{\pi\left(2m-\nu\right)}+\frac{\sin\left(\pi\left(2m+\nu+1\right)\right)}{\pi\left(2m+\nu+1\right)}\right]$
and now the claim follows differentiating with respect $\nu$ both sides and
then taking $\nu=1/2.$ ∎
Note that this result is interesting because, despite the seemingly simple
appearance, series like (12) are often linked to known, and important,
mathematical constants but could be, in general, difficult to deal with. An
example is the series
$\sum_{m\geq
0}\dbinom{2m}{m}\frac{\left(-1\right)^{m}}{4^{m}}\frac{1}{\left(4m+1\right)^{2}}$
which is closely related to the series
$\sum_{m\geq 0}\dbinom{2m}{m}\frac{1}{4^{m}}\frac{H_{m}}{4m+1}$
and both are linked to lemnistate-like constants but, at present, no technique
is known for calculating their closed forms (see, for more details on this
topic, [8]).
Other interesting relations can be extrapolated from Zhou’s paper; indeed,
from the well-known FL expansion
$K(x)=\sum_{m\geq 0}\frac{2}{2m+1}P_{m}\left(2x-1\right),\,x\in[0,1),$
the Mehler-Dirichlet theory, the Hobson coupling formula, which states that
for $\nu\in\mathbb{C}$ and $\theta_{1},\theta_{2}\in\left[0,\pi\right)$ we
have
$\displaystyle\frac{1}{2\pi}\int_{0}^{2\pi}P_{\nu}\left(\cos\left(\theta_{1}\right)\cos\left(\theta_{2}\right)+\sin\left(\theta_{2}\right)\sin\left(\theta_{2}\right)\cos\left(\phi\right)\right)d\phi$
$\displaystyle=\begin{cases}P_{\nu}\left(\cos\left(\theta_{1}\right)\right)P_{\nu}\left(\cos\left(\theta_{2}\right)\right),&\theta_{1}+\theta_{2}\leq\pi\\\
P_{\nu}\left(-\cos\left(\theta_{1}\right)\right)P_{\nu}\left(-\cos\left(\theta_{2}\right)\right),&\theta_{1}+\theta_{2}\geq\pi\end{cases}$
and its consequences (see Lemma 2.1 of [21]), it is possible to obtain the
following “quasi” FL- expansions
$\sum_{m\geq
0}\frac{P_{m}(2x-1)^{2}\left(-1\right)^{m}}{2m+1}=\frac{K(x)^{2}}{\pi},\,x\in\left[0,1/2\right],$
$\sum_{m\geq
0}P_{m}(2x-1)^{2}z^{n}=\frac{2}{\pi}\frac{K\left(-\frac{16x\left(1-x\right)z}{(1-z)^{2}}\right)}{1-z},\,x,z\in\left(0,1\right)$
and then we are able to find the following identities:
###### Corollary 6.
We have that
$\frac{2}{\pi}\int_{0}^{1}\frac{K\left(\frac{16x\left(1-x\right)z^{2}}{(1+z^{2})^{2}}\right)}{1+z^{2}}dz=\begin{cases}\frac{K(x)^{2}}{\pi},&x\in\left[0,1/2\right]\\\
\frac{K(1-x)^{2}}{\pi},&x\in\left[1/2,1\right],\end{cases}$
$\frac{2}{\pi}\int_{0}^{1}\frac{K\left(\frac{16x\left(1-x\right)z^{2}}{(1+z^{2})^{2}}\right)}{1+z^{2}}dx=\frac{\arctan(z)}{z},z\in(0,1).$
## 4\. Conclusions
We have shown some examples of how FL theory is a useful tool for dealing with
computational problems linked to some types of hypergeometric functions and
how its flexibility allows, at least in the first instance, to be exploited in
other areas of mathematics. We want to underline how the results presented in
this work are only a part of the possible ones obtainable from the general
formulas and how these techniques could be used in other fields of mathematics
and beyond; this lead us to continue our investigation on these topics and we
hope to produce other interesting results in the future.
## 5\. Acknowledgments
The author is a member of the Gruppo Nazionale per l’Analisi Matematica, la
Probabilità e le loro Applicazioni (GNAMPA) of the Istituto Nazionale di Alta
Matematica (INdAM).
## References
* [1] G. E. Andrews, R. Askey and R. Roy, Special Functions, volume 71 of Encyclopedia of Mathematics and Its Applications. Cambridge University Press, Cambridge, UK, 1999.
* [2] W. N. Bailey, Generalized Hypergeometric Series, Cambridge University Press, Cambridge, 1935.
* [3] N. D. Baruah, B. C. Berndt, H. H. Chan, Ramanujan’s series for $1/\pi$: a survey, Amer. Math. Monthly 116 (2009), 567–587
* [4] H. Bateman, Higher Transcendental Functions, volume I, McGraw-Hill, New York, NY, 1953. (compiled by staff of the Bateman Manuscript Project: Arthur Erdélyi, Wilhelm Magnus, Fritz Oberhettinger, Francesco G. Tricomi, David Bertin, W. B. Fulks, A. R. Harvey, D. L. Thomsen, Jr., Maria A. Weber and E. L. Whitney).
* [5] J. M. Borwein, R. E. Crandall, Closed forms: what they are and why we care, Notices Amer Math Soc. 60 (1) (2013), 50–65.
* [6] F. Brafman, Generating functions of Jacobi and related polynomials, Proc. of the American Math. Soc. 2 (6) (1951) 942–949.
* [7] J. Brüdern, J. Kaczorowski, and A. Perelli, Explicit formulae for averages of Goldbach representations, Trans. Amer. Math. Soc. 372 (2019), 6981–6999.
* [8] J. M. Campbell, W. Chu, Lemniscate-like constants and infinite series, accepted by Mathematica Slovaca.
* [9] J.M. Campbell, J. D’Aurizio, J. Sondow. On the interplay among hypergeometric functions, complete elliptic integrals, and Fourier–Legendre expansions, J. Math. Anal. Appl., 479(1) (2019), 90–121.
* [10] J. M. Campbell, M. Cantarini, J. D’Aurizio, Symbolic computations via Fourier–Legendre expansions and fractional operators, accepted by Integral Transforms and Special Functions, https://doi.org/10.1080/10652469.2021.1919103.
* [11] M. Cantarini, On the Cesàro average of the ‘Linnik numbers’. Acta Arith. 180(1) (2017), 45–62.
* [12] M. Cantarini, On the Cesàro average of the numbers that can be written as sum of a prime and two squares of primes, Journal of Number Theory 185 (2018),194–217.
* [13] M. Cantarini, Some identities involving the Cesàro average of the Goldbach numbers, Math. Notes 106(5–6) (2019), 688–702.
* [14] M. Cantarini, J. D’Aurizio, On the interplay between hypergeometric series, Fourier-Legendre expansions and Euler sum, Bollettino Unione Matematica Italiana 12(4) (2019), 623–656.
* [15] S. Cooper, J. Ge, D. Ye, Hypergeometric transformation formulas of degrees 3, 7, 11 and 23, J. Math. Anal. Appl. 421(2) (2015), 1358–1376.
* [16] I. S. Gradshteyn and I. M. Ryzhik, Table of Integrals, Series, and Products, edited by A. Jeffrey and D. Zwillinger, Academic Press, New York, 7th edition, 2007.
* [17] E. W. Hobson, The Theory of Spherical and Ellipsoidal Harmonics, Cambridge University Press, Cambridge, UK, 1931.
* [18] A. A. Kilbas, O. I. Marichev, S. G. Samko, Fractional integrals and derivatives : theory and applications, Gordon and Breach Science Publishers, Switzerland ; Philadelphia, Pa., USA, 1993.
* [19] G. Kristensson, Second Order Differential Equations, Springer, New York, NY, 2010.
* [20] A. Languasco, A. Zaccagnini, A Cesàro average of Goldbach numbers, Forum Math. 27(4) (2015), 1945–1960.
* [21] Y. Zhou, Legendre functions, spherical rotations, and multiple elliptic integrals. Ramanujan J. 34 (2014), 373–428.
| arxiv-papers | 2021-07-26T05:50:19 | 2024-09-04T03:07:17.710853 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Marco Cantarini",
"submitter": "Marco Cantarini",
"url": "https://arxiv.org/abs/2107.11969"
} |
2107.11976 | capbtabboxtable[][0.25]
# One Question Answering Model for Many Languages with Cross-lingual Dense
Passage Retrieval
Akari Asai†, Xinyan Yu†, Jungo Kasai†, Hannaneh Hajishirzi†‡
†University of Washington, ‡Allen Institute for AI
{akari, xyu530, jkasai, hannaneh}@cs.washington.edu
###### Abstract
We present Cross-lingual Open-Retrieval Answer Generation (CORA), the first
unified many-to-many question answering (QA) model that can answer questions
across many languages, even for ones without language-specific annotated data
or knowledge sources. We introduce a new dense passage retrieval algorithm
that is trained to retrieve documents across languages for a question.
Combined with a multilingual autoregressive generation model, CORA answers
directly in the target language without any translation or in-language
retrieval modules as used in prior work. We propose an iterative training
method that automatically extends annotated data available only in high-
resource languages to low-resource ones. Our results show that CORA
substantially outperforms the previous state of the art on multilingual open
QA benchmarks across 26 languages, 9 of which are unseen during training. Our
analyses show the significance of cross-lingual retrieval and generation in
many languages, particularly under low-resource settings. Our code and trained
model are publicly available at https://github.com/AkariAsai/CORA.
## 1 Introduction
Multilingual open question answering (QA) is the task of answering a question
from a large collection of multilingual documents. Most recent progress in
open QA is made for English by building a pipeline based on a dense passage
retriever trained on large-scale English QA datasets to find evidence passages
in English (Lee et al., 2019; Karpukhin et al., 2020), followed by a reader
that extracts an answer from retrieved passages. However, extending this
approach to multilingual open QA poses new challenges. Answering multilingual
questions requires retrieving evidence from knowledge sources of other
languages than the original question since many languages have limited
reference documents or the question sometimes inquires about concepts from
other cultures (Asai et al., 2021; Lin et al., 2020). Nonetheless, large-scale
cross-lingual open QA training data whose questions and evidence are in
different languages are not available in many of those languages.
To address these challenges, previous work in multilingual open QA (Ture and
Boschee, 2016; Asai et al., 2021) translates questions into English, applies
an English open QA system to answer in English, and then translates answers
back to the target language. Those pipeline approaches suffer from error
propagation of the machine translation component into the downstream QA,
especially for low-resource languages. Moreover, they are not able to answer
questions whose answers can be found in resources written in languages other
than English or the target languages.
In this paper, we introduce a unified many-to-many QA model that can answer
questions in any target language by retrieving evidence from any language and
generating answers in the target language. Our method (called CORA, Fig. 1)
extends the retrieve-then-generate approach of English open QA (Lewis et al.,
2020; Izacard and Grave, 2021b) with a single cross-lingual retriever and a
generator that do not rely on language-specific retrievers or machine
translation modules. The multilingual retrieval module (mDPR) produces dense
embeddings of a question and all multilingual passages, thereby retrieving
passages across languages. The generation module (mGEN) is trained to output
an answer in the target language conditioned on the retrieved multilingual
passages. To overcome the aforementioned data scarcity issue, we automatically
mine training data using external language links and train mDPR and mGEN
iteratively. In particular, each iteration proceeds over two stages of
updating model parameters with available training data and mining new training
data cross-lingually by Wikipedia language links and predictions made by the
models. This approach does not require any additional human annotations or
machine translation, and can be applied to many new languages with low
resources.
Our experiments show that CORA advances the state of the art on two
multilingual open QA datasets, Xor-TyDi QA (Asai et al., 2021) and MKQA
(Longpre et al., 2020), across 26 typologically diverse languages; CORA
achieves gains of 23.4 and 4.7 F1 points in Xor-TyDi QA and MKQA respectively,
where MKQA data is not used for training. Moreover, CORA achieves F1 scores of
roughly 30 over 8 languages on MKQA that have no training data or even
reference Wikipedia documents, outperforming the state-of-the-art approach by
5.4 F1 points. Our controlled experiments and human analyses illustrate the
impact of many-to-many cross-lingual retrieval in improving multilingual open
QA performance. We further observe that through cross-lingual retrieval, CORA
can find answers to 20% of the multilingual questions that are valid but are
originally annotated as unanswerable by humans due to the lack of evidence in
the English knowledge sources.
Figure 1: Overview of CORA (mDPR and mGEN).
## 2 Method
We define multilingual open QA as the task of answering a question $q^{L}$ in
a target language $L$ given a collection of multilingual reference passages
$\mathbf{C}^{multi}$, where evidence passages can be retrieved from any
language. These passages come from Wikipedia articles that are not necessarily
parallel over languages. We introduce CORA, which runs a retrieve-then-
generate procedure to achieve this goal (Fig. 1). We further introduce a novel
training scheme of iterative training with data mining (§ 2.2).
### 2.1 CORA Inference
CORA directly retrieves evidence passages from any language for questions
asked in any target language, and then generates answers in the target
language conditioned on those passages. More formally, the CORA inference
consists of two steps of (i) retrieving passages $\mathscr{P}^{multi}$ and
(ii) generating an answer $a^{L}$ based on the retrieved passages.
$\mathscr{P}^{multi}$ can be in any language included in $\mathbf{C}^{multi}$.
$\mathscr{P}^{multi}=\text{mDPR}(q^{L},\mathbf{C}^{multi}),\leavevmode\nobreak\
a^{L}=\text{mGEN}(q^{L},\mathscr{P}^{multi}).$
Multilingual Dense Passage Retriever (mDPR). mDPR extends Dense Passage
Retriever (DPR; Karpukhin et al., 2020) to a multilingual setting. mDPR uses
an iterative training approach to fine-tune a pre-trained multilingual
language model (e.g., mBERT; Devlin et al., 2019) to encode passages and
questions separately. Once training is done, the representations for all
passages from $\mathbf{C}^{multi}$ are computed offline and stored locally.
Formally, a passage encoding is obtained as follows:
$\mathbf{e}_{p^{L}}=\operatorname{mBERT}_{p}(p)$, where a passage $p$ is a
fixed-length sequence of tokens from multilingual documents. At inference,
mDPR independently obtains a $d$-dimensional ($d=768$) encoding of the
question $\mathbf{e}_{q^{L}}=\operatorname{mBERT}_{q}(q^{L})$. It retrieves
$k$ passages with the $k$ highest relevance scores to the question, where the
relevance score between a passage $p$ and a question $q^{L}$ is estimated by
the inner product of their encoding vectors,
$\langle\mathbf{e}_{q^{L}},\mathbf{e}_{p}\rangle$.
Multilingual Answer Generator (mGEN). We use a multilingual sequence-to-
sequence model (e.g., mT5; Xue et al., 2021) to generate answers in the target
language token-by-token given the retrieved multilingual passages
$\mathscr{P}^{multi}$. We choose a generation approach because it can generate
an answer in the target language $L$ from passages across different
languages.111An alternative approach of answer extraction requires translation
for all language pairs (Asai et al., 2021). Moreover, the generator can be
adapted to unseen languages, some of which may have little or no translation
training data. Specifically, the generator outputs the sequence probability
for $a^{L}$ as follows:
$P(a^{L}|q^{L},\mathscr{P}^{multi})=\prod_{i}^{T}p(a^{L}_{i}|a^{L}_{<i},q^{L},\mathscr{P}^{multi}),$
(1)
where $a^{L}_{i}$ denotes the $i$-th token in the answer, and $T$ is the
length of the answer. We append a language tag to the question to indicate the
target language.
### 2.2 CORA Training
Figure 2: Overview of CORA iterative training and data mining.
We introduce an iterative training approach that encourages cross-lingual
retrieval and answer generation conditioned on multilingual passages (sketched
in Fig. 2 and Alg. 1). Each iteration proceeds over two stages: parameter
updates (§ 2.2.1) where mDPR and mGEN are trained on the current training data
and cross-lingual data mining (§ 2.2.2) where training data are automatically
expanded by Wikipedia language links and model predictions.
##### Initial training data.
The initial training data is a combination of multilingual QA datasets: Xor-
TyDi QA and TyDi QA (Clark et al., 2020), and an English open QA dataset
(Natural Questions, Kwiatkowski et al., 2019). Each training instance from
these datasets comprises a question, a positive passage, and an answer. Note
that annotations in the existing QA datasets have critical limitations:
positive passages are taken either from English (Asai et al., 2021) or the
question’s language (Clark et al., 2020). Further, most of the non-English
languages are not covered. Indeed, when we only train mDPR on this initial
set, it often learns to retrieve passages in the same languages or similar
languages with irrelevant context or context without sufficient evidence to
answer.
#### 2.2.1 Parameter Updates
mDPR updates (line 3 in Alg. 1). Let $\mathscr{D}=\\{\langle
q_{i}^{L},p^{+}_{i},p^{-}_{i,1},\cdots,p^{-}_{i,n}\rangle\\}_{i=1}^{m}$ be $m$
training instances. Each instance consists of a question $q_{i}^{L}$, a
passage that answers the question (positive passage) $p^{+}_{i}$, and $n$
passages that do not answer the question (negative passages) $p^{-}_{i,j}$.
For each question, we use positive passages for the other questions in the
training batch as negative passages (in-batch negative, Gillick et al., 2019;
Karpukhin et al., 2020). mDPR is updated by minimizing the negative log
likelihood of positive passages:
$\mathscr{L}_{\text{mdpr}}=-\log\frac{\exp(\langle\mathbf{e}_{q_{i}^{L}},\,\mathbf{e}_{p_{i}^{+}}\rangle)}{\exp(\langle\mathbf{e}_{q^{L}_{i}},\,\mathbf{e}_{p_{i}^{+}}\rangle)+\sum_{j=1}^{n}{\exp(\langle\mathbf{e}_{q^{L}_{i}},\,\mathbf{e}_{p^{-}_{i,j}}\rangle)}}.$
(2)
mGEN updates (lines 4-5 in Alg. 1). After updating mDPR, we use mDPR to
retrieve top $k$ passages $\mathscr{P}^{multi}$ for each $q^{L}$. Given these
pairs of the question and the retrieved passages $(q^{L},\mathscr{P}^{multi})$
as input, mGEN is trained to generate answer $a^{L}$ autoregressively (Eq.
(1)) and minimize the cross-entropy loss. To train the model to generate in
languages not covered by the original datasets, we translate $a^{L}$ to other
languages using Wikipedia language links and create new synthetic
answers.222This automatic answer translation is only done after the third
epoch of initial training to prevent the model from overfitting to synthetic
data. See Appendix § A.2 for more detail.
#### 2.2.2 Cross-lingual Data Mining
Data: Input QA pairs: $(q^{L},a^{L})$
1 initialize training data
$\mathbf{B}^{1}=(q^{\mathbf{L}},a^{\mathbf{L}},p_{gold}),\mathbf{L}=\\{{\rm
Eng},L\\}$;
2 while _$t <T$_ do
$\Theta_{mDPR}^{t}\leftarrow Train(\theta_{mDPR}^{t-1},\mathbf{B}^{t})$/*
Train mDPR */
$\mathscr{P}^{multi}\leftarrow{\rm
mDPR}(q^{\mathbf{L}},\mbox{embedding}(\mathbf{C}^{multi}))$/* Retrieve
passages */
$\theta_{mGEN}^{t}\leftarrow
Train(\theta_{mGEN}^{t-1},(q^{\mathbf{L}},a^{\mathbf{L}},\mathscr{P}^{multi}))$/*
Train mGEN */
For $\mathbf{L}=={\rm Eng}$, $\mathscr{P}^{multi}+={\rm
LangLink}(q^{\mathbf{L}},\mathbf{C}^{multi}))$ /* Mine data using Wikidata */
3 For $p_{i}\in\mathscr{P}^{multi}$: if mGEN$(q^{\mathbf{L}},p_{i})==a^{L}$
then $positives.add(p_{i})$ else $negatives.add(p_{i})$
$\mathbf{B}^{t+1}$ += $(q^{\mathbf{L}},a^{\mathbf{L}},positives,negatives)$ /*
Add new training data */
4 $t\leftarrow t+1$
5 end while
Algorithm 1 Iterative training that automatically mines training data.
After the parameter updates, we mine new training data using mDPR and
Wikipedia language links and label the new data by mGEN predictions. This step
is skipped in the final iteration.
Mining by trained mDPR and language links (line 4, 6 in Alg. 1). Trained mDPR
can discover positive passages in another language that is not covered by the
initial training data. At each iteration, we use retrieved passages
$\mathscr{P}^{multi}$ for $q^{L}$ (line 4 in Alg. 1) as a source of new
positive and negative passages. This enables expanding data between language
pairs not in the original data.
To cover even more diverse languages, we use language links and find passages
in other languages that potentially include sufficient evidence to answer.
Wikipedia maintains article-level language links that connect articles on the
same entity over languages. We use these links to expand training data from
the English QA dataset of Natural Questions (line 6 in Alg. 1). Denote a
training instance by $(q^{En},a^{En},p_{gold})$. We first translate the
English answer $a^{En}$ to a target language $a^{L}$ using language links. We
use language links again to look up the English Wikipedia article that the
gold passage $p_{gold}$ comes from. We then find articles in non-English
languages in the reference documents $\mathbf{C}^{multi}$ that correspond to
this article. Although the language link-based automatic translation cannot
handle non-entity answers (e.g., short phrases), this helps us to scale to new
languages without additional human annotation or machine translation. We add
all passages from these articles to $\mathscr{P}^{multi}$ as positive passage
candidates, which are then passed to mGEN to evaluate whether each of them
leads to $a^{L}$ or not.
Automatic labeling by mGEN predictions (lines 7-8 in Alg. 1). A passage
$p_{i}$ from $\mathscr{P}^{multi}$ may not always provide sufficient
information to answer the question $q^{L}$ even when it includes the answer
string $a^{L}$. To filter out those spurious passages (Lin et al., 2018; Min
et al., 2019), we take instances generated from the two mining methods
described above, and run mGEN on each passage to predict an answer for the
question. If the answer matches the correct answer $a^{L}$, then the passage
$p_{i}$ is labeled as a positive passage; otherwise we label the input passage
as a negative passage. We assume that when mGEN fails to generate a correct
answer given the passage, the passage may not provide sufficient evidence to
answer; this helps us filter out spurious passages that accidentally contain
an answer string yet do not provide any clue to answer. We add these new
positive and negative passages to the training data, and in the next
iteration, mDPR is trained on this expanded training set (§ 2.2.1).
## 3 Experiments
We evaluate CORA on two multilingual open QA datasets across 28 typologically
diverse languages.333A full list of the language families and script types are
in the appendix. CORA achieves state-of-the-art performance across 26
languages, and greatly outperforms previous approaches that use language-
specific components such as question or answer translation.
### 3.1 Datasets and Knowledge Sources
Multilingual open QA datasets differ in covered languages, annotation schemes,
and target application scenarios. We evaluate F1 and EM scores over the
questions with answer annotations from two datasets, following the common
evaluation practice in open QA (Lee et al., 2019).
Xor-TyDi QA. Xor-TyDi QA (Asai et al., 2021) is a multilingual open QA dataset
consisting of 7 typologically diverse languages, where questions are
originally from TyDi QA (Clark et al., 2020) and posed by information-seeking
native speakers. The answers are annotated by extracting spans from Wikipedia
in the same language as the question (in-language data) or by translating
English spans extracted from English Wikipedia to the target language (cross-
lingual data). Xor-TyDi QA offers both training and evaluation data.
MKQA. MKQA (Longpre et al., 2020) is an evaluation dataset created by
translating 10k Natural Questions (Kwiatkowski et al., 2019) to 25 target
languages. The parallel data enables us to compare the models’ performance
across typologically diverse languages, in contrast to Xor-TyDi QA. MKQA has
evaluation data only; Xor-TyDi QA and MKQA have five languages in common.
Collection of multilingual documents $\mathbf{C}^{multi}$. We use the February
2019 Wikipedia dumps of 13 diverse languages from all Xor-TyDi QA languages
and a subset of MKQA languages.444 Downloaded from
https://archive.org/details/wikimediadownloads?and%5B%5D=year%3A%222019%22. We
choose 13 languages to cover languages with a large number of Wikipedia
articles and a variety of both Latin and non-Latin scripts. We extract plain
text from Wikipedia articles using
wikiextractor,555https://github.com/attardi/wikiextractor and split each
article into 100-token segments as in DPR (Karpukhin et al., 2020). We filter
out disambiguation pages that distinguish pages that share the same article
title666https://en.wikipedia.org/wiki/Category:Disambiguation_pages. as well
as pages with fewer than 20 tokens, resulting in 43.6M passages. See more
details in Appendix § B.2.
Language categories. To better understand the model performance, we categorize
the languages based on their availability during our training. We call the
languages with human annotated gold paragraph and answer data seen languages.
Xor-TyDi QA provides gold passages for 7 languages. For the languages in
$\mathbf{C}^{multi}$ without human-annotated passages, we mine new mDPR
training data by our iterative approach. We call these languages, which are
seen during mDPR training, mDPR-seen. We also synthetically create mGEN
training data as explained in § 2.2.1 by simply replacing answer entities with
the corresponding ones in the target languages. The languages that are unseen
by mDPR but are seen by mGEN mGEN-seen, and all other languages (i.e.,
included neither in mDPR nor mGEN training) unseen languages. 9 of the MKQA
languages are unseen languages.
### 3.2 Baselines and Experimental Setting
We compare CORA with the following strong baselines adopted from Asai et al.
(2021).
Translate-test (MT + DPR). As used in most previous work (e.g., Asai et al.,
2021), this method translates the question to English, extracts an answer in
English using DPR, and then translates the answer back to the target language.
The translation models are obtained from MarianMT (Junczys-Dowmunt et al.,
2018) and trained on the OPUS-MT dataset (Tiedemann, 2012).
Monolingual baseline (BM25). This baseline retrieves passages solely from the
target language and extracts the answer from the retrieved passages. Training
neural network models such as DPR is infeasible with a few thousands of
training examples. Due to the lack of training data in most of the target
languages, we use a BM25-based lexical retriever implementation by Pyserini
(Lin et al., 2021). We then feed the retrieved documents to a multilingual QA
model to extract final answers.
MT+Mono. This baseline combines results from the translate-test method and the
monolingual method to retrieve passages in both English and the target
language. Following Asai et al. (2021), we prioritize predictions from the
monolingual pipeline if they are over a certain threshold tuned on Xor-TyDi QA
development set; otherwise we output predictions from the translate-test
method.777For the languages not supported by Pyserini, we always output
translate-test’s predictions.
Closed-book baseline. This model uses an mT5-base888We did not use larger-
sized variants due to our computational budget. sequence-to-sequence model
that takes a question as input and generates an answer in the target language
without any retrieval at inference time (Roberts et al., 2020). This baseline
assesses the models’ ability to memorize and retrieve knowledge from its
parameters without retrieving reference documents.
CORA details. For all experiments, we use a single retriever (mDPR) and a
single generator (mGEN) that use the same passage embeddings. mDPR uses
multilingual BERT base uncased,999The alternative of XLM-RoBERTa (Conneau et
al., 2020) did not improve our results. and the generator fine-tunes mT5-base.
We found that using other pre-trained language models such as mBART (Liu et
al., 2020) for mGEN or XLM-R (Conneau et al., 2020) for mDPR did not improve
performance and sometimes even hurt performance. We first fine-tune mDPR using
gold passages from Natural Questions, and then further fine-tune it using Xor-
TyDi QA and TyDi QA’s gold passage data. We exclude the training questions in
Natural Questions and TyDi QA that were used to create the MKQA or Xor-TyDi QA
evaluation set. We run two iterations of CORA training (§ 2.2) after the
initial fine-tuning. All hyperparameters are in Appendix § B.5.
## 4 Results and Analysis
### 4.1 Multilingual Open QA Results
##### Xor-TyDi QA.
Table 1 reports the scores of CORA and the baselines in Xor-TyDi QA. CORA,
which only uses a single retriever and a single generator, outperforms the
baselines and the previous state-of-the-art model on Xor-TyDi QA by a large
margin across all 7 languages. CORA achieves gains of 24.8 macro-averaged F1
points over the previous state-of-the-art method (GMT+GS), which uses external
black-box APIs, and 23.4 points over the concurrent anonymous work (SER).
Models | Target Language $L_{i}$ F1 | Macro Average
---|---|---
| Ar | Bn | Fi | Ja | Ko | Ru | Te | F1 | EM | BLEU
CORA | 59.8 | 40.4 | 42.2 | 44.5 | 27.1 | 45.9 | 44.7 | 43.5 | 33.5 | 31.1
SER | 32.0 | 23.1 | 23.6 | 14.4 | 13.6 | 11.8 | 22.0 | 20.1 | 13.5 | 20.1
GMT+GS | 31.5 | 19.0 | 18.3 | 8.8 | 20.1 | 19.8 | 13.6 | 18.7 | 12.1 | 16.8
MT+Mono | 25.1 | 12.7 | 20.4 | 12.9 | 10.5 | 15.7 | 0.8 | 14.0 | 10.5 | 11.4
MT+DPR | 7.6 | 5.9 | 16.2 | 9.0 | 5.3 | 5.5 | 0.8 | 7.2 | 3.3 | 6.3
BM25 | 31.1 | 21.9 | 21.4 | 12.4 | 12.1 | 17.7 | – | – | – | –
Closed-book | 14.9 | 10.0 | 11.4 | 22.2 | 9.4 | 18.1 | 10.4 | 13.8 | 9.6 | 7.4
Table 1: Performance on Xor-Full (test data F1 scores and macro-averaged F1,
EM and BLEU scores). “GMT+GS” denotes the previous state-of-the-art model,
which combines Google Custom Search in the target language and Google
Translate + English DPR for cross-lingual retrieval (Asai et al., 2021).
Concurrent to our work, “SER” is a state-of-the-art model, Single Encoder
Retriever, submitted anonymously on July 14 to the Xor-Full leaderboard
(https://nlp.cs.washington.edu/xorqa/). We were not able to find a BM25
implementation that supports Telugu.
MKQA. Tables 2 and 3 report the F1 scores of CORA and the baselines on over
6.7k MKQA questions with short answer annotations101010 Following previous
work in open QA but different from the official script of MKQA (Longpre et
al., 2020), we disregard the questions labeled as “no answer”. As shown in our
human analysis, it is difficult to prove an answer does not exist in the
millions of multilingual documents even if the annotation says so. under seen
and unseen settings. CORA significantly outperforms the baselines in all
languages by a large margin except for Arabic and English. Note that Longpre
et al. (2020) report results in a simplified setting with gold reference
articles from the original Natural Questions dataset given in advance, and
thus their results are not comparable. CORA yields larger improvements over
the translate-test baseline in the languages that are distant from English and
with limited training data such as Malay (Ms; 27.8 vs. 12.6) and Hebrew (He;
15.8 vs. 8.9). The performance drop of the translate-test model from English
(43.3 F1) to other languages indicates the error propagation from the
translation process. BM25 performs very poorly in some low-resource languages
such as Thai because of the lack of answer content in the target languages’
Wikipedia. MT+Mono underpeforms the MT+DPR baseline in MKQA since it is
challenging to rerank answers from two separate methods with uncaliberated
confidence scores. In contrast, CORA retrieves passages across languages,
achieving around 30 F1 on a majority of the 26 languages.
Setting | – | Seen (Included in Xor-TyDi QA) | mDPR-seen
---|---|---|---
| Avg. over all $L$. | En | Ar | Fi | Ja | Ko | Ru | Es | Sv | He | Th
CORA | 21.8 | 40.6 | 12.8 | 26.8 | 19.7 | 12.0 | 19.8 | 32.0 | 30.9 | 15.8 | 8.5
MT+Mono | 14.1 | 19.3 | 6.9 | 17.5 | 9.0 | 7.0 | 10.6 | 21.3 | 20.0 | 8.9 | 8.3
MT+DPR | 17.1 | 43.3 | 16.0 | 21.7 | 9.6 | 5.7 | 17.6 | 28.4 | 19.7 | 8.9 | 6.9
BM25 | – | 19.4 | 5.9 | 9.9 | 9.1 | 6.9 | 8.1 | 14.7 | 10.9 | – | 4.9
Closed | 4.5 | 8.0 | 4.6 | 3.6 | 6.5 | 3.8 | 4.1 | 6.6 | 4.8 | 3.8 | 2.1
Table 2: F1 scores on MKQA seen and mDPR-seen languages.
Setting | mGEN-seen | Unseen
---|---|---
| Da | De | Fr | It | Nl | Pl | Pt | Hu | Vi | Ms | Km | No | Tr | cn | hk | tw
CORA | 30.4 | 30.2 | 30.8 | 29.0 | 32.1 | 25.6 | 28.4 | 18.4 | 20.9 | 27.8 | 5.8 | 29.2 | 22.2 | 5.2 | 6.7 | 5.4
MT+Mono | 19.3 | 21.6 | 21.9 | 20.9 | 21.5 | 24.6 | 19.9 | 16.5 | 15.1 | 12.6 | 1.2 | 17.4 | 16.6 | 4.9 | 3.8 | 5.1
MT+DPR | 26.2 | 25.9 | 21.9 | 25.1 | 28.3 | 24.6 | 24.7 | 15.7 | 15.1 | 12.6 | 1.2 | 18.3 | 18.2 | 3.3 | 3.8 | 3.8
BM25 | 9.5 | 12.5 | – | 13.6 | 12.8 | – | 13.4 | 7.4 | – | – | – | 9.4 | 8.8 | 2.8 | – | 3.3
Closed | 4.7 | 5.6 | 5.8 | 5.3 | 5.5 | 4.0 | 4.4 | 5.5 | 5.9 | 5.3 | 1.9 | 4.1 | 3.8 | 2.6 | 2.3 | 2.4
Table 3: F1 scores on MKQA in mGEN-seen and unseen languages.
“cn”: “Zh-cn” (Chinese, simplified). “hk”: “Zh-hk” (Chinese, Hong Kong).
“tw”:“Zh-tw” (Chinese, traditional).
### 4.2 Analysis
##### Ablations: Impact of CORA components.
Setting | Xor-TyDi QA | MKQA
---|---|---
| Avg. F1 | Ar | Ja | Te | Avg. F1 | Fi | Ru | Es | Th | Vi
CORA | 31.4 | 42.6 | 33.4 | 26.1 | 22.3 | 25.9 | 20.6 | 33.2 | 6.3 | 22.6
(i) mDPR1 \+ mGEN1 | 27.9 | 36.2 | 29.8 | 21.1 | 17.3 | 23.1 | 13.1 | 28.5 | 5.7 | 18.6
(ii) DPR (trained NQ)+mGEN | 24.3 | 30.7 | 29.2 | 19.0 | 17.9 | 20.1 | 16.9 | 29.4 | 5.5 | 18.2
(iii) CORA, $\mathbf{C}^{multi}$={En} | 19.1 | 20.5 | 23.2 | 11.5 | 20.5 | 24.7 | 15.4 | 28.3 | 8.3 | 21.9
(iv) mDPR+Ext.reader+MT | 11.2 | 11.8 | 10.8 | 5.6 | 12.2 | 16.1 | 10.9 | 25.2 | 1.2 | 12.7
Table 4: Ablation studies on Xor-TyDi QA development set and a subset of MKQA.
We compare CORA with the following four variants to study the impact of
different components. (i) mDPR1 \+ mGEN1 only trains CORA using the initial
labeled, annotated data and measures the impact of the iterative training.
(ii) DPR (trained NQ) + mGEN replaces mDPR with a multilingual BERT-based DPR
trained on English data from Natural Questions (NQ), and encodes all passages
in $\mathbf{C}^{multi}$. This configuration assesses the impact of cross-
lingual training data. (iii) CORA, $\mathbf{C}^{multi}$={En} only retrieves
from English during inference. This variant evaluates if English reference
documents suffice to answer multilingual questions. (iv) mDPR+Ext.reader+MT
replaces mGEN with an extractive reader model followed by answer translation.
This variant quantifies the effectiveness of using a multilingual generation
model over the approach that combines an extractive reader model with
language-specific translation models. Note that for MKQA experiments, we
sample the same 350 questions ($\sim$5%) from the evaluation set for each
language to reduce the computational cost over varying configurations.
Results in Table 4 show performance drops in all variants. This supports the
following claims: (i) the iterative learning and data mining process is
useful, (ii) mDPR trained with cross-lingual data substantially outperforms
DPR with multilingual BERT, (iii) reference languages other than English are
important in answering multilingual questions, and (iv) a multilingual
generation model substantially boosts the model performance.
##### Retrieval performance and relationship to the final QA performance.
| Setting | mDPR-Seen | | Unseen
---|---|---|---
| Lang | Es | Fi | Ja | Ru | Th | Pt | Ms | Tr | Zh-Cn | Zh-Hk | Km
| Script | Latn | | Jpan | | Cyrl | | Thai | Latn | | Hant | | Khmr
mDPR | R${}^{\texttt{L}}$@10 | 53.7 | 52.8 | 32.9 | 42.3 | 14.9 | 50.0 | 49.4 | 42.0 | 12.6 | 16.6 | 15.7
R${}^{\texttt{multi}}$@10 | 63.4 | 60.9 | 42.0 | 54.0 | 28.0 | 62.6 | 63.4 | 55.4 | 40.6 | 42.3 | 25.1
DPR(NQ) | R${}^{\texttt{L}}$@10 | 52.3 | 46.0 | 24.6 | 36.0 | 12.6 | 45.7 | 48.8 | 32.0 | 9.1 | 14.0 | 13.4
| R${}^{\texttt{multi}}$@10 | 63.1 | 53.1 | 32.9 | 49.1 | 29.4 | 56.8 | 58.0 | 44.0 | 36.3 | 39.4 | 23.4
Table 5: Retrieval recall performance on MKQA as the percentage of the
questions where at least one out of the top 10 passages includes an answer
string in the target language (R${}^{\texttt{L}}$@10), or in any language
(R${}^{\texttt{multi}}$@10). The same subset of the MKQA evaluation data are
used as in the ablations.
We evaluate CORA’s retrieval performance on MKQA using two recall metrics that
measure the percentage of questions with at least one passage among the top
$10$ that includes a string in an answer set in the target language
(R${}^{\texttt{L}}$@10) or in the union of answer sets from all languages that
are available in MKQA (R${}^{\texttt{multi}}$@10). MKQA provides answer
translations across 26 languages.
Table 5 reports retrieval results for mDPR and multilingual BERT-based DPR
trained on NQ: DPR (NQ). This is equivalent to (ii) from the ablations. We
observe that mDPR performs well in Indo-European languages with Latin script,
even when the language is unseen. Interestingly, there is a significant
performance gap between R${}^{\texttt{L}}$@10 and R${}^{\texttt{multi}}$@10 in
languages with non-Latin script (e.g., Japanese, Russian, Chinese); this
suggests that our model often uses relevant passages from other languages with
Latin script such as English or Spanish to answer questions in those languages
with non-Latin script. Our mDPR outperforms DPR (NQ) by a large margin in
unseen languages with limited resources, which are consistent with the
findings in Table 3. Nevertheless, we still see low performance on Khmer and
Thai even with the R${}^{\texttt{multi}}$@10 metric. We also observe that
passage and query embeddings for those languages are far from other languages,
which can be further studied in future work. We provide a two-dimensional
visualization of the encoded passage representations in the appendix.
[.98]
Figure 3: Breakdown of the languages of retrieved reference passages for
sampled MKQA questions (%). The x and y axes indicate target (question) and
retrieval reference languages respectively.
[0.99] Ja Es retrieval errors 28 48 different lang 18 0 incorrect answer 22
36 annotation error 22 12 underspecified q 10 4 Table 6: Error categories (%)
on 50 errors sampled from Japanese (Ja) and Spanish (Es) data.
##### Breakdown of reference languages.
Fig. 3 breaks down retrieved reference languages for each target language. Our
multilingual retrieval model often retrieves documents from the target
language (if its reference documents are available), English, or its
typologically similar languages. For example, mDPR often retrieves Spanish
passages for Portuguese questions and Japanese passages for Chinese questions;
while they are considered phylogenetically distant, Japanese and Chinese
overlap in script.
To further evaluate this, we conduct a controlled experiment: we remove
Spanish, Swedish and Indonesian document embeddings and evaluate CORA on
related languages: Danish, Portuguese and Malay. We observe performance drops
of 1.0 in Danish, 0.6 in Portuguese, and 3.4 F1 points in Malay. This
illustrates that while CORA allows for retrieval from any language in
principle (many-to-many), cross-lingual retrieval from closer languages with
more language resources is particularly helpful.
##### Error analysis and qualitative examples.
Table 6 analyzes errors from CORA by manually inspecting 50 Japanese and
Spanish wrong predictions from MKQA. We observe six major error categories:
(a) retrieval errors, (b) generating correct answers in a different language
(different lang), (c) incorrect answer generation (incorrect answer), (d)
answer annotation errors (e.g., a correct alias isn’t covered by gold answers,
or Wikipedia information is inconsistent with English.), and (e) ambiguous or
underspecified questions such as “who won X this year” (underspecified q). The
table shows that both in Japanese and Spanish, the retrieval errors are
dominant. In Japanese, CORA often generates correct answers in English, not in
Japanese (different lang).
Fig. 4 shows some qualitative examples. The first example shows an error in
(b): mGEN is generating an answer in Russian, not in French though the answer
itself is correct. This type of error happens especially when retrieved
passages are in languages other than the target and English.
Figure 4: Cross-lingual retrieval and generation examples for three MKQA
questions.
##### Human evaluation on cross-lingual retrieval results.
To observe how cross-lingual retrieval between distant languages is actually
helping, we sample 25 Norwegian questions for which Spanish passages are
included among the top 10 retrieved results. As seen in Fig. 3, CORA retrieves
Spanish (es) passages for 6.8% of the Norwegian (no) questions. A Spanish
speaker judges if the retrieved Spanish passages actually answer the given
Norwegian questions.111111During evaluation, we provide the original English
questions from MKQA. We found that in 96% of the cases, the retrieved Spanish
passages are relevant in answering the question. One such example is presented
in Fig. 4 (the second example).
##### Human analysis on unanswerable questions.
CORA retrieves passages from a larger multilingual document collection than
the original human annotations. Thus, CORA may further improve the answer
coverage over the original human annotations. MKQA includes questions that are
marked as unanswerable by native English speakers given English knowledge
sources. We sample 400 unanswerable Japanese questions whose top one retrieved
passage is from a non-English Wikipedia article. Among these, 329 unanswerable
questions are underspecified (also discussed in Asai and Choi, 2021). For 17
out of the 71 remaining questions, the answers predicted by CORA are correct.
This finding indicates the significance of cross-lingual retrieval and
potential room for improvement in annotating multilingual open QA datasets.
The third example in Fig. 4 shows one of these cases.
## 5 Related Work and Broader Impacts
English and non-English open QA. Despite the rapid progress in open QA (Chen
et al., 2017; Karpukhin et al., 2020), most prior work has been exclusively on
English (Lewis et al., 2020; Izacard and Grave, 2021b). Several prior attempts
to build multilingual open QA systems often rely on machine translation or
language-specific retrieval models (Ture and Boschee, 2016; Asai et al.,
2021). Lewis et al. (2020) and Guu et al. (2020) introduce a similar retrieve-
then-generate. Izacard and Grave (2021a) introduce an iterative training
framework that uses attention weights from a generator model as a proxy for
text relevance scores. Tran et al. (2020) introduce CRISS, a self-supervised
pre-training approach consisting of a parallel sentence mining module and a
sequence-to-sequence model, which are trained iteratively. Several recent work
such as Xiong et al. (2021) improves DPR by mining and learning with hard
examples. Our work is the first work that introduces a unified multilingual
system for many-to-many open QA, which is a challenging task requiring
massive-scale cross-lingual retrieval and has not been addressed in prior
work. We introduce an iterative training and data mining approach guided by
filtering from an answer generation model to automatically extend annotated
data available only in high-resource languages to low-resource. This approach
contributes to significant performance improvements in languages without
annotated training data.
Many-languages-one models. Several recent work introduces single multilingual
models for many languages using pre-trained multilingual models such as mBERT
or mT5 in many NLP tasks (e.g., entity linking: Botha et al., 2020; De Cao et
al., 2021; semantic role labeling: Mulcaire et al., 2019b; Lyu et al., 2019;
Fei et al., 2020; syntactic parsing: Mulcaire et al., 2019a; Kondratyuk and
Straka, 2019). This work conducts the first large-scale study of a unified
multilingual open QA model across many languages and achieves state-of-the-art
performance in 26 typologically diverse languages.
Synthetic data creation for machine reading comprehension. Alberti et al.
(2019) introduce a method of generating synthetic machine reading
comprehension data by automatically generating questions and filtering them
out by a trained machine reading comprehension model. Several studies augment
multilingual machine reading comprehension training data by generating new
question-answer pairs from randomly sampled non-English Wikipedia paragraphs
(Riabi et al., 2021; Shakeri et al., 2020). This work focuses on multilingual
open QA, which involves not only machine reading comprehension but also cross-
lingual retrieval. A similar augmentation method for machine reading
comprehension can be applied to further improve the answer generation
component in CORA.
Societal impacts. Our code and data are publicly available. CORA can perform
open QA in unseen languages and can benefit society in building QA systems for
low-resource languages, hence enabling research in that direction. Unlike
previous models, CORA removes the necessity of external black-box APIs, and
thus we can examine and address wrong answers due to model errors or
misinformation present on Wikipedia. This would help us mitigate the potential
negative impact from CORA or its subsequent models outputting a wrong answer
when it is used by people who seek information.
## 6 Conclusion
To address the information needs of many non-English speakers, a QA system has
to conduct cross-lingual passage retrieval and answer generation. This work
presents CORA, a unified multilingual many-to-many open QA model that
retrieves multilingual passages in many different languages and generates
answers in target languages. CORA does not require language-specific
translation or retrieval components and can even answer questions in unseen,
new languages. We conduct extensive experiments on two multilingual open QA
datasets across 28 languages, 26 of which CORA advances the state of the art
on, outperforming competitive models by up to 23 F1 points. Our extensive
analysis and manual evaluation reveal that CORA effectively retrieves
semantically relevant passages beyond language boundaries, and can even find
answers to the questions that were previously considered unanswerable due to
lack of sufficient evidence in annotation languages (e.g., English).
Nonetheless, our experimental results show that the retrieval component still
struggles to find relevant passages for queries in some unseen languages. Our
analysis also showed that CORA sometimes fails to generate an answer in the
target language. In future work, we aim to address these issues to further
improve the performance and scale our framework to even more languages.
## Acknowledgement
This research was supported by NSF IIS-2044660, ONR N00014-18-1-2826, gifts
from Google, the Allen Distinguished Investigator Award, the Sloan Fellowship,
and the Nakajima Foundation Fellowship. We thank anonymous reviewers, area
chairs, Eunsol Choi, Sewon Min, David Wadden, and the members of the UW NLP
group for their insightful feedback on this paper, and Gabriel Ilharco for his
help on human analysis.
## References
* Alberti et al. (2019) Chris Alberti, Daniel Andor, Emily Pitler, Jacob Devlin, and Michael Collins. 2019\. Synthetic QA corpora generation with roundtrip consistency. In _ACL_.
* Asai and Choi (2021) Akari Asai and Eunsol Choi. 2021. Challenges in information seeking QA: Unanswerable questions and paragraph retrieval. In _ACL_.
* Asai et al. (2021) Akari Asai, Jungo Kasai, Jonathan H. Clark, Kenton Lee, Eunsol Choi, and Hannaneh Hajishirzi. 2021. XOR QA: Cross-lingual open-retrieval question answering. In _NAACL_.
* Botha et al. (2020) Jan A. Botha, Zifei Shan, and Daniel Gillick. 2020. Entity Linking in 100 Languages. In _EMNLP_.
* Chen et al. (2017) Danqi Chen, Adam Fisch, Jason Weston, and Antoine Bordes. 2017. Reading Wikipedia to answer open-domain questions. In _ACL_.
* Clark et al. (2020) Jonathan H. Clark, Eunsol Choi, Michael Collins, Dan Garrette, Tom Kwiatkowski, Vitaly Nikolaev, and Jennimaria Palomaki. 2020. TyDi QA: A benchmark for information-seeking question answering in typologically diverse languages. _TACL_.
* Conneau et al. (2020) Alexis Conneau, Kartikay Khandelwal, Naman Goyal, Vishrav Chaudhary, Guillaume Wenzek, Francisco Guzmán, Edouard Grave, Myle Ott, Luke Zettlemoyer, and Veselin Stoyanov. 2020. Unsupervised cross-lingual representation learning at scale. In _ACL_.
* De Cao et al. (2021) Nicola De Cao, Ledell Wu, Kashyap Popat, Mikel Artetxe, Naman Goyal, Mikhail Plekhanov, Luke Zettlemoyer, Nicola Cancedda, Sebastian Riedel, and Fabio Petroni. 2021. Multilingual autoregressive entity linking.
* Devlin et al. (2019) Jacob Devlin, Ming-Wei Chang, Kenton Lee, and Kristina Toutanova. 2019. BERT: Pre-training of deep bidirectional transformers for language understanding. In _NAACL_.
* Fei et al. (2020) Hao Fei, Meishan Zhang, and Donghong Ji. 2020. Cross-lingual semantic role labeling with high-quality translated training corpus. In _ACL_.
* Gillick et al. (2019) Daniel Gillick, Sayali Kulkarni, Larry Lansing, Alessandro Presta, Jason Baldridge, Eugene Ie, and Diego Garcia-Olano. 2019. Learning dense representations for entity retrieval. In _CoNLL_.
* Guu et al. (2020) Kelvin Guu, Kenton Lee, Zora Tung, Panupong Pasupat, and Ming-Wei Chang. 2020. Realm: Retrieval-augmented language model pre-training. In _ICML_.
* Izacard and Grave (2021a) Gautier Izacard and Edouard Grave. 2021a. Distilling knowledge from reader to retriever for question answering. In _ICLR_.
* Izacard and Grave (2021b) Gautier Izacard and Edouard Grave. 2021b. Leveraging passage retrieval with generative models for open domain question answering. In _EACL_.
* Junczys-Dowmunt et al. (2018) Marcin Junczys-Dowmunt, Roman Grundkiewicz, Tomasz Dwojak, Hieu Hoang, Kenneth Heafield, Tom Neckermann, Frank Seide, Ulrich Germann, Alham Fikri Aji, Nikolay Bogoychev, André F. T. Martins, and Alexandra Birch. 2018. Marian: Fast neural machine translation in C++. In _ACL (System Demonstrations)_.
* Karpukhin et al. (2020) Vladimir Karpukhin, Barlas Oğuz, Sewon Min, Ledell Wu, Sergey Edunov, Danqi Chen, and Wen-tau Yih. 2020. Dense passage retrieval for open-domain question answering. In _EMNLP_.
* Kingma and Ba (2015) Diederik P Kingma and Jimmy Ba. 2015. Adam: A method for stochastic optimization. In _ICLR_.
* Kondratyuk and Straka (2019) Dan Kondratyuk and Milan Straka. 2019. 75 languages, 1 model: Parsing Universal Dependencies universally. In _EMNLP_.
* Kwiatkowski et al. (2019) Tom Kwiatkowski, Jennimaria Palomaki, Olivia Redfield, Michael Collins, Ankur Parikh, Chris Alberti, Danielle Epstein, Illia Polosukhin, Jacob Devlin, Kenton Lee, Kristina Toutanova, Llion Jones, Matthew Kelcey, Ming-Wei Chang, Andrew M. Dai, Jakob Uszkoreit, Quoc Le, and Slav Petrov. 2019. Natural Questions: A benchmark for question answering research. _TACL_.
* Lee et al. (2019) Kenton Lee, Ming-Wei Chang, and Kristina Toutanova. 2019. Latent retrieval for weakly supervised open domain question answering. In _ACL_.
* Lewis et al. (2020) Patrick Lewis, Ethan Perez, Aleksandara Piktus, Fabio Petroni, Vladimir Karpukhin, Naman Goyal, Heinrich Küttler, Mike Lewis, Wen-tau Yih, Tim Rocktäschel, et al. 2020. Retrieval-augmented generation for knowledge-intensive NLP tasks. In _NeurIPS_.
* Lin et al. (2021) Jimmy Lin, Xueguang Ma, Sheng-Chieh Lin, Jheng-Hong Yang, Ronak Pradeep, and Rodrigo Nogueira. 2021. Pyserini: An easy-to-use python toolkit to support replicable ir research with sparse and dense representations.
* Lin et al. (2020) Jimmy Lin, Rodrigo Nogueira, and Andrew Yates. 2020. Pretrained transformers for text ranking: BERT and beyond.
* Lin et al. (2018) Yankai Lin, Haozhe Ji, Zhiyuan Liu, and Maosong Sun. 2018. Denoising distantly supervised open-domain question answering. In _ACL_.
* Liu et al. (2020) Yinhan Liu, Jiatao Gu, Naman Goyal, Xian Li, Sergey Edunov, Marjan Ghazvininejad, Mike Lewis, and Luke Zettlemoyer. 2020. Multilingual denoising pre-training for neural machine translation. _TACL_.
* Longpre et al. (2020) Shayne Longpre, Yi Lu, and Joachim Daiber. 2020. MKQA: A linguistically diverse benchmark for multilingual open domain question answering.
* Lyu et al. (2019) Chunchuan Lyu, Shay B. Cohen, and Ivan Titov. 2019. Semantic role labeling with iterative structure refinement. In _EMNLP_.
* Min et al. (2021) Sewon Min, Jordan Boyd-Graber, Chris Alberti, Danqi Chen, Eunsol Choi, Michael Collins, Kelvin Guu, Hannaneh Hajishirzi, Kenton Lee, Jennimaria Palomaki, Colin Raffel, Adam Roberts, and Tom et al Kwiatkowski. 2021. NeurIPS 2020 EfficientQA competition: Systems, analyses and lessons learned. In _PMLR_.
* Min et al. (2019) Sewon Min, Danqi Chen, Hannaneh Hajishirzi, and Luke Zettlemoyer. 2019. A discrete hard em approach for weakly supervised question answering. In _EMNLP_.
* Mulcaire et al. (2019a) Phoebe Mulcaire, Jungo Kasai, and Noah A. Smith. 2019a. Low-resource parsing with crosslingual contextualized representations. In _CoNLL_.
* Mulcaire et al. (2019b) Phoebe Mulcaire, Jungo Kasai, and Noah A. Smith. 2019b. Polyglot contextual representations improve crosslingual transfer. In _NAACL_.
* Riabi et al. (2021) Arij Riabi, Thomas Scialom, Rachel Keraron, Benoît Sagot, Djamé Seddah, and Jacopo Staiano. 2021. Synthetic data augmentation for zero-shot cross-lingual question answering. In _EMNLP_.
* Roberts et al. (2020) Adam Roberts, Colin Raffel, and Noam Shazeer. 2020. How much knowledge can you pack into the parameters of a language model? In _EMNLP_.
* Shakeri et al. (2020) Siamak Shakeri, Noah Constant, Mihir Sanjay Kale, and Linting Xue. 2020. Towards zero-shot multilingual synthetic question and answer generation for cross-lingual reading comprehension.
* Sugawara et al. (2018) Saku Sugawara, Kentaro Inui, Satoshi Sekine, and Akiko Aizawa. 2018. What makes reading comprehension questions easier? In _EMNLP_.
* Tiedemann (2012) Jörg Tiedemann. 2012. Parallel data, tools and interfaces in OPUS. In _LREC_.
* Tiedemann and Nygaard (2004) Jörg Tiedemann and Lars Nygaard. 2004. The OPUS corpus - parallel and free. In _LREC_.
* Tiedemann and Thottingal (2020) Jörg Tiedemann and Santhosh Thottingal. 2020. OPUS-MT — Building open translation services for the World. In _EAMT_.
* Tran et al. (2020) Chau Tran, Yuqing Tang, Xian Li, and Jiatao Gu. 2020. Cross-lingual retrieval for iterative self-supervised training. In _NeurIPS_.
* Ture and Boschee (2016) Ferhan Ture and Elizabeth Boschee. 2016. Learning to translate for multilingual question answering. In _EMNLP_.
* Wolf et al. (2020) Thomas Wolf, Lysandre Debut, Victor Sanh, Julien Chaumond, Clement Delangue, Anthony Moi, Pierric Cistac, Tim Rault, Remi Louf, Morgan Funtowicz, Joe Davison, Sam Shleifer, Patrick von Platen, Clara Ma, Yacine Jernite, Julien Plu, Canwen Xu, Teven Le Scao, Sylvain Gugger, Mariama Drame, Quentin Lhoest, and Alexander Rush. 2020. Transformers: State-of-the-art natural language processing. In _EMNLP (System Demonstrations)_.
* Xiong et al. (2021) Lee Xiong, Chenyan Xiong, Ye Li, Kwok-Fung Tang, Jialin Liu, Paul N. Bennett, Junaid Ahmed, and Arnold Overwijk. 2021. Approximate nearest neighbor negative contrastive learning for dense text retrieval. In _ICLR_.
* Xue et al. (2021) Linting Xue, Noah Constant, Adam Roberts, Mihir Kale, Rami Al-Rfou, Aditya Siddhant, Aditya Barua, and Colin Raffel. 2021. mT5: A massively multilingual pre-trained text-to-text transformer. In _NAACL_.
## Appendix
## Appendix A Details of Modeling
### A.1 Input format
##### Passage representations.
To create a passage representation, the passage title and text are
concatenated ([CLS] title [SEP] passage [SEP]), following common practice
(Karpukhin et al., 2020). We retrieve top 10 passages and use them as input to
mGEN.
##### Generator input.
The input to the generator is a concatenation of $q^{L}$ and
$\mathscr{P}^{multi}$. As described in § 2.1, we append a language tag that
represents $L$ to $q^{L}$. For each passage, we prepend the retrieved ranks
and the original Wikipedia article titles and concatenate them to form a input
paragraph sequence. We differentiate those paragraphs from the question using
special tokens (<P> vs. <Q>). Finally, the concatenated passages are appended
to $q^{L}$ and the language tag. Below is an example input:
<Q>: ロンポールの学部時代の専攻は何?[ja] <P>:<0:ロン・ポール>ロナルド・アーネスト・ポール (英語: Ronald Ernest
“Ron” Paul、1935年8月20日 - ) は、アメリカ合衆国の元政治家。共和党所属でテキサス州選出の元連邦下院議員であった <1: Ron
Paul> Paul went to Gettysburg College, where he was a member of the Lambda Chi
Alpha fraternity. He graduated with a B.S. degree in Biology in 1957.
As in the case of machine translation, we found that the language code does
not need to be specified during inference as our model learns the question
language automatically. Yet, we found that training with language codes is
particularly useful to augment training data for $L_{target}$ without any
question data in $L_{target}$. In particular, given questions from existing
datasets in $L_{source}$ and entities names in $L_{target}$ corresponding to
the original answers in $L_{source}$, our generator learns to generate answers
in $L_{target}$ from the language code even when questions themselves are
written in $L_{source}$. Please see the details of training mGEN with
synthetic data in the next section.
### A.2 Details of the Data Mining Process
##### Synthetic data for mGEN.
To train mGEN to generate answers in languages that are not covered by
annotated data or our reference sources, we augment English QA data
($q^{En},a^{En})$ from Natural Questions (Kwiatkowski et al., 2019). We first
use an English DPR model to retrieve $\mathscr{P}^{En}$ for each $q^{En}$.
Then, we automatically translate $a^{En}$ to a target language $L$ using
Wikipedia language links. We use Media Wiki
API,121212https://www.wikidata.org/w/api.php. and form new mGEN training data
$(q^{En},a^{L},\mathscr{P}^{En})$. Although the questions and passages are all
written in English, our model knows in which language it should answer from
the language code appended to the question. We limit the target languages for
this augmentation process to Arabic, Finnish, Japanese, Korean, Russian,
Spanish, Swedish, Hebrew, Thai, Danish, French, Italian, Dutch, Polish, and
Portuguese. Interestingly, just adding this language code effectively changes
the outputs as shown in Table 7. Although we could create at most 15 synthetic
data for each$(q^{En},a^{En},\mathscr{P}^{En})$, we sample at most 10
languages from the 15 languages to avoid overfitting. We further subsample 50%
of the synthetically generated questions. Those synthetically generate data is
introduced after training mGEN for 3 epochs to avoid overfitting.
input question | output | gold answers
---|---|---
who is the actor that plays the good doctor [ja] | フレッド・ハイモア | フレディ・ハイモア
who is the actor that plays the good doctor [ko] | 프레디 하이모어 | 프레디 하이모어
who is the actor that plays the good doctor [it] | Freddie Highmore | Freddie Highmore
Table 7: Examples of mGEN outputs with varying language codes.
## Appendix B Details of Experiments
### B.1 Details of the knowledge source language selection.
In addition to the English Wikipedia embeddings, we encode all of the passages
from the Wikipedias of all of the ten languages included in Xor-TyDi QA or
TyDi QA. Adding all of the languages available in Wikipedia to our document
collection would significantly increase the index size and slow down
inference. Therefore, we add the languages among the 26 MKQA languages that
satisfy the following criteria: (i) a language is included in Xor-TyDi QA or
TyDi QA, (ii) a language uses non-Latin script and has the largest number of
the Wikipedia articles among the languages in the same language family branch
(e.g., Thai), or (iii) a language uses Latin script and has more than 1.5
million articles as of May
2021.131313https://en.wikipedia.org/wiki/List_of_Wikipedias.
### B.2 Details of Wikipedia statistics
For our multilingual retriever, we split each article into 100-token chunks
(Karpukhin et al., 2020), while BM25 first splits Wikipedia articles into the
pre-defined paragraph units. We also filter out the short articles with fewer
than $k$ (i.e., $k=20$ in this work) tokens, following common techniques in
open QA (Min et al., 2021) in $\mathbf{C}^{multi}$. As a result, we add more
than 43.6 million articles across the languages. The original passage text
file is 29GB, and the total index size is around 129 GB.
language | The number of articles | The number of passages
---|---|---
English | 6,297,085 | 18,003,200
Arabic | 664,693 | 1,304,828
Finnish | 451,338 | 886,595
Japanese | 1,268,148 | 5,116,905
Korean | 441,316 | 638,864
Russian | 1,522,499 | 4,545,635
Bengali | 64,556 | 179,936
Telugu | 70,356 | 274,230
Indonesian | 452,304 | 820,572
Thai | 129,122 | 520,139
Hebrew | 237,836 | 1,045,255
Swedish | 3,758,071 | 4,525,695
Spanish | 1,453,732 | 5,738,484
Table 8: Statistics of the Wikipedia data.
### B.3 Licence, ethical considerations and data splits of Xor-TyDi QA and
MKQA
##### Licence.
Both two datasets are under the MIT licence. The dataset can be downloaded
from their official repositories.141414https://github.com/apple/ml-mkqa for
MKQA; https://github.com/AkariAsai/XORQA for Xor-TyDi QA.
##### Potential risk of offensive or personally identifiable information.
MKQA (Longpre et al., 2020) questions are originally from the Natural
Questions data (Kwiatkowski et al., 2019). The questions are anonymized Google
Search queries, and we expect that those questions are not personally
identifiable. The Natural Questions authors conduct several procedures to
filter out noisy questions, and we expect that the questions do not contain
offensive or inappropriate content.
Likewise, Xor-TyDi QA questions are from TyDi QA, where questions are written
by their in-house annotates who have native proficiency in the target
languages. The TyDi QA authors trained those in-house annotators and asked
them to write questions that they are interested in given short prompts. We
expect that the resulting questions are not personally identifiable and have
no risk of offensive information.
##### Data splits.
MKQA does not have any train data, and we use the questions with answer
annotations for evaluation, removing 1,427 unanswerable questions and 1,815
long_answer questions. Consequently, MKQA evaluation data has 6,758 questions
for each target language. Note that the unanswerable and long_answer type
information is provided in the original MKQA dataset, and we do not conduct
any manual data filtering. For the ablation or controlled experiments, we
randomly sample 350 questions from the 6,758 questions with short answers due
to our computational constraints.
We use the train, dev and test data splits from the original Xor-TyDi QA
(full) data.
### B.4 Language family, branch and script type Information of the languages
Table 9 provides a full list of the 28 languages and their language family,
branch and script type information. The target languages are typologically
diverse; 12 of them use their own script system, which makes answer generation
in those languages harder than in the languages with Latin script.
Ar Bn Da De En name Arabic Bengali Danish German English family Afro-Asiatic
Indo-European Indo-European Indo-European Indo-European branch Semitic Indo-
Iranian Germanic Germanic Germanic script Arab Beng Latn Latn Latn Es Fi Fr He
Hu name Spanish Finnish French Hebrew Hungarian family Indo-European Uralic
Indo-European Afro-Asiatic Uralic branch Italic Finic Italic Semitic Finno-
Ugric script Latn Latn Latn Hebr Latn It Ja Ko Km Ms name Italian Japanese
Korean Khmer Malay family Indo-European Japonic Koreanic Austroasiatic
Austronesian branch Italic Japanese Korean Proto-Mon-Khmer Malayo-Polynesian
script Latn Jpan Hang Khmr Latn Nl No Pl Pt Ru name Dutch Norwegian Polish
Portuguese Russian family Indo-European Indo-European Indo-European Indo-
European Indo-European branch Germanic Germanic Balto-Slavic Italic Balto-
Slavic script Latn Latn Latn Latn Cyrl Sv Te Th Tr Vi name Swedish Telugu Thai
Turkish Vietnamese family Indo-European Dravidian Kra–Dai Altaic Austroasiatic
branch Germanic South-Centra Tai Turkic Vietic script Latn Telu Thai Latn Latn
Zh-cn Zh-hk Zh-tw name Chinese (Simplified) Chinese (Hong Kong) Chinese
(Traditional) family Sino-Tibetan Sino-Tibetan Sino-Tibetan branch Chinese
Chinese Chinese script Hans/Hant Hant Hant
Table 9: List of 28 language we test in this work. The script is based on ISO
15924.
### B.5 Hyperparameters of CORA
##### mDPR.
We first fine-tune mDPR on the Natural Questions data using the training data
file released by DPR authors.151515https://github.com/facebookresearch/DPR. We
filter out questions that are used to create MKQA evaluation data by comparing
the input questions. We use the same hyperparameters as in the original DPR
(Karpukhin et al., 2020). We then perform fine-tuning on TyDi QA and Xor-TyDi
QA’s gold paragraph data, initializing the checkpoint that achieves the best
performance on the development data. We fine-tune the model for 40 epochs and
use the checkpoint that produces the best retrieval performance on Xor-TyDi
QA’s development data. We use 8 GPUs with 24G RAM, and the total batch size is
128. We empirically found that using the updated query encoder hurt the
retrieval performance on MKQA, while in Xor-TyDi QA we observe a marginal
performance drop. Therefore, at inference, we continue using the query encoder
trained on the initial data, while we use the updated passage encoder to
encode $\mathbf{C}^{multi}$.
##### mGEN.
The full list of the hyperparameters are in Table 10. We first train our mGEN
using the initial data for 15 epochs and use the checkpoint that gives the
highest development score. We use Adam (Kingma and Ba, 2015) for optimization.
We subsequently apply iterative training. During our $t$th iterative step, we
use the best checkpoint so far to label new positive and negative passages,
which will then be used to fine-tune mDPR at the next iteration. After we
finish mGEN training at the $t$-th iteration, we use the best checkpoint for
the final evaluation without performing additional data mining. We use our
internal cluster to run all of the mGEN related training. We use 8 GPUs with
24G RAM, and the total batch size is 32.
##### Inference.
During inference, we first retrieve top 15 passages using mDPR, and then feed
the questions and concatenated passages into the mGEN model, with language
tags. We use the same checkpoints and encoded embeddings for MKQA and Xor-TyDi
QA. There are minor differences in the gold answer format in MKQA and Xor-TyDi
QA due to different annotation methods (e.g., translate English answers by
Wikidata vs. use answers extracted from the target language Wikipedias). One
may fine-tune different models using different subsets of training examples
(e.g., MKQA can benefit from more NQ-based synthetic training data as the
questions are originally from NQ). In this work, we focus on building a
unified QA system across languages and datasets, and thus use the same
checkpoints for all of the experiments.
hyperparameter |
---|---
max source length | 1,000
max target length | 25
batch size (per GPU) | 2
label smoothing | 0.1
dropout | 0.1
warmup steps | 500
learning rate | 3e-5
weight decay | 0.001
adam $epsilon$ | 1e-8
max grad norm | 0.1
gradient accumulation steps | 2
Table 10: Hyperparameters of mGEN.
### B.6 Details of translate-test baseline
We first translate the MKQA and Xor-TyDi QA questions from various languages
to English, use DPR to retrieve the answers from the knowledge source, use the
reader to extract an answer, and then translate the answer back to its
original language.
##### Details of translation models.
Our translation models (to English and from English) are the pre-trained
MarianMT (Junczys-Dowmunt et al., 2018) style OPUS-MT (Tiedemann and
Thottingal, 2020) models available in Transformers library (Wolf et al., 2020)
that are trained on the OPUS corpus (Tiedemann and Nygaard, 2004). Since there
is no MarianMT pre-trained OPUS-MT model from English to Korean on
Transformers, we use the pre-trained base-sized autoregressive transformers
model provided by the authors of Xor-TyDi
QA.161616https://github.com/jungokasai/XOR_QA_MTPipeline.
Some of the newer OPUS-MT models require a prefix of the target language
before each sentence of the source language (English here) when translating
English answers back to the question’s original language, which is usually the
ISO 639-3 language code. For example, if we want to translate Ron Paul from
English to Arabic, we concatenate the prefix “>>ara<<” and the original
sentence together to specify the target language to be Arabic since the opus-
mt-en-ar 171717https://huggingface.co/Helsinki-NLP/opus-mt-en-ar. model
supports multiple target languages. Then, we feed the concatenated result
“>>ara<< Ron Paul” into the translation model and get the translation.
Such prefixes and the models we use for each language are listed in Table 11.
Ar Da De Es To-English Model opus-mt-ar-en opus-mt-da-en opus-mt-de-en opus-
mt-es-en From-English Prefix >>ara<< N/A N/A N/A From-English Model opus-mt-
en-ar opus-mt-en-da opus-mt-en-de opus-mt-en-es Fi Fr He Hu To-English Model
opus-mt-fi-en opus-mt-fr-en opus-mt-afa-en opus-mt-hu-en From-English Prefix
N/A N/A >>heb<< N/A From-English Model opus-mt-en-fi opus-mt-en-fr opus-mt-en-
afa opus-mt-en-hu It Ja Ko Km To-English Model opus-mt-it-en opus-mt-ja-en
opus-mt-ko-en opus-mt-mul-en From-English Prefix N/A N/A N/A >>khm_Latn<<
From-English Model opus-mt-en-it opus-mt-en-jap N/A opus-mt-en-mul Ms Nl No Pl
To-English Model opus-mt-mul-en opus-mt-nl-en opus-mt-gem-en opus-mt-pl-en
From-English Prefix >>zsm_Latn<< N/A >>nno<< >>pol<< From-English Model opus-
mt-en-mul opus-mt-en-nl opus-mt-en-gem opus-mt-en-sla Pt Sv Th Tr To-English
Model opus-mt-ROMANCE-en opus-mt-sv-en opus-mt-th-en opus-mt-tr-en From-
English Prefix >>pt<< N/A >>tha<< >>tur<< From-English Model opus-mt-en-
ROMANCE opus-mt-en-sv opus-mt-en-mul opus-mt-en-trk Vi Zh-cn Zh-hk Zh-tw To-
English Model opus-mt-vi-en opus-mt-zh-en opus-mt-zh-en opus-mt-zh-en From-
English Prefix >>vie<< >>cmn<< >>yue_Hant<< >>cmn_Hant<< From-English Model
opus-mt-en-vi opus-mt-en-zh opus-mt-en-zh opus-mt-en-zh
Table 11: Translation models and prefixes used for the translate-test
baseline.
##### Details of English DPR model.
For the English DPR model, we use the trained retriever and reader models from
Xor-TyDi QA, which can be downloaded from their official
website.181818https://github.com/AkariAsai/XORQA/tree/main/baselines.
### B.7 Details of BM25 baseline
We use the February 2019 Wikipedia dumps as the knowledge source and retrieval
corpus for our BM25 baseline. We first use wikiextractor to preprocess the
Wikipedia documents, and then use Pyserini (Lin et al., 2021), which relies on
Apache Lucene
8.0.0191919https://lucene.apache.org/core/8_0_0/index.htmlhttps://lucene.apache.org/core/8_0_0/index.html.
to index the documents and retrieve the BM25 results for Xor-TyDi QA and MKQA
questions. We use 2 paragraphs as one basic unit of retrieval where paragraphs
are separated by ‘\n’. We retrieve the top 10 units that have the highest BM25
score. After we retrieve top units, we concatenate those paragraphs and feed
them into a bert-base-multilingual-uncased extractive QA model that predicts
start and end positions. The final answers are determined as the span with the
highest joint probabilities.
French, Hebrew, Khmer, Malay, Polish, Vietnamese and Chinese (Hong Kong) are
either not supported by Apache Lucene or missing from the Wikipedia dumps, and
therefore are not included in the final results.
### B.8 Details of MT+Mono baseline
We normalize the predicted probabilities from the the BM25 (monolingual)
baseline so that the score will be between 0 to 1. We output the monolingual
baseline’s answer when the probability is higher than a threshold; otherwise,
we output translated answers from the translate-test baseline. In this work,
we set the threshold to 0.5 given the results on the Xor-TyDi QA development
set.
### B.9 Details of the Closed-book Baseline
Instead of training a sequence-to-sequence model from scratch using question
and answer only data as in Roberts et al. (2020), we use the same mGEN model
as in CORA, and only at inference time do we skip retrieval. We also tested an
mt5-base based sequence-to-sequence model that is trained to generate answers
from questions only, but this model underperformed the inference-only model on
the Xor-TyDi QA development set.
## Appendix C Additional Results
### C.1 Results on Xor-TyDi QA Development Set
Table 12 shows the results on the Xor-TyDi QA development set. We clearly
outperform the previous state-of-the-art model, as well as the competitive
baselines, by a large margin across target languages. The scores on Xor-Full
development set are significantly higher than the Xor-Full test set presented
in Table 1. We have found that the proportions of the questions where answers
can be extracted from the target languages’ Wikipedia are significantly higher
than in Xor-Full test set. Our CORA framework improves the performance on
those “in-language” subsets of Xor-Full and get a large performance jump on
the test set.
Models | Target Language $L_{i}$ F1 | Macro Average
---|---|---
| Ar | Bn | Fi | Ja | Ko | Ru | Te | F1 | EM | BLEU
CORA | 42.9 | 26.9 | 41.4 | 36.8 | 30.4 | 33.8 | 30.9 | 34.7 | 25.8 | 23.3
GMT+GS | 18.0 | 29.1 | 13.8 | 5.7 | 15.2 | 14.9 | 15.6 | 16.0 | 9.9 | 14.9
MT+ Mono | 15.8 | 9.6 | 20.5 | 12.2 | 11.4 | 16.0 | 0.5 | 17.3 | 7.5 | 10.7
MT+DPR | 7.2 | 4.3 | 17.0 | 7.9 | 7.1 | 13.6 | 0.5 | 8.2 | 3.8 | 6.8
BM25 | 18.4 | 14.9 | 18.8 | 12.7 | 12.1 | 13.5 | – | – | – | –
Closed-book | 14.0 | 8.1 | 11.8 | 19.1 | 9.3 | 10.5 | 7.6 | 11.5 | 8.2 | 4.9
Table 12: Performance on Xor-Full (development data F1 scores and macro-
averaged F1, EM, and BLEU scores). “GMT+GS” denotes the previous state-of-the-
art model, which combines Google Custom Search in the target language and
Google Translate + English DPR for cross-lingual retrieval (Asai et al.,
2021). Pyserini does not support Telugu.
### C.2 EM Scores on Xor-TyDi QA and MKQA
##### EM scores on Xor-TyDi QA.
The EM scores on the Xor-TyDi QA test data are in Table 13. We significantly
outperform all other baselines and previous state-of-the-art models in all
languages except for Korean. We found that in Korean, our model is often
penalized because outputs are correct yet generated in English, not Korean.
The state-of-the-art model ensures that the answers are in Korean using Google
Translate, which helps the system to get high performance in Korean.
##### EM scores on MKQA.
The EM scores on MKQA test set are shown in Tables 14 and 15. CORA outperforms
the other baselines by large margins in all of the languages except for Arabic
and English. Note that EM scores may underestimate the models’ ability of open
retrieval; generated answers may be correct even if they do not have a
matching sub-span in existing documents, existing Wikidata entries, or human
translated answers (Asai et al., 2021).
Models | Target Language $L_{i}$ EM
---|---
| Ar | Bn | Fi | Ja | Ko | Ru | Te
CORA | 38.4 | 26.6 | 33.1 | 30.1 | 18.9 | 36.3 | 34.6
SER | 23.0 | 16.1 | 18.5 | 6.3 | 9.3 | 6.9 | 14.4
GMT+GS | 22.1 | 10.9 | 13.3 | 3.0 | 20.1 | 11.4 | 9.1
MT+ Mono | 19.1 | 9.0 | 16.7 | 7.1 | 8.3 | 13.1 | 0.5
MT+DPR | 2.5 | 1.5 | 10.4 | 3.3 | 2.9 | 2.5 | 0.5
BM25 | 23.2 | 14.6 | 17.0 | 5.3 | 9.4 | 13.4 | –
Closed-book | 9.6 | 6.7 | 8.8 | 16.8 | 7.8 | 15.5 | 1.9
Table 13: Performance on Xor-Full (test data EM scores). “GMT+GS” denotes the previous state-of-the-art model, which combines Google Custom Search in the target language and Google Translate + English DPR for cross-lingual retrieval (Asai et al., 2021). Concurrent to our work, “SER” is the current state-of-the-art model, Single Encoder Retriever, submitted anonymously on July 14 to the Xor-Full leaderboard (https://nlp.cs.washington.edu/xorqa/). Pyserini does not support Telugu. Setting | – | Included in Xor-TyDi QA | Seen by mDPR and mGEN
---|---|---|---
| Avg. over all $L$. | En | Ar | Fi | Ja | Ko | Ru | Es | Sv | He | Th
CORA | 17.2 | 31.2 | 7.7 | 21.8 | 7.4 | 7.3 | 13.8 | 25.8 | 26.0 | 10.7 | 4.8
MT+Mono | 9.6 | 32.1 | 3.9 | 12.6 | 3.8 | 4.0 | 6.5 | 15.2 | 14.4 | 4.4 | 5.0
MT+DPR | 10.2 | 32.1 | 8.5 | 15.0 | 3.6 | 2.7 | 8.6 | 18.6 | 4.4 | 2.8 | 4.6
BM25 | – | 12.8 | 3.1 | 6.5 | 2.7 | 3.9 | 4.7 | 9.4 | 6.6 | – | 2.6
Closed | 2.3 | 3.4 | 2.0 | 2.0 | 3.1 | 2.0 | 2.3 | 3.1 | 4.4 | 2.0 | 2.6
Table 14: EM scores on MKQA seen languages over 6.7k questions with short
answer annotations.
Setting Seen in mGEN Unseen Da De Fr It Nl Pl Pt Hu Vi Ms Km No Tr cn hk tw
CORA 25.8 25.4 25.4 24.0 26.5 21.4 23.0 15.4 17.7 23.2 4.8 24.3 18.5 4.1 5.5
4.5 MT+Mono 13.2 15.8 15.4 15.3 15.3 14.6 13.9 11.5 7.4 3.9 0.1 11.6 11.2 3.5
2.8 4.1 MT+DPR 16.9 16.9 15.4 16.1 17.5 14.6 14.6 10.3 7.4 3.9 0.1 13.6 8.7
1.1 2.8 3.6 BM25 5.8 8.7 – 9.4 8.4 – 8.7 4.8 – – – 5.6 5.6 2.5 – 2.8 Closed
2.6 3.2 2.6 2.6 2.8 2.4 2.6 2.3 2.2 2.3 1.4 2.3 1.7 2.1 1.8 1.9
Table 15: EM scores on MKQA in languages unseen by mDPR and not included in
$\mathbf{C}^{multi}$.
“cn”: “zh-cn” (Chinse, simplified). “hk”: “zh-hk” (Chinese, Hong Kong). “tw”:
“zh-tw” (Chinese, traditional).
## Appendix D Further Analysis
### D.1 Visualizing the Document Embedding Spaces
We plot two dimensional encoded document representations (PCA) for the
corresponding articles in Fig. 5. The gray dots concentrated in the lower
right part in the first figure represent encoded Thai embeddings. As we can
see from the plot before cross-lingual training, the Thai document embeddings
are far apart from other languages’ embeddings. On the other hand, after
iterative training (Fig. 5(b)), the embeddings from many languages get closer,
though we can still see loose clusters of some languages.
(a) Document embeddings before cross-lingual training.
(b) Document embeddings after iterative training.
Figure 5: Embeddings before and after cross-lingual training (PCA).
### D.2 Analysis on the Cross-lingual Retrieval
##### Spanish paragraphs retrieved for Norwegian questions.
The Spanish paragraphs retrieved for Norwegian questions are shown in Table
16. As we can see, CORA retrieves the Spanish passages relevant to the given
Norwegian questions and generate correct answers. Although those two languages
both belong to the Indo-European family and use Latin script, their
typological properties (e.g., syntax and vocabulary) differ significantly.
Query | Paragraph | Gold Answer
---|---|---
hvem spilte maria magdalena i jesus christ superstar (trans: who played mary magdalene in jesus christ superstar) | Los actores principales de la película eran Ted Neeley en el papel de Jesús, Carl Anderson en el de Judas e Yvonne Elliman en el papel de María Magdalena. (trans: The main actors in the film were Ted Neeley as Jesus, Carl Anderson as Judas, and Yvonne Elliman as Mary Magdalene. ) | Yvonne Elliman
hvor mange episoder er det i andre sesong av my hero academia (trans: how many episodes are in season two of my hero academia) | El estreno fue 7 de abril del 2018. Contando con un total de 25 episodios igual que la segunda. (trans: The premiere was April 7, 2018. With a total of 25 episodes the same as the second.) | 25.0 episodes
Table 16: Cross-lingual retrieval examples between Norweigian questions and
Spanish passages that lead to correct answers.
### D.3 Analysis on Errors on MKQA Data.
##### Details of the annotation process.
We randomly sample 50 errors from Spanish and Japanese, and we classify those
errors into five categories described in § 4.2. Each sample includes: a
question in the target language, a question in English (the original NQ
question), the top one passage retrieved by mDPR, an answer generated by mGEN,
and gold answers. The error analysis is conducted by bilingual or native
speakers of Spanish or Japanese.
##### Error analysis results on Chinese examples.
We also conduct the same analysis in Chinese to understand the relatively low
performance in the three Chinese languages. Among the three Chinese variants,
we choose simplified Chinese (Zh-cn). The error analysis is conducted by a
native speaker. Table 17 shows the error analysis results in Japanese,
Spanish, and Chinese. Generating answers in different languages is common in
Chinese like Japanese. Retrieval errors account for 70% of the errors in
Chinese, which is significantly higher than the proportions in Japanese or
Spanish. This can be explained by the fact that we do not include Chinese
passages in our $\mathbf{C}^{multi}$, and thus CORA always has to conduct
cross-lingual retrieval to get evidence to answer. Retrieving documents cross-
lingually is more challenging than retrieving documents monolingually, and
future work can improve cross-lingual retrieval particularly between languages
that are distant from each other.
| Japanese | Spanish | Chinese (simplified)
---|---|---|---
retrieval error | 28 | 48 | 70
different lang | 18 | 0 | 10
incorrect answer | 22 | 36 | 4
annotation error | 22 | 12 | 4
underspecified question | 10 | 4 | 12
Table 17: Error categories (%) on 50 errors sampled from Japanese, Spanish and
Chinese (simplified) data. Error questions are sampled from the MKQA
evaluation data.
### D.4 More Qualitative Examples
##### Examples errors in MKQA Japanese questions.
Table 18 shows examples of (b) a generation language error (different lang),
(c) incorrect answer generation (incorrect answer), and (d) an answer
annotation error (annotation error). The first example shows an error of
different language where generated text is not in the target language. Such
errors are prevalent in Japanese, especially when retrieved passages are
written in languages with Latin script. Transliteration of foreign words into
Japanese is challenging as there are multiple ways to map English words to
Japanese type script (i.e., katakana). Future work can improve those cross-
lingual generations between languages with their own type script and the ones
with Latin script. In the second example, CORA answers the song writers,
instead of answering who sings the song. This shows even state-of-the-art
models still exploit certain (spurious) patterns or lexical overlap to the
question (Sugawara et al., 2018). The final example demonstrates the
annotation difficulty of covering all possible answer aliases for multilingual
open QA. Although the predicted answer is semantically correct, it’s not
covered by the gold answer annotations in MKQA.
We also show questions that are judged as (e) underspecified questions in
Table 19 in this analysis. The first two examples show a question with
temporal dependency and an ambiguous question. In the final example, we found
that the information about the population of Utica, New York is different in
English Wikipedia (60,635) and Japanese Wikipedia (62,235), and CORA generates
an answer based on the information in Japanese.
error type Query Paragraph Prediction [gold Answer] different lang マルコム in the
Middleで父親役は誰でしたか (trans: who played the dad on malcolm in the middle?) The
series is about a boy named Malcolm (Frankie Muniz), the third-born child in a
comically dysfunctional working-class family of four, and later, five boys,
the sons of Lois (Jane Kaczmarek) and Hal (Bryan Cranston). Bryan Cranston
[ブライアン・クランストン (trans:Bryan Cranston)] incorrect answer 「愛はとまらない」を唄っているのは誰ですか
(trans: who sings nothing’s gonna stop us now?)
愛はとまらないはアルバート・ハモンドとダイアン・ウォーレンの共作による楽曲。アメリカ合衆国のロックバンド、 スターシップにより録音された。
(trans:Nothing’s Gonna Stop is a song co-written by Albert Hammond and Diane
Warren. Recorded by the American rock band Starship.) アルバート・ハモンドとダイアン・ウォーレン
(trans: Albert Hammond and Diane Warren) [スターシップ, Starship] annotation error
マクドナルドの最初の店舗はどこ? (trans: where was the very first mcdonald’s built?)
最初のマクドナルドはアメリカ合衆国・カリフォルニア州サンバーナーディノでマクドナルド兄弟が1940年に始めたものである。 (trans: The first
McDonald’s was started in 1940 by the McDonald’s brothers in San Bernardino,
California, United States.) アメリカ合衆国・カリフォルニア州サンバーナーディノ [アメリカ合衆国, カリフォルニア州,
サンバーナーディノ, アメリカ]
Table 18: Examples of the Japanese error cases. “trans” denotes the English translation. Sub type | Query | Prediction [gold Answer]
---|---|---
temporal dependency | 今年のスーパーボウルはどこでありますか (trans: where is the super bowl being played at this year) | ルイジアナ州ニューオーリンズ [アトランタ]
ambiguous questions | トワイライトシリーズの本を教えてください (trans: what are the books in the twilight series) | ステファニー・メイヤー [エクリプス/トワイライト・サーガ, エクリプス, ニュームーン]
inconsistency between Wikipedias | ニューヨーク州ユーティカの人口はどのくらいですか。 (trans: what is the population of utica new york) | 62,235人 [60635]
Table 19: Examples of questions labeled as underspecified questions in our
error analysis.
##### Unanswerable MKQA questions that CORA could answer.
Table 20 shows unanswerable Japanese MKQA questions for which CORA can
successfully find correct answers from non-English languages’ text. Although
MKQA answers are carefully annotated by crowd workers who extensively search
online knowledge sources in English, around 30% of the questions remain
unanswerable. Among the valid unanswerable questions, we found that in about
20% of the unanswerable questions we can find correct answers by retrieving
evidence passages in another language (e.g., Japanese, Spanish). This
indicates the effectiveness of cross-lingual retrieval to improve answer
coverage.
Query Paragraph Prediction オレンジ・イズ・ニュー・ブラックはいつ放送される? (trans:when is orange is
the new black on?)
『オレンジ・イズ・ニュー・ブラック』は、2013年7月11日よりネットフリックスで配信開始されているアメリカのテレビドラマ (trans:“Orange
is the New Black” is an American TV drama that has been available on Netflix
since July 11, 2013.) 2013年7月11日 hulkを演じる役者は誰 (trans: who is the actor that
plays the hulk?) Bruce Banner es un personaje interpretado primero por Edward
Norton y actualmente por Mark Ruffalo en la franquicia cinematográfica Marvel
Cinematic Universe (MCU) basado en el personaje de Marvel Comics del mismo
nombre y conocido comúnmente por su alter ego, Hulk (trans: Bruce Banner is a
character played first by Edward Norton and currently by Mark Ruffalo in the
Marvel Cinematic Universe (MCU) film franchise based on the Marvel Comics
character of the same name and commonly known by his alter ego, Hulk.) Mark
Ruffalo 現在の火星の気温は? (trans: what’s the temperature on mars right now?)
現在の火星の表面での年平均気温は、210K以下であり (trans:The current average annual temperature on
Mars is less than 210K.) 210K以下
Table 20: Examples of unanswerable Japanese MKQA questions where CORA
successfully finds the correct answers. The answers are validated by the
authors of this paper.
| arxiv-papers | 2021-07-26T06:02:54 | 2024-09-04T03:07:17.727662 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Akari Asai, Xinyan Yu, Jungo Kasai, Hannaneh Hajishirzi",
"submitter": "Akari Asai",
"url": "https://arxiv.org/abs/2107.11976"
} |
2107.11977 | 11institutetext: School of Electrical and Computer Engineering
National Technical University of Athens, 15780 Athens, Greece
11email: [email protected], 11email: [email protected]
# Strategyproof Facility Location in Perturbation Stable Instances
Dimitris Fotakis Panagiotis Patsilinakos
###### Abstract
We consider $k$-Facility Location games, where $n$ strategic agents report
their locations on the real line, and a mechanism maps them to $k\geq 2$
facilities. Each agent seeks to minimize her distance to the nearest facility.
We are interested in (deterministic or randomized) strategyproof mechanisms
without payments that achieve a reasonable approximation ratio to the optimal
social cost of the agents. To circumvent the inapproximability of $k$-Facility
Location by deterministic strategyproof mechanisms, we restrict our attention
to perturbation stable instances. An instance of $k$-Facility Location on the
line is _$\gamma$ -perturbation stable_ (or simply, _$\gamma$ -stable_), for
some $\gamma\geq 1$, if the optimal agent clustering is not affected by moving
any subset of consecutive agent locations closer to each other by a factor at
most $\gamma$. We show that the optimal solution is strategyproof in
$(2+\sqrt{3})$-stable instances whose optimal solution does not include any
singleton clusters, and that allocating the facility to the agent next to the
rightmost one in each optimal cluster (or to the unique agent, for singleton
clusters) is strategyproof and $(n-2)/2$-approximate for $5$-stable instances
(even if their optimal solution includes singleton clusters). On the negative
side, we show that for any $k\geq 3$ and any $\delta>0$, there is no
deterministic anonymous mechanism that achieves a bounded approximation ratio
and is strategyproof in $(\sqrt{2}-\delta)$-stable instances. We also prove
that allocating the facility to a random agent of each optimal cluster is
strategyproof and $2$-approximate in $5$-stable instances. To the best of our
knowledge, this is the first time that the existence of deterministic (resp.
randomized) strategyproof mechanisms with a bounded (resp. constant)
approximation ratio is shown for a large and natural class of $k$-Facility
Location instances.
## 1 Introduction
We consider _$k$ -Facility Location games_, where $k\geq 2$ facilities are
placed on the real line based on the preferences of $n$ strategic agents. Such
problems are motivated by natural scenarios in Social Choice, where a local
authority plans to build a fixed number of public facilities in an area (see
e.g., [40]). The choice of the locations is based on the preferences of local
people, or _agents_. Each agent reports her ideal location, and the local
authority applies a (deterministic or randomized) _mechanism_ that maps the
agents’ preferences to $k$ facility locations.
Each agent evaluates the mechanism’s outcome according to her _connection
cost_ , i.e., the distance of her ideal location to the nearest facility. The
agents seek to minimize their connection cost and may misreport their ideal
locations in an attempt of manipulating the mechanism. Therefore, the
mechanism should be _strategyproof_ , i.e., it should ensure that no agent can
benefit from misreporting her location, or even _group strategyproof_ , i.e.,
resistant to coalitional manipulations. The local authority’s objective is to
minimize the _social cost_ , namely the sum of agent connections costs. In
addition to allocating the facilities in a incentive compatible way, which is
formalized by (group) strategyproofness, the mechanism should result in a
socially desirable outcome, which is quantified by the mechanism’s
approximation ratio to the optimal social cost.
Since Procaccia and Tennenholtz [42] initiated the research agenda of
_approximate mechanism design without money_ , $k$-Facility Location has
served as the benchmark problem in the area and its approximability by
deterministic or randomized strategyproof mechanisms has been studied
extensively in virtually all possible variants and generalizations. For
instance, previous work has considered multiple facilities on the line (see
e.g., [27, 28, 32, 37, 41]) and in general metric spaces [26, 36]), different
objectives (e.g., social cost, maximum cost, the $L_{2}$ norm of agent
connection costs [23, 42, 28]), restricted metric spaces more general than the
line (cycle, plane, trees, see e.g., [2, 17, 25, 31, 39]), facilities that
serve different purposes (see e.g., [34, 35, 48]), and different notions of
private information about the agent preferences that should be declared to the
mechanism (see e.g., [16, 21, 38] and the references therein).
Due to the significant research interest in the topic, the fundamental and
most basic question of approximating the optimal social cost by strategyproof
mechanisms for $k$-Facility Location on the line has been relatively well-
understood. For a single facility ($k=1$), placing the facility at the median
location is group strategyproof and optimizes the social cost. For two
facilities ($k=2$), the best possible approximation ratio is $n-2$ and is
achieved by a natural group strategyproof mechanism that places the facilities
at the leftmost and the rightmost location [27, 42]. However, for three or
more facilities ($k\geq 3$), there do not exist any deterministic
anonymous111A mechanism is _anonymous_ if its outcome depends only on the
agent locations, not on their identities. strategyproof mechanisms for
$k$-Facility Location with a bounded (in terms of $n$ and $k$) approximation
ratio [27]. On the positive side, there is a randomized anonymous group
strategyproof mechanism222The result of [28] applies to the more general
setting where the agent connection cost is a nondecreasing concave function of
the distance to the nearest facility. with an approximation ratio of $n$ [28]
(see also Section 1.1 for a selective list of additional references).
Perturbation Stability in $k$-Facility Location Games. Our work aims to
circumvent the strong impossibility result of [27] and is motivated by the
recent success on the design of polynomial-time exact algorithms for
perturbation stable clustering instances (see e.g., [3, 9, 10, 11, 43, 44]).
An instance of a clustering problem, like $k$-Facility Location (a.k.a.
$k$-median in the optimization and approximation algorithms literature), is
_$\gamma$ -perturbation stable_ (or simply, _$\gamma$ -stable_), for some
$\gamma\geq 1$, if the optimal clustering is not affected by scaling down any
subset of the entries of the distance matrix by a factor at most $\gamma$.
Perturbation stability was introduced by Bilu and Linial [12] and Awasthi,
Blum and Sheffet [7] (and has motivated a significant volume of followup work
since then, see e.g., [3, 9, 11, 44] and the references therein) in an attempt
to obtain a theoretical understanding of the superior practical performance of
relatively simple clustering algorithms for well known $\mathrm{NP}$-hard
clustering problems (such as $k$-Facility Location in general metric spaces).
Intuitively, the optimal clusters of a $\gamma$-stable instance are somehow
well separated, and thus, relatively easy to identify (see also the main
properties of stable instances in Section 3). As a result, natural extensions
of simple algorithms, like single-linkage (a.k.a. Kruskal’s algorithm), can
recover the optimal clustering in polynomial time, provided that $\gamma\geq
2$ [3], and standard approaches, like dynamic programming (resp. local
search), work in almost linear time for $\gamma>2+\sqrt{3}$ (resp. $\gamma>5$)
[1].
In this work, we investigate whether restricting our attention to stable
instances allows for improved strategyproof mechanisms with bounded (and
ideally, constant) approximation guarantees for $k$-Facility Location on the
line, with $k\geq 2$. We note that the impossibility results of [27] crucially
depend on the fact that the clustering (and the subsequent facility placement)
produced by any deterministic mechanism with a bounded approximation ratio
must be sensitive to location misreports by certain agents (see also Section
6). Hence, it is very natural to investigate whether the restriction to
$\gamma$-stable instances allows for some nontrivial approximation guarantees
by deterministic or randomized strategyproof mechanisms for $k$-Facility
Location on the line.
To study the question above, we adapt to the real line the stricter333The
notion of $\gamma$-metric stability is “stricter” than standard
$\gamma$-stability in the sense that the former excludes some perturbations
allowed by the latter. Hence, the class of $\gamma$-metric stable instances
includes the class of $\gamma$-stable instances. More generally, the stricter
a notion of stability is, the larger the class of instances qualified as
stable, and the more general the positive results that one gets. Similarly,
for any $\gamma^{\prime}>\gamma\geq 1$, the class of $\gamma$(-metric) stable
instances includes the class of $\gamma^{\prime}$(-metric) instances. Hence, a
smaller value of $\gamma$ makes a positive result stronger and more general.
notion of _$\gamma$ -metric stability_ [3], where the definition also requires
that the distances form a metric after the $\gamma$-perturbation. In our
notion of _linear $\gamma$-stability_, the instances should retain their
linear structure after a $\gamma$-perturbation. Hence, a $\gamma$-perturbation
of a linear $k$-Facility Location instance is obtained by moving any subset of
pairs of consecutive agent locations closer to each other by a factor at most
$\gamma\geq 1$. We say that a $k$-Facility Location instance is
$\gamma$-stable, if the original instance and any $\gamma$-perturbation of it
admit the same unique optimal clustering444As for the optimal centers, in case
of ties, the center of an optimal cluster is determined by a fixed
deterministic tie-breaking rule, e.g., the center is always the left median
point of the cluster. (see also Definition 1).
Interestingly, for $\gamma$ sufficiently large, $\gamma$-stable instances of
$k$-Facility Location have additional structure that one could exploit towards
the design of strategyproof mechanisms with good approximation guarantees (see
also Section 3). E.g., each agent location is $\gamma-1$ times closer to the
nearest facility than to any location in a different cluster (Proposition 1).
Moreover, for $\gamma\geq 2+\sqrt{3}$, the distance between any two
consecutive clusters is larger than their diameter (Lemma 1).
From a conceptual viewpoint, our work is motivated by a reasoning very similar
to that discussed by Bilu, Daniely, Linial and Saks [13] and summarized in “
_clustering is hard only when it doesn’t matter_ ” by Roughgarden [46]. In a
nutshell, we expect that when $k$ public facilities (such as schools,
libraries, hospitals, representatives) are to be allocated to some communities
(e.g., cities, villages or neighborhoods, as represented by the locations of
agents on the real line) the communities are already well formed, relatively
easy to identify and difficult to radically reshape by small distance
perturbations or agent location misreports. Moreover, in natural practical
applications of $k$-Facility Location games, agents tend to misreport
“locally” (i.e., they tend to declare a different ideal location in their
neighborhood, trying to manipulate the location of the local facility), which
usually does not affect the cluster formation. In practice, this happens
because the agents do not have enough knowledge about locations in other
neighborhoods, and because “large non-local” misreports are usually easy to
identify by combining publicly available information about the agents (e.g.,
occupation, address, habits, lifestyle). Hence, we believe that the class of
$\gamma$-stable instances, especially for relatively small values of $\gamma$,
provides a reasonably accurate abstraction of the instances of $k$-Facility
Location games that a mechanism designer is more likely to deal with in
practice. Thus, we feel that our work takes a small first step towards
justifying that (not only clustering but also) strategyproof facility location
is hard only when it doesn’t matter.
Contributions and Techniques. Our conceptual contribution is that we initiate
the study of efficient (wrt. their approximation ratio for the social cost)
strategyproof mechanisms for the large and natural class of $\gamma$-stable
instances of $k$-Facility Location on the line. Our technical contribution is
that we show the existence of deterministic (resp. randomized) strategyproof
mechanisms with a bounded (resp. constant) approximation ratio for $5$-stable
instances and any number of facilities $k\geq 2$. Moreover, we show that the
optimal solution is strategyproof for $(2+\sqrt{3})$-stable instances, if the
optimal clustering does not include any singleton clusters (which is likely to
be the case in virtually all practical applications). To provide evidence that
restriction to stable instances does not make the problem trivial, we
strengthen the impossibility result of Fotakis and Tzamos [27], so that it
applies to $\gamma$-stable instances, with $\gamma<\sqrt{2}$. Specifically, we
show that that for any $k\geq 3$ and any $\delta>0$, there do not exist any
deterministic anonymous strategyproof mechanisms for $k$-Facility Location on
$(\sqrt{2}-\delta)$-stable instances with bounded (in terms of $n$ and $k$)
approximation ratio.
At the conceptual level, we interpret the stability assumption as a prior on
the class of true instances that the mechanism should be able to deal with.
Namely, we assume that the mechanism has only to deal with $\gamma$-stable
true instances, a restriction motivated by (and fully consistent with) how the
stability assumption is used in the literature on efficient algorithms for
stable clustering (see e.g., [3, 9, 11, 12], where the algorithms are analyzed
for stable instances only). More specifically, our mechanisms expect as input
a declared instance such that in the optimal clustering, the distance between
any two consecutive clusters is at least $\frac{(\gamma-1)^{2}}{2\gamma}$
times larger than the diameters of the two clusters (a.k.a. _cluster-
separation_ property, see Lemma 1). This condition is necessary (but not
sufficient) for $\gamma$-stability and can be easily checked. If the declared
instance does not satisfy the cluster-separation property, our mechanisms do
not allocate any facilities. Otherwise, our mechanisms allocate $k$ facilities
(even if the instance is not stable). We prove that for all $\gamma$-stable
true instances (with the exact stability factor $\gamma$ depending on the
mechanism), if agents can only deviate so that the declared instance satisfies
the cluster-separation property (and does not have singleton clusters, for the
optimal mechanism), our mechanisms are strategyproof and achieve the desired
approximation guarantee. Hence, if we restrict ourselves to $\gamma$-stable
true instances and to agent deviations that do not obviously violate
$\gamma$-stability, our mechanisms should only deal with $\gamma$-stable
declared instances, due to strategyproofness. On the other hand, if non-stable
true instances may occur, the mechanisms cannot distinguish between a stable
true instance and a declared instance, which appears to be stable, but is
obtained from a non-stable instance through location misreports.
The restriction that the agents of a $\gamma$-stable instance are only allowed
to deviate so that the declared instance satisfies the cluster-separation
property (and does not have any singleton clusters, for the optimal mechanism)
bears a strong conceptual resemblance to the notion of strategyproof
mechanisms with _local verification_ (see e.g., [6, 4, 14, 15, 29, 30, 33]),
where the set of each agent’s allowable deviations is restricted to a so-
called _correspondence set_ , which typically depends on the agent’s true
type, but not on the types of the other agents. Instead of restricting the
correspondence set of each individual agent independently, we impose a
structural condition on the entire declared instance, which restricts the set
of the agents’ allowable deviations, but in a global and observable sense. As
a result, we can actually implement our notion of verification, by checking
some simple properties of the declared instance, instead of just assuming that
any deviation outside an agent’s correspondence set will be caught and
penalized (which is the standard approach in mechanisms with local
verification [4, 15, 14], but see e.g., [6, 26] for noticeable exceptions).
On the technical side, we start, in Section 3, with some useful properties of
stables instances of $k$-Facility Location on the line. Among others, we show
(i) the _cluster-separation_ property (Lemma 1), which states that in any
$\gamma$-stable instance, the distance between any two consecutive clusters is
at least $\frac{(\gamma-1)^{2}}{2\gamma}$ times larger than their diameters;
and (ii) the so-called _no direct improvement from singleton deviations_
property (Lemma 2), i.e., that in any $3$-stable instance, no agent who
deviates to a location, which becomes a singleton cluster in the optimal
clustering of the resulting instance, can improve her connection cost through
the facility of that singleton cluster.
In Section 4, we show that for $(2+\sqrt{3})$-stable instances whose optimal
clustering does not include any singleton clusters, the optimal solution is
strategyproof (Theorem 4.1). For the analysis, we observe that since placing
the facility at the median location of any fixed cluster is strategyproof, a
misreport cannot be profitable for an agent, unless it results in a different
optimal clustering. The key step is to show that for $(2+\sqrt{3})$-stable
instances without singleton clusters, a profitable misreport cannot change the
optimal clustering, unless the instance obtained from the misreport violates
the cluster-separation property. To the best of our knowledge, the idea of
penalizing (and thus, essentially forbidding) a whole class of potentially
profitable misreports by identifying how they affect a key structural property
of the original instance, which becomes possible due to our restriction to
stable instances, has not been used before in the design of strategyproof
mechanisms for $k$-Facility Location (see also the discussion above about
resemblance to mechanisms with verification).
We should also motivate our restriction to stable instances without singleton
clusters in their optimal clustering. So, let us consider the rightmost agent
$x_{j}$ of an optimal cluster $C_{i}$ in a $\gamma$-stable instance $\vec{x}$.
No matter the stability factor $\gamma$, it is possible that $x_{j}$ performs
a so-called _singleton deviation_. Namely, $x_{j}$ deviates to a remote
location $x^{\prime}$ (potentially very far away from any location in
$\vec{x}$), which becomes a singleton cluster in the optimal clustering of the
resulting instance. Such a singleton deviation might cause cluster $C_{i}$ to
merge with (possibly part of the next) cluster $C_{i+1}$, which in turn, might
bring the median of the new cluster much closer to $x_{j}$ (see also Fig. 1 in
Section 3). It is not hard to see that if we stick to the optimal solution,
where the facilities are located at the median of each optimal cluster, there
are $\gamma$-stable instances555E.g., let $k=2$ and consider the
$\Theta(\gamma)$-stable instance
$(0,1-\varepsilon,1,6\gamma,6\gamma+\varepsilon,6\gamma+1,6\gamma+1+\varepsilon,6\gamma+2)$,
for any $\gamma\geq 1$. Then, the agent at location $6\gamma$ can decrease its
connection cost (from $1$) to $\varepsilon$ by deviating to location
$(6\gamma)^{2}$., with arbitrarily large $\gamma\geq 1$, where some agents can
deviate to a remote location and gain, by becoming singleton clusters, while
maintaining the desirable stability factor of the declared instance (see also
Fig. 1).
To deal with singleton deviations666Another natural way to deal efficiently
with singleton deviations is through some means of _location verification_ ,
such as winner-imposing verification [26] or $\varepsilon$-symmetric
verification [30, 29]. Adding e.g., winner-imposing verification to the
optimal mechanism, discussed in Section 4, results in a strategyproof
mechanism for $(2+\sqrt{3})$-stable instances whose optimal clustering may
include singleton clusters., we should place the facility either at a location
close to an extreme one, as we do in Section 5 with the AlmostRightmost
mechanism, or at a random location, as we do in Section 7 with the Random
mechanism. More specifically, in Section 5, we show that the AlmostRightmost
mechanism, which places the facility of any non-singleton optimal cluster at
the location of the second rightmost agent, is strategyproof for $5$-stable
instances of $k$-Facility Location (even if their optimal clustering includes
singleton clusters) and achieves an approximation ratio at most $(n-2)/2$
(Theorem 5.1). Moreover, in Section 7, we show that the Random mechanism,
which places the facility of any optimal cluster at a location chosen
uniformly at random, is strategyproof for $5$-stable instances (again even if
their optimal clustering includes singleton clusters) and achieves an
approximation ratio of $2$ (Theorem 7.1).
To obtain a deeper understanding of the challenges behind the design of
strategyproof mechanisms for stable instances of $k$-Facility Location on the
line, we strengthen the impossibility result of [27, Theorem 3.7] so that it
applies to $\gamma$-stable instances with $\gamma<\sqrt{2}$ (Section 6).
Through a careful analysis of the image sets of deterministic strategyproof
mechanisms, we show that for any $k\geq 3$, any $\delta>0$, and any $\rho\geq
1$, there do not exist any $\rho$-approximate deterministic anonymous
strategyproof mechanisms for $(\sqrt{2}-\delta)$-stable instances of
$k$-Facility Location on the line (Theorem 6.1). The proof of Theorem 6.1
requires additional ideas and extreme care (and some novelty) in the agent
deviations, so as to only consider stable instances, compared against the
proof of [27, Theorem 3.7]. Interestingly, singleton deviations play a crucial
role in the proof of Theorem 6.1.
### 1.1 Other Related Work
Approximate mechanism design without money for variants and generalizations of
Facility Location games on the line has been a very active and productive area
of research in the last decade.
Previous work has shown that deterministic strategyproof mechanisms can only
achieve a bounded approximation ratio for $k$-Facility Location on the line,
only if we have at most $2$ facilities [27, 42]. Notably, stable (called
_well-separated_ in [27]) instances with $n=k+1$ agents play a key role in the
proof of inapproximability of $k$-Facility Location by deterministic anonymous
strategyproof mechanisms [27, Theorem 3.7]. On the other hand, randomized
mechanisms are known to achieve a better approximation ratio for $k=2$
facilities [37], a constant approximation ratio if we have $k\geq 2$
facilities and only $n=k+1$ agents [19, 28], and an approximation ratio of $n$
for any $k\geq 3$ [28]. Fotakis and Tzamos [26] considered winner-imposing
randomized mechanisms that achieve an approximation ratio of $4k$ for
$k$-Facility Location in general metric spaces. In fact, the approximation
ratio can be improved to $\Theta(\ln k)$, using the analysis of [5].
For the objective of maximum agent cost, Alon et al. [2] almost completely
characterized the approximation ratios achievable by randomized and
deterministic strategyproof mechanisms for $1$-Facility Location in general
metrics and rings. Fotakis and Tzamos [28] presented a $2$-approximate
randomized group strategyproof mechanism for $k$-Facility Location on the line
and the maximum cost objective. For $1$-Facility Location on the line and the
objective of minimizing the sum of squares of the agent connection costs,
Feldman and Wilf [23] proved that the best approximation ratio is $1.5$ for
randomized and $2$ for deterministic mechanisms. Golomb and Tzamos [32]
presented tight (resp. almost tight) additive approximation guarantees for
locating a single (resp. multiple) facilities on the line and the objectives
of the maximum cost and the social cost.
Regarding the application of perturbation stability, we follow the approach of
_beyond worst-case analysis_ (see e.g., [43, 44]), where researchers seek a
theoretical understanding of the superior practical performance of certain
algorithms by formally analyzing them on practically relevant instances. The
beyond worst-case approach is not anything new for Algorithmic Mechanism
Design. _Bayesian_ analysis, where the bidder valuations are drawn as
independent samples from a distribution known to the mechanism, is standard in
revenue maximization when we allocate private goods (see e.g., [45]) and has
led to many strong and elegant results for social welfare maximization in
combinatorial auctions by truthful posted price mechanisms (see e.g., [18,
22]). However, in this work, instead of assuming (similar to Bayesian
analysis) that the mechanism designer has a relatively accurate knowledge of
the distribution of agent locations on the line (and use e.g., an
appropriately optimized percentile mechanism [49]), we employ a deterministic
restriction on the class of instances (namely, perturbation stability), and
investigate if deterministic (resp. randomized) strategyproof mechanisms with
a bounded (resp. constant) approximation ratio are possible for locating any
number $k\geq 2$ facilities on such instances. To the best of our knowledge,
the only previous work where the notion of perturbation stability is applied
to Algorithmic Mechanism Design (to combinatorial auctions, in particular) is
[24] (but see also [8, 20] where the similar in spirit assumption of endowed
valuations was applied to combinatorial markets).
## 2 Notation, Definitions and Preliminaries
We let $[n]=\\{1,\ldots,n\\}$. For any $x,y\in\mathbb{R}$, we let
$d(x,y)=|x-y|$ be the distance of locations $x$ and $y$ on the real line. For
a tuple $\vec{x}=(x_{1},\ldots,x_{n})\in\mathbb{R}^{n}$, we let $\vec{x}_{-i}$
denote the tuple $\vec{x}$ without coordinate $x_{i}$. For a non-empty set $S$
of indices, we let $\vec{x}_{S}=(x_{i})_{i\in S}$ and
$\vec{x}_{-S}=(x_{i})_{i\not\in S}$. We write $(\vec{x}_{-i},a)$ to denote the
tuple $\vec{x}$ with $a$ in place of $x_{i}$, $(\vec{x}_{-\\{i,j\\}},a,b)$ to
denote the tuple $\vec{x}$ with $a$ in place of $x_{i}$ and $b$ in place of
$x_{j}$, and so on. For a random variable $X$, $\mathbb{E}(X)$ denotes the
expectation of $X$. For an event $E$ in a sample space,
$\mathbb{P}\mathrm{r}(E)$ denotes the probability that $E$ occurs.
Instances. We consider $k$-Facility Location with $k\geq 2$ facilities and
$n\geq k+1$ agents on the real line. We let $N=\\{1,\ldots,n\\}$ be the set of
agents. Each agent $i\in N$ resides at a location $x_{i}\in\mathbb{R}$, which
is $i$’s private information. We usually refer to a locations profile
$\vec{x}=(x_{1},\ldots,x_{n})\in\mathbb{R}^{n}$, $x_{1}\leq\cdots\leq x_{n}$,
as an _instance_. By slightly abusing the notation, we use $x_{i}$ to refer
both to the agent $i$’s location and sometimes to the agent $i$ (i.e., the
strategic entity) herself.
Mechanisms. A _deterministic mechanism_ $M$ for $k$-Facility Location maps an
instance $\vec{x}$ to a $k$-tuple $(c_{1},\ldots,c_{k})\in\mathbb{R}^{k}$,
$c_{1}\leq\cdots\leq c_{k}$, of facility locations. We let $M(\vec{x})$ denote
the outcome of $M$ in instance $\vec{x}$, and let $M_{j}(\vec{x})$ denote
$c_{j}$, i.e., the $j$-th smallest coordinate in $M(\vec{x})$. We write $c\in
M(\vec{x})$ to denote that $M(\vec{x})$ places a facility at location $c$. A
_randomized mechanism_ $M$ maps an instance $\vec{x}$ to a probability
distribution over $k$-tuples $(c_{1},\ldots,c_{k})\in\mathbb{R}^{k}$.
Connection Cost and Social Cost. Given a $k$-tuple
$\vec{c}=(c_{1},\ldots,c_{k})$, $c_{1}\leq\cdots\leq c_{k}$, of facility
locations, the connection cost of agent $i$ wrt. $\vec{c}$, denoted
$d(x_{i},\vec{c})$, is $d(x_{i},\vec{c})=\min_{1\leq j\leq k}|x_{i}-y_{j}|$.
Given a deterministic mechanism $M$ and an instance $\vec{x}$,
$d(x_{i},M(\vec{x}))$ denotes the connection cost of agent $i$ wrt. the
outcome of $M(\vec{x})$. If $M$ is a randomized mechanism, the expected
connection cost of agent $i$ is $\mathbb{E}_{\vec{c}\sim
M(\vec{x})}(d(x_{i},\vec{c}))$. The _social cost_ of a deterministic mechanism
$M$ for an instance $\vec{x}$ is
$cost(\vec{x},M(\vec{x}))=\sum_{i=1}^{n}d(x_{i},M(\vec{x}))$. The social cost
of a facility locations profile $\vec{c}\in\mathbb{R}^{k}$ is
$cost(\vec{x},\vec{c})=\sum_{i=1}^{n}d(x_{i},\vec{c})$. The _expected social
cost_ of a randomized mechanism $M$ on instance $\vec{x}$ is
$cost(\vec{x},M(\vec{x}))=\sum_{i=1}^{n}\mathbb{E}_{\vec{c}\sim
M(\vec{x})}(d(x_{i},\vec{c}))\,.$
The _optimal social cost_ for an instance $\vec{x}$ is
$cost^{\ast}(\vec{x})=\min_{\vec{c}\in\mathbb{R}^{k}}\sum_{i=1}^{n}d(x_{i},\vec{c})$.
For $k$-Facility Location, the optimal social cost (and the corresponding
optimal facility locations profile) can be computed in $O(kn\log n)$ time by
standard dynamic programming.
Approximation Ratio. A mechanism $M$ has an approximation ratio of $\rho\geq
1$, if for any instance $\vec{x}$,
$cost(\vec{x},M(\vec{x}))\leq\rho\,cost^{\ast}(\vec{x})$. We say that the
approximation ratio $\rho$ of $M$ is _bounded_ , if $\rho$ is bounded from
above either by a constant or by a (computable) function of $n$ and $k$.
Strategyproofness. A deterministic mechanism $M$ is _strategyproof_ , if no
agent can benefit from misreporting her location. Formally, $M$ is
strategyproof, if for all location profiles $\vec{x}$, any agent $i$, and all
locations $y$, $d(x_{i},M(\vec{x}))\leq d(x_{i},M((\vec{x}_{-i},y))$.
Similarly, a randomized mechanism $M$ is strategyproof (in expectation), if
for all location profiles $\vec{x}$, any agent $i$, and all locations $y$,
$\mathbb{E}_{\vec{c}\sim
M(\vec{x})}(d(x_{i},\vec{c}))\leq\mathbb{E}_{\vec{c}\sim
M((\vec{x}_{-i},y)}(d(x_{i},\vec{c}))$.
Clusterings. A _clustering_ (or $k$-clustering, if $k$ is not clear from the
context) of an instance $\vec{x}$ is any partitioning
$\vec{C}=(C_{1},\ldots,C_{k})$ of $\vec{x}$ into $k$ sets of consecutive agent
locations. We index clusters from left to right. I.e.,
$C_{1}=\\{x_{1},\ldots,x_{|C_{1}|}\\}$,
$C_{2}=\\{x_{|C_{1}|+1},\ldots,x_{|C_{1}|+|C_{2}|}\\}$, and so on. We refer to
a cluster $C_{i}$ that includes only one agent (i.e., with $|C_{i}|=1$) as a
_singleton_ cluster. We sometimes use $(\vec{x},\vec{C})$ to highlight that we
consider $\vec{C}$ as a clustering of instance $\vec{x}$.
Two clusters $C$ and $C^{\prime}$ are identical, denoted $C=C^{\prime}$, if
they include the exact same locations. Two clusterings
$\vec{C}=(C_{1},\ldots,C_{k})$ and $\vec{Y}=(Y_{1},\ldots,Y_{k})$ of an
instance $\vec{x}$ are the same, if $C_{i}=Y_{i}$, for all $i\in[k]$. Abusing
the notation, we say that a clustering $\vec{C}$ of an instance $\vec{x}$ is
identical to a clustering $\vec{Y}$ of a $\gamma$-perturbation
$\vec{x}^{\prime}$ of $\vec{x}$ (see also Definition 1), if $|C_{i}|=|Y_{i}|$,
for all $i\in[k]$.
We let $x_{i,l}$ and $x_{i,r}$ denote the leftmost and the rightmost agent of
each cluster $C_{i}$. Under this notation, $x_{i-1,r}<x_{i,l}\leq
x_{i,r}<x_{i+1,l}$, for all $i\in\\{2,\ldots,k-1\\}$. Exploiting the linearity
of instances, we extend this notation to refer to other agents by their
relative location in each cluster. Namely, $x_{i,l+1}$ (resp. $x_{i,r-1}$) is
the second agent from the left (resp. right) of cluster $C_{i}$ . The
_diameter_ of a cluster $C_{i}$ is $D(C_{i})=d(x_{i,l},x_{i,r})$. The distance
of clusters $C_{i}$ and $C_{j}$ is $d(C_{i},C_{j})=\min_{x\in C_{i},y\in
C_{j}}\\{d(x,y)\\}$, i.e., the minimum distance between a location $x\in
C_{i}$ and a location $y\in C_{j}$.
A $k$-facility locations (or $k$-centers) profile
$\vec{c}=(c_{1},\ldots,c_{k})$ induces a clustering
$\vec{C}=(C_{1},\ldots,C_{k})$ of an instance $\vec{x}$ by assigning each
agent / location $x_{j}$ to the cluster $C_{i}$ with facility $c_{i}$ closest
to $x_{j}$. Formally, for each $i\in[k]$,
$C_{i}=\\{x_{j}\in\vec{x}:d(x_{j},c_{i})=d(x_{j},\vec{c})\\}$. The _optimal
clustering_ of an instance $\vec{x}$ is the clustering of $\vec{x}$ induced by
the facility locations profile with minimum social cost.
The social cost of a clustering $\vec{C}$ induced by a $k$-facility locations
profile $\vec{c}$ on an instance $\vec{x}$ is simply $cost(\vec{x},\vec{c})$,
i.e., the social cost of $\vec{c}$ for $\vec{x}$. We sometimes refer to the
social cost $cost(\vec{x},\vec{C})$ of a clustering $\vec{C}$ for an instance
$\vec{x}$, without any explicit reference to the corresponding facility
locations profile. Then, we refer to the social cost $cost(\vec{x},\vec{c})$,
where each facility $c_{i}$ is located at the median location of $C_{i}$ (the
left median location of $C_{i}$, if $|C_{i}|$ is even).
We often consider certain structural changes in a clustering due to agent
deviations. Let $\vec{C}$ be a clustering of an instance $\vec{x}$, which due
to an agent deviation, changes to a different clustering $\vec{C}^{\prime}$.
We say that cluster $C_{i}$ is _split_ when $\vec{C}$ changes to
$\vec{C}^{\prime}$, if not all agents in $C_{i}$ are served by the same
facility in $\vec{C}^{\prime}$. We say that $C_{i}$ is _merged_ in
$\vec{C}^{\prime}$, if all agents in $C_{i}$ are served by the same facility,
but this facility also serves in $\vec{C}^{\prime}$ some agents not in
$C_{i}$.
## 3 Perturbation Stability on the Line: Definition and Properties
Next, we introduce the notion of $\gamma$-(linear) stability and prove some
useful properties of $\gamma$-stable instances of $k$-Facility Location, which
are repeatedly used in the analysis of our mechanisms.
###### Definition 1 ($\gamma$-Pertrubation and $\gamma$-Stability)
Let $\vec{x}=(x_{1},\ldots,x_{n})$ be a locations profile. A locations profile
$\vec{x}^{\prime}=(x^{\prime}_{1},\ldots,x^{\prime}_{n})$ is a
$\gamma$-perturbation of $\vec{x}$, for some $\gamma\geq 1$, if
$x^{\prime}_{1}=x_{1}$ and for every $i\in[n-1]$, $d(x_{i},x_{i+1})/\gamma\leq
d(x^{\prime}_{i},x^{\prime}_{i+1})\leq d(x_{i},x_{i+1})$. A $k$-Facility
Location instance $\vec{x}$ is $\gamma$-perturbation stable (or simply,
$\gamma$-stable), if $\vec{x}$ has a unique optimal clustering
$(C_{1},\ldots,C_{k})$ and every $\gamma$-perturbation $\vec{x}^{\prime}$ of
$\vec{x}$ has the same unique optimal clustering $(C_{1},\ldots,C_{k})$.
Namely, a $\gamma$-perturbation $\vec{x}^{\prime}$ of an instance $\vec{x}$ is
obtained by moving a subset of pairs of consecutive locations closer by a
factor at most $\gamma\geq 1$. A $k$-Facility Location instance $\vec{x}$ is
$\gamma$-stable, if $\vec{x}$ and any $\gamma$-perturbation $\vec{x}^{\prime}$
of $\vec{x}$ admit the same unique optimal clustering (where clustering
identity for $\vec{x}$ and $\vec{x}^{\prime}$ is understood as explained in
Section 2). We consistently select the optimal center $c_{i}$ of each optimal
cluster $C_{i}$ with an even number of points as the left median point of
$C_{i}$.
Our notion of linear perturbation stability naturally adapts the notion of
metric perturbation stability [3, Definition 2.5] to the line. We note, the
class of $\gamma$-stable linear instances, according to Definition 1, is at
least as large as the class of metric $\gamma$-stable linear instances,
according to [3, Definition 2.5]. Similarly to [3, Theorem 3.1] (see also [46,
Lemma 7.1] and [7, Corollary 2.3]), we can show that for all $\gamma\geq 1$,
every $\gamma$-stable instance $\vec{x}$, which admits an optimal clustering
$C_{1},\ldots,C_{k}$ with optimal centers $c_{1},\ldots,c_{k}$, satisfies the
following _$\gamma$ -center proximity_ property: For all cluster pairs $C_{i}$
and $C_{j}$, with $i\neq j$, and all locations $x\in C_{i}$,
$d(x,c_{j})>\gamma d(x,c_{i})$.
We repeatedly use the following immediate consequence of $\gamma$-center
proximity (see also [46, Lemma 7.2]). The proof generalizes the proof of [46,
Lemma 7.2] to any $\gamma\geq 2$.
###### Proposition 1
Let $\gamma\geq 2$ and let $\vec{x}$ be any $\gamma$-stable instance, with
unique optimal clustering $C_{1},\ldots,C_{k}$ and optimal centers
$c_{1},\ldots,c_{k}$. Then, for all clusters $C_{i}$ and $C_{j}$, with $i\neq
j$, and all locations $x\in C_{i}$ and $y\in C_{j}$,
$d(x,y)>(\gamma-1)d(x,c_{i})$.
The following observation, which allows us to treat stability factors
multiplicatively, is an immediate consequence of Definition 1.
###### Observation 1
Every $\alpha$-perturbation followed by a $\beta$-perturbation of a locations
profile can be implemented by a $(\alpha\beta)$-perturbation and vice versa.
Hence, a $\gamma$-stable instance remains $(\gamma/\gamma^{\prime})$-stable
after a $\gamma^{\prime}$-perturbation, with $\gamma^{\prime}<\gamma$, is
applied to it.
We next show that for $\gamma$ large enough, the optimal clusters of a
$\gamma$-stable instance are well-separated, in the sense that the distance of
two consecutive clusters is larger than their diameters.
###### Lemma 1 (Cluster-Separation Property)
For any $\gamma$-stable instance on the line with optimal clustering
$(C_{1},\ldots,C_{k})$ and all clusters $C_{i}$ and $C_{j}$, with $i\neq j$,
$d(C_{i},C_{j})>\frac{(\gamma-1)^{2}}{2\gamma}\max\\{D(C_{i}),D(C_{j})\\}$.
The cluster-separation property of Lemma 1 was first obtained in [1] as a
consequence of $\gamma$-cluster proximity. For completeness, in Section 0.A,
we present a different proof that exploits the linear structure of the
instance. Setting $\gamma\geq 2+\sqrt{3}$, we get that:
###### Corollary 1
Let $\gamma\geq 2+\sqrt{3}$ and let $\vec{x}$ be any $\gamma$-stable instance
with unique optimal clustering ($C_{1},\ldots,C_{k})$. Then, for all clusters
$C_{i}$ and $C_{j}$, with $i\neq j$,
$d(C_{i},C_{j})>\,\max\\{D(C_{i}),D(C_{j})\\}$.
The following is an immediate consequence of the cluster-separation property
in Lemma 1.
###### Observation 2
Let $\vec{x}$ be a $k$-Facility Location with a clustering
$\vec{C}=(C_{1},\ldots,C_{k})$ such that for any two clusters $C_{i}$ and
$C_{j}$, $\max\\{D(C_{i}),D(C_{j})\\}<d(C_{i},C_{j})$. Then, if in the optimal
clustering of $\vec{x}$, there is a facility at the location of some $x\in
C_{i}$, no agent in $C_{i}$ is served by a facility at $x_{j}\not\in C_{i}$.
Next, we establish the so-called _no direct improvement from singleton
deviations_ property, used to show the strategyproofness of the
AlmostRightmost and Random mechanisms. Namely, we show that in any $3$-stable
instance, no agent deviating to a singleton cluster in the optimal clustering
of the resulting instance can improve her connection cost through the facility
of that singleton cluster. The proof is deferred to Appendix 0.B.
###### Lemma 2
Let $\vec{x}$ be a $\gamma$-stable instance with $\gamma\geq 3$ and optimal
clustering $\vec{C}=(C_{1},...,C_{k})$ and cluster centers
$(c_{1},...,c_{k})$, and let an agent $x_{i}\in C_{i}\setminus\\{c_{i}\\}$ and
a location $x^{\prime}$ such that $x^{\prime}$ is a singleton cluster in the
optimal clustering of the resulting instance $(\vec{x}_{-i},x^{\prime})$.
Then, $d(x_{i},x^{\prime})>d(x_{i},c_{i})$.
The following shows that for $5$-stable instances $\vec{x}$, an agent cannot
form a singleton cluster, unless she deviates by a distance larger than the
diameter of her cluster in $\vec{x}$’s optimal clustering.
###### Lemma 3
Let $\vec{x}$ be any $\gamma$-stable instance with $\gamma\geq 5$ and optimal
clustering $\vec{C}=(C_{1},...,C_{k})$. Let $x_{i}\in
C_{i}\setminus\\{c_{i}\\}$ be any agent and $x^{\prime}$ any location such
that $x^{\prime}$ is a singleton cluster in the optimal clustering of instance
$\vec{x}^{\prime}=(\vec{x}_{-i},x^{\prime})$, where $x_{i}$ has deviated to
$x^{\prime}$. Then, $d(x^{\prime},x_{i})>D(C_{i})$.
###### Proof (Sketch.)
Initially, we show that a clustering $\vec{C}^{\prime}$ of instance
$\vec{x}^{\prime}=(\vec{x}_{-i},x^{\prime})$, with $d(x^{\prime},x_{i})\leq
D(C_{i})$, cannot be optimal and contain $x^{\prime}$ as a singleton cluster,
unless some agent $\vec{x}\setminus C_{i}$ is clustered together with some
agent in $C_{i}$. To this end, we use the lower bound on the distance between
difference clusters for $5$-stable instances show in Lemma 1. Then, using
stability arguments, i.e. that the optimal clustering should not change for
instance $\vec{x}$, even when we decrease, by a factor of $4$, the distances
between consecutive agents in $\vec{x}\setminus C_{i}$, we show that in
$\vec{C}^{\prime}$ agents in $\vec{x}\setminus C_{i}$ experience an increase
in cost of at least $2D(C_{i})$ (notice that $\vec{x}\setminus
C_{i}=\vec{x}^{\prime}\setminus(C_{i}\cup\\{x^{\prime}\\})$). But the
additional cost of serving $x^{\prime}$ from $c_{i}$ in clustering $\vec{C}$
is at most $2D(C_{i})$, since $d(x^{\prime},x_{i})\leq D(C_{i})$ and
$d(x_{i},c_{i})\leq D(C_{i})$. Hence retaining clustering $\vec{C}$ and
serving location $x^{\prime}$ from $c_{i}$ would have a smaller cost than the
supposedly optimal clustering $\vec{C}^{\prime}$. The complete proof follows
by a careful case analysis and can be found in Appendix 0.C. ∎
Figure 1: An example of a so-called _singleton deviation_. The deviating agent
(grey) declares a remote location, becomes a singleton cluster, and
essentially turns the remaining agents into a $(k-1)$-Facility Location
instance. Thus, the deviating agent can benefit from her singleton deviation,
due to the subsequent cluster merge.
Result: An allocation of $k$-facilities
Input: A $k$-Facility Location instance $\vec{x}$.
1 Compute the optimal clustering $(C_{1},\ldots,C_{k})$. Let $c_{i}$ be the
left median point of each cluster $C_{i}$.
2
3if _( $\exists i\in[k]$ with $|C_{i}|=1$) or ($\exists i\in[k-1]$ with
$\max\\{D(C_{i}),D(C_{i+1})\\}\geq d(C_{i},C_{i+1})$)_ then
Output: “FACILITIES ARE NOT ALLOCATED”.
4
5else
6
Output: The $k$-facility allocation $(c_{1},\ldots,c_{k})$
7
8
Mechanism 1 OPTIMAL
## 4 The Optimal Solution is Strategyproof for $(2+\sqrt{3})$-Stable
Instances
We next show that the Optimal mechanism, which allocates the facilities
optimally, is strategyproof for $(2+\sqrt{3})$-stable instances of
$k$-Facility Location whose optimal clustering does not include any singleton
clusters. More specifically, in this section, we analyze Mechanism 1.
In general, due to the incentive compatibility of the median location in a
single cluster, a deviation can be profitable only if it results in a
$k$-clustering different from the optimal clustering $(C_{1},\ldots,C_{k})$ of
$\vec{x}$. For $\gamma$ is sufficiently large, $\gamma$-stability implies that
the optimal clusters are well identified so that any attempt to alter the
optimal clustering (without introducing singleton clusters and without
violating the cluster separation property, which is necessary of stability)
results in an increased cost for the deviating agent. We should highlight that
Mechanism 1 may also “serve” non-stable instances that satisfy the cluster
separation property. We next prove that the mechanism is stategyproof if the
true instance is $(2+\sqrt{3})$-stable and its optimal clustering does not
include any singleton clusters, when the agent deviations do not introduce any
singleton clusters and not result in instances that violate the cluster
separation property (i.e. are served by the mechanism) .
###### Theorem 4.1
The Optimal mechanism applied to $(2+\sqrt{3})$-stable instances of
$k$-Facility Location without singleton clusters in their optimal clustering
is strategyproof and minimizes the social cost.
###### Proof
We first recall some of the notation about clusterings, introduced in Section
2. Specifically, for a clustering $\vec{C}=(C_{1},\ldots,C_{k})$ of an
instance $\vec{x}$ with centers $\vec{c}=(c_{1},\ldots,c_{k})$, the cost of an
agent (or a location) $x$ is $d(x,\vec{C})=\min_{j\in[k]}\\{d(x,c_{j})\\}$.
The cost of a set of agents $X$ in a clustering $\vec{C}$ is
$cost(X,\vec{C})=\sum_{x\in X}d(x_{j},\vec{C})$. Finally, the cost of an
instance $\vec{x}$ in a clustering $\vec{C}$ is
$cost(\vec{x},\vec{C})=\sum_{x_{j}\in\vec{x}}d(x_{j},\vec{C})$. This general
notation allows us to refer to the cost of the same clustering for different
instances. I.e, if $\vec{C}$ is the optimal clustering of $\vec{x}$, then
$cost(\vec{y},\vec{C})$ denotes the cost of instance $\vec{y}$ in clustering
$\vec{C}$ (where we select the same centers as in clustering $\vec{C}$ for
$\vec{x}$).
The fact that if Optimal outputs $k$ facilities, they optimize the social cost
is straightforward. So, we only need to establish strategyproofness. To this
end, we show the following: Let $\vec{x}$ be any $(2+\sqrt{3})$-perturbation
stable $k$-Facility Location instance with optimal clustering
$\vec{C}=(C_{1},\ldots,C_{k})$. For any agent $i$ and any location $y$, let
$\vec{Y}$ be the optimal clustering of the instance $\vec{y}=(\vec{x}_{-i},y)$
resulting from the deviation of $i$ from $x_{i}$ to $y$. Then, if $y$ does not
form a singleton cluster in $(\vec{y},\vec{Y})$, either
$d(x_{i},\vec{C})<d(x_{i},\vec{Y})$, or there is an $i\in[k-1]$ for which
$\max\\{D(Y_{i}),D(Y_{i+1})\\}\geq d(Y_{i},Y_{i+1})$.
So, we let $x_{i}\in C_{i}$ deviate to a location $y$, resulting in
$\vec{y}=(\vec{x}_{-i},y)$ with optimal clustering $\vec{Y}$. Since $y$ is not
a singleton cluster, it is clustered with agents belonging in one or two
clusters of $\vec{C}$, say either in cluster $C_{j}$ or in clusters $C_{j-1}$
and $C_{j}$. By optimally of $\vec{C}$ and $\vec{Y}$, the number of facilities
serving $C_{j-1}\cup C_{j}\cup\\{y\\}$ in $(\vec{y},\vec{Y})$ is no less than
the number of facilities serving $C_{j-1}\cup C_{j}$ in $(\vec{x},\vec{C})$.
Hence, there is at least one facility in either $C_{j-1}$ or $C_{j}$.
Wlog., suppose that a facility is allocated to an agent in $C_{j}$ in
$(\vec{y},\vec{Y})$. By Corollary 1 and Observation 2, no agent in $C_{j}$ is
served by a facility in $\vec{x}\setminus C_{j}$ in $\vec{Y}$. Thus we get the
following cases about what happens with the optimal clustering $\vec{Y}$ of
instance $\vec{y}=(\vec{x}_{-i},y)$:
Case 1:
$y$ is not allocated a facility in $\vec{Y}$: This can happen in one of two
ways:
Case 1a:
$y$ is clustered together with some agents from cluster $C_{j}$ and no
facility placed in $C_{j}$ serves agents in $\vec{x}\setminus C_{j}$ in
$\vec{Y}$.
Case 1b:
$y$ is clustered together with some agents from a cluster $C_{j}$ and at least
one of the facilities placed in $C_{j}$ serve agents in $\vec{x}\setminus
C_{j}$ in $\vec{Y}$.
Case 2:
$y$ is allocated a facility in $\vec{Y}$. This can happen in one of two ways:
Case 2a:
$y$ only serves agents that belong in $C_{j}$ (by optimality, $y$ must be the
median location of the new cluster, which implies that either $y<x_{i,l}$ and
$y$ only serves $x_{i,l}$ or $x_{j,l}\leq y\leq x_{j,r}$).
Case 2b:
In $\vec{Y}$, $y$ serves agents that belong in both $C_{j-1}$ and $C_{j}$.
We next show that the cost of the original clustering $\vec{C}$ is less than
the cost of clustering $\vec{Y}$ in $\vec{y}$. Hence, mechanism Optimal would
also select clustering $\vec{C}$ for $\vec{y}$, which would make $x_{i}$’s
deviation to $y$ non-profitable. In particular, it suffices to show that:
$\displaystyle cost(\vec{y},\vec{C})$ $\displaystyle<$ $\displaystyle
cost(\vec{y},\vec{Y})\Leftrightarrow$ $\displaystyle
cost(\vec{x},\vec{C})+d(y,\vec{C})-d(x_{i},\vec{C})$ $\displaystyle<$
$\displaystyle
cost(\vec{x},\vec{Y})+d(y,\vec{Y})-d(x_{i},\vec{Y})\Leftrightarrow$
$\displaystyle d(y,\vec{C})-d(y,\vec{Y})$ $\displaystyle<$ $\displaystyle
cost(\vec{x},\vec{Y})-cost(\vec{x},\vec{C})+d(x_{i},\vec{C})-d(x_{i},\vec{Y})$
Since $x_{i}$’s deviation to $y$ is profitable,
$d(x_{i},\vec{C})-d(x_{i},\vec{Y})>0$. Hence, it suffices to show that:
$\displaystyle d(y,\vec{C})-d(y,\vec{Y})$ $\displaystyle\leq$ $\displaystyle
cost(\vec{x},\vec{Y})-cost(\vec{x},\vec{C})$ (1) $\displaystyle=$
$\displaystyle cost(C_{j},\vec{Y})-cost(C_{j},\vec{C})+cost(\vec{x}\setminus
C_{j},\vec{Y})-cost(\vec{x}\setminus C_{j},\vec{C})$
We first consider Case 1a and Case 2a, i.e., the cases where $\vec{Y}$
allocates facilities to agents of $C_{j}$ (between $x_{j,l}$ and $x_{j,r}$)
that serve only agents in $C_{j}$. Note that in case 2a, $y$ can also be
located outside of $C_{j}$ and serve only $x_{i,l}$. We can treat this case as
Case 1a, since it is equivalent to placing the facility on $x_{i,l}$ and
serving $y$ from there.
In Case 1a and Case 2a, we note that (1) holds if the clustering $\vec{Y}$
allocates a single facility to agents in $C_{j}\cup\\{y\\}$, because the
facility is allocated to the median of $C_{j}\cup\\{y\\}$, hence
$d(y,\vec{C})-d(y,\vec{Y})=cost(C_{j},\vec{Y})-cost(C_{j},\vec{C})$, while
$cost(\vec{x}\setminus C_{j},\vec{Y})-cost(\vec{x}\setminus C_{j},\vec{C})\geq
0$, since $\vec{C}$ is optimal for $\vec{x}$. So, we focus on the most
interesting case where the agents in $C_{j}\cup\\{y\\}$ are allocated at least
two facilities. We observe that (1) follows from:
$\displaystyle d(y,\vec{C})-d(y,\vec{Y})$
$\displaystyle\leq\tfrac{1}{\gamma}\Big{(}cost(\vec{x}\setminus
C_{j},\vec{Y})-cost(\vec{x}\setminus C_{j},\vec{C})\Big{)}$ (2) $\displaystyle
cost(C_{j},\vec{C})-cost(C_{j},\vec{Y})$
$\displaystyle\leq\left(1-\tfrac{1}{\gamma}\right)\Big{(}cost(\vec{x}\setminus
C_{j},\vec{Y})-cost(\vec{x}\setminus C_{j},\vec{C})\Big{)}$ (3)
To establish (2) and (3), we first consider the valid $\gamma$-perturbation of
the original instance $\vec{x}$ where all distances between consecutive agent
pairs to the left of $C_{j}$ (i.e. agents
$\\{x_{1},x_{2},\ldots,x_{j-1,r}\\}$) and between consecutive agent pairs to
the right of $C_{j}$ (i.e. agents $\\{x_{j+1,l},\ldots,x_{k,r}\\}$) are scaled
down by $\gamma$. By stability, the clustering $\vec{C}$ remains the unique
optimal clustering for the perturbed instance $\vec{x}^{\prime}$. Moreover,
since agents in $\vec{x}\setminus C_{j}$ are not served by a facility in
$C_{j}$ in $\vec{C}$ and $\vec{Y}$, and since all distances outside $C_{j}$
are scaled down by $\gamma$, while all distances within $C_{j}$ remain the
same, the cost of the clusterings $\vec{C}$ and $\vec{Y}$ for the perturbed
instance $\vec{x}^{\prime}$ is $cost(C_{j},\vec{C})+cost(\vec{x}\setminus
C_{j},\vec{C})/\gamma$ and $cost(C_{j},\vec{Y})+cost(\vec{x}\setminus
C_{j},\vec{Y})/\gamma$, respectively. Using
$cost(\vec{x}^{\prime},\vec{C})<cost(\vec{x}^{\prime},\vec{Y})$ and
$\gamma\geq 2$, we obtain:
$\displaystyle cost(C_{j},\vec{C})-cost(C_{j},\vec{Y})$
$\displaystyle<\tfrac{1}{\gamma}\Big{(}cost(\vec{x}\setminus
C_{j},\vec{Y})-cost(\vec{x}\setminus C_{j},\vec{C})\Big{)}$ (4)
$\displaystyle\leq\left(1-\tfrac{1}{\gamma}\right)\Big{(}cost(\vec{x}\setminus
C_{j},\vec{Y})-cost(\vec{x}\setminus C_{j},\vec{C})\Big{)}$ (5)
Moreover, if $C_{j}\cup\\{y\\}$ is served by at least two facilities in
$\vec{Y}$, the facility serving $y$ (and some agents of $C_{j}$) is placed at
the median location of $\vec{Y}$’s cluster that contains $y$. Wlog., we assume
that $y$ lies on the left of the median of $C_{j}$. Then, the decrease in the
cost of $y$ due to the additional facility in $\vec{Y}$ is equal to the
decrease in the cost of $x_{i,l}$ in $\vec{Y}$, which bounds from below the
total decrease in the cost of $C_{j}$ due to the additional facility in
$\vec{Y}$. Hence,
$d(y,\vec{C})-d(y,\vec{Y})\leq cost(C_{j},\vec{C})-cost(C_{j},\vec{Y})$ (6)
We conclude Case 1a and Case 2a, by observing that (2) follows directly from
(6) and (4).
Finally, we study Case 1b and Case 2b, i.e, the cases where some agents of
$C_{j}$ are clustered with agents of $\vec{x}\setminus C_{j}$ in $\vec{Y}$.
Let $C_{j1}^{\prime}$ and $C_{j2}^{\prime}$ denote the clusters of
$(\vec{y},\vec{Y})$ including all agents of $C_{j}$ (i.e., $C_{j}\subseteq
C_{j1}^{\prime}\cup C_{j2}^{\prime}$). By hypothesis, at least one of
$C_{j1}^{\prime}$ and $C_{j2}^{\prime}$ contains an agent
$z\in\vec{x}\setminus C_{j}$. Suppose this is true for the cluster
$C_{j1}^{\prime}$. Then, $D(C_{j1}^{\prime})>D(C_{j})$, since by Corollary 1,
for any $\gamma\geq(2+\sqrt{3})$, the distance of any agent $z$ outside
$C_{j}$ to the nearest agent in $C_{j}$ is larger than $C_{j}$’s diameter. But
since both $C_{j1}^{\prime}$ and $C_{j2}^{\prime}$ contain agents of $C_{j}$,
we have that $d(C_{j1}^{\prime},C_{j2}^{\prime})<D(C_{j})$. Therefore,
$D(C_{j1}^{\prime})>d(C_{j1}^{\prime},C_{j2}^{\prime})$ and the cluster-
separation property is violated. Hence the resulting instance $\vec{y}$ is not
$\gamma$-stable and Mechanism 1 does not allocated any facilities for it. ∎
## 5 A Deterministic Mechanism Resistant to Singleton Deviations
Next, we present a deterministic strategyproof mechanism for $5$-stable
instances of $k$-Facility Location whose optimal clustering may include
singleton clusters. To make singleton cluster deviations non profitable,
cluster merging has to be discouraged by the facility allocation rule. So, we
allocate facilities near the edge of each optimal cluster, ending up with a
significantly larger approximation ratio and a requirement for larger
stability, in order to achieve strategyproofness. Specifically, we now need to
ensure that no agent can become a singleton cluster close enough to her
original location. Moreover, since agents can now gain by splitting their
(true) optimal cluster, we need to ensure that such deviations are either non
profitable or violate the cluster-separation property.
Result: An allocation of $k$-facilities
Input: A $k$-Facility Location instance $\vec{x}$.
1 Find the optimal clustering $\vec{C}=(C_{1},\ldots,C_{k})$ of $\vec{x}$.
2
3if _there are two consecutive clusters $C_{i}$ and $C_{i+1}$ with
$\max\\{D(C_{i}),D(C_{i+1})\\}\geq d(C_{i},C_{i+1})$_ then
Output: “FACILITIES ARE NOT ALLOCATED”.
4
5
6for _$i\in\\{1,\ldots,k\\}$_ do
7 if _$|C_{i}| >1$_ then
8 Allocate a facility to the location of the second rightmost agent of
$C_{i}$, i.e., $c_{i}\leftarrow x_{i,r-1}$.
9 else
10 Allocate a facility to the single agent location of $C_{i}$:
$c_{i}\leftarrow x_{i,l}$
11 end if
12
13 end for
Output: The $k$-facility allocation $\vec{c}=(c_{1},\ldots,c_{k})$.
Mechanism 2 AlmostRightmost
###### Theorem 5.1
AlmostRightmost (Mechanism 2) is strategyproof for $5$-stable instances of
$k$-Facility Location and achieves an approximation ratio of $(n-2)/2$.
###### Proof
The approximation ratio of $(n-2)/2$ follows directly from the fact that the
mechanism allocates the facility to the second rightmost agent of each non-
singleton optimal cluster.
As for strategyproofness, let $\vec{x}$ denote the true instance and
$\vec{C}=(C_{1},\ldots,C_{k})$ its optimal clustering. We consider an agent
$x_{i}\in C_{j}$ deviating to location $y$, resulting in an instance
$\vec{y}=(\vec{x}_{-i},y)$ with optimal clustering $\vec{Y}$. Agent $x_{i}$’s
cost is at most $D(C_{j})$. Agent $x_{i}$ could profitably declare false
location $y$ in the following ways:
Case 1:
The agents in $C_{j}$ are clustered together in $\vec{Y}$ and $y$ is allocated
a facility with $d(y,x_{i})<d(x_{i},x_{i,r-1})\leq D(C_{j})$ ($x_{i,r-1}$ is
the location of $x_{i}$’s facility, when she is truthful).
Case 1a:
$y$ is a singleton cluster and $d(y,x_{i})<D(C_{j})$. For $5$-stable
instances, Lemma 3 implies that $x_{i}\in C_{j}$ has to move by at least
$D(C_{j})$ to become a singleton cluster, a contradiction.
Case 1b:
$y$ is the second rightmost agent of a cluster $C_{j}^{\prime}$ in
$(\vec{y},\vec{Y})$. Then, the agent $x_{i}$ can gain only if
$d(y,x_{i})<D(C_{j})$. In Case 1, the agents in $C_{j}$ are clustered together
in $\vec{Y}$. If $y<x_{i}$, $y$ must be the second rightmost agent of a
cluster on the left of $x_{j,l}$ and by Lemma 1, $d(x_{i},y)\geq
d(x_{j,l},x_{j-1,r})>D(C_{j})$. Hence, such a deviation cannot be profitable
for $x_{i}$ (note how this case crucially uses the facility allocation to the
second rightmost agent of a cluster). If $y>x_{i}$, $x_{i}$ can only gain if
$y$ is the second rightmost agent of a cluster including
$C_{j}\cup\\{y,x_{j+1,l}\\}$ and possibly some agents on the left of $C_{j}$,
which is treated below.
Case 2:
The agents in $C_{j}$ are clustered together in $\vec{Y}$ and $C_{j}$ is
merged with some agents from $C_{j+1}$ and possibly some other agents to the
left of $x_{j,l}$ (note that merging $C_{j}$ only with agents to the left of
$x_{j,l}$ does not change the facility of $x_{i}$). Then, we only need to
consider the case where the deviating agent $x_{i}$ is $x_{j,r}$, since any
other agent to the left of $x_{j,r-1}$ cannot gain, because cluster merging
can only move their serving facility further to the right. As for $x_{j,r}$,
we note that by optimality and the hypothesis that agents in $C_{j}$ belong in
the same cluster of $\vec{Y}$, $x_{i,r}$ cannot cause the clusters $C_{j}$ and
$C_{j+1}$ to merge in $\vec{Y}$ by deviating in the range
$[x_{j,r},x_{j+1,l}]$. The reason is that the set of agents
$(C_{i}\setminus\\{x_{j,r}\\})\cup\\{y\\}\cup C_{j+1}$ cannot be served
optimally by a single facility, when the set of agents $C_{j}\cup C_{j+1}$
requires two facilities in the optimal clustering $\vec{C}$. Hence, unless
$C_{j+1}$ is split in $\vec{Y}$ (which is treated similarly to Case 3a),
$x_{j,r}$ can only move her facility to $C_{j+1}$, which is not profitable for
her, due to Lemma 1.
Case 3:
$C_{j}$ is split into two clusters in $\vec{Y}$. Hence, the leftmost agents,
originally in $C_{j}$, are served by a different facility than the rest of the
agents originally in $C_{j}$. We next show that in any profitable deviation of
$x_{i}$ where $C_{j}$ is split, either the deviation is not feasible or the
cluster-separation property is violated. The case analysis below is similar to
the proof of Theorem 4.1.
Case 3a:
Agents in $C_{j}$ are clustered together with some agents of $\vec{x}\setminus
C_{j}$ in $\vec{Y}$. By hypothesis, there are agents $z,w\in C_{j}$ placed in
different clusters of $\vec{Y}$, and at least one of them, say $z$, is
clustered together with an agent $p\in C_{\ell}$, with $\ell\neq j$, in
$\vec{Y}$. For brevity, we refer to the (different) clusters in which $z$ and
$w$ are placed in clustering $\vec{Y}$ as $C_{z}^{\prime}$ and
$C_{w}^{\prime}$, respectively. Then, $D(C_{z}^{\prime})\geq d(p,z)>D(C_{j})$,
by Lemma 1. But also $d(C_{z}^{\prime},C_{w}^{\prime})<d(z,w)\leq D(C_{j})$,
and consequently, $D(C_{z}^{\prime})>d(C_{z}^{\prime},C_{w}^{\prime})$, which
implies that the cluster-separation property is violated and Mechanism 2 does
not allocate any facilities in this case.
Case 3b:
Agents in $C_{j}$ are split and are not clustered together with any agents of
$\vec{x}\setminus C_{j}$ in $\vec{Y}$. Hence, $y$ is not clustered with any
agents in $\vec{x}\setminus C_{j}$ in $\vec{Y}$. Otherwise, i.e., if $y$ is
not clustered with agents of $C_{j}$ in $\vec{Y}$, it would be suboptimal for
clustering $\vec{Y}$ to allocate more than one facility to agents of
$C_{j}\setminus\\{x_{i}\\}$ and at most $k-2$ facilities to
$(\vec{x}\cup\\{y\\})\setminus C_{j}$, while the optimal clustering $\vec{C}$
allocates a single facility to $C_{j}$ and $k-1$ facilities to
$\vec{x}\setminus C_{j}$. But again if $y$ is only clustered with agents of
$C_{j}$, it is suboptimal for clustering $\vec{Y}$ to allocate more than one
facility to agents of $(C_{j}\cup\\{y\\})\setminus\\{x_{i}\\}$ and at most
$k-2$ facilities to $\vec{x}\setminus C_{j}$, while the optimal clustering
$\vec{C}$ allocates a single facility to $C_{j}$ and $k-1$ facilities to
$\vec{x}\setminus C_{j}$, as shown in the proof of Theorem 4.1.∎
## 6 Low Stability and Inapproximability by Deterministic Mechanisms
We next extend the impossibility result of [27, Theorem 3.7] to
$\sqrt{2}$-stable instances of $k$-Facility Location on the line, with $k\geq
3$. Thus, we provide strong evidence that restricting our attention to stable
instances does not make strategyproof mechanism design trivial.
### 6.1 Image Sets, Holes and Well-Separated Instances
We start with some basic facts about strategyproof mechanisms and by adapting
the technical machinery of well-separating instances from [27, Section 2.2] to
stable instances.
Image Sets and Holes. Given a mechanism $M$, the _image set_
$I_{i}(\vec{x}_{-i})$ of an agent $i$ with respect to an instance
$\vec{x}_{-i}$ is the set of facility locations the agent $i$ can obtain by
varying her reported location. Formally,
$I_{i}(\vec{x}_{-i})=\\{a\in\mathbb{R}:\exists y\in\mathbb{R}\mbox{ with
}M(\vec{x}_{-i},y)=a\\}$.
If $M$ is strategyproof, any image set $I_{i}(\vec{x}_{-i})$ is a collection
of closed intervals (see e.g., [47, p. 249]). Moreover, a strategyproof
mechanism $M$ places a facility at the location in $I_{i}(\vec{x}_{-i})$
nearest to the declared location of agent $i$. Formally, for any agent $i$,
all instances $\vec{x}$, and all locations $y$,
$d(y,M(\vec{x}_{-i},y))=\inf_{a\in I_{i}(\vec{x}_{-i})}\\{d(y,a)\\}$.
Some care is due, because we consider mechanisms that need to be strategyproof
only for $\gamma$-stable instances $(\vec{x}_{-i},y)$. The image set of such a
mechanism $M$ is well defined (possibly by assuming that all facilities are
placed to essentially $+\infty$), whenever $(\vec{x}_{-i},y)$ is not
$\gamma$-stable. Moreover, the requirement that $M$ places a facility at the
location in $I_{i}(\vec{x}_{-i})$ nearest to the declared location $y$ of
agent $i$ holds only if the resulting instance $(\vec{x}_{-i},y)$ is stable.
We should underline that all instances considered in the proof of Theorem 6.1
are stable (and the same holds for the proofs of the propositions adapted from
[27, Section 2.2]).
Any (open) interval in the complement of an image set $I\equiv
I_{i}(\vec{x}_{-i})$ is called a _hole_ of $I$. Given a location $y\not\in I$,
we let $l_{y}=\sup_{a\in I}\\{a<y\\}$ and $r_{y}=\inf_{a\in I}\\{a>y\\}$ be
the locations in $I$ nearest to $y$ on the left and on the right,
respectively. Since $I$ is a collection of closed intervals, $l_{y}$ and
$r_{y}$ are well-defined and satisfy $l_{y}<y<r_{y}$. For convenience, given a
$y\not\in I$, we refer to the interval $(l_{y},r_{y})$ as a $y$-hole in $I$.
Well-Separated Instances. Given a deterministic strategyproof mechanism $M$
with a bounded approximation $\rho\geq 1$ for $k$-Facility Location, an
instance $\vec{x}$ is $(x_{1}|\cdots|x_{k-1}|x_{k},x_{k+1})$-_well-separated_
if $x_{1}<\cdots<x_{k}<x_{k+1}$ and $\rho
d(x_{k+1},x_{k})<\min_{i\in\\{2,\ldots,k\\}}\\{d(x_{i-1},x_{i})\\}$. We call
$x_{k}$ and $x_{k+1}$ the _isolated pair_ of the well-separated instance
$\vec{x}$.
Hence, given a $\rho$-approximate mechanism $M$ for $k$-Facility Location, a
well-separated instance includes a pair of nearby agents at distance to each
other less than $1/\rho$ times the distance between any other pair of
consecutive agents. Therefore, any $\rho$-approximate mechanism serves the two
nearby agents by the same facility and serve each of the remaining “isolated”
agents by a different facility. We remark that well-separated instances are
also $\rho$-stable.
We are now ready to adapt some useful properties of well-separated instances
from [27, Section 2.2]. It is not hard to verify that the proofs of the
auxiliary lemmas below apply to $\sqrt{2}$-stable instances, either without
any change or with some minor modifications (see also [27, Appendix A]). For
completeness, we give the proofs of the lemmas below in Appendix 0.D.
###### Lemma 4 (Proposition 2.2, [27])
Let $M$ be any deterministic startegyproof mechanism with a bounded
approximation ratio $\rho\geq 1$. For any
$(x_{1}|\cdots|x_{k-1}|x_{k},x_{k+1})$-well-separated instance $\vec{x}$,
$M_{k}(\vec{x})\in[x_{k},x_{k+1}]$.
###### Lemma 5 (Proposition 2.3, [27])
Let $M$ be any deterministic startegyproof mechanism with a bounded
approximation ratio $\rho\geq 1$, and let $\vec{x}$ be a
$(x_{1}|\cdots|x_{k-1}|x_{k},x_{k+1})$-well-separated instance with
$M_{k}(\vec{x})=x_{k}$. Then, for every
$(x_{1}|...|x_{k-1}|x^{\prime}_{k},x^{\prime}_{k+1})$-well-separated instance
$\vec{x}^{\prime}$ with $x^{\prime}_{k}\geq x_{k}$,
$M_{k}(\vec{x}^{\prime})=x^{\prime}_{k}$.
###### Lemma 6 (Proposition 2.4, [27])
Let $M$ be any deterministic startegyproof mechanism with a bounded
approximation ratio $\rho\geq 1$, and let $\vec{x}$ be a
$(x_{1}|\cdots|x_{k-1}|x_{k},x_{k+1})$-well-separated instance with
$M_{k}(\vec{x})=x_{k+1}$. Then, for every
$(x_{1}|...|x_{k-1}|x^{\prime}_{k},x^{\prime}_{k+1})$-well-separated instance
$\vec{x}^{\prime}$ with $x^{\prime}_{k+1}\leq x_{k+1}$,
$M_{k}(\vec{x}^{\prime})=x^{\prime}_{k+1}$.
### 6.2 The Proof of the Impossibility Result
We are now ready to establish the main result of this section. The proof of
the following builds on the proof of [27, Theorem 3.7]. However, we need some
additional ideas and to be way more careful with the agent deviations used in
the proof, since our proof can only rely on $\sqrt{2}$-stable instances.
###### Theorem 6.1
For every $k\geq 3$ and any $\delta>0$, any deterministic anonymous
strategyproof mechanism for $(\sqrt{2}-\delta)$-stable instances of
$k$-Facility Location on the real line with $n\geq k+1$ agents has an
unbounded approximation ratio.
###### Proof
We only consider the case where $k=3$ and $n=4$. It is not hard to verify that
the proof applies to any $k\geq 3$ and $n\geq k+1$. To reach a contradiction,
let $M$ be any deterministic anonymous strategyproof mechanism for
$(\sqrt{2}-\delta)$-stable instances of $3$-Facility Location with $n=4$
agents and with an approximation ratio of $\rho\geq 1$.
We consider a $(x_{1}|x_{2}|x_{3},x_{4})$-well-separated instance $\vec{x}$.
For a large enough $\lambda\gg\rho$ and a very large (practically infinite)
$B\gg 6\rho\lambda$, we let
$\vec{x}=(0,\lambda,6B+\lambda,6B+\lambda+\varepsilon)$, for some small enough
$\varepsilon>0$ ($\varepsilon\ll\lambda/\rho$). By choosing $\lambda$ and
$\varepsilon$ appropriately, becomes the instance $\vec{x}$ $\gamma$-stable,
for $\gamma\gg\sqrt{2}$.
By Lemma 4, $M_{3}(\vec{x})\in[x_{3},x_{4}]$. Wlog, we assume that
$M_{3}(\vec{x})\neq x_{3}$ (the case where $M_{3}(\vec{x})\neq x_{4}$ is fully
symmetric and requires Lemma 5). Then, by moving agent $4$ to
$M_{3}(\vec{x})$, which results in a well-separated instance and, by
strategyproofness, requires that $M$ keeps a facility there, we can assume
wlog. that $M_{3}(\vec{x})=x_{4}$.
Since $\vec{x}$ is well-separated and $M$ is $\rho$-approximate, both $x_{3}$
and $x_{4}$ are served by the facility at $x_{4}$. Hence, there is a
$x_{3}$-hole $h=(l,r)$ in the image set $I_{3}(\vec{x}_{-3})$. Since
$M(\vec{x})$ places a facility at $x_{4}$ and not in $x_{3}$, the right
endpoint $r$ of $h$ lies between $x_{3}$ and $x_{4}$, i.e.
$r\in(x_{3},x_{4}]$. Moreover, since $M$ is $\rho$-approximate and
strategyproof for $(\sqrt{2}-\delta)$-stable instances, agent $3$ should be
served by a facility at distance at most $\rho\lambda$ to her, if she is
located at $4B$. Hence, the left endpoint of the hole $h$ is $l>3B$. We
distinguish two cases based on the distance of the left endpoint $l$ of $h$ to
$x_{4}$.
Case 1: $x_{4}-l>\sqrt{2}\lambda$. We consider the instance
$\vec{y}=(\vec{x}_{-3},a)$, where $a>l$ is arbitrarily close to $l$ (i.e.,
$a\gtrsim l$) so that $d(a,x_{4})=\sqrt{2}\lambda$. Since
$d(x_{1},x_{2})=\lambda$, $d(x_{2},a)$ is quite large, and
$d(a,x_{4})=\sqrt{2}\lambda$, the instance $\vec{y}$ is
$(\sqrt{2}-\delta)$-stable, for any $\delta>0$. By strategyproofness,
$M(\vec{y})$ must place a facility at $l$, since $l\in I_{3}(\vec{x}_{-3})$.
Now, we consider the instance $\vec{y}^{\prime}=(\vec{y}_{-4},l)$. Since we
can choose $a>l$ so that $d(l,a)\ll\lambda$, the instance $\vec{y}^{\prime}$
is $(x_{1}|x_{2}|l,a)$-well-separated and $(\sqrt{2}-\delta)$-stable. Hence,
by strategyproofness, $M(\vec{y}^{\prime})$ must keep a facility at $l$,
because $l\in I_{4}(\vec{y}_{-4})$.
Then, by Lemma 6, $y^{\prime}_{4}=a\in M(\vec{y}^{\prime})$, because for the
$(x_{1}|x_{2}|x_{3},x_{4})$-well-separated instance $\vec{x}$,
$M_{3}(\vec{x})=x_{4}$, and $\vec{y}^{\prime}$ is a $(x_{1}|x_{2}|l,a)$-well-
separated instance with $y^{\prime}_{4}\leq x_{4}$. Since both $l,a\in
M(\vec{y}^{\prime})$, either agents $1$ and $2$ are served by the same
facility of $M(\vec{y}^{\prime})$ or agent $2$ is served by the facility at
$l$. In both cases, the social cost of $M(\vec{y}^{\prime})$ becomes
arbitrarily larger than $a-l$, which is the optimal social cost of the
$3$-Facility Location instance $\vec{y}^{\prime}$.
Case 2: $x_{4}-l\leq\sqrt{2}\lambda$. This case is similar to Case 1, but it
requires a bit more careful further case analysis. The details can be found in
Appendix 0.E. ∎
Result: An allocation of $k$-facilities
Input: A $k$-Facility Location instance $\vec{x}$.
1 Find the optimal clustering $\vec{C}=(C_{1},\ldots,C_{k})$ of $\vec{x}$.
2
3if _there are two consecutive clusters $C_{i}$ and $C_{i+1}$ with
$1.6\cdot\max\\{D(C_{i}),D(C_{i+1})\\}\geq d(C_{i},C_{i+1})$_ then
Output: “FACILITIES ARE NOT ALLOCATED”.
4
5
6for _$i\in\\{1,\ldots,k\\}$_ do
7 Allocate the facility to an agent $c_{i}$ selected uniformly at random from
the agents of cluster $C_{i}$
8 end for
Output: The $k$-facility allocation $\vec{c}=(c_{1},\ldots,c_{k})$.
Mechanism 3 Random
## 7 A Randomized Mechanism with Constant Approximation
In this section, we show that for an appropriate stability, a simple
randomized mechanism is strategyproof, can deal with singleton clusters and
achieves an approximation ratio of $2$.
The intuition is that the AlmostRightmost mechanism can be easily transformed
to a randomized mechanism, using the same key properties to guarantee
strategyproofness, but achieving an $O(1)$-approximation, as opposed to
$O(n)$-approximation of AlmostRightmost. Specifically, Random (see also
Mechanism 3) again finds the optimal clusters, but then places a facility at
the location of an agent selected uniformly at random from each optimal
cluster. We again use cluster-separation property, as a necessary condition
for stability of the optimal clustering. The stability properties required to
guarantee strategyproofness are very similar to those required by
AlmostRightmost, because the set of possible profitable deviations is very
similar for AlmostRightmost and Random. Finally, notice that the cluster-
separation property step of Random (step 2) now makes use that due to Lemma 1,
it must be $1.6\cdot\max\\{D(C_{i}),D(C_{i+1})\\}<d(C_{i},C_{i+1})$ for
$5$-stable instances.
###### Theorem 7.1
Random (Mechanism 3) is strategyproof and achieves an approximation ratio of
$2$ for $5$-stable instances of $k$-Facility Location on the line.
###### Proof (Sketch.)
We present here the outline of the proof. The full proof can be found in
Appendix 0.F. The approximation guarantee is straightforward to verify. As
mentioned, the proof of strategyproofness is smilar to the proof of Theorem
5.1. In general, we need to cover the key deviation cases, which include the
following:
Case 1:
why agent deviating agent $x\in C_{i}$ cannot gain by becoming a member of
another cluster,
Case 2:
or by becoming a self serving center,
Case 3:
or by merging or splitting $C_{i}$.
Cases 2 and 3 can be immediately derived from the proof of Theorem 5.1.
The most interesting case is Case 1: $x_{i}$ deviates to $x^{\prime}$ to be
clustered together with agents from a different cluster of $\vec{C}$, in order
to gain, without splitting $C_{i}$ (again consider $\vec{C}=(C_{1},...,C_{k})$
the optimal clustering of original instance $\vec{x}$ and $\vec{C}^{\prime}$
the optimal clustering of instance
$\vec{x}^{\prime}=(\vec{x}_{-i},x^{\prime})$).
By analyzing the expected value of agent $x_{i}$ in both clusterings $\vec{C}$
and $\vec{C}^{\prime}$ we show that in order for her to be able to gain from
such a deviation, it must be $d(x^{\prime},x_{i})<D(C_{i})$ and $x^{\prime}$
is clustered together with agents in $C_{i-1}$ or $C_{i+1}$, suppose $C_{i-1}$
w.l.o.g. Since agents in $C_{i}\setminus x_{i}$ are not split in clustering
$\vec{C}^{\prime}$, we know they form cluster
$C_{i^{\prime}}^{\prime}\in\vec{C}^{\prime}$. Hence, in this case $x\in
C_{i^{\prime}-1}^{\prime}$. The key to the proof is to show that since
$d(x^{\prime},x_{i})<D(C_{i})$ then clustering $\vec{C}^{\prime}$ on instance
$\vec{x}^{\prime}$ violates the cluster separation property verification step,
either between clusters $C_{i^{\prime}}^{\prime}$ and
$C_{i^{\prime}-1}^{\prime}$ or between clusters $C_{i^{\prime}-1}^{\prime}$
and $C_{i^{\prime}-2}^{\prime}$. This is also the reason why in this case the
cluster separation property verification step needs to be more precise, for
$5$-stable instances, as mentioned in the description of the algorithm. ∎
## References
* [1] Agarwal, P., Chang, H., Munagala, K., Taylor, E., Welzl, E.: Clustering under perturbation stability in near-linear time. In: Proc. of the 40th IARCS Conference on Foundations of Software Technology and Theoretical Computer Science (FSTTCS 2020). LIPIcs, vol. 182, pp. 8:1–8:16 (2020)
* [2] Alon, N., Feldman, M., Procaccia, A., Tennenholtz, M.: Strategyproof approximation of the minimax on networks. Mathematics of Operations Research 35(3), 513–526 (2010)
* [3] Angelidakis, H., Makarychev, K., Makarychev, Y.: Algorithms for stable and perturbation-resilient problems. In: Proc. of the 49th ACM Symposium on Theory of Computing (STOC 2017). pp. 438–451 (2017)
* [4] Archer, A., Kleinberg, R.: Truthful germs are contagious: A local-to-global characterization of truthfulness. In: Proc. of the 9th ACM Conference on Electronic Commerce (EC ’08). pp. 21–30 (2008)
* [5] Arthur, D., Vassilvitskii, S.: k-means++: the advantages of careful seeding. In: Proceedings of the Eighteenth Annual ACM-SIAM Symposium on Discrete Algorithms (SODA 2007). pp. 1027–1035. SIAM (2007)
* [6] Auletta, V., Prisco, R.D., Penna, P., Persiano, G.: The power of verification for one-parameter agents. Journal of Computer and System Sciences 75, 190–211 (2009)
* [7] Awasthi, P., Blum, A., Sheffet, O.: Center-based clustering under perturbation stability. Inf. Process. Lett. 112(1-2), 49–54 (2012)
* [8] Babaioff, M., Dobzinski, S., Oren, S.: Combinatorial auctions with endowment effect. In: Proc. of the 2018 ACM Conference on Economics and Computation (EC 2018). pp. 73–90 (2018)
* [9] Balcan, M., Haghtalab, N., White, C.: $k$-Center Clustering Under Perturbation Resilience. In: Proc. of the 43rd International Colloquium on Automata, Languages and Programming (ICALP 2016). LIPIcs, vol. 55, pp. 68:1–68:14 (2016)
* [10] Balcan, M.F., Blum, A., Gupta, A.: Clustering under approximation stability. Journal of the ACM 60(2) (2013)
* [11] Balcan, M., Liang, Y.: Clustering under perturbation resilience. SIAM Journal on Computing 45(1), 102–155 (2016)
* [12] Bilu, Y., Linial, N.: Are Stable Instances Easy? In: Proc. of the 1st Symposium on Innovations in Computer Science (ICS 2010). pp. 332–341. Tsinghua University Press (2010)
* [13] Bilu, Y., Daniely, A., Linial, N., Saks, M.E.: On the practically interesting instances of MAXCUT. In: Portier, N., Wilke, T. (eds.) Proceedings of the 30th International Symposium on Theoretical Aspects of Computer Science (STACS 2013). LIPIcs, vol. 20, pp. 526–537. Schloss Dagstuhl - Leibniz-Zentrum für Informatik (2013)
* [14] Caragiannis, I., Elkind, E., Szegedy, M., Yu, L.: Mechanism design: from partial to probabilistic verification. In: Proc. of the 13th ACM Conference on Electronic Commerce (EC ’12). pp. 266–283 (2012)
* [15] Carroll, G.: When are local incentive constraints sufficient? Econometrica 80(2), 661–686 (2012)
* [16] Chen, Z., Fong, K.C., Li, M., Wang, K., Yuan, H., Zhang, Y.: Facility location games with optional preference. Theoretical Computer Science 847, 185–197 (2020)
* [17] Dokow, E., Feldman, M., Meir, R., Nehama, I.: Mechanism design on discrete lines and cycles. In: Proc. of the 13th ACM Conference on Electronic Commerce (EC ’12). pp. 423–440 (2012)
* [18] Düetting, P., Feldman, M., Kesselheim, T., Lucier, B.: Prophet Inequalities Made Easy: Stochastic Optimization by Pricing Non-Stochastic Inputs. In: Proc. of the 58th Symposium on Foundations of Computer Science (FOCS 2017). pp. 540–551 (2017)
* [19] Escoffier, B., Gourvès, L., Thang, N., Pascual, F., Spanjaard, O.: Strategy-proof mechanisms for Facility Location games with many facilities. In: Proc. of the 2nd International Conference on Algorithmic Decision Theory (ADT ’11). LNAI, vol. 6992, pp. 67–81 (2011)
* [20] Ezra, T., Feldman, M., Friedler, O.: A general framework for endowment effects in combinatorial markets. In: Proc. of the 2020 ACM Conference on Economics and Computation (EC 2020) (2020)
* [21] Feigenbaum, I., Li, M., Sethuraman, J., Wang, F., Zou, S.: Strategic facility location problems with linear single-dipped and single-peaked preferences. Autonomous Agents and Multi-Agent Systems 34(2), 49 (2020)
* [22] Feldman, M., Gravin, N., Lucier, B.: Combinatorial Auctions via Posted Prices. In: Proc. of the 26th ACM-SIAM Symposium on Discrete Algorithms. pp. 123–135 (2014)
* [23] Feldman, M., Wilf, Y.: Randomized strategyproof mechanisms for Facility Location and the mini-sum-of-squares objective. CoRR abs 1108.1762 (2011)
* [24] Fikioris, G., Fotakis, D.: Mechanism design for perturbation stable combinatorial auctions. In: Proceedings of the 13th International Symposium on Algorithmic Game Theory (SAGT 2020). Lecture Notes in Computer Science, vol. 12283, pp. 47–63. Springer (2020)
* [25] Filimonov, A., Meir, R.: Strategyproof facility location mechanisms on discrete trees. CoRR abs/2102.02610 (2021), https://arxiv.org/abs/2102.02610
* [26] Fotakis, D., Tzamos, C.: Winner-imposing strategyproof mechanisms for multiple facility location games. Theoretical Computer Science 472, 90–103 (2013)
* [27] Fotakis, D., Tzamos, C.: On the power of deterministic mechanisms for facility location games. ACM Transactions on Economics and Computation 2(4), 15:1–15:37 (2014)
* [28] Fotakis, D., Tzamos, C.: Strategyproof facility location for concave cost functions. Algorithmica 76(1), 143–167 (2016)
* [29] Fotakis, D., Tzamos, C., Zampetakis, M.: Mechanism design with selective verification. In: Proceedings of the 2016 ACM Conference on Economics and Computation (EC 2016). pp. 771–788. ACM (2016)
* [30] Fotakis, D., Zampetakis, E.: Truthfulness flooded domains and the power of verification for mechanism design. ACM Transactions on Economics and Computation 3(4), 20:1–20:29 (2015)
* [31] Goel, S., Hann-Caruthers, W.: Coordinate-wise median: Not bad, not bad, pretty good. CoRR abs/2007.00903 (2020), https://arxiv.org/abs/2007.00903
* [32] Golomb, I., Tzamos, C.: Truthful facility location with additive errors. CoRR abs/1701.00529 (2017), http://arxiv.org/abs/1701.00529
* [33] Green, J., Laffont, J.: Partially verifiable information and mechanism design. Review of Economic Studies 53(3), 447–456 (1986)
* [34] Kyropoulou, M., Ventre, C., Zhang, X.: Mechanism design for constrained heterogeneous facility location. In: Proceedings of the 12th International Symposium on Algorithmic Game Theory (SAGT 2019). Lecture Notes in Computer Science, vol. 11801, pp. 63–76. Springer (2019)
* [35] Li, M., Lu, P., Yao, Y., Zhang, J.: Strategyproof mechanism for two heterogeneous facilities with constant approximation ratio. In: Proceedings of the 29th International Joint Conference on Artificial Intelligence (IJCAI 2020). pp. 238–245 (2020)
* [36] Lu, P., Sun, X., Wang, Y., Zhu, Z.: Asymptotically Optimal Strategy-Proof Mechanisms for Two-Facility Games. In: Proc. of the 11th ACM Conference on Electronic Commerce (EC ’10). pp. 315–324 (2010)
* [37] Lu, P., Wang, Y., Zhou, Y.: Tighter bounds for facility games. In: Proc. of the 5th Workshop on Internet and Network Economics (WINE ’09). LNCS, vol. 5929, pp. 137–148 (2009)
* [38] Mei, L., Li, M., Ye, D., Zhang, G.: Facility location games with distinct desires. Discrete Applied Mathematics 264, 148–160 (2019)
* [39] Meir, R.: Strategyproof facility location for three agents on a circle. In: Proceedings of the 12th International Symposium on Algorithmic Game Theory (SAGT 2019). Lecture Notes in Computer Science, vol. 11801, pp. 18–33. Springer (2019)
* [40] Miyagawa, E.: Locating libraries on a street. Social Choice and Welfare 18, 527–541 (2001)
* [41] Nissim, K., Smorodinsky, R., Tennenholtz, M.: Approximately optimal mechanism design via differential privacy. In: Innovations in Theoretical Computer Science (ITCS 2012). pp. 203–213. ACM (2012)
* [42] Procaccia, A., Tennenholtz, M.: Approximate mechanism design without money. In: Proc. of the 10th ACM Conference on Electronic Commerce (EC ’09). pp. 177–186 (2009)
* [43] Roughgarden, T.: Beyond Worst-Case Analysis. Communications of the ACM 62(3), 88–96 (2019)
* [44] Roughgarden, T.: Beyond the Worst-Case Analysis of Algorithms. Cambridge University Press (2020)
* [45] Roughgarden, T., Talgam-Cohen, I.: Approximately Optimal Mechanism Design. CoRR (2018), http://arxiv.org/abs/1812.11896
* [46] Roughgarden, T.: Lecture 6: Perturbation-stable clustering. CS264: Beyond Worst-Case Analysis (2017), http://timroughgarden.org/w17/l/l6.pdf
* [47] Schummer, J., Vohra, R.: Mechanism design without money. Algorithmic Game Theory 10, 243–299 (2007)
* [48] Serafino, P., Ventre, C.: Heterogeneous facility location without money. Theoretical Computer Science 636, 27–46 (2016)
* [49] Sui, X., Boutilier, C., Sandholm, T.: Analysis and optimization of multi-dimensional percentile mechanisms. In: Proceedings of the 23rd International Joint Conference on Artificial Intelligence (IJCAI 2013). pp. 367–374. IJCAI/AAAI (2013)
## Appendix 0.A The Proof of Lemma 1
###### Proof
It suffices to establish the lemma for two consecutive clusters $C_{i}$ and
$C_{i+1}$. We recall that $d(C_{i},C_{i+1})=d(x_{i,r},x_{i+1,l})$. Moreover,
by symmetry, we can assume wlog. that $D(C_{i})\geq D(C_{i+1})$.
If $C_{i}$ is a singleton, $D(C_{i})=0$ and the lemma holds trivially. If
$|C_{i}|=2$, wlog. we can only consider the case where $x_{i,l}$ is $C_{i}$’s
center. Otherwise, i.e., if $x_{i,r}$ is $C_{i}$’s center in optimal
clustering $(C_{1},\ldots,C_{i},\ldots,C_{k})$ with centers
$(c_{i},\ldots,x_{i,r},\ldots,c_{j})$, the same clustering
$(C_{1},\ldots,C_{i},\ldots,C_{k})$ with centers
$(c_{1},\ldots,x_{i,l},\ldots,c_{j})$ is also optimal for the $\gamma$-stable
instance $\vec{x}$ (and should still be optimal after a $\gamma$ perturbation
of $\vec{x}$, due to the stability of the instance). We then have:
$\begin{split}D(C_{i})=d(x_{i,l},x_{i,r})=d(c_{i},x_{i,r})&<\frac{1}{(\gamma-1)}d(x_{i,r},x_{i+1,r})=\frac{1}{(\gamma-1)}d(C_{i},C_{i+1})\Rightarrow\\\
d(C_{i},C_{i+1})&>(\gamma-1)D(C_{i})\end{split}$
where the first inequality follows from Proposition 1. The lemma then follows
by noticing that for any $\gamma\geq 1$:
$\gamma-1\geq\frac{\gamma^{2}+1}{2\gamma}-1$
The most interesting case is where $|C_{i}|\geq 3$ and $x_{i,l}<c_{i}\leq
x_{i,r}$. Suppose $d(x_{i,l},c_{i})=\beta D(C_{i})$, for some $\beta\in(0,1]$
and hence $d(c_{i},x_{i,r})=(1-\beta)D(C_{i})$ (i.e., $\beta$ quantifies how
close $c_{i}$ is to $C_{i}$’s extreme points and to the closest point of
$C_{i+1}$.) We recall that $d(C_{i},C_{i+1})=d(x_{i,r},x_{i+1,l})$.
We start with a tighter analysis of the equivalent of Proposition 1 for
$x_{i,l}$ and $x_{i+1,l}$, taking into account their specific ordering on the
line:
$\begin{split}d(x_{i,l},x_{i+1,l})&\geq
d(x_{i,l},c_{i+1})-d(x_{i+1,l},c_{i+i})\\\ &>\gamma
d(x_{i,l},c_{i})-\frac{d(x_{i+1,l},c_{i})}{\gamma}\\\ &=\gamma
d(x_{i,l},c_{i})-\frac{d(x_{i+1,l},x_{i,l})-d(x_{i,l},c_{i})}{\gamma}\Rightarrow\\\
d(x_{i,l},x_{i+1,l})&>\frac{\gamma^{2}+1}{\gamma+1}d(x_{i,l},c_{i})\end{split}$
Where the second inequality stands due to the _$\gamma$ -center proximity
property_ of $\gamma$ stable instances and the equality stands because
$x_{i,l}<c_{i}<x_{i+1,l}$. Since
$d(C_{i},C_{i+1})=d(x_{i,r},x_{i+1,l})=d(x_{i,l},x_{i+1,l})-D(C_{i})$, and by
$d(x_{i,l},c_{i})=\beta D(C_{i})$, we get that:
$d(C_{i},C_{i+1})>\Big{(}\frac{\beta(\gamma^{2}+1)}{\gamma+1}-1\Big{)}D(C_{i})$
(7)
Furthermore, by Proposition1, we have that
$d(x_{i,r},x_{i+1,l})>(\gamma-1)d(x_{i,r},c_{i})$. Hence, by
$d(c_{i},x_{i,r})=(1-\beta)D(C_{i})$, we get that:
$d(C_{i},C_{i+1})>(1-\beta)(\gamma-1)D(C_{i})$ (8)
So, by (7) and (8) we have that it must be:
$d(C_{i},C_{i+1})>\max\Big{\\{}\frac{\beta(\gamma^{2}+1)}{\gamma+1}-1,(1-\beta)(\gamma-1)\Big{\\}}D(C_{i})$
(9)
We now observe that for any fixed $\gamma>1$, the first term of the max in
(9), $\frac{\beta(\gamma^{2}+1)}{\gamma+1}-1$, is increasing for all
$\beta>0$, while the second term, $(1-\beta)(\gamma-1)$, is decreasing for all
$\beta\in(0,1]$. Hence, for any fixed $\gamma>1$, the minimum value of the max
in (9) is achieved when $\beta$ satisfies:
$\frac{\beta(\gamma^{2}+1)}{\gamma+1}-1=(1-\beta)(\gamma-1)$
Solving for $\beta$, we get that:
$\beta=\frac{1}{2}+\frac{1}{2\gamma}\,,$ (10)
with $\beta\in(1/2,1]$, when $\gamma\geq 1$.
We conclude the proof by substituting the value of $\beta$ in (10) to (9). ∎
## Appendix 0.B The Proof of Lemma 2
###### Proof
We establish the lemma for the leftmost agent $x_{i,l}$ as the deviating
agent. Specifically, we show that $x_{i,l}$ needs to move by at least
$d(x_{i,l},c_{i})$ to the left in order to become a singleton cluster. The
property then follows for the rest of the agents.
Suppose $x_{i,l}$ can create a singleton cluster by deviating less than
$d(x_{i,l},c_{i})$ to the left. I.e., for some $x^{\prime}$ such that
$d(x^{\prime},x_{i,l})<d(x_{i,l},c_{i})$ the optimal clustering of
$\vec{x}^{\prime}=(\vec{x}_{-x_{i,l}},x^{\prime})$ is such that the agent
location at $x^{\prime}$ becomes a singleton cluster. We call this clustering
(that is optimal for $\vec{x}^{\prime}$) $\vec{C}^{\prime}$. Notice that since
$d(x^{\prime},x_{i,l})<d(x_{i,l},c_{i})$, $x^{\prime}$ is in the gap between
clusters $C_{i-1}$ and $C_{i}$ as by $3$-perturbation stability we have
$d(x_{i-1,r},x_{i,l})>2d(x_{i,l},c_{i})$. This means that in order for this
case to be feasible, no agents from $C_{i-1}$ can be clustered together with
agents in $C_{i}$ in $(\vec{x}^{\prime},\vec{C}^{\prime})$, because
$x^{\prime}$ lies between them and is a singleton cluster.
Consider now the instance $\vec{x}_{-x_{i,l}}$. We know that
$cost(\vec{x}_{-x_{i,l}},\vec{C}^{\prime})\geq
cost(\vec{x}_{-x_{i,l}},\vec{C})$. That is, since otherwise the optimal
clustering for $\vec{x}$ would make $x_{i,l}$ a singleton cluster and serve
the rest of the agents as in $\vec{C}^{\prime}$. Let $\mathrm{diff}$ be the
difference in the total cost agents in $\vec{x}_{-x_{i,l}}$ experience between
clusterings $\vec{C}$ and $\vec{C}^{\prime}$. I.e.
$\mathrm{diff}=cost(\vec{x}_{-x_{i,l}},\vec{C}^{\prime})-cost(\vec{x}_{-x_{i,l}},\vec{C})$.
As before, since $x_{i,l}$ is not a singleton cluster in $(\vec{x},\vec{C})$
we know that $d(x_{i,l},c_{i})<\mathrm{diff}$ (or else setting $x_{i,l}$ as a
singleton would have a lower cost in $\vec{x}$ than $\vec{C}$).
But we can perform a $3$-perturbation in $\vec{x}$ in the following way: Scale
down all distances between agents from $x_{1}$ up to $x_{i-1,r}$ and all
distances between agents from $x_{i,l+1}$ to $x_{n}$ ($x_{n}$ being the
rightmost agent of the instance) by $3$. Call this instance $\vec{x}_{per}$.
Since agents of clusters $C_{i-1}$ and $C_{i}$ are not clustered together
neither in $\vec{C}$ nor in $\vec{C}^{\prime}$ we have that
$\mathrm{diff}_{per}\leq\frac{cost(\vec{x}_{-x_{i,l}},\vec{C}^{\prime})-cost(\vec{x}_{-x_{i,l}},\vec{C})}{3}\,.$
So $\mathrm{diff}_{per}\leq\mathrm{diff}/3$. Since $d(x_{i,l},c_{i})$ is
unaffected in the perturbation and by stability the optimal clustering of
$\vec{x}_{per}$ must remain the same (as $\vec{x}$) we have that it must be
$d(x_{i,l},c_{i})<\mathrm{diff}/3$ (1).
Finally, the least amount of extra social cost suffered between
$cost(\vec{x},\vec{C})$ and the case of setting $x_{i,l}$ as a center that
serves only itself and serve the remaining agents of the instance as on
$\vec{C}^{\prime}$ (i.e. as they would be served should $x^{\prime}$ gets a
facility that served only herself), will be $\mathrm{diff}-d(x_{i,l},c_{i})$.
This means that the optimal clustering algorithm would only choose this
solution when $d(x^{\prime},c_{i})>\mathrm{diff}-d(x,c_{i})$. So the agent
must deviate by at least $\mathrm{diff}-2d(x,c_{i})$. But from (1) we have
$\mathrm{diff}-2d(x,c_{i})>3d(x,c_{i})-2d(x_{i,l},c_{i})=d(x_{i,l},c_{i})\,,$
which concludes the proof of the lemma. ∎
## Appendix 0.C The Proof of Lemma 3
We first present the outline of the proof and then the proof follows. We do
this because despite the mostly relatively straight forward arguments used in
the proof, due to the delicate formalization required in order to formally
describe all the mentioned conditions, the proof gains a good amount of
descriptive length. We consider random agent $x_{i}\in C_{i}$ of instance
$\vec{x}$ with optimal clustering $\vec{C}=(C_{1},...,C_{k})$, deviating to
location $x^{\prime}$ creating instance
$\vec{x}^{\prime}=(x_{-i},x^{\prime})$.
Initially we show that due to the large distance between clusters $C_{i}$ and
$C_{j}$ with $i\neq j$, guaranteed by Lemma 1 for $5$-stable instances, we
need only study the cases where $x^{\prime}\in(x_{i-1,r},x_{i,l})$ and
$x^{\prime}\in(x_{i,r},x_{i+1,l})$ and in the optimal clustering
$\vec{C}^{\prime}$ of instance $\vec{x}^{\prime}$ no agent in
$\vec{x}^{\prime}\setminus C_{i}$ is served together with any agent in
$C_{i}$777Note here that we refer to the group of agents that belong in
cluster $C_{i}$ of the optimal clustering of instance $\vec{x}$. This group is
well defined for instance $\vec{x}^{\prime}$ as well., as in all other cases
either $x^{\prime}$ is not a singleton in $\vec{C}^{\prime}$ or
$d(x^{\prime},x_{i})>D(C_{i})$.
The rest of the proof follows the logic of the proof of Theorem 4.1 (which
follows), tailored to this specific case. More specifically, given the
observation above, we notice the following: In alternative clustering
$\vec{C}^{\prime\prime}$ in which we forcefully place two facilities serving
only agents in $C_{i}$ (optimally with regards to serving agents in $C_{i}$),
and serve the remaining agents, $\vec{x}\setminus C_{i}$, optimally with $k-2$
facilities, the cost agents in $\vec{x}\setminus C_{i}$ experience in
clustering $\vec{C}^{\prime\prime}$ is the same cost agents in
$\vec{x}^{\prime}\setminus C_{i}\bigcup x^{\prime}$ experience in clustering
$\vec{C}$ (notice that the sets $\vec{x}\setminus C_{i}$ and
$\vec{x}^{\prime}\setminus C_{i}\bigcup x^{\prime}$). Now, the cost of agents
in $C_{i}$ in clustering $\vec{C}^{\prime\prime}$ is at least $D(C_{i})/2$
smaller than it is in $\vec{C}^{\prime}$ (that is since we can always place
the facility to the edge agent further from $c_{i}$ \- see proof of Theorem
4.1). But since $\vec{C}^{\prime\prime}$ is not optimal for $\vec{x}$ this
means that agents in $\vec{x}\setminus C_{i}$ experience an increase in cost
larger than $D(C_{i})/2$ in clustering $\vec{C}^{\prime\prime}$ when compared
to clustering $\vec{C}$. For brevity we symbolize this cost increase as $cst$,
so we say $cst>D(C_{i})/2$.
We now we consider the $4$-perturbation of instance $\vec{x}$ in which all
distances among agents to the left and to the right of $C_{i}$ are shrunk by a
factor of $4$. By stability we know that the optimal clustering of the
perturbed instance should be the same as the optimal clustering of the
original! But in the perturbed instance all costs of agents in
$\vec{x}\setminus C_{i}$ are divided by $4$ in both clusterings $\vec{C}$ and
$\vec{C}^{\prime\prime}$ while the costs of agents in $C_{i}$ remain the same.
So, in order for $\vec{C}^{\prime\prime}$ to be sub-optimal in the perturbed
instance it must be $cst/4>D(C_{i})/2$ which means $cst>2D(C_{i})$. But
serving agent $x^{\prime}$ of $\vec{x}^{\prime}$ by $c_{i}$ has cost at most
$2D(C_{i})$ if $d(x^{\prime},x_{i})<D(C_{i})$ since $d(x_{i},c_{i})<D(C_{i})$.
This means that clustering $\vec{C}^{\prime}$ cannot be optimal for $\vec{x}$.
###### Proof
We want to show the lemma for any $\gamma$-stable instance for $\gamma\geq 5$.
We prove the lemma for random agent $x_{j}\in C_{i}$ for some cluster $C_{i}$
in optimal clustering $\vec{C}=(C_{1},\ldots,C_{k})$ of the $\gamma$-stable
instance $\vec{x}$. Consider that the agent declares false location
$x^{\prime}$ providing input profile
$\vec{x}^{\prime}=(\vec{x}_{-j},x^{\prime})$ to the mechanism in order to
become a singleton cluster. That is, if the optimal clustering of instance
$\vec{x}^{\prime}$ is $\vec{Y}$ then $x^{\prime}$ is a single agent cluster in
$\vec{Y}$.
We first study the case where $|C_{i}|=2$. But then, from Lemma 2 we know that
for any $\gamma$-stable instance for $\gamma\geq 3$ agent $x_{j}\in C_{i}$ of
optimal clustering $\vec{C}$ must deviate by at least his distance to
$C_{i}$’s center in order to become a singleton cluster in $\vec{Y}$. I.e. it
must be $d(x^{\prime},x_{j})>d(x_{j},c_{i})=D(C_{i})$, so the lemma stands for
this case.
For the most general case, $|C_{i}|\geq 3$ we start with some observations. By
Lemma 1 we know that for any two clusters $C_{i}$ and $C_{j}$ of optimal
clustering $\vec{C}=(C_{1},\ldots,C_{k})$ of $\vec{x}$ we have
$d(C_{i},C_{j})>\Big{(}\frac{(\gamma-1)^{2}}{2\gamma}\Big{)}\max\\{D(C_{i}),D(C_{j})\\}$.
For $\gamma\geq 5$ that is:
$d(C_{i},C_{j})>1.6\max\\{D(C_{i}),D(C_{j})\\}.$ (11)
Too begin, we notice the following claim:
###### Claim 1
Agent $x_{i}$ cannot declare a false location $x^{\prime}$ with $x_{i,l}\leq
x^{\prime}\leq x_{i,r}$ in such a way that $x^{\prime}$ is a singleton cluster
in $\vec{Y}$.
We can easily see the validity of the claim, since by optimality (also see
proof of Theorem 4.1) $x_{j}\in C_{i}$ cannot change the optimal clustering by
deviating within the bounds of cluster $C_{i}$, i.e. if $x_{i,l}\leq
x^{\prime}\leq x_{i,r}$.Hence it must be $x^{\prime}\neq[x_{i,l},x_{i,r}]$.
Even so, for completeness, we provide a proof of the claim, tailored to the
case of $5$-stable instances, after the proof of the lemma.
In addition, we notice that if $x^{\prime}\leq x_{i-1,r}$ or $x^{\prime}\geq
x_{i+1,l}$ then the lemma trivially stands, again by Equation 11 (I.e. in this
case it would be $d(x^{\prime},x_{j})>1.6D(C_{i})$).
This means that we need only study the cases where
$x^{\prime}\in(x_{i-1,r},x_{i,l})$ or $x^{\prime}\in(x_{i,r},x_{i+1,l})$ and
$d(x^{\prime},x_{j})<D(C_{i})$ (and show that $x^{\prime}$ cannot become a
singleton cluster in $\vec{Y}$ in these cases).
Suppose, contrary to the lemma’s claim, that agent declares location
$x^{\prime}\in(x_{i-1,r},x_{i,l})$ with $d(x^{\prime},x_{j})<D(C_{i})$ such
that $x^{\prime}$ is a singleton in $\vec{Y}$ (the other case,
$x^{\prime}\in(x_{i,r},x_{i+1,l})$, is symmetrical). Then we notice the
following three properties for optimal clustering $\vec{Y}$ of instance
$\vec{x}^{\prime}$:
Property 1:
In $\vec{Y}$ there is a facility among agents in ${C_{i}\setminus x_{j}}$.
Property 2:
In $\vec{Y}$ no agent“to the left” of cluster $C_{i}$ (i.e. by an agent in
some cluster $C_{l}$ for $l<i$, of $\vec{C}$) is served by an agent in
$C_{i}\setminus x_{j}$ .
Property 3:
In $\vec{Y}$ no agent “to the right” of cluster $C_{i}$ (i.e. by an agent in
some cluster $C_{l}$ for $l>i$, of $\vec{C}$) is served by an agent in
$C_{i}\setminus x_{j}$ .
The imminent conclusion from Properties 1, 2 and 3 is the following: Consider
instance $\vec{x}\setminus C_{i}$ and it’s optimal $k-2$-clustering
$\vec{C}_{-2}$. Then $cost(\vec{x}\setminus
C_{i},\vec{Y})=cost(\vec{x}\setminus C_{i},\vec{C}_{-2})$888For a description
of this notation, of the form $cost(\vec{x},\vec{C})$, see proof of Theorem
4.1. We provide short proofs for each one of these three properties right
after the proof of the lemma.
We are now ready to complete the proof. In order to do so we bound the extra
cost experienced by agents in $\vec{x}\setminus C_{i}$ in the possible re-
clustering after $x_{i}$’s deviation, i.e. $cost(\vec{x}\setminus
C_{i},\vec{Y})-cost(\vec{x}\setminus C_{i},\vec{C})$. We do this by
considering the following alternative clustering $C^{\prime}$ of instance
$\vec{x}$: serve agents in $C_{i}$ using two facilities, optimally and agents
in $\vec{x}\setminus C_{i}$ using the remaining $k-2$ facilities optimally. So
in $\vec{C}^{\prime}$ we have:
$cost(C_{i},\vec{C}^{\prime})\leq cost(C_{i},\vec{C})-\frac{D(C_{i})}{2},$
(12)
since placing the second facility placed among agents in $C_{i}$ to the edge-
agent further away from $c_{i}$ reduces the cost by at least
$\frac{D(C_{i})}{2}$.
But since $\vec{C}$ is optimal in $\vec{x}$ and hence $\vec{C}^{\prime}$ is
not, it must be:
$cost(\vec{x}\setminus C_{i},\vec{C^{\prime}})-cost(\vec{x}\setminus
C_{i},\vec{C})>\frac{D(C_{i})}{2}$ (13)
Otherwise it would be $cost(\vec{x},\vec{C}^{\prime})<cost(\vec{x},\vec{C})$.
Now notice that properties 1, 2 and 3 mean that agents in $\vec{x}\setminus
C_{i}$ are clustered in exactly the same way in $\vec{C^{\prime}}$ as in
$\vec{Y}$. That means that:
$cost(\vec{x}^{\prime}\setminus\\{C_{i}\bigcup
x^{\prime}\\},\vec{Y})=cost(\vec{x}\setminus C_{i},\vec{C}^{\prime})$ (14)
and that no agent to the left of $C_{i}$ is clustered together with any agent
to the right of $C_{i}$ in $\vec{C}^{\prime}$.
The last observation means if we consider a $4$-perturbation of instance
$\vec{x}$, instance $\vec{x}_{p}$, in which we divide all distances among
agents between $[x_{l},x_{i-1,r}]$ and agents between $[x_{i+1,l},x_{r}]$,
where $x_{l}$ and $x_{r}$ the leftmost and rightmost agents of the instance
equivalently we have that:
$cost(\vec{x}_{p}\setminus C_{i},\vec{C^{\prime}})-cost(\vec{x}_{p}\setminus
C_{i},\vec{C})=\frac{cost(\vec{x}\setminus
C_{i},\vec{C^{\prime}})-cost(\vec{x}\setminus C_{i},\vec{C})}{4}$
But in $x_{p}$ the distances among agents in $C_{i}$ remain unaffected which
means that in $x_{p}$, Equation 12 still stands. This means, that since the
instance is $5$-stable, clustering $\vec{C^{\prime}}$ must still be sub-
optimal in $\vec{x}_{p}$ and hence it must be
$\begin{split}cost(\vec{x}_{p}\setminus
C_{i},\vec{C^{\prime}})-cost(\vec{x}_{p}\setminus
C_{i},\vec{C})&>\frac{D(C_{i})}{2}\Rightarrow\\\ \frac{cost(\vec{x}\setminus
C_{i},\vec{C^{\prime}})-cost(\vec{x}\setminus
C_{i},\vec{C})}{4}&>\frac{D(C_{i})}{2}\Rightarrow\\\ cost(\vec{x}\setminus
C_{i},\vec{C^{\prime}})-cost(\vec{x}\setminus
C_{i},\vec{C})&>2D(C_{i}).\end{split}$ (15)
Noticing again that by Equation (14),
$cost(\vec{x}^{\prime}\setminus\\{C_{i}\bigcup
x^{\prime}\\},\vec{Y})=cost(\vec{x}\setminus C_{i},\vec{C}^{\prime})$ and by
Equation (15) and $cost(\vec{x}\setminus
C_{i},\vec{C})=cost(\vec{x}^{\prime}\setminus\\{C_{i}\bigcup
x^{\prime}\\},\vec{C})$ we have
$cost(\vec{x}^{\prime}\setminus\\{C_{i}\bigcup
x^{\prime}\\},\vec{Y})-cost(\vec{x}\setminus\\{C_{i}\bigcup
x^{\prime}\\},\vec{C})>2D(C_{i}).$
Finally, since $d(x^{\prime},x_{i})<D(C_{i})$,
$cost(\\{C_{i}\bigcup x^{\prime}\setminus
x_{i}\\},\vec{C})-cost(\\{C_{i}\bigcup x^{\prime}\setminus
x_{i}\\},\vec{Y})<2D(C_{i}),$
since $d(x_{i},c_{i})\leq D(C_{i})$. By adding the last two equations we get
that $cost(\vec{x}^{\prime},\vec{Y})>cost(\vec{x}^{\prime},\vec{C})$ which
means that $\vec{Y}$ is not optimal.
∎
We now present the proofs of Claim 1 and Properties 1, 2 and 3, used in the
main proof of Lemma 3.
###### Proof (Of Claim 1)
Consider $x_{i,l}^{\prime}$ and $x_{i,r}^{\prime}$ to be the leftmost and
rightmost agents of $C_{i}\setminus x_{j}$ (i.e. if $x_{j}\neq
x_{i,r},x_{i,l}$ then $x_{i,r}=x_{i,r}^{\prime}$ and
$x_{i,l}=x_{i,l}^{\prime}$).
Contrary to the claim, suppose $x_{i,l}^{\prime}\leq x^{\prime}\leq
x_{i,r}^{\prime}$ and $x^{\prime}$ is a singleton cluster $\vec{Y}$. Since
$x^{\prime}$ is a singleton and $x_{i,l}^{\prime}$ and $x_{i,r}^{\prime}$ are
to her left and right side equivalently, $x_{i,l}^{\prime}$ and
$x_{i,r}^{\prime}$ cannot be served by the same facility in $\vec{Y}$ (since
clustering $\vec{Y}$ is optimal for $\vec{x}^{\prime}$). This means that
either $x_{i,l}^{\prime}$ or $x_{i,r}^{\prime}$ is served by an agent in
$\vec{x}\setminus C_{i}$ or there are two facilities among agents in
$C_{i}\setminus x_{j}$ in $\vec{Y}$. Both of these cases are infeasible
though. For the first one, suppose that $x_{i,r}$ is not served by an agent in
$C_{i}\setminus x_{j}$. By Equation 11 that means that the cost of serving
$x_{i,r}$ is at-least $1.6D(C_{i})$. But since $x_{i,l}^{\prime}\leq
x^{\prime}\leq x_{i,r}^{\prime}$ $x^{\prime}$,
$d(x^{\prime},x_{i,r})<D(C_{i})$ so $\vec{Y}$ could not be optimal in
$\vec{x}^{\prime}$. For the latter case ($\vec{Y}$ places two facilities among
agents in $C_{i}\setminus x_{j}$) we see that if $\vec{Y}$ is optimal for
$\vec{x}^{\prime}$ then the optimal $(k-1)$-clustering of instance
$(\vec{x}_{-j})$ would place two facilities among agents in $C_{i}\setminus
x_{j}$ (since $x^{\prime}$ is a singleton removing her and one facility from
the instance should yield the exact same clustering for the rest of the
agents). But then, since in $\vec{C}$ there is only one facility among agents
in $C_{i}$, $\vec{C}$ could not be optimal for instance $\vec{x}$ (because if
the optimal $(k-1)$-clustering of instance $(\vec{x}_{-j})$ places two
facilities among agents in set $C_{i}\setminus x_{j}$ then the optimal
$k$-clustering of instance $\vec{x}$ should place at least as many among
agents in $C_{i}$) , which is a contradiction.
Finally we notice that if $x_{j}=x_{i,l}$, $x^{\prime}$ cannot become a
singleton in $\vec{Y}$ if $x^{\prime}\in[x_{i,l},x_{i,l}^{\prime}]$ since the
cost serving agent $x_{j}$ by $c_{i}$ in that interval is only decreased (in
relation to the cost of serving her by $c_{i}$ in $\vec{x}$ \- she’s getting
closer to her serving facility). Similarly for the case of $x_{j}=x_{i,r}$
moving in interval $[x_{i,r}^{\prime},x_{i,r}]$. The above mean that agent
$x_{j}$ cannot become a singleton cluster by moving within the bounds of
$C_{i}$ (i.e. if $x^{\prime}$ is a singleton in $\vec{Y}$ it must be
$x^{\prime}\notin[x_{i,l},x_{i,r}]$), which is the claim. ∎
###### Proof (Of Property 1)
We know that $|C_{i}\setminus x_{j}|\geq 2$. Furthermore, since
$d(x^{\prime},x_{j})<D(C_{i})$ we have that $d(x^{\prime},c_{i})<2D(C_{i})$.
But if there is no facility among agents in $C_{i}\setminus x_{j}$ that means
that these agents are all served by a facility placed in a location $x_{l}$
with $x_{l}\in C_{l}$ with $l\neq i$. But, again by Equation 11 that would
mean that
$cost(C_{i}\setminus x_{j},\vec{Y})>2*1.6D(C_{i})+cost(C_{i}\setminus
x_{j},\vec{C})$ (16)
(since $|C_{i}\setminus x_{j}|\geq 2$, $d(C_{i},C_{l})\geq 1.6D(C_{i})$).
Furthermore, since agents in $\vec{x}\setminus C_{i}$ are served by the same
number of facilities in $\vec{Y}$ as in $\vec{C}$, but also have to serve
agents in ${C_{i}\setminus x_{j}}$ in $\vec{Y}$ (i.e. the placement of the
$(k-1)$ facilities among agents in $\vec{x}\setminus C_{i}$ is not optimal in
$\vec{Y}$ as it is in $\vec{C}$, for these agents) we have
$cost(\vec{x}\setminus C_{i},\vec{Y})\geq cost(\vec{x}\setminus
C_{i},\vec{C}).$ (17)
Hence, by adding (16) and (17) we have that :
$cost(\vec{x}\setminus x_{j},\vec{Y})>2*1.6D(C_{i})+cost(\vec{x}\setminus
x_{j},\vec{C})$
By remembering that $\vec{x}^{\prime}=(\vec{x}_{-j},x^{\prime})$ and in
$\vec{Y}$ $x^{\prime}$ is a singleton cluster (i.e. has cost 0) the above
becomes:
$cost(\vec{x}^{\prime},\vec{Y})>2*1.6D(C_{i})+cost(\vec{x}\setminus
x_{j},\vec{C})$ (18)
But, alternative clustering $\vec{C}^{\prime}$ for $\vec{x}^{\prime}$ in which
we serve all agents as we do in $\vec{C}$ and also serve location $x^{\prime}$
by $c_{i}$ has cost
$cost(\vec{x}^{\prime},\vec{C}^{\prime})\leq cost(\vec{x}\setminus
x_{j},\vec{C})+2D(C_{i}),$ (19)
since $d(x^{\prime},c_{i})<2D(C_{i})$.
This means that, by (18) and (19)
$cost(\vec{x}^{\prime},\vec{Y})>cost(\vec{x}^{\prime},\vec{C^{\prime}})$ which
means that clustering $\vec{Y}$ would be sup-optimal for instance
$\vec{x}^{\prime}$, which is a contradiction.
Notice that by Observation 2, property 1 means that no agents in
$C_{i}\setminus x_{j}$ are served by an agent not in $C_{i}$ in $\vec{Y}$. ∎
###### Proof (Of Property 2)
Property 2 is trivial: since $x^{\prime}\in(x_{i-1,r},x_{i,l})$ and
$x^{\prime}$ forms a singleton cluster in $\vec{Y}$, by optimality no agent to
the left of $x^{\prime}$ is clustered together with agents to the right of
$x^{\prime}$. ∎
###### Proof (Of Property 3)
Initially, for property 3 we notice the following: At most 1 agent in
$C_{i+1}$ can be clustered together with agents in $C_{i}$ in $\vec{Y}$.
Otherwise, due to the distance between $C_{i}$ and $C_{i+1}$, clustering
$\vec{Y}$ would be sub-optimal (using the same reasoning as for property 1).
Obviously, due to optimality, this agent can only be $x_{i+1,l}$.
We now consider the structure of cluster $C_{i}$ in relation to agent
$x_{i+1,l}$. Specifically, by Equation (11) it must be
$d(x_{i,r},x_{i+1,l})>1.6\cdot D(C_{i}),$ (20)
since $d(x_{i,r},x_{i+1,l})=d(C_{i},C_{i+1})$. By looking at the proof of
Lemma 1 we see that the smallest possible distance between $C_{i}$ and
$C_{i+1}$ is achieved when $d(x_{i,l},c_{i})=\frac{D(C_{i})}{c}$ for
$c=\frac{2\gamma^{2}}{\gamma^{2}+\gamma}\Rightarrow\frac{1}{c}=0.6$ for
$\gamma=5$. This means that since agent $x_{j}$ deviates to the left in this
case, by at most $D(C_{i})$, it must be
$d(x^{\prime},c_{i})\leq 1.6D(C_{i}),$ (21)
in the edge case. Furthermore, by Observation 2, since $x_{i+1,l}$ is not
served by an agent in $C_{i+1}$ there is no facility among agents in $C_{i+1}$
in $\vec{Y}$. I.e. all agents in $C_{i+1}\setminus x_{i+1,l}$ are served by a
facility placed on $[x_{i+2,l},x_{n}]$ where $x_{n}$ the rightmost agent
location in the instance. But, by Lemma 1, if $x_{i+1,l}$ is served by
$c_{i+1}\in C_{i+1}$ in $\vec{C}$, $d(C_{i+1},C_{i+2})>1.6D(C_{i+1})\geq
1.6d(x_{i+1,l},c_{i+1})$ and so, it is
$cost(x_{i+1,o},\vec{Y})\geq d(x_{i+1,o},x_{i+2,l})\geq
1.6d(x_{i+1,l},c_{i+1}),$ (22)
for every $x_{i+1,o}\in C_{i+1}\setminus x_{i+1,l}$.
Now we are able to show that clustering $\vec{Y}$ cannot be optimal for
instance $\vec{x}^{\prime}$ in the edge case. We will compare it with
clustering $\vec{C}$ (where every agent is served by the same facility as in
clustering $\vec{C}$ and $x^{\prime}$ is served by $c_{i}$). We have the
following:
$cost(\vec{x}^{\prime}\setminus\\{x^{\prime}\bigcup C_{i}\bigcup
C_{i+1}\\},\vec{Y})\geq cost(\vec{x}^{\prime}\setminus\\{x^{\prime}\bigcup
C_{i}\bigcup C_{i+1}\\},\vec{C}),$
by optimality. Furthermore,
$\begin{split}cost(C_{i+1},\vec{Y})&\geq
cost(C_{i+1},\vec{C})-d(x_{i+1,l},c_{i})+1.6d(x_{i+1,l},c_{i+1})+1.6D(C_{i}),\end{split}$
by optimality and equations (20) and (22). Also,
$cost(C_{i}\setminus x,\vec{Y})\geq cost(C_{i}\setminus x,\vec{C}),$
by optimality. Finally,
$cost(x^{\prime},\vec{Y})+1.6D(C_{i})>cost(x^{\prime},\vec{C}),$
by equation (21).
By adding we get
$cost(\vec{x}^{\prime},\vec{Y})>cost(\vec{x}^{\prime},\vec{C})$ which means
that $\vec{Y}$ is sub-optimal for instance $\vec{x}^{\prime}$. All we need to
finalize this observation is realize that as we move away from the edge case,
the above inequalities become easier to satisfy. Specifically if $C_{i}$ had
center $c_{i}^{\prime}<c_{i}$ we see that factor 1.6 of inequality (21)
decreases while $d(C_{i},C_{i+1})$ increases. If $c_{i}^{\prime}>c_{i}$ the
same factor of inequality (21) may increase by $|c_{i}^{\prime}-c_{i}|$, but
then $d(C_{i},C_{i+1})$ increases by at least
$\frac{\gamma^{2}+1}{\gamma+1}\cdot|c_{i}^{\prime}-c_{i}|>4.3|c_{i}^{\prime}-c_{i}|$
(since $d(x_{i,l},x_{i+1,l})>\frac{\gamma^{2}+1}{\gamma+1}d(x_{i,l},c_{i})$ \-
see proof of Lemma 1), hence maintaining
$cost(\vec{x}^{\prime},\vec{Y})>cost(\vec{x}^{\prime},\vec{C})$. ∎
## Appendix 0.D Proofs of Auxiliary Lemmas Used in the Proof of Theorem 6.1
For completeness, we restate the proofs of the auxiliary lemmas with the
properties of well-separated instances adapted from [27] and used in the proof
of Theorem 6.1.
Before we proceed with the proofs of the auxiliary lemmas, we need the
following basic fact about the facility allocation of any determistic
strategyproof mechanism.
###### Lemma 7 (Proposition 2.1, [27])
Let $M$ be a deterministic strategyproof with a bounded approximation ratio of
$\rho\geq 1$ for $\sqrt{2}$-stable instances of $k$-Facility location on the
line. For any $(k+1)$-location instance $\vec{x}$ with $x_{1}\leq
x_{2}\leq\ldots\leq x_{k+1}$, $M_{1}(\vec{x})\leq x_{2}$ and
$M_{k}(\vec{x})\geq x_{k}$.
###### Proof
We show it for $M_{1}(\vec{x})\leq x_{2}$, the other case is symmetric.
Suppose $x_{2}<M_{1}(\vec{x})$. Then the agent in $x_{1}$ has the incentive to
deviate to location $x_{2}$, since $M_{1}(\vec{x}_{-1},x_{2})=x_{2}$ due to
the bounded approximation of $M$ (i.e., in $(\vec{x}_{-1},x_{2})$, $M$
allocates $k$ facilities to $k$ different locations). Notice that
$(\vec{x}_{-1},x_{2})$ is $\gamma$-stable for any $\gamma\geq 1$.
### 0.D.1 The Proof of Lemma 4
###### Proof
Since $M$ has a bounded approximation, the isolated pair $x_{k}$ and $x_{k+1}$
must be served by the same facility $M_{k}(\vec{x})$. By Lemma 7, we know that
$M_{k}(\vec{x})\geq x_{k}$. Then, it must also be $M_{k}(\vec{x})\leq x_{k+1}$
. Otherwise, like in Lemma 7, agent $x_{k}$ could declare location $x_{k+1}$
and decrease her cost, since $M_{k}(\vec{x}_{-k},x_{k+1})=x_{k+1}$ by the
bounded approximation of $M$. Again, the instance $(\vec{x}_{-k},x_{k+1})$ is
arbitrarily stable.
### 0.D.2 The Proof of Lemma 5
We can now proceed to the proofs of the auxiliary lemmas, Lemma 6 and Lemma 5,
which refer to the movement of isolated pairs. We only present the proof of
Lemma 5 here. The proof of Lemma 6 is fully symmetric.
The proof shown here, refers to $2$-Facility Location on well separated
instances with $3$ agents. All arguments as well as the stability factor of
the instance only depend on the well separated property of the rightmost pairs
of agents as well as their distance from the third agent from the right. That
is, that since in all instances studied in the proof we only change distance
between the agents of the isolated, rightmost pair, in the range
$(0,d(x_{1},x_{2})/r)$ and only increase the distance between the isolated
pair and the leftmost agent $x_{1}$, any instance with a large enough distance
between $x_{1}$ and $x_{2}$, i.e. for which $d(x_{1},x_{2})>\gamma\cdot\rho
d(x_{2},x_{3})$ will be $\gamma$-stable in all parts of the proof. In that way
it is easy to verify that the arguments presented here extend to
$(x_{1}|\ldots|x_{k-1}|x_{k},x_{k+1})$-well separated and stable instances of
at least a specific minimum distance $d(x_{k-1},x_{k})$.
Consider $M$ to be a deterministic, strategyproof, anonymous and bounded
approximation mechanism, with approximation ration of at most $\rho$, for
2-facility location. We will work on instance $\vec{x}$ with three agents
$x_{1}<x_{2}<x_{3}$ which is $(x_{1}|x_{2},x_{3})$-well separated.
The proof of Lemma 5 directly follows from the following propositions,
originally established in [27, Appendix A].
###### Proposition 2
Consider $(x_{1}|x_{2},x_{3})$-well separated, stable instance $\vec{x}$ for
which $M_{2}(\vec{x})=x_{2}$. Then for instance
$\vec{x}^{\prime}=(\vec{x}_{-}2,x_{2}^{\prime})$ where $x_{2}\leq
x_{2}^{\prime}\leq x_{3}$ it will be $M_{2}(\vec{x^{\prime}})=x_{2}^{\prime}$
###### Proof
Notice that since $d(x_{2}^{\prime},x_{3})<d(x_{2},x_{3})$ instance
$\vec{x^{\prime}}$ is still $(x_{1}|x_{2},x_{3})$-well separated. Furthermore,
since $x_{1}$ is allocated a facility (by the $\rho$-approximation property of
the instance), $\vec{x}^{\prime}$ is at least as stable as $\vec{x}$ since the
distance between the isolated pair is shortened and their distance from
$x_{1}$ has grown. All that needs to be shown is that image set
$I_{2}(\vec{x}_{-2})$ includes the interval $[x_{2},x_{3}]$. Since $x_{2}$ is
allocated a facility, we know $x_{2}\in I_{2}(\vec{x}_{-2})$. Furthermore, by
the bounded approximation property of $M$ $x_{3}\in I_{2}(\vec{x}_{-2})$.
Assume there is a hole $(l,r)\in I_{2}(\vec{x}_{-2})$ with $x_{2}\leq l<r\leq
x_{k}$. Consider location $y\in(l,r)$ such that $d(y,l)<d(y,r)$. By
strategyproofness $l\in M(\vec{x}_{-2},y)$. But then, by Lemma 7 we have that
$F_{2}(\vec{x}_{-j},y)>y$ which contradicts $M$’s bounded approximation ratio,
since the two agents of the isolated pair of $(\vec{x}_{-j},y)$ are served by
different facilities.
###### Proposition 3
Consider $(x_{1}|x_{2},x_{3})$-well separated stable instance $\vec{x}$ for
which $M_{2}(\vec{x})=x_{2}$. Then for every
$(x_{1}|x_{2},x_{3}^{\prime})$-well separated instance
$\vec{x^{\prime}}=\vec{(}x_{-3},x_{3}^{\prime})$, if $\vec{x^{\prime}}$ is
also well separated, $M_{2}(\vec{x^{\prime}})=x_{2}$.
We notice that in that case, the distance between the agents of the isolated
pair might grow a from $\vec{x}$ to $\vec{x^{\prime}}$. Since the proof of
this proposition uses instances where the distance of the isolated pair varies
from $\epsilon$ to $d(x_{1},x_{2})/\rho$ the proposition stands for stable
instances only if all possible $(x_{1}|x_{2},x_{3}^{\prime})$-well separated
instances $\vec{x^{\prime}}=\vec{(}x_{-3},x_{3}^{\prime})$ are well separated.
It is easy to see, that since in all these instances it must be
$d(x_{2},x_{3})<d(x_{1},x_{2})/\rho$ then for a large enough distance
$d(x_{1},x_{2})$ (i.e. $d(x_{1},x_{2})>\gamma\cdot\rho d(x_{2},x_{3})$)
$\vec{x^{\prime}}$ is always stable. We show the following proof considering
that we have made this assumption.
###### Proof
Since $M_{2}(\vec{x})<x_{3}$, we know that $x_{3}\notin I_{3}(\vec{x_{-3}})$.
So, there is a $x_{3}$-hole $(l,r)\in I_{3}(\vec{x_{-3}})$. Since
$M_{2}(\vec{x})=x_{2}$, $l=x_{2}$ and $r>2x_{3}-x_{2}$ (by strategyproofness).
By strategyproofnes, if $x_{3}^{\prime}<(r+l)/2$ (for $x_{2}<x_{3}^{\prime}$
for well separated instance $\vec{x^{\prime}}$), $M_{2}(\vec{x})=x_{2}$.
To finish, we show that there are no $(x_{1}|x_{2},x_{3}^{\prime})$-well
separated instances $\vec{x^{\prime}}=(\vec{x_{-3}},x_{3}^{\prime})$ with
$x_{3}^{\prime}\geq(r+l)/2$ and $M_{2}(\vec{x^{\prime}})\neq x_{2}$. Again, we
reach a contradiction by assuming that there is a point $y\geq(r+l)/2$ for
which $(\vec{x_{-3}},y)$ is a $(x_{1}|x_{2},y)$-well separated instance with
$M_{2}((\vec{x_{-3}},y))\neq x_{2}$. If such a $y$ exists, then there exists
$x_{k}^{\prime}\in[(r+l)/2,r)$ for which
$\vec{x^{\prime}}=(\vec{x_{-3}},x_{3}^{\prime})$ is a
$(x_{1}|x_{2},x_{3}^{\prime})$-well separated. But then,
$M_{2}(\vec{x^{\prime}})=r>x_{3}^{\prime}$ (by strategyproofness, because
$x_{3}^{\prime}$ is closer to $r$ than to $l$). Since $\vec{x^{\prime}}$ is
$(x_{1}|x_{2},x_{3}^{\prime})$-well separated this contradicts lemma 4 which
dictates that it must be
$M_{2}(\vec{x_{-3}},x_{3}^{\prime})\in[x_{2},x_{3}^{\prime}]$.
###### Proposition 4
Consider $(x_{1}|x_{2},x_{3})$-well separated stable instance $\vec{x}$ for
which $M_{2}(\vec{x})=x_{2}$. Then for every
$(x_{1}|x_{2}^{\prime},x_{3}^{\prime})$-well separated instance
$\vec{x^{\prime}}=(\vec{x}_{-\\{2,3\\}},x_{2}^{\prime},x_{3}^{\prime})$, with
$x_{2}<x_{2}^{\prime}<(x_{2}+x_{3})/2$, if $\vec{x^{\prime}}$ is also well
separated, $M_{2}(\vec{x^{\prime}})=x_{2}$.
Note that, as for proposition 3 the restriction that $\vec{x^{\prime}}$ is
also $\gamma$-stable is equivalent to $d(x_{1},x_{2})>\gamma\cdot\rho
d(x_{2},x_{3})$.
###### Proof
Since $x_{2}^{\prime}\in[x_{2},x_{3}]$ we have that
$M_{2}(\vec{x_{-2}},x_{2}^{\prime})=x_{2}^{\prime}$, by proposition 2. But
since $d(x_{2}^{\prime},x_{3})<d(x_{2},x_{3})$,
$(\vec{x_{-2}},x_{2}^{\prime})$ is $(x_{1}|x_{2}^{\prime},x_{3})$-well
separated. Hence, by proposition 3, for
$(x_{1}|x_{2}^{\prime},x_{3}^{\prime})$-well separated instance
$\vec{x^{\prime}}=(\vec{x}_{-\\{2,3\\}},x_{2}^{\prime},x_{3}^{\prime})$,
$M_{2}(\vec{x^{\prime}})=x_{2}^{\prime}$
###### Proposition 5
Consider $(x_{1}|x_{2},x_{3})$-well separated stable instance $\vec{x}$ for
which $M_{2}(\vec{x})=x_{2}$. Then for every
$(x_{1}|x_{2}^{\prime},x_{3}^{\prime})$-well separated instance
$\vec{x^{\prime}}=(\vec{x}_{-\\{2,3\\}},x_{2}^{\prime},x_{3}^{\prime})$, with
$x_{2}\leq x_{2}^{\prime}$, if $\vec{x^{\prime}}$ is also well separated,
$M_{2}(\vec{x^{\prime}})=x_{2}$.
###### Proof
We will inductively use proposition 4 to create instance $\vec{x}^{\prime}$.
Consider $d=d(x_{2}^{\prime},x_{2})$, $\delta=d(x_{3},x_{2})/2$ and
$\kappa=\lceil{d/\delta}\rceil$. Then for every $\lambda=1,2,3\ldots,\kappa$
consider instance
$\vec{x}_{\lambda}=(\vec{x}_{-\\{2,3\\}},x_{2}+(\lambda-1)\delta,x_{3}+(\lambda-1)\delta)$.
Now observe that $\vec{x}_{\lambda}$ is well separated since for it’s
rightmost pair, $x_{2}^{\prime}=x_{2}+(\lambda-1)\delta$ and
$x_{3}^{\prime}=x_{3}+(\lambda-1)\delta$ it is
$d(x_{2}^{\prime},x_{3}^{\prime})>2\delta$ while
$d(x_{1},x_{2}^{\prime})>d(x_{1},x_{2})$. By iteratively applying proposition
4 to $\vec{x_{\lambda}}$, we have that for every
$(\vec{x}_{-\\{2,3\\}},y_{2},y_{3})$ well separated instance with
$x_{2}+(\lambda-1)\delta\leq y_{2}\leq x_{2}+\lambda\delta$,
$M_{2}(\vec{x}_{-\\{2,3\\}},y_{2},y_{3})=y_{2}$. For $\lambda=\kappa$ we get
$M_{2}(\vec{x}_{-\\{2,3\\}},x_{2}^{\prime},x_{3}^{\prime})=x_{2}^{\prime}$ .
## Appendix 0.E Missing Details from the Proof of Theorem 6.1: Case 2
Next, we present a detailed proof of Case 2 in the proof of Theorem 6.1.
Case 2: $x_{4}-l\leq\sqrt{2}\lambda$. Let $m=(r+l)/2$ be the midpoint of the
$x_{3}$-hole $(l,r)$ in $I_{3}(\vec{x}_{-3})$. We consider the instance
$\vec{y}=(\vec{x}_{-3},a)$, where $a<m$ is arbitrarily close to $m$ (i.e.,
$a\lesssim m$) so that $a-l<r-a$ and $d(a,x_{4})\lesssim\sqrt{2}\lambda/2$.
The latter is possible since $x_{3}$ is already arbitrarily close to $x_{4}$
and the right endpoint $r$ of the hole $h=(l,r)$ lies in $(x_{3},x_{4}]$.
Since $d(x_{1},x_{2})=\lambda$, $d(x_{2},a)$ is quite large, and
$d(a,x_{4})\lesssim\sqrt{2}\lambda/2$, the instance $\vec{y}$ is
$(\sqrt{2}-\delta)$-stable, for any $\delta>0$. By strategyproofness,
$M(\vec{y})$ must place a facility at $l$, since $l\in I_{3}(\vec{x}_{-3})$
and $l$ is the nearest endpoint of the hole $h=(l,r)$ to $a$.
As before, we now consider the instance $\vec{y}^{\prime}=(\vec{y}_{-4},l)$.
Since $d(x_{1},x_{2})=\lambda$, $d(x_{2},a)$ is quite large, and
$d(a,l)<d(a,r)\leq\sqrt{2}\lambda/2$, the instance $\vec{y}^{\prime}$ is
$(\sqrt{2}-\delta)$-stable, for any $\delta>0$. Hence, by strategyproofness,
$M(\vec{y}^{\prime})$ must keep a facility at $l$, because $l\in
I_{4}(\vec{y}_{-4})$.
To conclude the proof, we need to construct a
$(x_{1}|x_{2}|l^{\prime},l^{\prime}+\varepsilon)$-well-separated instance
$\vec{z}$ with $l^{\prime}\in M(\vec{z})$. Then, we can reach a contradiction
to the hypothesis that $M$ has a bounded approximation ratio, by applying
Lemma 6, similarly to Case 1.
To this end, we consider the image set $I_{4}(\vec{y}^{\prime}_{-4})$ of agent
$4$ in $\vec{y}^{\prime}_{-4}=(x_{1},x_{2},a)$. Since $l\in
M(\vec{y}^{\prime})$, $l\in I_{4}(\vec{y}^{\prime}_{-4})$. If
$a-\varepsilon\in I_{4}(\vec{y}^{\prime}_{-4})$, the instance
$\vec{z}=(\vec{y}^{\prime}_{-4},a-\varepsilon)$ is
$(x_{1}|x_{2}|a-\varepsilon,a)$-well-separated (and thus,
$(\sqrt{2}-\delta)$-stable, for any $\delta>0$). Moreover, by
strategyproofness, $M(\vec{z})$ must place a facility at $a-\varepsilon$,
because $a-\varepsilon\in I_{4}(\vec{y}^{\prime}_{-4})$. Otherwise, there must
be a hole $h^{\prime}=(l^{\prime},r^{\prime})$ in the image set
$I_{4}(\vec{y}^{\prime}_{-4})$, with $l^{\prime}>l$ (because $l\in
I_{4}(\vec{y}^{\prime}_{-4})$) and $r^{\prime}<a-\varepsilon$ (because of the
hypothesis that $a-\varepsilon\not\in l\in I_{4}(\vec{y}^{\prime}_{-4})$). We
consider the instance
$\vec{z}^{\prime}=(\vec{y}^{\prime}_{-4},l^{\prime}+\varepsilon)=(x_{1},x_{2},l^{\prime}+\varepsilon,a)$.
Since $l^{\prime}+\varepsilon\in(l,a)$,
$d(a,l^{\prime}+\varepsilon)<d(a,l)<\sqrt{2}\lambda/2$ and the instance
$\vec{z}^{\prime}$ is $(\sqrt{2}-\delta)$-stable, for any $\delta>0$.
Therefore, by strategyproofness and since $l^{\prime}\in
I_{4}(\vec{y}^{\prime}_{-4})$, $M(\vec{z}^{\prime})$ must place a facility at
$l^{\prime}$. We now consider the instance
$\vec{z}=(\vec{z}^{\prime}_{-3},l^{\prime})=(x_{1},x_{2},l^{\prime},l^{\prime}+\varepsilon)$,
which is $(x_{1}|x_{2}|l^{\prime},l^{\prime}+\varepsilon)$-well-separated (and
thus, $(\sqrt{2}-\delta)$-stable, for any $\delta>0$). Moreover, by
strategyproofness and since $l^{\prime}\in M(\vec{z}^{\prime})$, and thus,
$l^{\prime}\in I_{3}(\vec{z}^{\prime}_{-3})$, $M(\vec{z})$ must place a
facility at $l^{\prime}$.
Therefore, starting from the $(\sqrt{2}-\delta)$-stable instance
$\vec{y}^{\prime}$, with $l\in M(\vec{y}^{\prime})$, we can construct a
$(x_{1}|x_{2}|l^{\prime},l^{\prime}+\varepsilon)$-well-separated instance
$\vec{z}$ with $l^{\prime}\in M(\vec{z})$. Then, by Lemma 6,
$z_{4}=l^{\prime}+\varepsilon\in M(\vec{z})$, because for the
$(x_{1}|x_{2}|x_{3},x_{4})$-well-separated instance $\vec{x}$,
$M_{3}(\vec{x})=x_{4}$, and $\vec{z}$ is a
$(x_{1}|x_{2}|l^{\prime},l^{\prime}+\varepsilon)$-well-separated instance with
$z_{4}\leq x_{4}$. Since both $l^{\prime},l^{\prime}+\varepsilon\in
M(\vec{z})$, the social cost of $M(\vec{z})$ is arbitrarily larger than
$\varepsilon$, which is the optimal social cost of the $3$-Facility Location
instance $\vec{z}$.∎
## Appendix 0.F The Proof of Theorem 7.1
###### Proof
The approximation guarantee easily follows from the fact that since a facility
is uniformly at random placed over each optimal cluster, the expected cost of
the sum of the cost of the agents in each cluster is 2 times their cost in the
optimal clustering.
As is it always with our mechanisms, agent $x_{i}\in C_{i}$ cannot gain by
moving within the range of $C_{i}$ (this would only increase her utility).
Since the analysis of Random is so similar to the analysis of the mechanism in
Section 5, we skip the detailed case analysis and mention only the key
deviation cases that need be covered. Specifically these include:
Case 1:
why agent $x_{i}\in C_{i}$ cannot gain by becoming a member of another
cluster,
Case 2:
or by becoming a self serving center
Case 3:
or by merging or splitting $C_{i}$.
Without loss of generality, consider the deviating agent to be the edge agent
$x_{i,l}\in C_{i}$, declaring location $x^{\prime}$ creating instance
$\vec{x}^{\prime}=(\vec{x}_{-x_{i,l}},x^{\prime})$ with optimal clustering
$\vec{C}^{\prime}$. If our results stand for her, they easily transfer to all
agents in $C_{i}$. $C_{i}$ contains $n$ agents, including $x_{i,l}$. For
simplicity, without loss of generality we index these agents from left to
right, excluding $x_{i,l}$ , such as $x_{i,l}\leq x_{i,1}\leq\cdots\leq
x_{i,n-1}$ , where $x_{i,1}=x_{i,l+1}$ and $x_{i,n-1}=x_{i,r}$ . Now for
simplicity, we represent $d(x_{i,l},x_{i,j})$ by $d_{i,j}$. Of course
$d_{i,l}=0$. We define as $X_{i}$ the discrete random variable that takes
values from sample space $\\{d_{i,l},d_{i,1},d_{i,2},\ldots,d_{i,n-1}\\}$
uniformly at random. That is, $X_{i}$ represents the cost agent $x_{i,l}$
experiences if she is served by the facility placed in $C_{i}$ by the
mechanism. Then, the expected cost of $x_{i,l}$ should she not deviate is:
$\displaystyle\mathbb{E}(X_{i})=\frac{0+d_{i,1}+\ldots+d_{i,n-1}}{n}$
That is, since for any agent $x_{j}\notin C_{i}$,
$d(x_{j},x_{i,l})>D(C_{i})=d_{i,n-1}$ by Lemma 1.
Now, for Case 1, “why agent $x\in C_{i}$ cannot gain by becoming a member of
another cluster”. Notice that this is the case where agents in $C_{i}$ are not
merged or splitted in $\vec{C}^{\prime}$. With some abuse of notation, this
allows us to refer to the cluster containing agents in $C_{i}\setminus
x_{i,l}$ in $\vec{C}^{\prime}$ of $\vec{x}$ as $C_{i}^{\prime}$.
$C_{i-1}^{\prime}$ then is the set of agents belonging to the cluster
immediately to the left of $C_{i}^{\prime}$ (i.e. the rightmost agent of
$C_{i-1}^{\prime}$, excluding $x^{\prime}$, is $x_{i-1,r}$). Consider a
deviation $x^{\prime}$ that places the deviating agent in cluster
$C_{i-1}^{\prime}$ after step 1 of the mechanism. Again for simplicity
consider $d(x^{\prime},x_{i,l})=c$ and we index agents in $C_{i-1}$ inversely,
such that $x_{i-1,\hat{1}}\geq x_{i-1,\hat{2}}\geq\ldots\geq
x_{i-1,\hat{n^{\prime}}}$ (meaning that now $x_{i-1,r}=x_{i-1,\hat{1}}$,
$x_{i-1,r-1}=x_{i-1,\hat{2}}$ etc.) where $|C_{i-1}|=n^{\prime}$. Equivalently
we set $d(x_{i,l},x_{i-1,\hat{j}})=d_{i-1,j}$. By Corollary 1, we have
$d_{i,1}\leq d_{i,2}\leq\cdots\leq d_{i,n-1}\leq d_{i-1,1}\leq\cdots\leq
d_{i-1,n^{\prime}}$. Now we define uniform random variable $X_{i}^{\prime}$
with sample space $\\{d_{i,1},\ldots,d_{i,n-1}\\}$ (see that $d_{i,l}$ is now
absent) and random variable $X_{i-1}^{\prime}$ with sample space
$\\{c,d_{i-1,1},\ldots,d_{i-1,n^{\prime}}\\}$. Now $X_{i}^{\prime}$ represents
the cost of $x_{i,l}$ should she be served by the facility placed in
$C_{i}^{\prime}$ of the changed instance (which now doesn’t include her) and
$X_{i-1}^{\prime}$ her cost should she be served by the facility placed at
$C_{i-1}^{\prime}$ (which now includes her false declared location). The
expected cost of $x_{i,l}$ now becomes
$\mathbb{E}(\min\\{X_{i}^{\prime},X_{i-1}^{\prime}\\})$.
But, since $d_{i,1}\leq d_{i,2}\leq\ldots\leq d_{i,n-1}\leq
d_{i-1,1}\leq\ldots\leq d_{i-1,n^{\prime}}$, unless
$d(x^{\prime},x_{i,l})<d_{i,n-1}=D(C_{i})$, we have that:
$\displaystyle\mathbb{E}(\min\\{X_{i}^{\prime},X_{i-1}^{\prime}\\})=\mathbb{E}(X_{i}^{\prime})=\frac{d_{i,1}+\ldots+d_{i,n-1}}{n-1}>\mathbb{E}(X_{i})$
That means that $x_{i,l}$ cannot gain by this deviation unless $x^{\prime}$
both belongs in $C_{i-1}^{\prime}$ and $d(x^{\prime},x_{i,l})<D(C_{i})$. All
we need to show now is that any such situation would result in a violation of
the inter-cluster distance between $C_{i-1}^{\prime}$ and $C_{i}^{\prime}$ or
between $C_{i-1}^{\prime}$ and $C_{i-2}^{\prime}$, guaranteed by the cluster-
separation property and hence it would be caught by the mechanism’s cluster-
separation property verification step.
Specifically consider the distance of $x_{i,l}$ to her center $c_{i}$ of
$C_{i}$ in the optimal clustering. We know that it must be
$d(C_{i-1},C_{i})\geq D(C_{i})\cdot 1.6$, by Lemma 1, for the given stability
factor of 5. But in order for this distance to be tight, it must be that
$d(x_{i,l},c_{i})=0.4\cdot D(C_{i})$ (see factor $c$ of proof of Lemma 1 -due
to stability properties, if $d(x_{i,l},c_{i})<0.4\cdot D(C_{i})$ or $>0.4\cdot
D(C_{i})$, $d(C_{i-1},C_{i})$ grows larger than $D(C_{i})\cdot 1.6$).
Furthermore, in order for this distance to be tight, it must also be
$d(c_{i-1},x_{i-1,r})<0.4\cdot D(C_{i})$ (since by stability it must be
$d(C_{i-1},C_{i})=d(x_{i-1,r},x_{i,l})>(\gamma-1)d(x_{i-1,r},c_{i-1})$).
Now, since it must be $d(x^{\prime},x_{i,l})<D(C_{i})$ it will be
$d(x^{\prime},c_{i})<1.4D(C_{i})$ and $d(x^{\prime},x_{i-1,r})>0.6D(C_{i})$
(since $d(C_{i},C_{i-1})>1.6D(C_{i})$ by Lemma 1). Finally we distinguish
between two cases:
Case 1:
$c_{i-1}\in C_{i-1}^{\prime}$. Now notice that
$d(x_{i,l},c_{i-1})>5d(x_{i,l},c_{i})$ so $d(x_{i,l},c_{i-1})>2D(C_{i})$. Then
$D(C_{i-1}^{\prime})\geq d(c_{i-1},x^{\prime})>D(C_{i})$ (since
$d(x^{\prime},x_{i,l})<D(C_{i})$). But then
$d(C_{i-1}^{\prime},C_{i}^{\prime})\leq d(x^{\prime},c_{i})\leq 1.4\cdot
D(C_{i})$ which means that the cluster separation verification property of
step 2 would be violated.
Case 2:
$c_{i-1}\notin C_{i-1}^{\prime}$. Then, in this edge case we notice it would
be $d(C_{i-1}^{\prime},C_{i-2}^{\prime})\leq d(c_{i-1},x_{i-1,r})\leq
0.4D(C_{i})$. But $D(C_{i-1}^{\prime})\geq d(x_{i-1,r},x^{\prime})\geq
0.6D(C_{i})$. Hence the verification property of step 2 is again violated
between $C_{i-1}^{\prime}$ and $C_{i-2}^{\prime}$.
All we have to do to finish, is note that as $c_{i}$ moves to the right or to
the left, $d(C_{i-1},C_{i})$ grows by a multiplicative factor $\gamma-1$ (=4)
of $d(x_{i,l},c_{i})$ (see proof of Leamma 1) and $d(x_{i,l},c_{i-1})$ by a
multiplicative factor of $5$ (remember, it must be both
$d(x_{i,l},c_{i-1})>5d(x_{i,l},c_{i})$ and
$d(x_{i,r},c_{i-1})>5d(x_{i,r},c_{i})$). Which means that the above
inequalities will still hold. 999Notice here that while this property was a
must-have for AlmostRightmost to work i.e. the mechanism wouldn’t work if
$x^{\prime}$ both belongs in $C_{i-1}^{\prime}$ and
$d(x^{\prime},x_{i,l})<D(C_{i})$, here this might not the case. We can easily
see this guarantees strategyproofness, but it might not be necessary which
means the mechanism may work for smaller stability factors.
For Case 2, why agent $x_{i,l}$ cannot gain by becoming a self serving
cluster, we simply notice the following: her cost, should she not deviate, is
at most $D(C_{i})$ (see expected value from previous case). But, from Lemma 3
we know that $x_{i,l}$ must deviate by at-least $\geq D(C_{i})$, for a
stability factor of 5. So she cannot gain from this deviation101010Again,
while this property guarantees strategyproofness, it might not be necessary
for example, we see that in one of the bad edge cases, where all agents of
$C_{i}$ are gathered on $x_{i,r}$, with $c_{i}=x_{i,r}$ a stability of 3 would
suffice to guarantee that $x_{i,l}$ needs to deviate by at-least $D(C_{i})$ to
become a self-serving cluster..
For Case 3, it is not hard to see that by merging all the agents in $C_{i}$
with agents $\notin C_{i}$, her expected cost can only increase. Furthermore,
splitting the agents in $C_{i}$ would cause the cluster-separation property
verification step to identify the split (see the proof of the
strategyproofness of the AlmostRightmost mechanism, in Section 5) and remove
all agents of $C_{i}$ from the game.
| arxiv-papers | 2021-07-26T06:06:09 | 2024-09-04T03:07:17.746917 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Dimitris Fotakis and Panagiotis Patsilinakos",
"submitter": "Dimitris Fotakis",
"url": "https://arxiv.org/abs/2107.11977"
} |
2107.11979 | # HYPER-SNN: Towards Energy-efficient Quantized Deep Spiking Neural Networks
for Hyperspectral Image Classification
Gourav Datta, Souvik Kundu, Akhilesh R. Jaiswal, Peter A. Beerel G. Datta, S.
Kundu, A. R. Jaiswal and P. A. Beerel are with the Department of Electrical
and Computer Engineering, University of Southern California, Los Angeles, CA,
90089 USA e-mail: {gdatta, souvikku, akhilesh, pabeerel}@usc.edu.
###### Abstract
Hyperspectral images (HSIs) provide rich spectral–spatial information across a
series of contiguous spectral bands. However, the accurate processing of the
spectral and spatial correlation between the bands requires the use of energy-
expensive 3-D Convolutional Neural Networks (CNNs). To address this challenge,
we propose the use of Spiking Neural Networks (SNNs) that are generated from
iso-architecture CNNs and trained with quantization-aware gradient descent to
optimize their weights, membrane leak, and firing thresholds. During both
training and inference, the analog pixel values of a HSI are directly applied
to the input layer of the SNN without the need to convert to a spike-train.
The reduced latency of our training technique combined with high activation
sparsity yields significant improvements in computational efficiency. We
evaluate our proposal using three HSI datasets on a 3-D and a 3-D/2-D hybrid
convolutional architecture. We achieve overall accuracy, average accuracy, and
kappa coefficient of $98.68\%$, $98.34\%$, and $98.20\%$ respectively with $5$
time steps (inference latency) and $6$-bit weight quantization on the Indian
Pines dataset. In particular, our models achieved accuracies similar to state-
of-the-art (SOTA) with ${\sim}560.6\times$ and ${\sim}44.8\times$ less compute
energy on average over three HSI datasets than an iso-architecture full-
precision and 6-bit quantized CNN, respectively.
###### Index Terms:
hyperspectral images, spiking neural networks, quantization-aware, gradient
descent, indian pines
## I Introduction
Hyperspectral imaging, which extracts rich spatial-spectral information about
the ground surface, has shown immense promise in remote sensing [1]. It is
currently used in several applications ranging from geological surveys [2], to
the detection of camouflaged vehicles [3]. In hyperspectral images (HSIs),
each pixel can be considered as a high-dimensional vector where each entry
corresponds to the spectral reflectivity [1] of a particular wavelength. The
goal of the classification task is to assign a unique semantic label to each
pixel [4].
For HSI classification, several spectral feature-based methods have been
proposed, including support vector machine [5], random forest [6], canonical
correlation forest [7], and multinomial logistic regression [8]. To improve
the accuracy of HSI classification, researchers have integrated spatial
features into existing learning methods [9]. Some spectral-spatial methods for
classifying HSIs include fusing correlation coefficient and sparse
representation [10], Boltzmann entropy-based band selection [11], joint sparse
model and discontinuity preserving relaxation [12], and extended morphological
profiles [13, 14]. Some of these methods have also been proposed to exploit
the spatial context with various morphological operations for HSI
classification. However, these spectral-spatial feature extraction methods
rely on hand-designed descriptions, prior information, and empirical
hyperparameters [1].
Lately, convolutional neural networks (CNNs) have yielded higher accuracy than
some hand-designed features [15]. CNNs have shown promise in multiple
applications where visual information processing is required, including image
classification [16], object detection [17], semantic segmentation [18], and
depth estimation [19]. In particular, CNN-based methods act as an end-to-end
feature extractor that consists of a series of hierarchical filtering layers
for global optimization. The 2-D CNN stacked autoencoder [1] was the first
attempt to extract deep features from its compressed latent space to classify
HSIs. Following this work, [20] employed a 2-D CNN model to extract the
spatial information in a supervised manner and classify the raw hyperspectral
images. The multibranch selective kernel network with attention [21] and
pixel-block pair based data augmentation techniques [22] were developed to
address the gradient vanishing and overfitting problems. To extract the
spatial-spectral features jointly from the raw HSI, researchers proposed a 3-D
CNN architecture [23], which achieves even better classification results.
Authors in [24, 25, 26] created multiscale spatiospectral relationships using
3-D CNN and fused the features using a 2-D CNN to extract more robust
representation of spectral–spatial information.
However, the performance and success of multi-layer CNNs are generally
associated with high power and energy costs [27]. A typical hyperspectral
image cube consists of several hundred spectral frequency bands, and hence,
classifying these images using traditional CNNs require a large amount of
computational power, especially when real time processing is necessary, as in
target tracking or identification [28]. The high energy cost and the demand
for deployment of HSI sensors in battery-powered edge devices motivates
exploring alternative lightweight energy-efficient HSI classification models.
In particular, low-latency spiking neural networks (SNNs) [29] have gained
attention because they can be more computational efficient than CNNs for a
variety of applications, including image analysis. To achieve this goal,
analog inputs are first encoded into a sequence of spikes using one of a
variety of proposed encoding methods, including rate coding [30, 31], direct
coding [32], temporal coding [33], rank-order coding [34], phase coding [35],
and other exotic coding schemes [36, 37]. Among these, rate and direct coding
have shown competitive performance on complex tasks [30, 31] while others are
either limited to simpler tasks such as learning the XOR function and
classifying MNIST images or require a large number of spikes for inference.
In particular, for rate coding, the analog value is converted to a spike train
using a Poisson generator function with a rate proportional to the input pixel
value. The number of timesteps $T$ in each train is inversely proportional to
the quantization error in the representation, as illustrated in Fig. 1(b).
[31]. In contrast, in direct-input encoding, the analog pixel values are fed
into the first convolutional layer as multi-bit values that are fixed for all
$T$ timesteps. [32].
In addition to accommodating various forms of encoding inputs, supervised
learning algorithms for SNNs have overcome various roadblocks associated with
the discontinuous derivative of the spike activation function [38, 39]. In
particular, recent works have shown that SNNs can be efficiently converted
from artifical neural networks (ANNs) by approximating the activation value of
ReLU neurons with the firing rate of spiking neurons [31]. Low-latency SNNs
trained using ANN-SNN conversion, coupled with supervised training, have been
able to perform at par with ANNs in terms of classification accuracy in
traditional image classification tasks [32]. This motivates this work which
explores the effectiveness of SNNs for HSI classification.
More specifically, this paper provides the following contributions:
* •
We propose two convolutional architectures for HSI classification that can
yield classification accuracies similar to state-of-the-art (SOTA) and are
compatible with our ANN-SNN conversion framework.
* •
We propose a hybrid training algorithm that first converts an ANN for HSI
classification to an iso-architecture SNN, and then trains the latter using a
novel quantization-aware spike timing dependent backpropagation (Q-STDB)
algorithm.
* •
We evaluate and compare the energy-efficiency of the SNNs obtained by our
training framework, with standard ANNs, using appropriate energy models, which
reveal that our SNNs trained for HSI classification can offer significant
improvement in compute efficiency.
The remainder of this paper is structured as follows. In Section II we present
necessary background and related work. Section III and IV describe our
quantization-aware SNN training method and network architectures respectively.
We present the detailed experimental evaluations of our proposal in Section V.
We show the improvement in energy-efficiency of our proposed SNN for all the
HSI classification tasks in Section VI. Finally, the paper concludes in
Section VII.
## II Background and Related Work
### II-A SNN Modeling
An SNN consists of a network of neurons that communicate via a sequence of
spikes modulated by synaptic weights. The activity of pre-synaptic neurons
modulates the membrane potential of postsynaptic neurons, generating an action
potential or spike when the membrane potential crosses a firing threshold. The
spiking dynamics of a neuron are generally modeled using either the Integrate-
and-Fire (IF) [40] or Leaky-Integrate-and-Fire (LIF) model [41]. Both IF and
LIF neurons accumulate the input current into their respective states or
membrane potentials. The difference between the two models is that the
membrane potential of a IF neuron does not change during the time period
between successive input spikes while the LIF neuronal membrane potential
leaks at a constant rate. In this work, we use the LIF model to convert ANNs
trained with ReLU activations, to SNNs, because the leak term provides a
tunable control knob that can reduce inference latency and spiking
activity.The IF model can be characterized by the following differential
equation
Figure 1: (a) Feedforward fully-connected SNN architecture with integrate and
fire (IF) spiking dynamics, (b) The spike input generated over several
timesteps through a Poisson generator. It is clear that the larger the number
of timesteps, the better the accumulated input spikes approximates the
original input image.
$C\frac{dU_{i}(t)}{dt}=I_{i}(t)=\sum_{j}W_{ij}\cdot{S_{j}(t)}$ (1)
where $C$ is the membrane capacitance, $U_{i}(t)$ and $I_{i}(t)$ are the
membrane potential and input synaptic current of the $i^{th}$ neuron at time
$t$. As illustrated in Fig. 1(a), $U_{i}(t)$ integrates the incoming (pre-
neuron) binary spikes $S_{j}(t)$ multiplied by weights $W_{ij}$. The neuron
generates an output spike when $U_{i}$ exceeds the firing threshold $V$.
However, because of its continuous-time representation, Eq. 1 is incompatible
for implementation in common Machine Learning (ML) frameworks (e.g. Pytorch).
Hence, we follow an iterative version evaluated in discrete time, within which
spikes are characterized as binary values (1 represents the presence of a
spike) [42].
$U_{i}(t)=U_{i}(t-1)+\sum_{j}W_{ij}{S_{j}(t)}-{V}\cdot{O_{j}(t-1)}$ (2)
$O_{i}(t-1)=\begin{cases}1,&\text{if }U_{i}(t-1)>V\\\
0,&\text{otherwise}\end{cases}$ (3)
$O_{i}(t)$ is the output spike at time step $t$. Note that the third term in
Eq. 2 exhibits soft reset by reducing the membrane potential $U_{i}$ by the
threshold $V$ at time step $t$, if an output spike is generated at the
$(t-1)^{th}$ time step. Alternatively, hard reset implies resetting $U_{i}$ to
$0$. Soft reset minimizes the information loss by allowing the spiking neuron
to carry forward the surplus potential above the firing threshold to the next
time step [42].
### II-B SNN Training Techniques
Recent research on training supervised deep SNNs can be broadly divided into
three categories: 1) ANN-to-SNN conversion-based training, 2) Spike timing
dependent backpropagation (STDB), and 3) Hybrid training.
#### II-B1 ANN-to-SNN Conversion
Recent works have demonstrated that SNNs can be efficiently converted from
ANNs by approximating the activation value of ReLU neurons with the firing
rate of spiking neurons [43, 44, 45, 31, 46]. This technique uses standard
backpropagation-based training for the ANN models and helps an iso-
architecture SNN achieve superior classification accuracy in image recognition
tasks [44, 31]. However, the SNNs resulting from these ANN-SNN conversion
algorithms require an order of magnitude higher latency compared to other
training techniques [31]. In this work, we use ANN-SNN conversion as an
initial step in Q-STDB because it is of relatively low complexity and yields
high classification accuracy on deep networks.
#### II-B2 STDB
The threshold comparator in the IF neuronal model yields a discontinuous and
thus non-differentiable function, making it incompatible with the powerful
gradient-descent based learning methods. Consequently, several approximate
training methodologies have been proposed to overcome the challenges
associated with non-differentiability [38, 47, 48, 49]. The key idea of these
works is to approximate the spiking neuron functionality with a continuous
differentiable model or use surrogate gradients as an approximate version of
the real gradients to perform gradient descent based training. Unfortunately,
SNNs trained using this approach generally require a large number of time
steps, in the order of few hundreds, to process an input. As a result, the
backpropagation step requires the gradients of the unrolled SNN to be
integrated over all these time steps. This multiple-iteration backpropagation-
through-time (BPTT) coupled with the exploding memory complexity has hindered
the applicability of surrogate gradient based learning methods to deep
convolutional architectures.
#### II-B3 Hybrid Training
A recent paper [42] proposed a hybrid training methodology where the ANN-SNN
conversion is performed as an initialization step and is followed by an
approximate gradient descent algorithm. The authors observed that combining
the two training techniques helps the SNNs converge within a few epochs while
requiring fewer time steps. Another recent paper [32] proposed a training
scheme for deep SNNs in which the membrane leak and the firing threshold along
with other network parameters (weights) are updated at the end of every batch
via gradient descent after ANN-SNN conversion. Moreover, [32] applied direct-
input encoding where the pixel intensities of an image are fed into the SNN
input layer as fixed multi-bit values each timestep to reduce the number of
required fewer time steps needed to achieve SOTA accuracy. Thus, the first
convolutional layer composed of LIF neurons acts as both a feature extractor
and spike-generator. This is similar to rate-coding except that the spike-rate
of the first hidden layer is a function of its weights, membrane leak, and
threshold parameters that are all learned by gradient descent. This work
extends these hybrid learning techniques by incorporating weight quantization,
as defined below.
## III Proposed Quantized SNN Training Method
In this section, we evaluate and compare the different choices for SNN
quantization in terms of compute efficiency and model accuracy. We then
incorporate the chosen quantization technique into STDB, which we refer to as
Q-STDB.
### III-A Study of Quantization Choice
Uniform quantization transforms a weight element $w\in[w_{min},w_{max}]$ to a
range $[-2^{b-1},2^{b-1}-1]$ where $b$ is the bit-width of the quantized
integer representation. There are primarily two choices for the above
transformation, known as affine and scale quantization. Detailed descriptions
of these two types of quantization can be found in [50].
Our key motivation for SNN weight quantization is the hardware acceleration of
inference using energy-efficient integer or fixed-point computational units
implemented as crossbar array based processing-in-memory (PIM) accelerators.
Note that the six transistor SRAM array based in-memory computing requires
low-precision weights for multiply-and-accumulate (MAC) operations due to low
density of the bit-cells. Previous research [51, 52] have proposed post-
training SNN quantization tailored towards unsupervised learning, which may
not scale to complex vision tasks without requiring high-precision ($\geq{8}$
bits). In contrast, in this work, we propose quantization-aware training,
where the weights are fake quantized (see [50]) in the forward path
computations, while the gradients and weight updates are calculated using the
full precision weights. There are several choices for sharing quantization
parameters among the tensor elements in a SNN. We refer to this choice as
quantization granularity. We employ per-tensor (or per-layer) granularity
where the same quantization parameters are shared by all elements in the
tensor, because this reduces the computational cost compared to other
granularity choices with no impact on model accuracy. Activations are
similarly quantized, but only in the SNN input layer, since they are binary
spikes in the remaining layers.
To evaluate the compute cost, let us consider a 3-D convolutional layer $l$,
the dominant layer in HSI classification models, that performs a tensor
operation $O_{l}=X_{l}\circledast W_{l}$ where
$X_{l}\in\mathbb{R}^{H_{l}^{i}\times{W_{l}^{i}}\times{C_{l}^{i}}\times{D_{l}^{i}}}$
is the input activation tensor,
$W_{l}\in\mathbb{R}^{k_{l}^{x}\times{k_{l}^{y}}\times{k_{l}^{z}}\times{C_{l}^{i}}\times{C_{l}^{o}}}$
and
$O^{l}\in\mathbb{R}^{H_{l}^{o}\times{W_{l}^{o}}\times{C_{l}^{o}}\times{D_{l}^{o}}}$
is the output activation tensor, where $H_{l}^{i}$, $W_{l}^{i}$, $C_{l}^{i}$,
$D_{l}^{i}$ are the input height, width, channel size, and spectral size,
respectively. Similarly, $H_{l}^{o}$, $W_{l}^{o}$, $C_{l}^{o}$ and $D_{l}^{o}$
are the output height, width, number of filters and the output spectral size,
respectively, and $k_{l}^{x}$, $k_{l}^{y}$, $k_{l}^{z}$ represent the filter
size in the three spatial dimensions. The result of the real-valued operation
$O_{l}=X_{l}\circledast W_{l}$ can be approximated with quantized tensors
$X_{l}^{Q}$ and $W_{l}^{Q}$, by first dequantizing them producing
$\hat{X_{l}}$ and $\hat{W_{l}}$ respectively, and then performing the
convolution. Note that both $X_{l}^{Q}$ and $W_{l}^{Q}$ have similar
dimensions as $X_{l}$ and $W_{l}$ respectively. Assuming the tensors are
scale-quantized per layer,
$O_{l}=X_{l}\circledast
W_{l}\approx\hat{X_{l}}\circledast\hat{W_{l}}={X_{l}^{Q}\circledast
W_{l}^{Q}}\cdot(\frac{1}{s_{s}^{X}\cdot{s_{s}^{W}}})$ (4)
where $s_{s}^{X}$ and $s_{s}^{W}$ are scalar values for scale quantization
representing the levels of the input and weight tensor respectively. Hence,
scale quantization results in an integer convolution, followed by a point-wise
floating-point multiplication for each output element. Given that a typical
convolution operation involves a few hundred MAC operations (accumulate for
binary spike inputs) to compute an output element, a single floating-point
operation for the scaling shown in Eq. 4 is a negligible computational cost.
Note that $X_{l}$ only needs to be quantized if $l$ is the input layer. In all
other cases, $X_{l}^{Q}=X_{l}$ and $s_{s}^{X}=1$.
Although both affine and scale quantization enable the use of low-precision
arithmetic, affine quantization results in higher computationally expensive
inference as shown below.
$\displaystyle O_{l}$
$\displaystyle\approx\frac{X_{l}^{Q}-z_{a}^{X}}{s_{a}^{X}}\circledast\frac{W_{l}^{Q}-z_{a}^{W}}{s_{a}^{W}}$
$\displaystyle=\frac{({X_{l}^{Q}}\circledast{W_{l}^{Q}}-z_{a}^{X}\circledast(W_{l}^{Q}-z_{a}^{W})-X_{l}^{Q}\circledast
z_{a}^{W})}{s_{a}^{X}\cdot{s_{a}^{W}}}$ (5)
where $z_{a}^{X}$ and $z_{a}^{W}$ are tensors of sizes equal to that of
$X_{l}^{Q}$ and $W_{l}^{Q}$ respectively that consist of repeated elements of
the scalar zero-values of the input activation and weight tensor respectively.
On the other hand, $s_{a}^{X}$ and $s_{a}^{W}$ are the corresponding scale
values. The first term in the numerator of Eq. III-A is the integer
convolution operation similar to the one performed in scale quantization shown
in Eq. 4. The second term contains integer weights and zero-points, which can
be computed offline, and adds an element-wise addition during inference. The
third term, however, involves the quantized activation $X_{l}^{Q}$, which
cannot be computed offline. This extra computation, depending on the
implementation, can introduce considerable overhead, reducing or even
eliminating the throughput and energy advantage that low precision PIM
accelerators offer over floating-point MAC units. Hence, we use scale
quantization during inference.
Note, however, that our experiments detailed in Section V show that using
scale quantization during SNN training degrades the test accuracy
significantly. Hence, we propose that training should use affine quantization
of both the weights and input layer activations. Note that for a integer math
unit or PIM accelerator, we do not necessarily need to quantize the SNN
membrane potentials which are obtained as results of the accumulate operations
of the weight elements. This is because the membrane potentials only need to
be compared with the threshold voltage once for each time step, which consumes
negligible energy, and can be performed using high precision fixed-point
comparators (in the periphery of the crossbar array for PIM accelerators).
However, quantizing the potentials can reduce the data movement cost as
discussed in Section VI-B.
Figure 2: Proposed SNN training framework details with 3-D convolutions.
### III-B Q-STDB based Training
In this subsection, we derive the expressions to compute the gradients of the
parameters at all layers for our training framework. Our framework, which is
illustrated in Fig. 2, incorporates the quantization methodology described
above into the STDB technique used to train SNNs [32], where the spatial and
temporal credit assignment is performed by unrolling the network in time and
employing BPTT.
Output Layer: The neuron model in the output layer $L$ only accumulates the
incoming inputs without any leakage, does not generate an output spike, and is
described by
$\displaystyle\mbox{\boldmath$u$}_{L}^{t}$
$\displaystyle=\mbox{\boldmath$u$}_{L}^{t-1}+\hat{\mbox{\boldmath$w$}_{L}}\mbox{\boldmath$o$}_{L-1}^{t}$
(6)
where $N$ is the number of output labels, $\mbox{\boldmath$u$}_{L}$ is a
vector containing the membrane potential of $N$ output neurons,
$\mbox{\boldmath$\hat{w}$}_{L}$ is the fake quantized weight matrix connecting
the last two layers ($L$ and $L{-}1$), and $\mbox{\boldmath$o$}_{L-1}$ is a
vector containing the spike signals from layer $(L{-}1)$. The loss function is
defined on $\mbox{\boldmath$u$}_{L}$ at the last time step $T$. We employ the
cross-entropy loss and compute the softmax of $\mbox{\boldmath$u$}_{L}^{T}$.
The output of the network is passed through a softmax layer that outputs a
probability distribution. The loss function $\mathcal{L}$ is defined as the
cross-entropy between the true output ($y$) and the SNN’s predicted
distribution ($p$).
Figure 3: Fake quantization forward and backward pass with straight through
estimator (STE) approximation
$\mathcal{L}=-\sum_{i=1}^{N}{y_{i}log({p_{i}})},\quad{p_{i}}=\frac{e^{u_{i}^{T}}}{\sum_{j=1}^{N}e^{u_{j}^{T}}},$
(7)
The derivative of the loss function with respect to the membrane potential of
the neurons in the final layer is described by
$\frac{\partial\mathcal{L}}{\partial\mbox{\boldmath$u$}_{L}^{T}}=(\mbox{\boldmath${p}$}-\mbox{\boldmath$y$})$,
where $p$ and $y$ are vectors containing the softmax and one-hot encoded
values of the true label respectively. To compute the gradient at the current
time step, the membrane potential at the previous step is considered as an
input quantity [32]. With the weights being fake quantized, gradient descent
updates the network parameters $\mbox{\boldmath$w$}_{L}$ of the output layer
as
$\displaystyle\mbox{\boldmath$w$}_{L}$
$\displaystyle=\mbox{\boldmath$w$}_{L}-\eta\Delta{\mbox{\boldmath{$w$}}_{L}}$
(8) $\displaystyle\Delta{\mbox{\boldmath$w$}_{L}}$
$\displaystyle=\sum_{t}\frac{\partial\mathcal{L}}{\partial\mbox{\boldmath$w$}_{L}}=\sum_{t}\frac{\partial\mathcal{L}}{\partial\mbox{\boldmath$u$}_{L}^{t}}\frac{\partial\mbox{\boldmath$u$}_{L}^{t}}{\partial\mbox{\boldmath$\hat{w}$}_{L}}\frac{\partial\mbox{\boldmath$\hat{w}$}_{L}}{\partial\mbox{\boldmath$w$}_{L}}$
$\displaystyle=\frac{\partial\mathcal{L}}{\partial\mbox{\boldmath$u$}_{L}^{T}}\sum_{t}\frac{\partial\mbox{\boldmath$u$}_{L}^{t}}{\partial\mbox{\boldmath$\hat{w}$}_{L}}\frac{\partial\mbox{\boldmath$\hat{w}$}_{L}}{\partial\mbox{\boldmath$w$}_{L}}\approx(\mbox{\boldmath${p}$}-\mbox{\boldmath$y$})\sum_{t}\mbox{\boldmath$o$}_{L-1}^{t}$
(9)
$\displaystyle\frac{\partial\mathcal{L}}{\partial\mbox{\boldmath$o$}_{L-1}^{t}}$
$\displaystyle=\frac{\partial\mathcal{L}}{\partial\mbox{\boldmath$u$}_{L}^{t}}\frac{\partial\mbox{\boldmath$u$}_{L}^{t}}{\partial\mbox{\boldmath$o$}_{L-1}^{t}}=(\mbox{\boldmath${p}$}-\mbox{\boldmath$y$})\mbox{\boldmath$\hat{w}$}_{L}$
(10)
where $\eta$ is the learning rate (LR). Note that the derivative of the fake
quantization function of the weights
($\frac{\partial\mbox{\boldmath$\hat{w}$}_{L}}{\partial\mbox{\boldmath$w$}_{L}}$)
is undefined at the step boundaries and zero everywhere, as shown in Fig.
3(a). Our training framework addresses this challenge by using the Straight-
through Estimator (STE) [53], which approximates the derivative to be equal to
1 for inputs in the range $[w_{min},w_{max}]$ as shown in Fig. 3(b), where
$w_{min}$ and $w_{max}$ are the minimum and maximum values of the weights in a
particular layer. Note that $w_{min}$ and $w_{max}$ are updated at the end of
every mini-batch to ensure all the weights lie between $w_{min}$ and $w_{max}$
during the forward and backward computations in each training iteration.
Hence, we use
$\frac{\partial\mbox{\boldmath$\hat{w}$}_{L}}{\partial\mbox{\boldmath$w$}_{L}}\approx
1$ to compute the loss gradients in Eq. 9.
Hidden layers: The neurons in the hidden convolutional and fully-connected
layers are defined by the quantized LIF model as
$\displaystyle\mbox{\boldmath$u$}_{l}^{t}$
$\displaystyle=\lambda_{l}{\mbox{\boldmath$u$}_{l}^{t-1}}+\mbox{\boldmath$\hat{w}$}_{l}{\mbox{\boldmath$o$}_{l-1}^{t}}-v_{l}\mbox{\boldmath$o$}_{l}^{t-1}$
(11) $\displaystyle\mbox{\boldmath$z$}_{l}^{t}$
$\displaystyle=\frac{\mbox{\boldmath$u$}_{l}^{t}}{v_{l}}-1,\quad\mbox{\boldmath$o$}_{l}^{t}=\begin{cases}1,&\text{if
}\mbox{\boldmath$z$}_{l}^{t}>0\\\ 0,&\text{otherwise }\end{cases}$ (12)
where $\lambda_{l}$ and $v_{l}$ represent the leak and threshold potential for
all neurons in layer $l$. All neurons in a layer possess the same leak and
threshold value. This reduces the number of trainable parameters and we did
not observe any significant improvement by assigning individual threshold/leak
to each neuron. Given that the threshold is same for all neurons in a
particular layer, it may seem redundant to train both the weights and
threshold together. However, we observe that the number of time steps required
to obtain the state-of-the-art classification accuracy decreases with this
joint optimization. We hypothesize that this is because the optimizer is able
to reach an improved local minimum when both parameters are tunable. The
weight update in Q-STDB is calculated as
$\displaystyle\Delta{w_{l}}$
$\displaystyle=\sum_{t}\frac{\partial\mathcal{L}}{\partial
w_{l}}=\sum_{t}\frac{\partial\mathcal{L}}{\partial\mbox{\boldmath$z$}_{l}^{t}}\frac{\partial\mbox{\boldmath$z$}_{l}^{t}}{\partial\mbox{\boldmath$o$}_{l}^{t}}\frac{\partial\mbox{\boldmath$o$}_{l}^{t}}{\partial\mbox{\boldmath$u$}_{l}^{t}}\frac{\partial\mbox{\boldmath$u$}_{l}^{t}}{\partial\mbox{\boldmath$\hat{w}$}_{l}}\frac{\partial\mbox{\boldmath$\hat{w}$}_{l}}{\partial\mbox{\boldmath$w$}_{l}}$
$\displaystyle\approx\sum_{t}\frac{\partial\mathcal{L}}{\partial\mbox{\boldmath$z$}_{l}^{t}}\frac{\partial\mbox{\boldmath$z$}_{l}^{t}}{\partial\mbox{\boldmath$o$}_{l}^{t}}\frac{1}{v_{l}}\mbox{\boldmath$o$}_{l-1}^{t}\cdot{1}$
(13)
where
$\frac{\partial\mbox{\boldmath$\hat{w}$}_{l}}{\partial\mbox{\boldmath$w$}_{l}}$
and
$\frac{\partial\mbox{\boldmath$z$}_{l}^{t}}{\partial\mbox{\boldmath$o$}_{l}^{t}}$
are the two discontinuous gradients. We calculate the former using STE
described above, while the latter is approximated using surrogate gradient
[48] shown below.
$\displaystyle\frac{\partial\mbox{\boldmath$z$}_{l}^{t}}{\partial\mbox{\boldmath$o$}_{l}^{t}}=\gamma\cdot{max(0,1-|\mbox{\boldmath$z$}_{l}^{t}|)}$
(14)
Note that $\gamma$ is a hyperparameter denoting the maximum value of the
gradient. The threshold and leak update is computed similarly using BPTT [32].
## IV Proposed Architectures
We developed two models, a 3-D and a hybrid fusion of 3-D and 2-D
convolutional architectures, that are inspired by the recently proposed CNN
models [23, 26, 25] used for HSI classification and compatible with our ANN-
SNN conversion framework. We refer to the two models CNN-3D and CNN-32H. The
models are trained without the bias term because it complicates parameter
space exploration which increases the conversion difficulty and tends to
increase conversion loss. The absence of the bias term implies that batch
normalization [54] cannot be used as a regularizer during the training
process. Instead, we use dropout [55] as the regularizer for both ANN and SNN
training. Moreover, our models employ ReLU nonlinearity after each
convolutional and linear layer (except the classifier layer) to further
decrease the conversion loss due to the similarity between ReLU and LIF
neurons. Also, our pooling operations use average pooling because for binary
spike based activation layers, max pooling incurs significant information
loss. Additionally, we modified the number of channels and convolutional
layers to obtain a reasonable tradeoff between accuracy and compute
efficiency. 2-D patches of sizes $5{\times}5$ and $3{\times}3$ were extracted
for CNN-3D and CNN-32H respectively, without any reduction in dimensionality
from each dataset. Higher sized patches increase the computational complexity
without any significant improvement in test accuracy. Our model architectures
are explicitly described in Table I.
## V Experiments
### V-A Datasets
We used three publicly available datasets, namely Indian Pines, Pavia
University, and Salinas scene. A brief description follows for each one [56].
Indian Pines: The Indian Pines (IP) dataset consists of $145{\times}145$
spatial pixels and $220$ spectral bands in a range of $400-2500$ nm. It was
captured using the AVIRIS sensor over North-Western Indiana, USA, with a
ground sample distance (GSD) of $20$ m and has $16$ vegetation classes.
Pavia University: The Pavia University (PU) dataset consists of hyperspectral
images with $610{\times}340$ pixels in the spatial dimension, and $103$
spectral bands, ranging from $430$ to $860$ nm in wavelength. It was captured
with the ROSIS sensor with GSD of $1.3$ m over the University of Pavia, Italy.
It has a total of 9 urban land-cover classes.
Salinas Scene: The Salinas Scene (SA) dataset contains images with
$512{\times}217$ spatial dimension and $224$ spectral bands in the wavelength
range of $360$ to $2500$ nm. The $20$ water absorbing spectral bands have been
discarded. It was captured with the AVIRIS sensor over Salinas Valley,
California with a GSD of $3.7$ m. In total $16$ classes are present in this
dataset.
For preprocessing, images in all the data sets are normalized to have a zero
mean and unit variance. For our experiments, all the samples are randomly
divided into two disjoint training and test sets. The limited 40% samples are
used for training and the remaining 60% for performance evaluation.
TABLE I: Model architectures employed for CNN-1 and CNN-2. Every convolutional and linear layer is followed by ReLU non-linearity. The last classifier layer is not shown. Layer | Number of | Size of | Stride | Padding | Dropout
---|---|---|---|---|---
type | filters | each filter | value | value | value
Architecture : CNN-3D
3-D Convolution | 20 | (3,3,3) | (1,1,1) | (0,0,0) | -
3-D Convolution | 40 | (3,1,1) | (2,1,1) | (1,0,0) | -
3-D Convolution | 84 | (3,3,3) | (1,1,1) | (1,0,0) | -
3-D Convolution | 84 | (3,1,1) | (2,1,1) | (1,0,0) | -
3-D Convolution | 84 | (3,1,1) | (1,1,1) | (1,0,0) | -
3-D Convolution | 84 | (2,1,1) | (2,1,1) | (1,0,0) | -
Architecture : CNN-32H
3-D Convolution | 90 | (18,3,3) | (7,1,1) | (0,0,0) | -
2-D Convolution | 64 | (3,3) | (1,1) | (0,0) | -
2-D Convolution | 128 | (3,3) | (1,1) | (0,0) | -
Avg. Pooling | - | (4,4) | (4,4) | (0,0) | -
Dropout | - | - | - | - | 0.2
Linear | 30998528 | - | - | - | -
### V-B Experimental Setup
#### V-B1 ANN Training
We performed full-precision ANN training for $100$ epochs using the standard
SGD optimizer with an initial learning rate (LR) of $0.01$ that decayed by a
factor of 0.1 after $60$, $80$, and $90$ epochs.
#### V-B2 Conversion and SNN Training
We first examine the distribution of the neuron input values over the total
number of time steps across all neurons of the first layer for a small batch
of HSI images (of size $50$ in our case) and set the layer threshold to the
$99.7$ percentile of the scaled value of the evaluated threshold [32]. In our
experiments we scale the initial thresholds by 0.8. Similarly, we then compute
the thresholds of the subsequent layers sequentially by examining the
distribution of their input values. Note that we use $100$ time steps to
evaluate the thresholds, while the SNN training and inference are performed
with only $5$ time steps. We keep the leak of each layer set to unity while
evaluating initial thresholds. At the start of SNN training, we initialize the
weights with those from the trained ANN and initialize the leak parameters to
$1.0$. We then perform the quantization-aware SNN training described in
Section III for another $100$ epochs. We set $\gamma$ = $0.3$ [48] and used
the ADAM optimizer with a starting LR of $10^{-4}$ which decays by a factor of
$0.5$ after $60$, $80$, and $90$ epochs.
Figure 4: (a) Test accuracies for affine and scale quantization with CNN-3D over IP dataset (b) Test accuracies with 6, 9 and 12-bit weight precisions for post-training quantization with CNN-32H on IP dataset. TABLE II: Model performances with Q-STDB based training on IP, PU, and SS datasets for CNN-3D and CNN-32H after a) ANN training, b) ANN-to-SNN conversion, c) 32-bit SNN training, d) 4-bit SNN training, e) 5-bit SNN training, and f) 6-bit SNN training. | A. ANN | B. Accuracy after | C. Accuracy after | D. Accuracy after | E. Accuracy after | F. Accuracy after
---|---|---|---|---|---|---
Dataset | accuracy ($\%$) | ANN-to-SNN conv. ($\%$) | FP SNN training ($\%$) | 4-bit SNN training (%) | 5-bit SNN training (%) | 6-bit SNN training (%)
| OA | AA | Kappa | OA | AA | Kappa | OA | AA | Kappa | OA | AA | Kappa | OA | AA | Kappa | OA | AA | Kappa
Architecture : CNN-3D
IP | 98.86 | 98.42 | 98.55 | 57.68 | 50.88 | 52.88 | 98.92 | 98.76 | 98.80 | 97.08 | 95.64 | 95.56 | 98.38 | 97.78 | 98.03 | 98.68 | 98.34 | 98.20
PU | 99.69 | 99.42 | 99.58 | 91.16 | 88.84 | 89.03 | 99.47 | 99.06 | 99.30 | 98.21 | 97.54 | 97.75 | 99.26 | 98.48 | 98.77 | 99.50 | 99.18 | 99.33
SS | 98.89 | 98.47 | 98.70 | 81.44 | 76.72 | 80.07 | 98.49 | 97.84 | 98.06 | 96.47 | 93.16 | 94.58 | 97.25 | 95.03 | 95.58 | 97.95 | 97.09 | 97.43
Architecture : CNN-32H
IP | 97.60 | 97.08 | 97.44 | 70.88 | 66.56 | 67.89 | 97.27 | 96.29 | 96.35 | 96.63 | 95.81 | 95.89 | 97.23 | 96.08 | 96.56 | 97.45 | 96.73 | 96.89
PU | 99.50 | 99.09 | 99.30 | 94.96 | 90.12 | 93.82 | 99.38 | 98.83 | 99.13 | 99.17 | 98.41 | 98.68 | 99.25 | 98.84 | 98.86 | 99.35 | 98.88 | 98.95
SS | 98.88 | 98.39 | 98.67 | 88.16 | 84.19 | 85.28 | 97.92 | 97.20 | 97.34 | 97.34 | 96.32 | 96.77 | 97.65 | 96.81 | 96.97 | 97.99 | 97.26 | 97.38
TABLE III: Performance comparison of the SNNs trained with Q-STDB with state-of-the-art deep ANNs on IP and PU datasets Authors | ANN/SNN | Architecture | OA ($\%$) | AA ($\%$) | Kappa ($\%$)
---|---|---|---|---|---
Dataset : Indian Pines
Alipour-Fard | ANN | MSKNet | 81.73 | 71.4 | 79.2
et al. (2020) [21] | | | | |
Song et al. | ANN | DFFN | 98.52 | 97.69 | 98.32
(2018)[22] | | | | |
Zhong et al. | ANN | SSRN | 99.19 | 98.93 | 99.07
(2018) [57] | | | | |
Roy et al. | ANN | HybridSN | 98.39 | 98.01 | 98.16
(2020) [25] | | | | |
Hamida et al. | ANN | 6-layer | 98.29 | 97.52 | 97.72
(2018) [23] | SNN | 3D CNN | 95.88 | 94.26 | 95.34
Luo et al. | ANN | Hybrid | 96.15 | 94.96 | 95.73
(2018) [26] | SNN | CNN | 94.90 | 94.08 | 94.78
This work | ANN | CNN-3D | 98.86 | 98.42 | 98.55
| SNN | | 98.68 | 98.34 | 98.20
This work | ANN | CNN-32H | 97.60 | 97.08 | 97.44
| SNN | | 97.45 | 96.73 | 96.89
Dataset : Pavia University
Alipour-Fard | ANN | MSKNet | 90.66 | 88.09 | 87.64
et al. (2020) [21] | | | | |
Song et al. | ANN | DFFN | 98.73 | 97.24 | 98.31
(2018)[22] | | | | |
Zhong et al. | ANN | SSRN | 99.61 | 99.56 | 99.33
(2018) [57] | | | | |
Hamida et al. | ANN | 6-layer | 99.32 | 99.02 | 99.09
(2018) [23] | SNN | 3D CNN | 98.55 | 98.02 | 98.28
Luo et al. | ANN | Hybrid | 99.05 | 98.35 | 98.80
(2018) [26] | SNN | CNN | 98.40 | 97.66 | 98.21
This work | ANN | CNN-3D | 99.69 | 99.42 | 99.58
| SNN | | 99.50 | 99.18 | 99.33
This work | ANN | CNN-32H | 99.50 | 99.09 | 99.30
| SNN | | 99.35 | 98.88 | 98.95
### V-C ANN & SNN Inference Results
We have used the Overall Accuracy (OA), Average Accuracy (AA), and Kappa
Coefficient evaluation measures to evaluate the HSI classification performance
for our proposed architectures, similar to [23]. Here, OA represents the
number of correctly classified samples out of the total test samples. AA
represents the average of class-wise classification accuracies, and Kappa is a
statistical metric used to assess the mutual agreement between the ground
truth map and classification map. Column-$2$ in Table II shows the ANN
accuracies, column-$3$ shows the accuracy after ANN-SNN conversion with $50$
timesteps. Column-$4$ shows the accuracy when we perform our proposed training
without quantization, while columns 5 to 7 shows the SNN test accuracies
obtained with Q-STDB for different bit precisions (4 to 6 bits) of the
weights. We observe that for all the datasets, SNNs trained with 6-bit weights
result in $5.33\times$ reduction in bit-precision compared to full-precision
(32-bit) models and perform almost at par with the full precision ANNs on both
the architectures. 4-bit weights do not incur significant accuracy drop as
well, and can be used for applications demanding high energy-efficiency and
low latency. Fig. 5 shows the confusion matrix for the HSI classification
performance of the ANN and proposed SNN over the IP dataset for both the
architectures. Although the membrane potentials do not need to be quantized as
described in Section III, we observed that the model accuracy does not drop
significantly even if we quantize them, and hence, the SNN results shown in
Table II correspond to 6-bit membrane potentials. Moreover, quantized membrane
potentials can reduce the data movement cost as discussed in Section VI-B.
The performance of our ANNs and SNNs trained via Q-STDB are compared with the
current state-of-the-art ANNs used for HSI classification in Table III. Note
that mere porting the ANN architectures used in [23, 26] to SNNs, and
performing 6-bit Q-STDB results in significant accuracy drop, and hence, shows
the efficacy of our proposed architectures.
#### V-C1 Q-STDB vs Post-Training Quantization (PTQ)
PTQ cannot always yield ultra low-precision SNNs with SOTA test accuracy. For
example, for the IP dataset and CNN-32H architecture, the lowest bit precision
of the weights that the SNNs can be trained with PTQ for no more than $1\%$
reduction in SOTA test accuracy is $12$, if we limit the total number of time
steps to $5$. Fig. 4(b) shows the test accuracies for different bit precisions
(${\leq}{12}$) of weights with PTQ on IP dataset. The weights can be further
quantized to $8$-bits if we increase the time steps to $10$, which increases
the latency. On the other hand, Q-STDB results in accurate (${\leq}{1}\%$
deviation from ANN accuracy) $6$ bit SNNs with only $5$ time steps, which
improves both the energy-efficiency and latency. The energy-efficiency of our
proposed architectures trained with Q-STDB are quantified in Section VI.
#### V-C2 Affine vs Scale Quantization during Training
As illustrated in Section III, performing scale quantization during the
forward path in training degrades the SNN accuracy significantly. Fig. 4(a)
shows the test accuracies for affine and scale quantization during training
with CNN-3D architecture on IP dataset.
Figure 5: Confusion Matrix for HSI test performance of ANN and proposed 6-bit
SNN over IP dataset for both CNN-3D and CNN-32H. The ANN and SNN confusion
matrices look similar for both the network architectures. CNN-32H incurs a
little drop in accuracy compared to CNN-3D due to shallow architecture.
## VI Improvement in Energy-Delay Product
### VI-A Spiking Activity
To model energy consumption, we assume a generated SNN spike consumes a fixed
amount of energy [43]. Based on this assumption, earlier works [42, 31] have
adopted the average spiking activity (also known as average spike count) of an
SNN layer $l$, denoted ${\zeta}^{l}$, as a measure of compute-energy of the
model. In particular, ${\zeta}^{l}$ is computed as the ratio of the total
spike count in $T$ steps over all the neurons of the layer $l$ to the total
number of neurons in that layer. Thus lower the spiking activity, the better
the energy efficiency.
Fig. 6 shows the average number of spikes for each layer with Q-STDB when
evaluated for $200$ samples from the IP testset for the CNN-3D architecture.
Let the average be denoted by $\zeta_{l}$ which is computed by summing all the
spikes in a layer over $5$ time steps and dividing by the number of neurons in
that layer. For example, the average spike count of the $3^{rd}$ convolutional
layer of the SNN is $0.568$, which implies that over a $5$ timestep period
each neuron in that layer spikes $0.568$ times on average over all input
samples.
Figure 6: Layerwise spiking activity plots for CNN-3D on Indian Pines, Salinas
Scene and Pavia University datasets.
### VI-B Floating point operations count (FLOPs) & Total Energy
Let us assume a 3-D convolutional layer $l$ having weight tensor
$\textbf{W}^{l}\in\mathbb{R}^{k_{l}^{x}\times k_{l}^{y}\times k_{l}^{z}\times
C_{l}^{i}\times C_{l}^{o}}$ that operates on an input activation tensor
$\textbf{I}^{l}\in\mathbb{R}^{H_{l}^{i}\times W_{l}^{i}\times C_{l}^{i}\times
D_{l}^{i}}$, where the notations are similar to the one used in Section III.
We now quantify the energy consumed to produce the corresponding output
activation tensor $\textbf{O}^{l}\in\mathbb{R}^{H_{l}^{o}\times
W_{l}^{o}\times C_{l}^{o}\times D_{l}^{o}}$ for an ANN and SNN, respectively.
Our model can be extended to fully-connected layers with $f_{l}^{i}$ and
$f_{l}^{o}$ as the number of input and output features respectively, and to
2-D convolutional layers, by shrinking a dimension of the feature maps. In
particular, for an ANN, the total number of FLOPS for layer $l$, denoted
$F_{l}^{ANN}$, is shown in row $1$ of Table IV [58, 59]. The formula can be
easily adjusted for an SNN in which the number of FLOPs at layer $l$ is a
function of the average spiking activity at the layer $(\zeta_{l})$ denoted as
$F_{l}^{SNN}$ in Table IV. Thus, as the activation output gets sparser, the
compute energy decreases.
For ANNs, FLOPs primary consist of multiply accumulate (MAC) operations of the
convolutional and linear layers. On the contrary, for SNNs, except the first
and last layer, the FLOPs are limited to accumulates (ACs) as the spikes are
binary and thus simply indicate which weights need to be accumulated at the
post-synaptic neurons. For the first layer, we need to use MAC units as we
consume analog input111Note that for the hybrid coded data input we need to
perform MAC at the first layer at $t=1$, and AC operation during remaining
timesteps at that layer. For the direct coded input, only MAC during the
$1^{st}$ timestep is sufficient, as neither the inputs nor the weights change
during remaining timesteps (i.e. $5\geq t\geq 2$). (at timestep one). Hence,
the compute energy for an ANN $(E^{ANN})$ and an iso-architecture SNN model
$(E^{SNN})$ can be written as
$\displaystyle E^{ANN}$ $\displaystyle=(\sum_{l=1}^{L}F^{SNN}_{l}){E_{MAC}}$
(15) $\displaystyle E^{SNN}$
$\displaystyle=(F^{ANN}_{1}){E_{MAC}}+(\sum_{l=2}^{L}F^{SNN}_{l}){E_{AC}}$
(16)
where $L$ is the total number of layers. Note that $E_{MAC}$ and $E_{AC}$ are
the energy consumption for a MAC and AC operation respectively. As shown in
Table V, $E_{AC}$ is $\mathord{\sim}32\times$ lower than $E_{MAC}$ [60] in
$45$ nm CMOS technology for 32-bit precision. To compute $E_{MAC}$ and
$E_{AC}$ for any arbitrary bit precision $Q$ (6-bits in our work), we use
$E_{MAC}{\propto}Q^{1.25}$ [61], and $E_{AC}{\propto}Q$ [62]. These numbers
may vary for different technologies, but generally, in most technologies, an
AC operation is significantly less expensive than a MAC operation and its’
energy scales close to linearly with bit precision.
TABLE IV: Floating point operations count (FLOPs) in Convolutional and Fully-connected layer for ANN and SNN models Model | Number of FLOPs
---|---
| Notation | 3-D Conv. layer $l$ | 2-D Conv. layer $l$ | FC layer $l$
$ANN$ | $F_{l}^{A}NN$ | $k_{l}^{x}\times k_{l}^{y}\times k_{l}^{z}\times H_{l}^{o}\times$ | $(k_{l})^{2}\times H_{l}^{o}\times W_{l}^{o}\times$ | $f_{l}^{i}\times f_{l}^{o}$
| | $W_{l}^{o}\times D_{l}^{o}\times C_{l}^{o}\times C_{l}^{i}$ | $C_{l}^{o}\times C_{l}^{i}$ |
$SNN$ | $F_{l}^{S}NN$ | $k_{l}^{x}\times k_{l}^{y}\times k_{l}^{z}\times H_{l}^{o}\times$ | $(k_{l})^{2}\times H_{l}^{o}\times W_{l}^{o}\times$ | $f_{l}^{i}\times f_{l}^{o}\times\zeta_{l}$
| | $W_{l}^{o}\times D_{l}^{o}\times C_{l}^{o}\times C_{l}^{i}\times\zeta_{l}$ | $C_{l}^{o}\times C_{l}^{i}\times\zeta_{l}$ |
Fig. 7 illustrates the compute energy consumption and FLOPs for full precision
ANN and 6-bit quantized SNN models of the two proposed architectures while
classifying the IP, PU, and SS datasets, where the energy is normalized to
that of an equivalent ANN. We also consider $6$-bit ANN models to compare the
energy-efficiency of low-precision ANNs and SNNs. As seen in Fig. 7, 6-bit ANN
models are $12.5\times$ energy-efficient compared to 32-bit ANN models due to
the similar factor of improvement in MAC energy (see Table V). Note that we
can achieve the HSI test accuracies shown in Table II with quantized ANNs as
well.
The FLOPs for SNNs obtained by our proposed training framework is smaller than
that for an ANN with similar number of parameters due to low spiking activity.
Moreover, because the ACs consume significantly less energy than MACs for all
bit precisions, SNNs are significantly more compute efficient. In particular,
for CNN-3D on IP, our proposed SNN consumes $\mathord{\sim}199.3\times$ and
$\mathord{\sim}15.9\times$ less compute energy than an iso-architecture full-
precision and 6-bit ANN with similar parameters respectively. The improvements
become ${\sim}560.6\times$ and ${\sim}44.8\times$ respectively on averaging
across the two network architectures and three datasets. Note that we did not
consider the memory access energy in our evaluation because it is dependent on
the underlying system architecture. In general, SNNs incur significant data
movement because both the membrane potentials and weights need to be fetched
from the on-chip memory. Q-STDB addresses the memory cost by reducing their
bit precisions by $5.33\times$ (see Section V-C) compared to full-precision
models. Moreover, there have been many proposals to reduce the memory cost by
data buffering [63], computing in non-volatile crossbar memory arrays [64],
and data reuse with energy-efficient dataflows [65]. All these techniques can
be complemented with Q-STDB to further decrease the memory cost.
TABLE V: Estimated energy costs for $32$ and $6$-bit MAC and AC operations in 45 $nm$ CMOS process Bit-precision | Operation | Energy ($pJ$)
---|---|---
32 | Mutiply-and-Accumulate (MAC) | $3.2$
| Accumulate (AC) | $0.1$
6 | Mutiply-and-Accumulate (MAC) | $0.26$
| Accumulate (AC) | $0.02$
Figure 7: Comparison of FLOPs and compute energy of CNN-3D and CNN-32H between
ANN and SNN models while classifying on (a) Indian Pines, (b) Salinas Scene
and (c) Pavia University datasets, respectively.
## VII Conclusions and Broader Impact
In this paper, we propose a spiking version of a 3-D and hybrid combination of
3-D and 2-D convolutional architectures for HSI classification. We present a
quantization-aware training technique, that yields highly accurate low-
precision SNNs, which can be accelerated by integer math units or PIM
accelerators. Our quantized SNNs offer significant improvements in energy
consumption compared to both full and low-precision ANNs for HSI
classification.
Our proposal results in energy-efficient SNN models, which can be readily
deployed in HSI sensors, thereby eliminating the bandwidth and privacy
concerns of going to the cloud. Since the commercial applications of HSI
analysis are broadly expanding and the models required to train HSI are
becoming deeper, energy-efficiency becomes a key concern, as seen in
traditional computer vision tasks. To the best of our knowledge, this work is
the first to address energy-efficiency of HSI models, and can hopefully
inspire more research in low power algorithm-hardware co-design of neural
network models for HSI classification.
## References
* [1] Y. Chen, Z. Lin, X. Zhao, G. Wang, and Y. Gu, “Deep learning-based classification of hyperspectral data,” _IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing_ , vol. 7, no. 6, pp. 2094–2107, 2014.
* [2] Y. Wan, Y. Fan, and M. Jin, “Application of hyperspectral remote sensing for supplementary investigation of polymetallic deposits in huaniushan ore region, northwestern china,” _Scientific Reports_ , vol. 11, p. 440, 01 2021\.
* [3] A. Papp, J. Pegoraro, D. Bauer, P. Taupe, C. Wiesmeyr, and A. Kriechbaum-Zabini, “Automatic annotation of hyperspectral images and spectral signal classification of people and vehicles in areas of dense vegetation with deep learning,” _Remote Sensing_ , vol. 12, no. 13, 2020\.
* [4] Z. Zheng, Y. Zhong, A. Ma, and L. Zhang, “FPGA: Fast patch-free global learning framework for fully end-to-end hyperspectral image classification,” _IEEE Transactions on Geoscience and Remote Sensing_ , vol. 58, no. 8, pp. 5612–5626, 2020.
* [5] F. Melgani and L. Bruzzone, “Classification of hyperspectral remote sensing images with support vector machines,” _IEEE Transactions on Geoscience and Remote Sensing_ , vol. 42, no. 8, pp. 1778–1790, 2004.
* [6] M. Pal, “Random forests for land cover classification,” in _IGARSS 2003\. 2003 IEEE International Geoscience and Remote Sensing Symposium. Proceedings (IEEE Cat. No.03CH37477)_ , vol. 6, no. 1, 2003, pp. 3510–3512 vol.6.
* [7] J. Xia, N. Yokoya, and A. Iwasaki, “Hyperspectral image classification with canonical correlation forests,” _IEEE Transactions on Geoscience and Remote Sensing_ , vol. 55, no. 1, pp. 421–431, 2017.
* [8] B. Krishnapuram, L. Carin, M. A. T. Figueiredo, and A. J. Hartemink, “Sparse multinomial logistic regression: fast algorithms and generalization bounds,” _IEEE Transactions on Pattern Analysis and Machine Intelligence_ , vol. 27, no. 6, pp. 957–968, 2005.
* [9] G. Camps-Valls, L. Gomez-Chova, J. Munoz-Mari, J. Vila-Frances, and J. Calpe-Maravilla, “Composite kernels for hyperspectral image classification,” _IEEE Geoscience and Remote Sensing Letters_ , vol. 3, no. 1, pp. 93–97, 2006.
* [10] B. Tu, X. Zhang, X. Kang, G. Zhang, J. Wang, and J. Wu, “Hyperspectral image classification via fusing correlation coefficient and joint sparse representation,” _IEEE Geoscience and Remote Sensing Letters_ , vol. 15, no. 3, pp. 340–344, 2018.
* [11] P. Gao, J. Wang, H. Zhang, and Z. Li, “Boltzmann entropy-based unsupervised band selection for hyperspectral image classification,” _IEEE Geoscience and Remote Sensing Letters_ , vol. 16, no. 3, pp. 462–466, 2019.
* [12] Q. Gao, S. Lim, and X. Jia, “Hyperspectral image classification using joint sparse model and discontinuity preserving relaxation,” _IEEE Geoscience and Remote Sensing Letters_ , vol. 15, no. 1, pp. 78–82, 2018.
* [13] J. A. Benediktsson, J. A. Palmason, and J. R. Sveinsson, “Classification of hyperspectral data from urban areas based on extended morphological profiles,” _IEEE Transactions on Geoscience and Remote Sensing_ , vol. 43, no. 3, pp. 480–491, 2005.
* [14] J. Li, P. R. Marpu, A. Plaza, J. M. Bioucas-Dias, and J. A. Benediktsson, “Generalized composite kernel framework for hyperspectral image classification,” _IEEE Transactions on Geoscience and Remote Sensing_ , vol. 51, no. 9, pp. 4816–4829, 2013.
* [15] A. Krizhevsky _et al._ , “ImageNet classification with deep convolutional neural networks,” in _Advances in Neural Information Processing Systems_ , 2012, pp. 1097–1105.
* [16] K. He, X. Zhang, S. Ren, and J. Sun, “Deep residual learning for image recognition,” in _Proceedings of the IEEE conference on computer vision and pattern recognition_ , 2016, pp. 770–778.
* [17] S. Ren, K. He, R. Girshick, and J. Sun, “Faster R-CNN: Towards real-time object detection with region proposal networks,” _IEEE Trans. Pattern Anal. Mach. Intell._ , vol. 39, no. 6, p. 1137–1149, Jun. 2017.
* [18] K. He, G. Gkioxari, P. Dollár, and R. Girshick, “Mask R-CNN,” _arXiv preprint arXiv:1703.06870_ , 2018.
* [19] V. K. Repala and S. R. Dubey, “Dual CNN models for unsupervised monocular depth estimation,” _arXiv preprint arXiv:1804.06324_ , 2019.
* [20] K. Makantasis, K. Karantzalos, A. Doulamis, and N. Doulamis, “Deep supervised learning for hyperspectral data classification through convolutional neural networks,” in _2015 IEEE International Geoscience and Remote Sensing Symposium (IGARSS)_ , vol. 1, no. 1, 2015, pp. 4959–4962.
* [21] T. Alipour-Fard, M. E. Paoletti, J. M. Haut, H. Arefi, J. Plaza, and A. Plaza, “Multibranch selective kernel networks for hyperspectral image classification,” _IEEE Geoscience and Remote Sensing Letters_ , vol. 1, no. 1, pp. 1–5, 2020.
* [22] W. Song, S. Li, L. Fang, and T. Lu, “Hyperspectral image classification with deep feature fusion network,” _IEEE Transactions on Geoscience and Remote Sensing_ , vol. 56, no. 6, pp. 3173–3184, 2018.
* [23] A. Ben Hamida, A. Benoit, P. Lambert, and C. Ben Amar, “3-D deep learning approach for remote sensing image classification,” _IEEE Transactions on Geoscience and Remote Sensing_ , vol. 56, no. 8, pp. 4420–4434, 2018.
* [24] H. Lee and H. Kwon, “Going deeper with contextual cnn for hyperspectral image classification,” _IEEE Transactions on Image Processing_ , vol. 26, no. 10, pp. 4843–4855, 2017.
* [25] S. K. Roy, G. Krishna, S. R. Dubey, and B. B. Chaudhuri, “HybridSN: Exploring 3-D–2-D CNN feature hierarchy for hyperspectral image classification,” _IEEE Geoscience and Remote Sensing Letters_ , vol. 17, no. 2, pp. 277–281, 2020.
* [26] Y. Luo, J. Zou, C. Yao, X. Zhao, T. Li, and G. Bai, “HSI-CNN: A novel convolution neural network for hyperspectral image,” in _2018 International Conference on Audio, Language and Image Processing (ICALIP)_ , vol. 1, no. 1, 2018, pp. 464–469.
* [27] D. Li, X. Chen, M. Becchi, and Z. Zong, “Evaluating the energy efficiency of deep convolutional neural networks on CPUs and GPUs,” in _2016 IEEE International Conferences on Big Data and Cloud Computing (BDCloud), Social Computing and Networking (SocialCom), Sustainable Computing and Communications (SustainCom) (BDCloud-SocialCom-SustainCom)_ , vol. 1, no. 1, 2016, pp. 477–484.
* [28] Hien Van Nguyen, A. Banerjee, and R. Chellappa, “Tracking via object reflectance using a hyperspectral video camera,” in _2010 IEEE Computer Society Conference on Computer Vision and Pattern Recognition - Workshops_ , vol. 1, no. 1, 2010, pp. 44–51.
* [29] M. Pfeiffer and T. Pfeil, “Deep learning with spiking neurons: Opportunities and challenges,” _Frontiers in Neuroscience_ , vol. 12, p. 774, 2018.
* [30] P. U. Diehl, G. Zarrella, A. Cassidy, B. U. Pedroni, and E. Neftci, “Conversion of artificial recurrent neural networks to spiking neural networks for low-power neuromorphic hardware,” in _2016 IEEE International Conference on Rebooting Computing (ICRC)_. IEEE, 2016, pp. 1–8.
* [31] A. Sengupta, Y. Ye, R. Wang, C. Liu, and K. Roy, “Going deeper in spiking neural networks: VGG and residual architectures,” _Frontiers in Neuroscience_ , vol. 13, p. 95, 2019.
* [32] N. Rathi and K. Roy, “DIET-SNN: Direct input encoding with leakage and threshold optimization in deep spiking neural networks,” _arXiv preprint arXiv:2008.03658_ , 2020.
* [33] I. M. Comsa, K. Potempa, L. Versari, T. Fischbacher, A. Gesmundo, and J. Alakuijala, “Temporal coding in spiking neural networks with alpha synaptic function,” in _ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP)_ , vol. 1, no. 1, 2020, pp. 8529–8533.
* [34] S. R. Kheradpisheh and T. Masquelier, “Temporal backpropagation for spiking neural networks with one spike per neuron,” _International Journal of Neural Systems_ , vol. 30, no. 06, May 2020.
* [35] J. Kim, H. Kim, S. Huh, J. Lee, and K. Choi, “Deep neural networks with weighted spikes,” _Neurocomputing_ , vol. 311, pp. 373–386, 2018.
* [36] D. A. Almomani, M. Alauthman, M. Alweshah, O. Dorgham, and F. Albalas, “A comparative study on spiking neural network encoding schema: implemented with cloud computing,” _Cluster Computing_ , vol. 22, 06 2019.
* [37] G. Datta, S. Kundu, and P. A. Beerel, “Training energy-efficient deep spiking neural networks with single-spike hybrid input encoding,” _arXiv preprint arXiv:2107.12374_ , 2021.
* [38] J. H. Lee, T. Delbruck, and M. Pfeiffer, “Training deep spiking neural networks using backpropagation,” _Frontiers in Neuroscience_ , vol. 10, p. 508, 2016.
* [39] Y. Wu, L. Deng, G. Li, J. Zhu, Y. Xie, and L. Shi, “Direct training for spiking neural networks: Faster, larger, better,” in _Proceedings of the AAAI Conference on Artificial Intelligence_ , vol. 33, 2019, pp. 1311–1318.
* [40] S. Lu and A. Sengupta, “Exploring the connection between binary and spiking neural networks,” _arXiv preprint arXiv:2002.10064_ , 2020.
* [41] C. Lee, S. S. Sarwar, P. Panda, G. Srinivasan, and K. Roy, “Enabling spike-based backpropagation for training deep neural network architectures,” _Frontiers in Neuroscience_ , vol. 14, p. 119, 2020.
* [42] N. Rathi, G. Srinivasan, P. Panda, and K. Roy, “Enabling deep spiking neural networks with hybrid conversion and spike timing dependent backpropagation,” _arXiv preprint arXiv:2005.01807_ , 2020.
* [43] Y. Cao, Y. Chen, and D. Khosla, “Spiking deep convolutional neural networks for energy-efficient object recognition,” _International Journal of Computer Vision_ , vol. 113, pp. 54–66, 05 2015.
* [44] B. Rueckauer, I.-A. Lungu, Y. Hu, M. Pfeiffer, and S.-C. Liu, “Conversion of continuous-valued deep networks to efficient event-driven networks for image classification,” _Frontiers in Neuroscience_ , vol. 11, p. 682, 2017.
* [45] P. U. Diehl, D. Neil, J. Binas, M. Cook, S. Liu, and M. Pfeiffer, “Fast-classifying, high-accuracy spiking deep networks through weight and threshold balancing,” in _2015 International Joint Conference on Neural Networks (IJCNN)_ , vol. 1, no. 1, 2015, pp. 1–8.
* [46] Y. Hu, H. Tang, and G. Pan, “Spiking deep residual network,” _arXiv preprint arXiv:1805.01352_ , 2018.
* [47] P. Panda and K. Roy, “Unsupervised regenerative learning of hierarchical features in spiking deep networks for object recognition,” _arXiv preprint arXiv:1602.01510_ , 2016.
* [48] G. Bellec, D. Salaj, A. Subramoney, R. Legenstein, and W. Maass, “Long short-term memory and learning-to-learn in networks of spiking neurons,” _arXiv preprint arXiv:1803.09574_ , 2018.
* [49] E. O. Neftci, H. Mostafa, and F. Zenke, “Surrogate gradient learning in spiking neural networks: Bringing the power of gradient-based optimization to spiking neural networks,” _IEEE Signal Processing Magazine_ , vol. 36, no. 6, pp. 51–63, 2019.
* [50] S. R. Jain, A. Gural, M. Wu, and C. H. Dick, “Trained quantization thresholds for accurate and efficient fixed-point inference of deep neural networks,” _arXiv preprint arXiv:1903.08066_ , 2020.
* [51] N. Rathi, P. Panda, and K. Roy, “STDP based pruning of connections and weight quantization in spiking neural networks for energy efficient recognition,” _arXiv preprint arXiv:1710.04734_ , 2017.
* [52] M. B. G. Sulaiman, K. C. Juang, and C. C. Lu, “Weight quantization in spiking neural network for hardware implementation,” in _2020 IEEE International Conference on Consumer Electronics - Taiwan (ICCE-Taiwan)_ , vol. 1, no. 1, 2020, pp. 1–2.
* [53] M. Courbariaux, I. Hubara, D. Soudry, R. El-Yaniv, and Y. Bengio, “Binarized neural networks: Training deep neural networks with weights and activations constrained to +1 or -1,” _arXiv preprint arXiv:1602.02830_ , 2016.
* [54] N. Bjorck, C. P. Gomes, B. Selman, and K. Q. Weinberger, “Understanding batch normalization,” in _Advances in Neural Information Processing Systems_ , 2018, pp. 7694–7705.
* [55] N. Srivastava, G. Hinton, A. Krizhevsky, I. Sutskever, and R. Salakhutdinov, “Dropout: A simple way to prevent neural networks from overfitting,” _Journal of Machine Learning Research_ , vol. 15, pp. 1929–1958, 06 2014\.
* [56] M. Graña, M. A. Veganzons, and B. Ayerdi, “Hyperspectral remote sensing scenes,” http://www.ehu.eus/ccwintco/index.php/Hyperspectral_Remote_Sensing_Scenes.
* [57] Z. Zhong, J. Li, Z. Luo, and M. Chapman, “Spectral–spatial residual network for hyperspectral image classification: A 3-D deep learning framework,” _IEEE Transactions on Geoscience and Remote Sensing_ , vol. 56, no. 2, pp. 847–858, 2018.
* [58] S. Kundu, M. Nazemi, M. Pedram, K. M. Chugg, and P. Beerel, “Pre-defined sparsity for low-complexity convolutional neural networks,” _IEEE Transactions on Computers_ , 2020.
* [59] S. Kundu, S. Prakash, H. Akrami, P. A. Beerel, and K. M. Chugg, “pSConv: A pre-defined sparse kernel based convolution for deep CNNs,” in _2019 57th Annual Allerton Conference on Communication, Control, and Computing (Allerton)_. IEEE, 2019, pp. 100–107.
* [60] M. Horowitz, “1.1 Computing’s energy problem (and what we can do about it),” in _2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC)_. IEEE, 2014, pp. 10–14.
* [61] B. Moons, R. Uytterhoeven, W. Dehaene, and M. Verhelst, “14.5 envision: A 0.26-to-10TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable convolutional neural network processor in 28nm fdsoi,” in _2017 IEEE International Solid-State Circuits Conference (ISSCC)_ , vol. 1, no. 1, 2017, pp. 246–247.
* [62] W. Simon, J. Galicia, A. Levisse, M. Zapater, and D. Atienza, “A fast, reliable and wide-voltage-range in-memory computing architecture,” in _2019 56th ACM/IEEE Design Automation Conference (DAC)_ , vol. 1, no. 1, 2019, pp. 1–6.
* [63] Y. Shen, M. Ferdman, and P. Milder, “Escher: A CNN accelerator with flexible buffering to minimize off-chip transfer,” in _2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)_ , vol. 1, no. 1, 2017, pp. 93–100.
* [64] B. Chen, F. Cai, J. Zhou, W. Ma, P. Sheridan, and W. D. Lu, “Efficient in-memory computing architecture based on crossbar arrays,” in _2015 IEEE International Electron Devices Meeting (IEDM)_ , vol. 1, no. 1, 2015, pp. 1–4.
* [65] Y.-H. Chen, J. Emer, and V. Sze, “Eyeriss: A spatial architecture for energy-efficient dataflow for convolutional neural networks,” _ACM SIGARCH Computer Architecture News_ , vol. 44, 06 2016.
| Gourav Datta received his bachelors’ degree in Instrumentation Engineering
with a minor in Electronics and Electrical Communication Engineering from
Indian Institute of Technology (IIT) Kharagpur, India in 2018. He then joined
the Ming Hsieh Department of Electrical and Computer Engineering at the
University of Southern California where he is currently pursuing a PhD degree.
He interned at Apple Inc. and INRIA Research Centre in the summers of 2019 and
2017, respectively. His research focuses on the entire computing stack,
including devices, circuits, architectures and algorithms for accelerating
machine learning workloads. During his tenure at IIT Kharagpur, he has
received the Institute Silver medal and was adjudged the best outgoing student
in academics in his batch.
---|---
| Souvik Kundu received his M. Tech degree in Microelectronics and VLSI
design from Indian Institute of Technology Kharagpur, India in 2015. He worked
as R & D Engineer II at Synopsys India Pvt. Ltd. and as Digital Design
Engineer at Texas Instruments India Pvt. Ltd. from 2015 to 2016 and from 2016
to 2017, respectively. He is currently working towards the Ph.D. degree in
Electrical and Computer Engineering at the University of Southern California,
Los Angeles, CA, USA. His research focuses on energy aware sparsity, model
search, algorithm-hardware co-design of robust and energy-efficient neural
networks for CMOS and beyond CMOS technology.
---|---
| Akhilesh R. Jaiswal is a Research Assistant Professor of Electrical and
Computer Engineering and a Scientist at USC’s Information Sciences Institute’s
(ISI) Application Specific Intelligent Computing (ASIC) Lab. Prior to USC/ISI,
Dr. Jaiswal was a Senior Research Engineer with GLOBALFOUNDIRES (GF) at Malta.
Dr. Jaiswal received his Ph.D. degree in Nano-electronics from Purdue
University in May 2019. As a part of doctoral program his research focused on
1) CMOS based analog and digital in-memory and near-memory computing using
standard memory bit-cells for beyond von-Neumann computing. 2) Exploration of
bio-mimetic devices and circuits using emerging non-volatile technologies for
Neuromorphic computing. His current research interest includes exploration of
’alternate computing paradigms’ using ’alternate state variables’. Dr. Jaiswal
has authored several publications and holds 15+ issued and several pending
patents with the USPTO.
---|---
| Peter A. Beerel received his B.S.E. degree in Electrical Engineering from
Princeton University, Princeton, NJ, in 1989 and his M.S. and Ph.D. degrees in
Electrical Engineering from Stanford University, Stanford, CA, in 1991 and
1994, respectively. He then joined the Ming Hsieh Department of Electrical and
Computer Engineering at the University of Southern California where he is
currently a professor and the Associate Chair of the Computer Engineering
Division. He is also a Research Director at the Information Science Institute
at USC. Previously, he co-founded TimeLess Design Automation to commercialize
an asynchronous ASIC flow in 2008 and sold the company in 2010 to Fulcrum
Microsystems which was bought by Intel in 2011. His interests include a
variety of topics in computer-aided design, machine learning, hardware
security, and asynchronous VLSI and the commercialization of these
technologies. He is a Senior Member of the IEEE.
---|---
| arxiv-papers | 2021-07-26T06:17:10 | 2024-09-04T03:07:17.767498 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Gourav Datta, Souvik Kundu, Akhilesh R. Jaiswal, Peter A. Beerel",
"submitter": "Gourav Datta",
"url": "https://arxiv.org/abs/2107.11979"
} |
2107.11980 | figurec
# Influence of sample momentum space features on scanning tunnelling
microscope measurements
Maxwell T. West Center for Quantum Computation and Communication Technology,
School of Physics, University of Melbourne, Parkville, 3010, VIC, Australia.
Muhammad Usman [email protected] Center for Quantum Computation and
Communication Technology, School of Physics, University of Melbourne,
Parkville, 3010, VIC, Australia. School of Computing and Information Systems,
Melbourne School of Engineering, University of Melbourne, Parkville, 3010,
VIC, Australia
Theoretical understanding of scanning tunnelling microscope (STM) measurements
involve electronic structure details of the STM tip and the sample being
measured. Conventionally, the focus has been on the accuracy of the electronic
state simulations of the sample, whereas the STM tip electronic state is
typically approximated as a simple spherically symmetric $s$ orbital. This
widely used $s$ orbital approximation has failed in recent STM studies where
the measured STM images of subsurface impurity wave functions in silicon
required a detailed description of the STM tip electronic state. In this work,
we show that the failure of the $s$ orbital approximation is due to the
indirect band-gap of the sample material silicon (Si), which gives rise to
complex valley interferences in the momentum space of impurity wave functions.
Based on direct comparison of STM images computed from multi-million-atom
electronic structure calculations of impurity wave functions in direct (GaAs)
and indirect (Si) band-gap materials, our results establish that whilst the
selection of STM tip orbital only plays a minor qualitative role for the
direct band gap GaAs material, the STM measurements are dramatically modified
by the momentum space features of the indirect band gap Si material, thereby
requiring a quantitative representation of the STM tip orbital configuration.
Our work provides new insights to understand future STM studies of
semiconductor materials based on their momentum space features, which will be
important for the design and implementation of emerging technologies in the
areas of quantum computing, photonics, spintronics and valleytronics.
An important aspect of modern materials science and engineering is the ability
to place impurities into semiconductors with nanometre precision
Koenraad_NMaterials_2011 ; Fuechsle_NN_2012 ; Weber_Science_2012 ; Ho_NM_2008
; SAE_2013 . These impurities drastically modify the band structure properties
of their host materials, leading to novel electronic, optoelectronic and
quantum properties suitable for a diverse range of nanoscale devices working
in both classical Pierre_NNano_2010 ; Sarkar_Nature_2015 ; Ionescu_Nature_2011
and quantum Kane_Nature_1998 ; Hill_science_2015 regimes of operation. The
design and engineering of impurity atoms in semiconductor materials, however,
demand high precision fabrication and characterisation, often with atomic
resolution, which is a challenging task. Scanning tunnelling microscope (STM)
has been one of the most useful and widely used tools, which offers
unprecedented capabilities to manipulate and characterise nanomaterials down
to single atom resolution Fuechsle_NN_2012 ; Weber_Science_2012 . Since its
invention in 1981 at IBM and the subsequent physics Nobel prize in 1986, STM
has been extensively used to design a wide range of materials including
semiconductors Koenraad_NMaterials_2011 ; Fuechsle_NN_2012 ;
Weber_Science_2012 ; Garleff_PRB_2008 ; Marczinowski_PRB_2008 ; gaas_stm_2015
; gaas_stm2_2017 , 2D materials Jiang_Research_2019 , organic molecules
Gross_PRL_2011 , and metal-organics Marina_Small_2021 . Recently, STM has been
used to probe the electronic structure properties of individual impurity atoms
in semiconductors by producing high resolution spatially-resolved images of
bounded single Salfi_NatMat_2014 ; Usman_NN_2016 and coupled Voisin_2020
electron wave functions. The theoretical modelling of these STM images not
only offers pathways to gain an exquisite understanding of the fundamental
impurity physics Usman_Nanoscale_2017 , but also leads to the design of
precision metrology techniques Usman_NN_2016 , capability to characterise
qubits at large-scale Usman_NPJCM_2020 , and mapping of the interactions
between the coupled electron states Voisin_2020 . Therefore, an accurate
theoretical understanding of STM measurements is important to fully exploit
the capabilities of this highly versatile tool for the advancement of
nanomaterial science and engineering, enabling new technologies with a wide
range of applications in the areas of photonics and quantum computing.
Figure 1: Schematic representation of a nitrogen (N) impurity atom in the GaAs
lattice is shown in (a), and a phosphorus (P) impurity atom in the Si lattice
is shown in (b). Illustrations of the respective GaAs and Si band structures
are also shown along with the $L-\Gamma-X$ path through the reciprocal space.
GaAs has a direct band gap, and Si has an indirect band gap with conduction
band minima along the six equivalent (100) directions, about 82% of the way to
the Brillouin zone boundary. The impurity states for both GaAs and Si sit just
below the conduction band as indicated by red color markers. In momentum
space, the wave function associated with a N state in GaAs is concentrated
around the $\Gamma$ point, but due to the indirect Si band structure, the P
donor state resides in a superposition of the six-fold degenerate valleys
along the six energy minimising directions.
The theoretical understanding of STM measurements involves the calculation of
electronic structure of STM tip (usually made up from a transition metal such
as tungsten) and sample (the system being investigated). Much of the focus has
been on accurate simulations of the sample electronic states, and relatively
little attention has been given to the role of STM tip electronic state. As
the determination of the exact electronic structure of an STM tip is a
challenging task, it is often approximated by a single $s$ orbital based on
the formalism developed by Tersoff and Hamann Tersoff_PRB_1985 . In the
Tersoff-Hamann model, the tunnelling current from a sample taken at a bias
voltage $V$ is given by the integral through an energy window $eV$ of the
local density of states (LDOS) of the impurity evaluated at the tip apex,
which can be simplified as sample charge density vacuum decayed at the tip
apex. This approximation has been successful for many STM based
investigations, providing a very good qualitative understanding of the
measured STM datasets, albeit in contradiction with an earlier theoretical
prediction, which stated that the STM measurements should be dominated by
$d_{z^{2}-r^{2}/3}$ type orbital in the case of a transition metal STM tips
Chen_PRB_1990 .
A recent study of phosphorus impurities in silicon (Si:P) exhibited a very
strong dependence of STM measurements on the tip electronic structure
Usman_NN_2016 . The P impurities were placed at various lattice positions up
to 5 nm below the silicon surface and in each case, STM images of single
electron wave functions bounded to impurity atoms showed drastically different
symmetry and brightness of features computed based on tip orbital selection.
Remarkably, it was also shown that the computed STM images were in excellent
agreement with the measured images only when the tip orbital consisted of a
dominant $d_{z^{2}-r^{2}/3}$ orbital, consistent with the earlier prediction
for a transition metal tip Chen_PRB_1990 . This behaviour was in stark
contrast to the observed STM images of impurities in direct band-gap
semiconductor materials such as GaAs gaas_stm_2015 ; gaas_stm2_2017 and InP
inp_stm_2017 , where the images of electrons bounded to subsurface Bismuth
(Bi), Nitrogen (N), and Antimony (Sb) impurities at various depths were in
good agreement with theory based on only $s$ orbital in the tip state.
In this work, we show that the failure of the Tersoff-Hamann $s$ orbital
approximation for the case of Si:P is a result of the indirect band structure
of silicon, and its associated six-fold valley degeneracy, which introduces
complex momentum space interferences leading to rich high frequency
components. This is established by directly comparing STM images from Si:P
indirect band gap system with the GaAs:N direct band gap system, where the
calculations of STM images are based on multi-million-atom tight-binding
simulations of impurity wave functions Usman_JPCM_2015 ; Usman_PRAppl_2018 ,
coupled with the Bardeen’s tunnelling theory Bardeen_PRL_1961 and Chen’s
derivative rule Chen_PRB_1990 . The underlying physics is further investigated
by means of a simple Kohn-Luttinger model for impurity wave function
Kohn_PR_1955 . The KL model produces a less accurate approximation, only
exhibiting qualitative features of the experimentally observed STM images
Usman_NN_2016 , but has a simple analytic form which is more amenable to
theoretical analysis than the purely numerical output of the detailed tight-
binding simulation. In particular, we can artificially tune the values of the
valley wave vectors, interpolating between the case of an indirect and a
direct band gap material.
Figure 2: The computed STM images of impurity wave functions are shown at
various depths as calculated by multi-million atom nearest-neighbour tight
binding simulations, coupled with the Bardeen’s tunnelling formalism and
Chen’s derivative rule. The $s$ and $d_{z^{2}-r^{2}/3}$ (abbreviated just as
$d$) orbital images are calculated by using Chen’s derivative rule. All images
are plotted by using the same color scale. $a_{0}$ is the lattice constant of
the host semiconductor material.
For the purpose of this study, we have investigated two material systems:
Nitrogen (N) impurities in a direct band-gap material GaAs and Phosphorus (P)
impurities in an indirect band-gap material silicon (Si). The set-up is
schematically shown in Figure 1. Si and GaAs share the same bulk crystal
lattice structure, with the primary structural difference between the two
cases being the 2$\times$1 surface reconstruction of Si at the vacuum
interface, which is typically the case in experimentally fabricated samples
Fuechsle_NN_2012 . The relevant details of their respective band structures
are also depicted in Figure 1. The GaAs material being a direct band-gap
material has minimum (maximum) of the conduction (valence) band at the
$\Gamma$ point. The N impurity related electronic energy level is directly
under the conduction band minimum as shown by a red marker. Contrarily, Si
material being an indirect band-gap material has valence band maximum at the
$\Gamma$ point, but the conduction band minimum is around 82% of the way to
the Brillouin zone boundary along the $X$ direction. The phosphorus related
impurity energy level is below the Si conduction band minimum inside the band-
gap region Usman_JPCM_2015 . In the Fourier space, the N impurity state in
GaAs is therefore localised on the $\Gamma$ point (Figure 1 (a)), while the P
donor impurity state in Si sits in a superposition of the six valleys,
corresponding to the six conduction band minima along the $X$ direction
(Figure 1 (b)). For a P atom placed in the bulk Si, the ground impurity state
is a spin degenerate singlet with equal contributions from all six valleys
Usman_PRB_2015 . However, when the donor is located closer to the silicon-
vacuum interface, the valleys no longer contribute equally to the ground
state; instead, there is re-population from the $\pm x$ and $\pm y$ valleys
into the $\pm z$ valleys, which will be discussed later in this work.
To investigate STM images of impurity wave functions in GaAs and Si materials,
we begin by simulating the electronic structure of GaAs:N and Si:P systems
where a single impurity atom (P or N) is placed in the host material (Si or
GaAs) at selective sites below the vacuum interface. In each case, the
electronic structure calculations are based on multi-million-atom tight-
binding simulations, and the details of the established tight-binding models
for GaAs and Si materials are reported in our earlier studies Usman_JPCM_2015
; Usman_PRB_2015 ; Usman_PRAppl_2018 . The tight-binding models are
benchmarked against the available experimental datasets to accurately
reproduce the energy levels of P and N impurities in their respective Si and
GaAs host materials under bulk conditions. The simulation domain in each case
consists of about 4 million atoms. For Si:P system, the $z$=0 surface is
2$\times$1 reconstructed Craig_SS_1990 ; Usman_NN_2016 . The computation of
the STM images is performed by coupling the atomistic tight-binding wave
function calculation with the Bardeen’s tunnelling formalism Bardeen_PRL_1961
. The impurity wave functions are decayed in the vacuum region based on the
Slater orbital real-space representation Slater_PR_1954 . The effect of the
STM tip state is studied by Chen’s derivative rule Chen_PRB_1990 . In this
study, we mainly focus on two STM tip orbitals, the widely used $s$ orbital
approximation and the $d_{z^{2}-r^{2}/3}$ orbital which was recently found
important to match the experimental measurements of Si:P system. Moreover, the
$d_{z^{2}-r^{2}/3}$ orbital is also relevant for transition metal STM tips
which are most commonly used for nanomaterial studies. The $s$ and
$d_{z^{2}-r^{2}/3}$ orbital type images reflect different applications of the
Chen’s rule; in the $s$ case, the images are proportional to the square of the
impurity state wave function evaluated at the tip apex i.e.
${\textrm{I}_{\textrm{T}}}(r_{0})=\lvert\Psi_{\rm D}\rvert_{r_{0}}^{2}$,
whereas in the $d_{z^{2}-r^{2}/3}$ case, the images are proportional to a
linear combination of second derivatives of the impurity wave function, again
evaluated at the tip apex:
${\textrm{I}_{\textrm{T}}}(r_{0})\varpropto\left\lvert\frac{2}{3}\frac{\partial^{2}\Psi_{\textrm{D}}(r)}{\partial
z^{2}}-\frac{1}{3}\frac{\partial^{2}\Psi_{\textrm{D}}(r)}{\partial
y^{2}}-\frac{1}{3}\frac{\partial^{2}\Psi_{\textrm{D}}(r)}{\partial
x^{2}}\right\rvert_{r_{0}}^{2}$
where $\Psi_{\rm D}$ is the donor wave function and $r_{0}$ is the position of
the STM tip.
Figure 2 plots the computed STM images for GaAs:N and Si:P systems for a few
impurity atom locations. In the case of GaAs:N (Figure 2 (a)), our results
show considerable similarities between the $s$ and $d_{z^{2}-r^{2}/3}$ orbital
images. The images exhibit qualitatively similar symmetry and brightness of
features, with $s$ orbital images being relatively blurred version of the
$d_{z^{2}-r^{2}/3}$ case. However, in the presence of blurring noise typically
present in experimental measurements Usman_NPJCM_2020 , this difference is
expected to be slim. Notably, when we extract feature boundaries from the
$d_{z^{2}-r^{2}/3}$ images and overlay them on the corresponding $s$ orbital
images, the symmetry and size of the features is found to be in very good
agreement (see supplementary Figure S1). Therefore, we conclude that for the
GaAs:N system, the precise tip orbital composition does not play an important
role, and the $s$ orbital Tersoff-Hamann approximation provides a
qualitatively accurate understanding of the measurements. This has indeed been
true in several recent studies where the computed $s$ orbital images were
quite accurate to understand direct band-gap STM experiments gaas_stm_2015 ;
gaas_stm2_2017 ; inp_stm_2017 .
Contrarily, the STM images corresponding to the Si:P system (Figure 2(b)) show
highly distinct features based on the tip orbital selection. The images
computed at several depths show that neither symmetry nor the sizes of
features match for $s$ and $d_{z^{2}-r^{2}/3}$ orbital configurations.
Moreover, the images display complicated structures which are a strong
function of the exact lattice position of P atom in Si Usman_NN_2016 . We
attribute this stark difference between the $s$ and $d_{z^{2}-r^{2}/3}$
orbital images to the presence of momentum space valleys which lead to high
frequency interference patterns. Indeed the supplementary Figure S2 plots the
Fourier space images for both GaAs:N and Si:P cases, indicating that the
Fourier spectra for an Si:P image shows highly rich spectra.
In order to further understand the role of valley configurations, we compute
valley population of Si:P wave functions as a function of P atom depth from
the vacuum interface, which is shown in Figure 3. In the bulk case (large
depths), a P donor in Si sits in an equal superposition of all six valleys
(33% population), and therefore the effect of valley interference is expected
to be strong. Indeed, the $s$ and $d_{z^{2}-r^{2}/3}$ orbital STM images of
deeper P donor depths exhibit stronger mismatch in Figure 2(b). The
supplementary Figure S3 shows Si:P images for impurity depths approaching bulk
limit, indicating that the different between $s$ and $d_{z^{2}-r^{2}/3}$
orbital images is more pronounced when depth is increased. However, when the P
donor is closer to the vacuum interface, the effect of interface and
reconstruction related strain leads to strong population of $z$ valleys at the
expense of $x$ and $y$ valleys. For donor depths below $a_{0}$, the $z$ valley
population is more than 80%. This leads to a weak difference between $s$ and
$d_{z^{2}-r^{2}/3}$ orbital Si:P images as shown in supplementary Figure S4.
This implies that the lattice incommensurate valley oscillations in the $x$
and $y$ directions, which contribute to the rich structure of the STM images
of deep donors, contribute significantly less to the wave functions of shallow
donors, supporting our understanding that indeed the presence of valley
related interferences enhance the role of tip electronic state in the
calculation of STM images. This is also in agreement with a recent report on
AlAs:Si system Tjeertes_arXiv_2021 , where the valley impact was found to be
weak for impurities closer to the surface.
Another important feature of valleys is that STM images remain distinct for
deep donor depths. Even at 5 nm (10 $a_{0}$) depth, the $s$ and
$d_{z^{2}-r^{2}/3}$ orbital images retain symmetry and can be distinctly
identified for each position of impurity atom. This was exploited in a recent
study to develop an exact atom spatial metrology technique to pinpoint
phosphorus donor atoms in silicon Usman_NN_2016 . However, in the case of the
GaAs:N system, the wave functions STM images lack any distinct character of
features and therefore will not allow spatial metrology at such deep depths.
To illustrate this effect, the supplementary material Figure S5 show the
computed STM images for GaAs:N and Si:P systems when N and P atoms are placed
at relatively deeper depths.
Figure 3: The valley population of phosphorus donor states in Si is plotted as
a function of the depth from the (001) surface. The donor atoms closer to the
surface experience significant interface and strain effects, leading to valley
re-population from the $x$ and $y$ valleys to the $z$ valleys, which depends
on both the depth of the donor and its lateral position with respect to the Si
surface dimer rows. As the donor depth increases, the population of all
valleys converges towards the bulk value of 1/3, plotted as a dotted
horizontal line. The vertical dotted line at 1$a_{0}$ donor depth indicates
that the significant valley repopulation below this depth will transform Si:P
donor wave functions to nearly single $z$ valley states, leading to STM images
similar to the single valley GaAs:N case.
To gain further insight into the influence of the valley degeneracy on the STM
image features, we consider a simple analytical model of the Si:P system by
Kohn and LuttingerKohn_PR_1955 , in which the donor ground state wave function
takes the form:
$\Psi_{D}(\bm{r})=\frac{1}{\sqrt{6}}\sum_{\mu}e^{i\bm{k}_{\mu}\dotproduct\bm{r}}F_{\mu}(\bm{r})u_{\mu}(\bm{r})$
where the $F_{\mu}$ are envelope functions, the $u_{\mu}$ are the periodic
Bloch functions and the $\bm{k}_{\mu}$ are the valley wave vectors,
$\bm{k}_{\mu}\in 2\pi(0.82)/a_{0}\\{\bm{k}_{x},\bm{k}_{y},\bm{k}_{z}\\}$.
Following the Kohn and Luttinger formalism, we take the ground state envelope
functions to be elongated Gaussian, with width and length given by a pair
$a,b$ of variationally determined effective Bohr radii, distinct due to the
effective mass anisotropy of silicon. The periodic functions $u_{\mu}$ can be
Fourier expanded as
$u_{\mu}(\bm{r})=\sum_{\bm{G}}A_{\mu,\bm{G}}e^{i\bm{r}\dotproduct\bm{G}}$,
where the sum is over the reciprocal lattice vectors $\bm{G}$ and the
$A_{\mu,\bm{G}}$ can be determined by a DFT method dft_coefficients_2011 . The
majority of this decomposition of the $u_{\mu}$ is into a small number of
terms, meaning that we can get an accurate approximation to the KL wave
function by keeping only the first few $A_{\mu,\bm{G}}$, thereby obtaining a
simple, analytic ansatz of the donor wave function.
The envelope functions $F_{\mu}$ of the KL donor wave function are taken to be
of the form:
$F_{\pm z}(\bm{r})=\frac{1}{\sqrt{\pi
a^{2}b}}\exp\left(-\sqrt{\frac{x^{2}+y^{2}}{a^{2}}+\frac{z^{2}}{b^{2}}}\right)$
and similarly for the $\pm x$ and $\pm y$ valleys. The variational parameters
$a$ and $b$ are taken from Saraiva_PRB_2015 to be 0.9 nm and 0.52 nm,
respectively. The coefficients in the Fourier expansion $A_{\mu,\bm{G}}$ of
the Bloch functions $u_{\mu}$ are taken from dft_coefficients_2011 , where
they were calculated by first-principles density functional theory. Dropping
the terms with $\absolutevalue{A_{\mu,\bm{G}}}^{2}\leq 2\crossproduct 10^{-3}$
gives a closed form expression for the donor wave function:
$\displaystyle\Psi_{D}(\bm{r})$
$\displaystyle=\exp\left(-\sqrt{\frac{y^{2}+z^{2}}{a^{2}}+\frac{x^{2}}{b^{2}}}\right)\Bigg{[}2A\cos\left(\frac{2\pi
vx}{a_{0}}\right)$
$\displaystyle-8B\bigg{(}\cos\left(\frac{2\pi(1-v)x}{a_{0}}\right)\cos\left(\frac{2\pi
y}{a_{0}}\right)\cos\left(\frac{2\pi z}{a_{0}}\right)$
$\displaystyle+\sin\left(\frac{2\pi(1-v)x}{a_{0}}\right)\sin\left(\frac{2\pi
y}{a_{0}}\right)\sin\left(\frac{2\pi z}{a_{0}}\right)\bigg{)}$
$\displaystyle-4C\cos\left(\frac{2\pi(2-v)x}{a_{0}}\right)\bigg{(}\cos\left(\frac{4\pi
y}{a_{0}}\right)+\cos\left(\frac{4\pi z}{a_{0}}\right)\bigg{)}$
$\displaystyle+8D\bigg{(}\cos\left(\frac{2\pi(1+v)x}{a_{0}}\right)\cos\left(\frac{2\pi
y}{a_{0}}\right)\cos\left(\frac{2\pi z}{a_{0}}\right)$
$\displaystyle+\sin\left(\frac{2\pi(1+v)x}{a_{0}}\right)\sin\left(\frac{2\pi
y}{a_{0}}\right)\sin\left(\frac{2\pi z}{a_{0}}\right)\bigg{)}\Bigg{]}$
$\displaystyle+\mathrm{cyclic\ permutations\ of\ }x,y,z.$
where we have introduced the “valley parameter” $v$ whose value varies from 0
to 1, where 0(1) corresponds to $\Gamma$($X$) points on band structure plot,
and $v$ = 0.82 indicates the position of the lowest energy point in silicon
conduction band. The valley parameter can be tuned to artificially change
valley contributions in donor state $\Psi_{D}$, and can be set to zero to
simulate the effect of removing the valleys. The values of the coefficients
are given by $A=0.3428,\ B=0.3131,\ C=0.0986$ and $D=0.0695$.
Figure 4: The calculated STM images from the charge densities of wave
functions from both the valley and no valley KL wave functions are plotted. In
the case of valleys, the $d$ type images are drastically different from the
$s$ type, while without valleys the features are qualitatively similar in the
presence of blurring and saturation. The $d$ type valley images also capture
the experimentally observed alternating of symmetry lines between [110] and
[1$\overline{1}$0], with the images switching from one central feature to two
off centre features every other atomic plane.
With this analytic form of the wave function, we can simulate the STM images
of a P donor in Si not only in the Tersoff-Hamann approximation
Tersoff_PRB_1985 , where the images are simulated by taking the square of the
wave function at the apex of a fictitious STM tip as it sweeps across the
surface, given by $\absolutevalue{\Psi_{D}(x,y,z_{0})}^{2}$ where $z_{0}$ is
the depth of the P donor under consideration, but also for an arbitrary
decomposition of the tip orbital into spherical harmonics, by applying the
appropriate differential operator as specified by Chen’s derivative rule
Chen_PRB_1990 . Although the KL model does not include a central-cell
correctionUsman_JPCM_2015 or the effects of the Si 2$\times$1 surface
reconstruction Craig_SS_1990 , it manages to reproduce many of the qualitative
features of the experimentally observed images. In particular it captures the
counter intuitive cyclic sequence of “butterfly” and “caterpillar” shaped
images, with symmetry axes alternating between the [110] and
[1$\overline{1}$0] axes as reported in Usman_NN_2016 ; Saraiva_PRB_2015 (see
supplementary information Figure S7). Unlike in Saraiva_PRB_2015 , where this
sequence was found directly from the charge density of the donor wave function
(i.e., $s$ type images) evaluated at interstitial planes, here we find the
sequence by calculating $d_{z^{2}-r^{2}/3}$ type images at atomic planes
(Figure 4).
Contrary to complex tight-binding simulations (shown in Figure 2), in this
simple analytical form, it is easy to isolate and (artificially) remove the
effect of the valleys by setting $v=0$. The simulated images are plotted in
Figure 4 both with and without the valleys, and for $s$ and
$d_{z^{2}-r^{2}/3}$ type orbitals. In the no valley case, there is little
qualitative change in the images as the depth changes, and the
$d_{z^{2}-r^{2}/3}$ images are essentially more focused versions of the $s$
images, and become qualitatively similar after the application of blurring.
The direct relationship between the features of the $s$ and
$d_{z^{2}-r^{2}/3}$ images be seen clearly by overlaying a contour plot of the
$d_{z^{2}-r^{2}/3}$ type images on the $s$ type images (see supplementary
information Figure S6).
In the valley case, however, both $s$ and $d_{z^{2}-r^{2}/3}$ images change
dramatically as a function of depth, and at a given depth substantially differ
in structure. This behaviour agrees with that found via the tight binding
simulations in Figure 2, albeit only qualitatively due to the simplified
analytical theory. We can trace the complicated variation of the
$d_{z^{2}-r^{2}/3}$ images as a function of depth to the valley terms; while
the $F_{\mu}$ are slowly varying functions on the length scales of interest,
the incommensurability of the valley wave vectors with the lattice causes the
terms in the sum
$\absolutevalue{\Psi_{D}(\bm{r})}^{2}=\sum_{\mu,\nu,\bm{G},\bm{G^{\prime}}}A_{\mu,\bm{G}}A_{\nu,\bm{G^{\prime}}}^{*}F_{\mu}(\bm{r})F_{\nu}^{*}(\bm{r})e^{i\bm{r}\dotproduct\left(\bm{k}_{\mu}+\bm{G}-\bm{k}_{\nu}-\bm{G^{\prime}}\right)}$
to have completely different phase factors as one moves from one atomic plane
to the next, causing different terms to interfere constructively or
destructively from plane to plane. As in Saraiva_PRB_2015 this can also be
seen by systematically dropping terms from the KL expression.
In conclusion, understanding of the STM images of electron wave functions
bounded to points defects in semiconductors is an important component of
materials science and engineering at the atomic scale. In this work, we have
shown that the observed sensitivity of STM images of P dopants in Si to the
quantum mechanical state of the STM tip itself can be attributed to the six
conduction band valleys of the indirect Si band structure, by contrasting the
tip dependence of STM images simulated in direct and indirect band gap
materials. This was further investigated by means of the Kohn-Luttinger model
of the donor physics, within which we can artificially remove the effect of
the valleys and examine STM images simulated in their absence. The presented
results in the context of silicon material will be relevant for the STM
measurements on a range of other indirect materials such as Ge, SiGe, and
AlSb. Our work provides important new insights for the theoretical
understanding of future STM studies where the momentum space features of the
sample wave function dictate the measured features.
The authors acknowledge useful discussions with Lloyd Hollenberg. This work
was supported by the Australian Research Council (ARC) funded Center for
Quantum Computation and Communication Technology (CE170100012), and partially
funded by the USA Army Research Office (W911NF-08-1-0527). Computational
resources were provided by the National Computing Infrastructure (NCI) and
Pawsey Supercomputing Center through National Computational Merit Allocation
Scheme (NCMAS). This research was undertaken using the LIEF HPC-GPGPU Facility
hosted at the University of Melbourne. This Facility was established with the
assistance of LIEF Grant LE170100200.
The authors declare no competing financial or non-financial interests.
The data that supports the findings of this study are available within the
article. Further information can be provided upon reasonable request to the
corresponding author.
## References
* (1) Koenraad, P. M. & Flatte, M. E. _Nature Materials_ 10, 91 (2011).
* (2) Fuechsle, M. _et al._ _Nature Nanotechnology_ 7, 242 (2012).
* (3) Weber, B. _et al._ _Science_ 335, 64 (2012).
* (4) Ho, J. _et al._ _Nature Materials_ 7, 62 (2008).
* (5) Prati, E. & Shinada, T. _Single-Atom Nanoelectronics_ (Pan Stanford, 2013).
* (6) Pierre, M. _et al._ _Nature Nanotechnology_ 5, 133 (2010).
* (7) Sarkar _et al._ _Nature_ 526, 91 (2015).
* (8) Ionescu _et al._ _Nature_ 479, 329 (2011).
* (9) Kane, B. E. _Nature_ 393, 133 (1998).
* (10) Hill, C. D. _et al._ _Science Advances_ 1, e1500707 (2015).
* (11) Garleff, J. K. _et al._ _Phys. Rev. B_ 78, 075313 (2008).
* (12) Marczinowski, F. _et al._ _Phys. Rev. B_ 77, 115318 (2008).
* (13) Ishida, N. _et al._ Direct visualization of the n impurity state in dilute ganas using scanning tunneling microscopy. _Nanoscale_ 7, 16773 (2015).
* (14) Plantenga, R. C. _et al._ Spatially resolved electronic structure of an isovalent nitrogen center in gaas. _Phys. Rev. B_ 96, 155210 (2017).
* (15) Jiang, J., Xu, T., Lu, J., Sun, L. & Ni, Z. _Research_ 2019, 4641739 (2019).
* (16) Gross, L. _et al._ _Phys. Rev. Lett._ 107, 086101 (2011).
* (17) Castelli, M. _et al._ _Small_ 17, 2005974 (2021).
* (18) Salfi, J. _et al._ _Nature Materials_ 13, 605 (2014).
* (19) Usman, M. _et al._ _Nature Nanotechnology_ 11, 763 (2016).
* (20) Voisin, B. _et al._ _Nature Communications_ 11, 6124 (2020).
* (21) Usman, M., Voisin, B., Salfi, J., Rogge, S. & Hollenberg, L. Towards visualisation of central-cell-effects in scanning-tunnelling-microscope images of subsurface dopant qubits in silicon. _Nanoscale_ 9, 17013 (2017).
* (22) Usman, M., Wong, Y., Hill, C. & Hollenberg, L. Framework for atomic-level characterisation of quantum computer arrays by machine learning. _npj Computational Materials_ 6, 19 (2020).
* (23) Tersoff, J. & Hamann, D. R. _Phys. Rev. B_ 31, 805 (1985).
* (24) Chen, C. J. _Phys. Rev. B_ 42, 8841 (1990-I).
* (25) Krammel, C. M. _et al._ Incorporation of bi atoms in inp studied at the atomic scale by cross-sectional scanning tunneling microscopy. _Phys. Rev. Mat._ 1, 034606 (2017).
* (26) Usman, M. _et al._ _J. Phys.: Cond. Matt._ 27, 154207 (2015).
* (27) Usman, M., Broderick, C. A. & O’Reilly, E. Impact of disorder on the optoelectronic properties of gabinas alloys and heterostructures. _Phys. Rev. Applied_ 10, 044024 (2018).
* (28) Bardeen, J. _Phys. Rev. Lett._ 6, 57 (1961).
* (29) Kohn, W. & Luttinger, J. M. _Phys. Rev._ 98, 915 (1955).
* (30) Usman, M. _et al._ _Phys. Rev. B_ 91, 245209 (2015).
* (31) Craig, B. I. & Smith, P. V. _Surface Science_ 226, L55 (1990).
* (32) Slater, J. C. & Koster, G. F. _Phys. Rev._ 94, 1498 (1954).
* (33) Tjeertes, D. _et al._ An atomic scale study of si-doped alas by cross-sectional scanning tunneling microscopy and density functional theory. _arXiv:2106.05695_ (2021).
* (34) Saraiva, A. L. _et al._ Intervalley coupling for interface-bound electrons in silicon: An effective mass study. _Phys. Rev. B_ 84, 155320 (2011).
* (35) Saraiva, A. L. _et al._ _Phys. Rev. B_ 93, 045303 (2015).
Supplementary Information Document for “Influence of sample momentum space
features on scanning tunnelling microscope measurements”
Supplementary Fig. S1: STM images of N impurities in GaAs for each of the
first 6 planes, both $s$ (top row) and $d$ (middle row) images. Gaussian
blurring and colour saturation has been applied to the images which is
commensurate with the experimental observations. The contours of the $d$
images overlaid on top of the $s$ images (bottom row) which show a good
agreement between the two sets of images. Supplementary Fig. S2: (a)
Schematic plot indicating the projection of 3-dimensional valley space on
2-dimensional STM image Fourier spectra for a direct bandgap material such as
GaAs:N studied in this work. (b) Schematic plot indicating the projection of
3-dimensional valley space on 2-dimensional STM image Fourier spectra for an
indirect bandgap material such as Si:P studied in this work. (c) Fourier
transforms of STM images of impurity wave functions. Beyond a few layers from
the surface the N impurity states have simpler Fourier spectra, consisting
only of low frequency components and periodic components at the reciprocal
lattice vectors. The features which occur in the highlighted blue regions in
the GaAs:N Fourier spectra are attributed to the lattice strain around the N
impurity atom, as they disappear when the strain is artificially turned off
and N impurity is placed in unperturbed GaAs lattice. The Fourier spectra of
the P donors are more involved. The highlighted features in the Si:P spectra
reflect: the low frequency probability envelope and $z$ valleys projection
(white), the $x$ and $y$ valleys (green), 2$\times$ 1 surface reconstruction
induced features (blue), and the periodic components (pink). As expected from
the valley re-population effect, shallow Si:P systems exhibit almost no
features in the green regions indicating that $x$ and $y$ valleys are now de-
populated. Supplementary Fig. S3: The computed STM images of deeper P
impurities in Si are shown for both $x$ and $d$ tip orbitals. At these deeper
depths the emergence of the full valley structure results in complicated image
feature maps, which makes $s$ and $d$ orbital images distinctly different.
Supplementary Fig. S4: The computed STM images of GaAs:N and Si:P systems are
plotted for a few shallow depths of N and P impurities. In both cases, the $s$
and $d$ images are qualitatively similar. For Si:P case, the similarity of $s$
and $d$ orbital images is due to significant valley re-population effect at
shallow donor depths which leads to donor wave functions comprised of
dominantly $z$ valleys. The absence of $x$ and $y$ valleys lead to
disappearance of complex image feature maps typically observed at deeper
depths. Supplementary Fig. S5: The computed STM images of GaAs:N and Si:P for
a few selected deep depths. While in the case of GaAs:N the $s$ and $d$ images
are qualitatively similar, for Si:P drastic differences is observed between
$s$ and $d$ cases. Supplementary Fig. S6: The $s$ and $d$ images resulting
from a typical Kohn-Luttinger based simulation with the valleys removed. By
overlaying the contours of the $d$ image on top of the corresponding $s$
image, we find that almost all features are in direct correspondence, with the
main difference being a broadening of the features of the $s$ image. As
experimental measurements typically exhibit broadened features due to inherent
blurring caused by noise, such qualitative differences will be hard to
distinguish in measured images. Supplementary Fig. S7: The tight-binding and
corresponding Kohn-Luttinger (KL) images for a few selected deep donor depths.
The images show qualitatively the repeating “butterfly and caterpillar”
structures in both cases. The KL images display alternating symmetry axes, as
earlier reported in Saraiva_PRB_2015 .
| arxiv-papers | 2021-07-26T06:25:38 | 2024-09-04T03:07:17.782441 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Maxwell T. West, Muhammad Usman",
"submitter": "Muhammad Usman",
"url": "https://arxiv.org/abs/2107.11980"
} |
2107.11981 | figurec
# An exchange-based surface-code quantum computer architecture in silicon
Charles D. Hill [email protected] School of Physics, The University of
Melbourne, Parkville, 3010, Australia School of Mathematics and Statistics,
The University of Melbourne, Parkville, 3010, Australia Muhammad Usman
[email protected] Centre for Quantum Computation and Communication, School
of Physics, The University of Melbourne, Parkville, 3010, Australia School of
Computing and Information Systems, Melbourne School of Engineering, The
University of Melbourne, Parkville, 3010, Australia Lloyd C.L. Hollenberg
[email protected] Centre for Quantum Computation and Communication,
School of Physics, The University of Melbourne, Parkville, 3010, Australia
###### Abstract
Phosphorus donor spins in silicon offer a number of promising characteristics
for the implementation of robust qubits. Amongst various concepts for scale-
up, the shared-control concept takes advantage of 3D scanning tunnelling
microscope (STM) fabrication techniques to minimise the number of control
lines, allowing the donors to be placed at the pitch limit of $\geq$30 nm,
enabling dipole interactions. A fundamental challenge is to exploit the faster
exchange interaction, however, the donor spacings required are typically 15 nm
or less, and the exchange interaction is notoriously sensitive to lattice site
variations in donor placement. This work presents a proposal for a fast
exchange-based surface-code quantum computer architecture which explicitly
addresses both donor placement imprecision commensurate with the atomic-
precision fabrication techniques and the stringent qubit pitch requirements.
The effective pitch is extended by incorporation of an intermediate donor
acting as an exchange-interaction switch. We consider both global control
schemes and a scheduled series of operations by designing GRAPE pulses for
individual CNOTs based on coupling scenarios predicted by atomistic tight-
binding simulations. The architecture is compatible with the existing
fabrication capabilities and may serve as a blueprint for the experimental
implementation of a full-scale fault-tolerant quantum computer based on donor
impurities in silicon.
###### pacs:
Valid PACS appear here
## I Introduction
Quantum computing based on spin qubits formed by phosphorus donors in silicon
Kane (1998) is an attractive approach for large scale implementation of
quantum information processing. Some of the milestones achieved to date
include single shot spin readout Morello _et al._ (2010), the demonstration
of single qubits based on both electron Pla _et al._ (2012) and nuclear Pla
_et al._ (2013) spins, the fabrication of donor based devices in silicon based
on scanning tunnelling microscope (STM) techniques Fuechsle _et al._ (2012);
Weber _et al._ (2012), the post-fabrication pinpointing of their locations in
silicon with the exact lattice site precision Usman _et al._ (2016), and a
direct two-electron SWAP operation He _et al._ (2019). With ongoing
experimental efforts focused on increasing the number of qubits in quantum
devices and achieving control with high fidelities, the challenges associated
with scale-up and the design of a universal quantum computer architecture
incorporating quantum error correction come into sharper focus.
The development of topological quantum error correction (TQEC) codes such as
the surface code has provided a scheme for error correction with a relatively
high threshold that is commensurate with experiments Bravyi and Kitaev (1998);
Dennis _et al._ (2002); Raussendorf _et al._ (2007); Wang _et al._ (2011).
While the physical requirements of the surface code are relatively
straightforward to contemplate a two dimensional array of nearest-neighbour
coupled qubits. However, for all physical qubit platforms, even with
assumptions about quantum interconnects Nguyen _et al._ (2017), the
challenges inherent in the spatial arrangement of gates, and temporal
characterisation and control complexity for large numbers of independent
qubits to carry out TQEC are formidable. Since Kane’s original concept for a
1D qubit array Kane (1998), a number of proposals have been presented
addressing scalability issues, particularly with respect to the requirements
of incorporating quantum error correction Hill _et al._ (2015); Pica _et
al._ (2016); Gorman _et al._ (2016); Tosi _et al._ (2017); Cai _et al._
(2019). In Ref. Hill _et al._ (2015), a surface-code architecture was
reported for impurity spins in silicon which was based on the dipole
interactions between the P impurities. This work presented a detailed design
introducing shared control, however it was limited to dipole couplings which
are of the order of kHz. The difficulty of providing fast, available couplings
in solid state architectures has led to several proposals. Pica et al. Pica
_et al._ (2016) proposed a surface code architecture, in which electrons were
shuttled between neighbouring qubits. Gorman et al addressed the problem of
coupling by mechanically moving a set of probe qubits in order to establish
the required couplings Gorman _et al._ (2016). Tosi et al Tosi _et al._
(2017) proposed the use of long range couplings provided by a flip-flop qubit,
a combination of electronic and nuclear spin states that can be controlled
with a microwave electric fields. For donor spins in silicon, the
incorporation of exchange interaction in surface-code based error correction
schemes is still an open question.
Figure 1: 3D Surface-code Architecture: The schematic diagram plots the layout
of the proposed surface-code architecture based on phosphorus (P) donor qubits
in silicon. The architecture is based on previously published scheme Hill _et
al._ (2015), however it is updated to exploit the fast exchange interaction
between P donor electron spins. The data qubits are separated by 32 nm and
additional coupler qubits (orange dots) are incorporated in-between data
qubits to control (turn on/off) interaction between them. The qubit plane is
addressed by top and bottom gates shown by the blue and gray stripes.
The introduction of shared control Hill _et al._ (2015) in donor qubit
architecture design space reduces the spatial complexity and dovetails
naturally with the repetitive spatio-temporal control requirements of surface
code TQEC. Assuming a high level of qubit uniformity and a fundamental qubit
pitch of $\geq$ 30 nm, corresponding to the fundamental contol line pitch
limit in these devices, CNOT gates were based on the donor electron spin
dipole interaction with a phase-matched electron loading protocol to rectify
timing variations associated with the hyperfine interaction. Ideally, one
would use the exchange interaction, however, the severe spacing requirements
($\leq$ 15nm) and variations in the exchange coupling work against the design
of a direct exchange based 2D array for TQEC. Here, we address these problems
by introducing an intermediate donor acting as an exchange coupler. The qubit
donors containing quantum data can be spaced comfortably with respect to a
control line pitch of 35 nm, and phase matched loading at qubit donors is no
longer required. Atomic level simulations, with typical placement variations
expected in STM fabrication, indicate CNOT gate times at O($\upmu$sec) are
possible and the overall scheme has potential to meet the stringent control
requirements of the surface code.
## II Results & Discussions
### II.1 Overview of the Architecture
Figure 1 schematically illustrates the layout of the exchange-based surface-
code architecture proposed in this work. The architecture, as its predecessor
dipole-based architecture Hill _et al._ (2015), is based on three-dimensional
layout. In Figure 1 (a) The colored dots indicate 2D arrangement of donor
atoms, interleaved with black squares representing SET islands for
loading/unloading and readout of electron to/from qubits. The nuclear spins on
donors define the qubit states as shown in Figure 1 (b). The 2D qubit plane is
sandwiched between the top and bottom layout of wires forming source and
drain. The exponential decay of the exchange interaction with the separation
between the donor atoms is well known in the literature, as is the sensitivity
of the interaction to valley interference effects Cullis and Marko (1970);
Wellard _et al._ (2003); Gonzalez-Zalba _et al._ (2014); Hu _et al._
(2005); Sarma _et al._ (2005); Wellard _et al._ (2004); Kettle _et al._
(2006, 2004); Koiller _et al._ (2004); Song and Sarma (2016); Wellard and
Hollenberg (2005); Testolin _et al._ (2007); Saraiva _et al._ (2015); Pica
_et al._ (2014); Koiller _et al._ (2005); Voisin _et al._ (2020); Usman
(2021). This results in a tension between donor separation and exchange
strength to design a fast CNOT gate while maintaining sufficient distance
between the atoms to allow for control wires, also known as pitch problem. In
the previous dipole-based architecture Hill _et al._ (2015), the separation
between the adjacent donor atoms was taken to be 30 nm, defined by the gate-
leakage pitch limit for STM control-lines. At such distances, the exchange
interaction is effectively zero. In our scheme we introduce a coupler donor
which switches the exchange on and off by loading and unloading an electron to
that position (Figure 1 (c)).
Figure 2: Coupler-mediated CNOT gate: A schematic circuit diagram showing
conceptual triple-donor CNOT gate construction illustrated for the case
$\lvert 1\rangle\lvert 1\rangle\rightarrow\lvert 1\rangle\lvert 0\rangle$. In
our convention, arrows with single (double) heads label nuclear (electron)
spins, and down (up) direction of arrows define $\lvert 1\rangle$ ($\lvert
0\rangle$). The CNOT gate comprises three phosphorus donor qubit: target (T),
control (C), and coupler (c). (a-f) The spin configurations of electron and
nuclear spins on three qubits are shown at various stages of the CNOT circuit
operation.
The design of a robust two-qubit CNOT gate is a fundamental component of any
quantum computer architecture. Figure 2 plots the schematic diagram (center
circuit) of our design for a two-qubit CNOT gate based on the coupler qubit,
digitally controlling the exchange interaction between the control and target
data qubits. This mechanism allows the placement of control and target qubits
at distances commensurate with the pitch limit of STM control lines and yet
achieve MHz to GHz exchange interactions mediated via the coupler qubit. The
operation sequence of the proposed CNOT gate is explained in steps (a) to (f)
as shown in the diagram. We have indicated both the nuclear and electron qubit
spins on each qubit by plotting single and double head arrows, respectively.
As shown in (a), we assume that the gate is initialised as both electron spins
on control and target qubits in down-spin configuration and the nuclear spins
encode the qubit information. In the second step, (b), the coupler qubit is
loaded with an electron in down-spin configuration. Next, (c), the nuclear and
the electron spins of the target and control qubits are swapped. The CNOT
operation is performed between the target and control qubits (d), and then the
electron/nuclear spins are swapped again (e) to store the information back in
the nuclear spins. Finally, (f) brings the circuit back to the initial
condition by unloading the electron from the coupler qubit. This will turn off
the interaction between the target and control qubits.
Figure 3: Exchange distributions for triple donor protocol: (a) The possible
spatial locations are shown within the $\pm a_{0}$ placement precision for the
target (T), coupler (c) and control (C) dopants. Each dopant atom could be
placed on one of the possible nine locations, resulting in 81 values for
exchange interaction $J_{\rm Tc}$ and $J_{\rm cC}$. However, due to silicon
crystal symmetry, only 15 configurations are distinct. (b, c) The distinct
values of exchange interactions $J_{\rm Tc}$ and $J_{\rm cC}$ are plotted for
14 nm and 18 nm separations selected between target/coupler and
coupler/control, respectively.
### II.2 Exchange strength and distribution
The current state-of-the-art scanning tunnelling microscope (STM) based
atomic-precision fabrication technology Fuechsle _et al._ (2012) has
demonstrated donor placement with $\pm a_{0}$ accuracy, where $a_{0}$ is the
lattice constant of silicon. However, even such small variations in the donor
position may lead to considerably large variations in exchange interaction
Cullis and Marko (1970); Wellard _et al._ (2003); Gonzalez-Zalba _et al._
(2014); Hu _et al._ (2005); Sarma _et al._ (2005); Wellard _et al._ (2004);
Kettle _et al._ (2006, 2004); Koiller _et al._ (2004); Song and Sarma
(2016); Wellard and Hollenberg (2005); Testolin _et al._ (2007); Saraiva _et
al._ (2015); Pica _et al._ (2014); Koiller _et al._ (2005); Voisin _et al._
(2020); Usman (2021), placing stringent requirement on uniformity assumptions
in the design of control schemes for large-scale architectures Testolin _et
al._ (2007); Usman (2021). In the past, strategies have been developed to
mitigate the impact of exchange variations, which include the design of robust
composite pulse schemes such as BB1 Hill (2007), exchange characterisation
Testolin _et al._ (2007), the application of electric fields Wang _et al._
(2016) and the placement of donor atoms along the [110] crystal direction
Voisin _et al._ (2020). In this work, we propose the application of a small
strain field (5%) which allows full control of exchange interaction variation
for both in-plane and out-of-plane donor position variations Usman (2021).
Fig. 3 (a) plots a schematic illustration of donor positions for target,
coupler and control qubits. Each qubit is indicated by the target donor
position and the possible locations under $\pm a_{0}$ placement imprecision,
which is commensurate with the precision placement of donor atoms by STM
fabrication techniques. This results in 81 possible configurations between
target and coupler, and likewise another 81 possible configurations between
coupler and control. We note that due to the symmetry of the silicon crystal
lattice, only 15 configurations out of the 81 possibilities are distinct.
The calculation of exchange interaction is performed based on the atomistic
tight-binding wave functions of donor electrons in silicon Usman _et al._
(2015a, b) and the Heiltler-London theory Wellard and Hollenberg (2005). Fig.
3 (c) and (d) plots the computed exchange values for the 15 distinct donor
configurations between the target and coupler and between the coupler and
control, respectively. As an example, the separations between the target and
the coupler qubits is selected as 14 nm, and between the coupler and control
qubits as 18 nm. These separations allow a pitch of 32 nm which is consistent
with the reported STM control-line requirements ($\geq$ 30 nm) Hill _et al._
(2015). We note that the two separations are purposely selected to be slightly
different (18 nm and 14 nm), to minimise frequency band overlaps which will
allow efficient design of control pulses addressing individual donor pairs.
Figure 3(c) and (d) show a relatively small variation in exchange interaction
(about a factor of 5 or less), when compared to roughly three orders of
magnitude variation reported for similar donor position uncertainties in
unstrained silicon substrate Voisin _et al._ (2020). This considerably
suppressed variation in exchange strength has important implication for the
fidelity of CNOT gate which sharply decreases when the exchange distribution
is large Testolin _et al._ (2007). Furthermore, full exchange control can be
achieved in strained silicon system by an external in-plane electric field
which can provide a tuning of factor or ten or more for donor separations
above 14 nm Usman (2021).
The application of strain offers another direct benefit in terms of CNOT gate
operation times as the interaction time is inversely proportional to exchange
strength. Figure 4 plots exchange strength for various donor separations along
the [100] and [110] directions for both unstrained and strained silicon
environments. From these plots, a two-fold impact of strain is evident. First,
the application of strain significantly boosts the strength of exchange
interaction, as also reported in the literature Wellard and Hollenberg (2005);
Koiller _et al._ (2002, 2004); Sarma _et al._ (2005); Kettle _et al._
(2006). For example, our calculations show that donors placed at 20 nm
separation in strained silicon will have roughly the same exchange
interactions as the donor pairs which are 12-14 nm separations in the
unstrained silicon. This implies that donors can be placed much larger
distances in strained system without sacrificing exchange interaction or CNOT
interaction times, which is important to meet the pitch requirements of a
large-scale architecture. From our calculations, we estimate O($\upmu$sec)
interaction times for donor separations of upto 25 nm in strained silicon
case, which is drastically faster when compared to O($m$sec) interaction times
for unstrained silicon substrates. Secondly, the exchange interaction in
strained environment is highly uniform, i.e., nearly same strength along the
[100] and [110] directions. The uniformity of exchange strength with respect
to donor placement orientation ([100] and [110]) will be useful in the design
of a planar 2D surface-code architecture such as proposed in this work (Figure
1).
Figure 4: Exchange enhancement: (a, b) Exchange interactions ($J$) between two
P atoms separated along the [100] and [110] directions are plotted for both
unstrained (diamond symbols) and 5% strained (square symbols) silicon
substrates. The $J$ values are presented in the exchange term of the effective
spin Hamiltonian ($J\vec{\sigma^{e}_{1}}\cdot\vec{\sigma^{e}_{2}}$), in which
case $J$ = $\frac{E_{T}-E_{S}}{4}$, where $E_{T}-E_{S}$ is the singlet-triplet
splitting. The conversion of energy to frequency is based on 1 meV $\sim$ 242
MHz.
### II.3 GRAPE Pulse Engineering
The configurations of donor separations as shown in Figure 3 lead to a
distribution of corresponding interaction strengths, $J_{Tc}$ and $J_{cC}$.
Typically, at the selected spacing of 14-18 $\mathrm{nm}$ these coupling
strengths are larger than the hyperfine interaction, $A$, and so do not fall
into the regime described in Figure 2. Conceptually, the same operations are
being applied, however since all three electrons are strongly interacting, the
control pulses do not lend themselves to such a simple description. In order
to quantitatively determine control pulses required, we calculated pulses for
the electron to electron CNOT gate from control to target electrons using
numerically optimized GRAPE sequences.
Figure 5: Engineered Pulse Control: Schematic showing the strategy for
developing control pulses for a large array of donors. (a) The placement of
donors gives rise to different transition frequencies (b) Several of these
frequencies will overlap between distinct donor triples. (c) From these donor
triples, we identify sets of potential candidates triples for concurrent
pulses - spatially separated and either non-overlapping transitions in
frequency space, or with frequencies amenable to a broadband pulse (d) Optimal
pulses are found numerically using GRAPE which concurrently applies a CNOT to
all donor triples in that set. Difference colors indicate optimised pulse
sequences for different frequency combinations.
Since a wide range of exchange interaction strengths would be present in our
architecture, our strategy for implementing these pulses started from a simple
electron spin Hamiltonian (in the absence of an $AC$ control pulse applied):
$\displaystyle H_{\rm en}$ $\displaystyle=$ $\displaystyle
g\mu_{B}B(Z_{T}+Z_{C}+Z_{c})+g_{n}\mu_{n}B(Z_{nT}+Z_{nC}+Z_{nc})$ (1)
$\displaystyle+A_{T}\sigma_{T}\cdot\sigma_{nT}+A_{C}\sigma_{C}\cdot\sigma_{nC}+A_{c}\sigma_{c}\cdot\sigma_{nc}$
$\displaystyle+J_{Tc}\sigma_{T}\cdot\sigma_{c}+J_{cC}\sigma_{c}\cdot\sigma_{C}$
where $T$, $C$, and $c$ subscripts refer to the electron spins corresponding
to target, coupler and control qubits respectively, and the corresponding
$nT$, $nC$, and $nc$ refer to the nuclear spins. Here, and throughout the
paper, $X$, $Y$ and $Z$ are the Pauli spin operators, and $\sigma\cdot\sigma$
the exchange interaction between spins. Using the approximation that nuclear
spins remain static during this evolution, the electron spin Hamiltonian can
be reduced to the more tractable,
$\displaystyle H_{\rm e}$ $\displaystyle=$
$\displaystyle(g\mu_{B}B+A_{T})Z_{T}+(g\mu_{B}B-A_{C})Z_{C}+(g\mu_{B}B+A_{c})Z_{c}$
(2)
$\displaystyle+J_{Tc}\sigma_{T}\cdot\sigma_{c}+J_{cC}\sigma_{c}\cdot\sigma_{C}$
We wish to control the electron spins with a transverse $AC$ field,
$\displaystyle H_{\rm AC}$ $\displaystyle=$ $\displaystyle
g\mu_{B}B_{AC}\cos{\omega_{r}t}\left(X_{T}+X_{c}+X_{C}\right)$ (3)
$\displaystyle+g\mu_{B}B_{AC}\sin{\omega_{r}t}\left(Y_{T}+Y_{c}+Y_{C}\right)$
where typically $\omega$ is chosen to be resonant with a transition between
two of the eigenstates of $H$ given in Eqn. (2).
Not every transition between every pair of eigenstates is allowed. As an
illustrative example, if $J_{Tc}\gg A$ and $J_{Tc}\gg J_{cC}$ then a
transverse field of the form of Eqn. (3) would not excite transitions between
the singlet and triplet eigenstates due to symmetry considerations. Note,
however, that over a long time period, even though an individual transition
might not be able to be individually addressed, the symmetry can be broken
because the central spin interacts with both neighbours. Such disallowed
transitions can be identified numerically by considering the off-diagonal
elements of $H_{AC}$ given in Eqn. (3) written in the eigenbasis of $H_{e}$
given in Eqn. (2). In addition, two transitions can lie close in frequency,
and not able to be individually addressed in experiment. These two
considerations given rise to a viable set of control frequencies, $\omega$
which significantly excite transition between eigenstates of $H_{e}$ and can
be effectively addressed in experiment.
We performed GRAPE numerical optimization to determine gate pulse sequences
for the CNOT gate between electron spins. To do this, we considered each of
the different resonant frequencies which excite transitions between
eigenstates of the system as different control parameters. At each time-step,
it was possible to vary the strength of the $AC$ field applied, as well as the
phase of the applied microwave field. Using gradient ascent, we optimized the
trace fidelity,
$F(U)=\mathrm{Tr}\left[U_{C}U_{G}\right]$ (4)
where $U_{C}$ is the perfect CNOT gate applied between electronic spin states
1 and 3 and leaving the second electronic spin unchanged. $U_{G}$ is the
obtained evolution obtained from a given GRAPE pulse sequence.
We repeated GRAPE for each of the 225 different pairs of strengths of exchange
interactions $J_{Tc}$ and $J_{cC}$, obtaining a numerically optimized CNOT
pulse sequence in each case. Almost all pulse sequences resulted in a high
fidelity CNOT gate, accurate to $0.1\%$ accuracy. Only six CNOT gates had
lower fidelities. We note that there are 225 different triples of qubits. To
operate on each of these triples independently would require 225 different
pulse schemes - such as those calculated by GRAPE. However, many of these
pulses can, in principle, be applied in parallel. This can be applied in
parallel if (i) pulses have disjoint frequencies, which do not overlap, (ii)
broadband pulses can be applied to implement the gate on triples with near-
lying frequencies.
Pulses with disjoint frequencies can be operated in parallel, since an out of
resonance field will not excite transitions in off-resonant spins. The larger
the number of triples with non-overlapping frequencies, the more operations
that can be applied in parallel because they have disjoint frequencies. A
rough estimate of the number of triples (CNOT gates) that can be made is as
follows: If any two triples have a probability of 30% (40%) of having a
transition with an overlapping frequencies, then approximately 12 (9) of the
225 CNOT gates can be chosen to operate in parallel. Further tuning of
exchange interactions can be performed by the application of external electric
fields Usman (2021), which could allow more frequencies to be operated in
parallel.
## III Summary
We have introduced a new concept for the incorporation of fast exchange
interaction in surface-code architecture scheme for donor spin qubits in
silicon. The proposal is underpinned by the design of a CNOT gate in which the
coupling between target and control data qubits in mediated by an additional
coupler qubit which can selectively turn on/off exchange interaction between
data qubits. The introduction of coupler qubit allows data qubits to be placed
at large separations ($\geq$ 30 nm) commensurate with the requirements of a
large-scale architecture. We also discuss the application of a small strain
field ( 5%) which provides important benefits such as significant enhancement
in exchange strength leading to O($\upmu$sec) interaction times, suppressed
exchange variation arising from the donor placement inaccuracy and uniformity
in exchange interactions along the [100] and [110] crystal directions. We
consider a both global control as well as targeted GRAPE control based on
mapping frequency distributions arising from exchange variations. The work
here is a step on the path to the design and implementation of a large-scale
error-corrected quantum computer architecture based on atomic spin qubits in
silicon.
Acknowledgements: This work was supported by the Australian Research Council
(ARC) funded Center for Quantum Computation and Communication Technology
(CE170100012). Computational resources were provided by the National Computing
Infrastructure (NCI) and Pawsey Supercomputing Center through National
Computational Merit Allocation Scheme (NCMAS). This research was undertaken
using the LIEF HPC-GPGPU Facility hosted at the University of Melbourne. This
Facility was established with the assistance of LIEF Grant LE170100200.
Author contributions: All authors contributed in the development of the
concept, planning, data analysis and writing of the manuscript.
Conflict of Interest: The authors declare no competing financial or non-
financial interests. A patent application has been filed based on aspects of
the architecture design.
Data availability: The data that support the findings of this study are
available within the article. Further information can be provided upon
reasonable request.
## References
* Kane (1998) B. E. Kane, Nature 393, 133 (1998).
* Morello _et al._ (2010) A. Morello, J. J. Pla, F. A. Zwanenburg, K. W. Chan, K. Y. Tan, H. Huebl, M. Mottonen, C. D. Nugroho, C. Yang, J. A. van Donkelaar, A. D. C. Alves, D. N. Jamieson, C. C. Escott, L. C. L. Hollenberg, R. G. Clark, and A. S. Dzurak, Nature 467, 687 (2010).
* Pla _et al._ (2012) J. J. Pla, K. Y. Tan, J. P. Dehollain, W. H. Lim, J. J. L. Morton, D. N. Jamieson, A. S. Dzurak, and A. Morello, Nature 489, 541 (2012).
* Pla _et al._ (2013) J. Pla, K. Y. Tan, J. P. Dehollain, W. H. Lim, J. J. L. Morton, F. A. Zwanenburg, D. N. Jamieson, A. S. Dzurak, and A. Morello, Nature 496, 334 (2013).
* Fuechsle _et al._ (2012) M. Fuechsle, J. A. Miwa, S. Mahapatra, H. Ryu, S. Lee, O. Warschkow, L. C. L. Hollenberg, G. Klimeck, and M. Y. Simmons, Nature Nanotechnology 7, 242 (2012).
* Weber _et al._ (2012) B. Weber, S. Mahapatra, H. Ryu, S. Lee, A. Fuhrer, T. C. G. Reusch, D. L. Thompson, W. C. T. Lee, G. Klimeck, L. C. L. Hollenberg, and M. Y. Simmons, Science 335, 64 (2012).
* Usman _et al._ (2016) M. Usman, J. Bocquel, J. Salfi, B. Voisin, A. Tankasala, R. Rahman, M. Y. Simmons, S. Rogge, and L. Hollenberg, Nature Nanotechnology 11, 763 (2016).
* He _et al._ (2019) Y. He, S. K. Gorman, D. Keith, L. Kranz, J. G. Keizer, and M. Y. Simmons, Nature 571, 371 (2019).
* Bravyi and Kitaev (1998) S. Bravyi and A. Kitaev, arXiv: quant-ph/9811052 (1998).
* Dennis _et al._ (2002) E. Dennis, A. Kitaev, A. Landahl, and J. Preskill, J. Math. Phys. 43, 4452 (2002).
* Raussendorf _et al._ (2007) R. Raussendorf, J. Harrington, and K. Goyal, New J. Phys. 9, 199 (2007).
* Wang _et al._ (2011) D. S. Wang, A. G. Fowler, and L. C. L. Hollenberg, Phys. Rev. A 83, 020302 (2011).
* Nguyen _et al._ (2017) T. Nguyen _et al._ , Sci. Rep. 7, 13386 (2017).
* Hill _et al._ (2015) C. D. Hill, E. Peretz, S. Hile, M. House, M. Fuechsle, S. Rogge, M. Y. Simmons, and L. Hollenberg, Science Advances 1, e1500707 (2015).
* Pica _et al._ (2016) G. Pica, B. W. Lovett, R. N. Bhatt, T. Schenkel, and S. A. Lyon, Phys. Rev. B 93, 035306 (2016).
* Gorman _et al._ (2016) J. Gorman, N. Nickerson, P. Ross, J. Morton, and S. Benjamin, npj Quantum Information 2, 15019 (2016).
* Tosi _et al._ (2017) G. Tosi, F. Mohiyaddin, V. Schmitt, S. Tenberg, R. Rahman, G. Klimeck, and A. Morello, Nature Comm. 8, 450 (2017).
* Cai _et al._ (2019) Z. Cai _et al._ , Quantum 3 (2019).
* Cullis and Marko (1970) P. R. Cullis and J. R. Marko, Phys. Rev. B 1 (1970).
* Wellard _et al._ (2003) C. J. Wellard, L. C. L. Hollenberg, F. Parisoli, L. M. Kettle, H.-S. Goan, J. A. L. McIntosh, and D. N. Jamieson1, Phys. Rev. B 68, 195209 (2003).
* Gonzalez-Zalba _et al._ (2014) M. F. Gonzalez-Zalba, A. Saraiva, M. J. Calderon, D. Heiss, B. Koiller, and A. J. Ferguson, Nanoletters 14, 5672 (2014).
* Hu _et al._ (2005) X. Hu, B. Koiller, and S. D. Sarma, Phys. Rev. B 71, 235332 (2005).
* Sarma _et al._ (2005) S. D. Sarma, R. de Sousa, X. Hu, and B. Koiller, Solid Stat. Comm. 133, 737 (2005).
* Wellard _et al._ (2004) C. J. Wellard, L. Hollenberg, L. M. Kettle, and H.-S. Goan, J. Phys.: Cond. Matt. 16, 5697 (2004).
* Kettle _et al._ (2006) L. Kettle, H.-S. Goan, and S. C. Smith, Phys. Rev. B 73, 115205 (2006).
* Kettle _et al._ (2004) L. M. Kettle, H.-S. Goan, S. C. Smith, L. C. L. Hollenberg, and C. J. Wellard, J. Phys.: Cond. Matt. 16, 1011 (2004).
* Koiller _et al._ (2004) B. Koiller, R. B. Capaz, X. Hu, and S. D. Sarma, Phys. Rev. B 70, 115207 (2004).
* Song and Sarma (2016) Y. Song and S. D. Sarma, Appl. Phys. Lett. 109, 253113 (2016).
* Wellard and Hollenberg (2005) C. J. Wellard and L. C. L. Hollenberg, Phys. Rev. B 72, 085202 (2005).
* Testolin _et al._ (2007) M. J. Testolin, C. Hill, C. J. . Wellard, and L. C. L. Hollenberg, Phys. Rev. A 76, 012302 (2007).
* Saraiva _et al._ (2015) A. L. Saraiva, A. Baena, M. J. Calderon, and B. Koiller, J. Phys.: Cond. Matt. 27, 154208 (2015).
* Pica _et al._ (2014) G. Pica, B. W. Lovett, R. N. Bhatt, and S. A. Lyon, Phys. Rev. B 89, 235306 (2014).
* Koiller _et al._ (2005) B. Koiller, X. Hu, R. Capaz, A. Martins, and S. D. Sarma, An Acad Bras Cienc 77, 201 (2005).
* Voisin _et al._ (2020) B. Voisin, J. Bocquel, A. Tankasala, M. Usman, J. Salfi, R. Rahman, M. Y. Simmons, L. Hollenberg, and S. Rogge, Nature Communications 11, 6124 (2020).
* Usman (2021) M. Usman, Computational Materials Science 193, 110280 (2021).
* Hill (2007) C. D. Hill, Phys. Rev. Lett. 98, 180501 (2007).
* Wang _et al._ (2016) Y. Wang, A. Tankasala, L. Hollenberg, G. Klimeck, M. Y. Simmons, and R. Rahman, NPJ Quantum Information 2, 16008 (2016).
* Usman _et al._ (2015a) M. Usman, R. Rahman, J. Salfi, J. Bocquel, B. Voisin, S. Rogge, G. Klimeck, and L. C. L. Hollenberg, J. Phys.: Cond. Matt. 27, 154207 (2015a).
* Usman _et al._ (2015b) M. Usman, C. D. Hill, R. Rahman, G. Klimeck, M. Y. Simmons, S. Rogge, and L. C. L. Hollenberg, Phys. Rev. B 91, 245209 (2015b).
* Koiller _et al._ (2002) B. Koiller, X. Hu, and S. D. Sarma, Phys. Rev. B 66, 115201 (2002).
| arxiv-papers | 2021-07-26T06:26:11 | 2024-09-04T03:07:17.794147 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Charles D. Hill, Muhammad Usman, Lloyd C.L. Hollenberg",
"submitter": "Muhammad Usman",
"url": "https://arxiv.org/abs/2107.11981"
} |
2107.11983 | # ThunderRW: An In-Memory Graph Random Walk Engine
Shixuan Sun National University of Singapore [email protected] , Yuhang
Chen National University of Singapore [email protected] , Shengliang
Lu National University of Singapore [email protected] , Bingsheng He
National University of Singapore [email protected] and Yuchen Li
Singapore Management University [email protected]
###### Abstract.
As random walk is a powerful tool in many graph processing, mining and
learning applications, this paper proposes an efficient in-memory random walk
engine named ThunderRW. Compared with existing parallel systems on improving
the performance of a single graph operation, ThunderRW supports massive
parallel random walks. The core design of ThunderRW is motivated by our
profiling results: common RW algorithms have as high as 73.1% CPU pipeline
slots stalled due to irregular memory access, which suffers significantly more
memory stalls than the conventional graph workloads such as BFS and SSSP. To
improve the memory efficiency, we first design a generic step-centric
programming model named Gather-Move-Update to abstract different RW
algorithms. Based on the programming model, we develop the step interleaving
technique to hide memory access latency by switching the executions of
different random walk queries. In our experiments, we use four representative
RW algorithms including PPR, DeepWalk, Node2Vec and MetaPath to demonstrate
the efficiency and programming flexibility of ThunderRW. Experimental results
show that ThunderRW outperforms state-of-the-art approaches by an order of
magnitude, and the step interleaving technique significantly reduces the CPU
pipeline stall from 73.1% to 15.0%.
## 1\. Introduction
Random walk (RW) is an effective tool for extracting relationships between
entities in a graph, and is widely used in many applications such as
_Personalized PageRank_ (PPR) (Page et al., 1999), _SimRank_ (Jeh and Widom,
2002), _Random Walk Domination_ (Li et al., 2014), _Graphlet Concentration_
(GC) (Pržulj, 2007), _Network Community Profiling_ (NCP) (Fortunato and Hric,
2016), _DeepWalk_ (Perozzi et al., 2014) and _Node2Vec_ (Grover and Leskovec,
2016). For graph analysis tasks such as GC and NCP, RW queries generally
dominate the cost (Pržulj, 2007; Fortunato and Hric, 2016). Even for graph
representation learning, the cost of sampling RW is non-trivial, for example,
a naive implementation of Node2Vec takes more than eight hours on the
_twitter_ graph in our experiments. Moreover, increasing the number of RW
queries can improve the effectiveness of RW algorithms (Grover and Leskovec,
2016; Pržulj, 2007). Therefore, accelerating RW queries is an important
problem.
RW algorithms generally follow the execution paradigm illustrated in Algorithm
1, which consists of massive RW queries. Each query $Q$ starts from a given
source vertex. At each step, $Q$ moves to a neighbour of the current residing
vertex at random, and repeats this process until satisfying a specific
termination condition, e.g., a target length is reached (Lines 2-5). Despite
that RW algorithms follow a similar execution paradigm, there are quite some
variants of RW algorithms, which can differ significantly in neighbor
selections (see Section 2.2). Encouraged by the success of in-memory graph
processing engines (Shun and Blelloch, 2013; Nguyen et al., 2013; Zhang et
al., 2018; Sundaram et al., 2015), there have been some recent systems
designed specifically for RW algorithms, including C-SAW (Pandey et al.,
[n.d.]), GraphWalker (Wang et al., 2020) and KnightKing (Yang et al., 2019).
They focus on accelerators, disk-based or distributed settings, without
specially optimizing in-memory execution of RW queries. However, with the
rapid development of hardwares, modern servers equip with hundred gigabytes,
even several terabytes memory, which empowers in-memory processing of graphs
with hundred billions of edges. This covers many real-world graphs in
applications (Dhulipala, [n.d.]). As such, this paper studies the design and
implementation of an in-memory graph engine for RW algorithms.
Input: a graph $G$ and a set $\mathbb{Q}$ of random walk queries;
Output: the walk sequences of each query in $\mathbb{Q}$;
1 foreach _$Q\in\mathbb{Q}$_ do
2 do
3 Select a neighbor of the current residing vertex $Q.cur$ at random;
4 Add the selected vertex to $Q$;
5
6 while _Terminate( $Q$) is false_;
7return $\mathbb{Q}$;
Algorithm 1 Execution Paradigm of RW algorithms
To crystallize the performance factors for in-memory RW executions, we conduct
profiling studies on RW algorithms in comparison with conventional workloads
of a single graph operation like BFS and SSSP (see Section 3). Our profiling
results show that common RW algorithms have as high as 73.1% CPU pipeline
slots stalled due to irregular memory access, which suffers significantly more
memory stalls than the conventional workloads. Consequently, the CPUs
frequently wait on the high-latency access to the main memory, which becomes
the major performance bottleneck. Besides, we observe that the sampling
methods such as _inverse transformation sampling_ (Marsaglia, 1963), _alias
sampling_ (Walker, 1977) and _rejection sampling_ (Robert and Casella, 2013)
have significant varying performance on different RW algorithms (with the
difference as much as 6 times). Thus, it requires non-trivial and significant
engineering efforts to develop any efficient RW algorithms considering the
cache stall bottleneck, as well as parallelization and the choice of sampling
methods.
In this paper, we propose ThunderRW, a generic and efficient in-memory RW
framework. We employ a _step-centric_ programming model abstracting the
computation from the local view of moving one step of a walker. Users
implement their RW algorithms by ”thinking like a walker” in user-defined
functions (UDF). The framework applies UDFs to each query and parallelizes the
execution by regarding a step of a query as a task unit. Furthermore,
ThunderRW provides variant sampling methods so that users can select an
appropriate one based on the characteristics of workloads. Built upon the
step-centric programming model, we propose the _step interleaving_ technique
to resolve the cache stalls caused by irregular memory access with _software
prefetching_ (Lee et al., 2012). As modern CPUs can process multiple memory
access requests simultaneously (Williams et al., 2009), the core idea of step
interleaving is to hide memory access latency by issuing multiple outstanding
memory accesses, which exploits _memory level parallelism_ (Beamer et al.,
2015) among different RW queries.
We demonstrate the generality and programming flexibility of ThunderRW by
showcasing four representative RW algorithms including PPR (Page et al.,
1999), DeepWalk (Perozzi et al., 2014), Node2Vec (Grover and Leskovec, 2016)
and MetaPath (Sun and Han, 2013). We conduct extensive experiments with twelve
real-world graphs. Experiment results show that (1) ThunderRW runs 8.6-3333.1X
faster than the naive implementation in popular open-source packages; (2)
ThunderRW provides speedups of 1.7-14.6X over the state-of-the-art frameworks
including GraphWalker (Wang et al., 2020) and KnightKing (Yang et al., 2019)
running on the same machine; and (3) the step interleaving technique
significantly reduces the memory stalls from 73.1% to 15.0%.
## 2\. Background and Related Work
### 2.1. Preliminary
This paper focuses on the directed graph $G=(V,E)$ where $V$ is a set of
vertices and $E$ is a set of edges. An undirected graph can be supported by
representing each undirected edge with two directed edges with the same two
vertexes in our system. Given a vertex $v\in V$, $N_{v}$ denotes the neighbors
of $v$, i.e., $\\{v^{\prime}|e(v,v^{\prime})\in E\\}$ where $e(v,v^{\prime})$
represents the edge between $v$ and $v^{\prime}$. The degree $d_{v}$ denotes
the number of neighbors of $v$. $E_{v}$ is the set of edges adjacent to $v$,
i.e., $\\{e(v,v^{\prime})|v^{\prime}\in N_{v}\\}$. Given $e\in E$ (resp. $v\in
V$), $w_{e}$ and $l_{e}$ (resp. $w_{v}$ and $l_{v}$) represent its weight and
label, respectively. Given $G$, a RW $Q$ is a stochastic process on $G$, which
consists of a sequence of adjacent vertices. $Q[i]$ is the $i$th vertex in the
sequence where $i$ starts from 0. $Q.cur$ is the current residing vertex of
$Q$. $|Q|$ is the number of vertices in $Q$. Suppose that $Q.cur$ is $v$.
Given $e\in E_{v}$, we call the probability of $e$ being selected the
_transition probability_ , which is represented by $p(e)$. Then, the neighbor
selection is equivalent to sampling from the discrete probability distribution
$P=\\{p(e)\\}$ where $e\in E_{v}$. Specifically, it is to pick an element $h$
from $E_{v}$ based on the distribution of $P$, i.e., $P[h=e]=p(e)$. For
example, if the relative chance of $e$ being selected is proportional to the
edge weight $w_{e}$, then $p(e)=\hat{w}_{e}$ is the normalized probability
where $\hat{w}_{e}=\frac{w_{e}}{\sum_{e^{\prime}\in E_{v}}w_{e^{\prime}}}$.
### 2.2. Random Walk based Algorithms
RW algorithms generally follow the execution paradigm in Algorithm 1. They
mainly differ in the neighbor selection step. We first categorize them into
_unbiased_ and _biased_ based on the transition probability properties.
Unbiased RW selects each edge $e\in E_{v}$ with the same probability where
$v=Q.cur$, while the transition probability is nonuniform for biased RWs,
e.g., depending on the edge weight. We further classify the biased RWs into
_static_ and _dynamic_. If the transition probability is determined before
execution, then RW is static. Otherwise, it is dynamic, which is affected by
states of RW queries. In the following, we introduce four representative RW
algorithms that have been used in many applications.
PPR (Personalized PageRank) (Page et al., 1999) assigns a score to each vertex
$v^{\prime}$ in the graph from the personalized view of a given source $v$,
which describes how much $v$ is interested in (or similar to) $v^{\prime}$. A
common solution for this problem is to start a number of RW queries from $v$,
which have a fixed termination probability at each step, and approximately
calculates the scores based on the distribution of the end vertices of random
walk queries (Liu et al., 2016; Fogaras et al., 2005). The algorithms
generally set RW queries as unbiased (Lofgren, 2015).
DeepWalk (Perozzi et al., 2014) is a graph embedding technique widely used in
machine learning. It is developed based on the SkipGram model (Mikolov et al.,
2013). For each vertex, it starts a specified number of RW queries with a
target length to generate embeddings. The original DeepWalk is unbiased, while
the recent work (Cochez et al., 2017) extends it to consider the edge weight,
which becomes biased (static) random walk.
Node2Vec (Grover and Leskovec, 2016) is a popular graph embedding technique
based on the second-order random walk. Different from DeepWalk, its transition
probability depends on the last vertex visited. Suppose that $Q.cur$ is $v$.
Equation 1 describes the transition probability of selecting the edge
$e(v,v^{\prime})$ where $u$ is the last vertex visited, $dist(v^{\prime},u)$
is the distance between $v^{\prime}$ and $u$, and $a$ and $b$ are two
hyperparameters controlling the random walk behaviour. Node2Vec is dynamic
because the transition probability relies on the states of queries. Moreover,
It can take the edge weight into the consideration by multiplying $p(e)$ with
$w_{e}$.
(1) $p(e(v,v^{\prime}))=\begin{cases}\frac{1}{a}&\text{if
$dist(v^{\prime},u)=0$},\\\ 1&\text{if $dist(v^{\prime},u)=1$},\\\
\frac{1}{b}&\text{if $dist(v^{\prime},u)=2$}.\end{cases}$
MetaPath (Sun and Han, 2013) is a powerful tool to extract semantics
information from heterogeneous information networks, and is widely used in
machine learning tasks such as natural language processing (Lao et al., 2011;
Lv et al., 2019). The RW queries are associated with a _meta-path schema_ $H$,
which defines the pattern of the walk paths based on the edge type, e.g.,
”write-¿publish-¿mention”. Let $H[i]$ be the $i$th label in $H$. At each step,
the RW query only considers the edges $e\in E_{v}$ where $v=Q.cur$ such that
$l_{e}$ is equal to $H[|Q|]$. In other words, if $l_{e}\neq H[|Q|]$, then
$p(e)=0$. Thus, the transition probability depends on the states of the RW,
and MetaPath is dynamic.
### 2.3. Sampling Methods
Sampling from a discrete probability distribution
$P=\\{p_{0},p_{1},...,p_{n-1}\\}$ is to select an element $h$ from
$\\{0,1,...,n-1\\}$ based on $P$ (i.e., $P[h=i]=p_{i}$). In this paper, we
focus on five sampling techniques, including _naive sampling_ , _inverse
transformation sampling_ (Marsaglia, 1963), _alias sampling_ (Walker, 1977),
_rejection sampling_ (Robert and Casella, 2013) and a special case of
_rejection sampling_ (Yang et al., 2019) because they are efficient and widely
used (Schwarz, 2011; Wang et al., 2020; Pandey et al., [n.d.]; Yang et al.,
2019; Shao et al., 2020). Naive sampling only works on the uniform discrete
distribution, while the other four can handle non-uniform and select the
element $h$ in two phases: _initialization_ , which preprocesses the
distribution $P$, and _generation_ , which picks an element on the basis of
the initialization result. Please refer to (Schwarz, 2011) for the details. In
the following, we briefly introduce the sampling methods in the context of
this paper, i.e., selecting an edge from $E_{v}$ based on the transition
probability distribution $P$ where $v=Q.cur$.
Naive sampling (NAIVE). This method generates a uniform random integer number
$x$ in the range $[0,d_{v})$ and picks $E_{v}[x]$, which is the $x$th element
in $E_{v}$. It only works on the uniform discrete distribution. The time and
space complexities are both $O(1)$.
Inverse transformation sampling (ITS). The initialization phase of ITS
computes the _cumulative distribution function_ of $P$ as follows:
$P^{\prime}=\\{p^{\prime}_{i}=\sum_{j=0}^{i}p_{j}\\}$ where $0\leqslant
i<d_{v}$. After that, the generation phase first generates a uniform real
number $x$ in $[0,p^{\prime}_{d_{v}-1})$, then uses a binary search to find
the smallest index $i$ such that $x<p_{i}^{\prime}$, and finally selects
$E_{v}[i]$. The time complexity of the initialization is $O(d_{v})$, and that
of the generation is $O(\log d_{v})$. As ITS needs to store $P^{\prime}$, the
space complexity is $O(d_{v})$.
Alias sampling (ALIAS). The initialization phase builds two tables: the
_probability table_ $H$, and the _alias table_ $A$. Both of them have $d_{v}$
values. $H[i]$ and $A[i]$ represent the $i$th value of $H$ and $A$,
respectively. Given $0\leqslant i<d_{v}$, $A[i]$ is a bucket containing one or
two elements from $\\{0,1,...,d_{v}-1\\}$, which are denoted by $A[i].first$
and $A[i].second$, respectively. $H[i]$ is the probability selecting
$A[i].first$. If $A[i]$ has only one element, then $A[i].second$ is $null$ and
$H[i]$ is equal to 1. The generation phase first generates a uniform integer
number $x$ in $[0,d_{v})$ and then retrieves $H[x]$ and $A[x]$. Next, it
generates a uniform real number $y$ in $[0,1)$. If $y<H[x]$, then picks
$e(v,A[x].first)$. Otherwise, the edge selected is $e(v,A[x].second)$. The
time complexity of initialization is $O(d_{v})$ and that of generation is
$O(1)$. The space complexity is $O(d_{v})$.
Rejection sampling (REJ). The initialization phase of REJ gets
$p^{*}=\max_{p\in P}p$. The generation phase can be viewed as throwing darts
on a rectangle dartboard until hitting the target area. Specifically, it has
two steps: (1) generate a uniform integer number $x$ in $[0,d_{v})$ and a
uniform real number $y$ in $[0,p^{*})$ (i.e., the dart is thrown at the
position $(x,y)$); and (2) if $y<p_{x}$, then select $E_{v}[x]$ (i.e., hit the
target area); otherwise, repeat Step (1). The time complexity of
initialization is $O(d_{v})$, and that of generation is $O(\mathbb{E})$ where
$\mathbb{E}=\frac{d_{v}\times p^{*}}{\sum_{p\in P}p}$ (i.e., the area of the
rectangle board divides the target area). Based on the computation method of
$\mathbb{E}$, we can get that $1\leqslant\mathbb{E}\leqslant d_{v}$. The space
complexity is $O(1)$.
A special case of REJ (O-REJ). A special case of REJ is that we can set a
value $p^{*}\geqslant\max_{p\in P}p$ without the initialization phase, but of
keeping $\mathbb{E}=\frac{d_{v}\times p^{*}}{\sum_{p\in P}p}$ is close to
$\frac{d_{v}\times\max_{p\in P}}{\sum_{p\in P}p}$. For example, set $p^{*}$ to
$\max\\{1,\frac{1}{a},\frac{1}{b}\\}$ for Node2Vec (Yang et al., 2019). The
generation phase is the same as REJ. Therefore, the time complexity is
$O(\mathbb{E})$ where $\mathbb{E}=\frac{d_{v}\times p^{*}}{\sum_{p\in P}p}$
and $p^{*}$ is specified by users. The space complexity is $O(1)$.
In existing works, unbiased random walks (e.g., PPR (Page et al., 1999) and
unweighted DeepWalk (Perozzi et al., 2014)) adopt NAIVE sampling. In contrast,
biased random walks (e.g., weighted DeepWalk (Ye et al., 2019; Dai et al.,
2018), Node2Vec (Grover and Leskovec, 2016) and MetaPath (Fu et al., 2017; Hu
et al., 2018)) use ALIAS sampling because the time complexity of the
generation phase is $O(1)$. C-SAW (Pandey et al., [n.d.]) adopts ITS to
utilize the parallel computation capability of GPUs to calculate the prefix
sum. KnightKing (Yang et al., 2019) uses O-REJ to avoid scanning neighbors of
$Q.cur$ to reduce the network communication cost.
### 2.4. Related Work
Graph computing frameworks. There are a number of generic graph computing
frameworks working on different computation environments, for example, (1)
Single Machine (CPUs): GraphChi (Kyrola et al., 2012), Ligra (Shun and
Blelloch, 2013), Graphene (Liu and Huang, 2017), and GraphSoft (Jun et al.,
2018); (2) GPUs: Medusa (Zhong and He, 2013), CuSha (Khorasani et al., 2014)
and Gunrock (Wang et al., 2016); and (3) Distributed Environment: Pregel
(Malewicz et al., 2010), GraphLab (Low et al., 2012), PowerGraph (Gonzalez et
al., 2012), GraphX (Gonzalez et al., 2014), Blogel (Yan et al., 2014), Gemini
(Zhu et al., 2016), and Grapes (Fan et al., 2018). They usually adopt vertex-
or edge-centric model, and are highly optimized for a single graph operation.
In contrast, ForkGraph (Lu et al., 2021) targets at graph algorithms
consisting of concurrent graph queries, for example, betweenness centrality.
However, all of them focus on traditional graph query operations such as BFS
and SSSP without considering RW workloads. That motivates the development of
engines specially optimized for RW (Yang et al., 2019; Pandey et al., [n.d.];
Wang et al., 2020).
Random walk frameworks. In contrast to graph computing frameworks abstracting
the computation from the view of the graph data, existing RW frameworks adopt
the _walker-centric_ model, which regards each query as the parallel task.
KnightKing (Yang et al., 2019) is a distributed framework. It adopts the BSP
model that moves a step for all queries at each iteration until all queries
complete. To reduce data transfers in network, it utilizes O-REJ sampling to
avoid scanning $E_{v}$ where $v=Q.cur$. It exposes an API for users to set a
suitable upper bound for the edge transition probability for each edge
adjacent to $Q.cur$. Unfortunately, we find that this design introduces an
implicit constraint on RW algorithms: a suitable upper bound must be
determined without looping over $E_{v}$. This works well for Node2Vec by
setting the upper bound as $\max{\\{1.0/a,1.0,1.0/b\\}}$ according to Equation
1. However, it cannot handle MetaPath because the transition probability of
each $e\in E_{v}$ can be zero because of the label filter. Another limitation
is that KnightKing can suffer the tail problem since it moves a step for all
queries at an iteration, whereas queries can have variant lengths.
C-SAW (Pandey et al., [n.d.]) is a framework on GPUs. It adopts the BSP model
as well. To utilize the parallel computation capability in the many-core
architecture, C-SAW uses ITS sampling in computation. Particularly, for all
random walk types including unbiased, static and dynamic, C-SAW first conducts
a prefix sum on the transition probability of edges adjacent to $Q.cur$, and
then selects an edge. Consequently, it incurs high overhead for unbiased and
static random walks. Moreover, C-SAW cannot support random walks with variant
lengths (e.g., PPR) since such RW queries can degrade the utilization of GPUs.
Additionally, Node2Vec is not supported by C-SAW, because C-SAW does not
support the distance verification on GPUs.
GraphWalker (Wang et al., 2020) is an I/O efficient framework on a single
machine. For a graph that cannot reside in memory, GraphWalker divides it into
a set of partitions, and focuses on optimizing the scheduling of loading
partitions into memory to reduce the number of I/Os. Specifically, for each
partition, GraphWalker records the number of queries residing in it, and the
scheduler prioritizes partitions with more queries. Given a partition
$G^{\prime}$ in memory, GraphWalker adopts the ASP model to execute queries in
it. It assigns a query $Q$ to each worker (i.e., a thread), and executes it
independently until $Q$ completes or jumps out $G^{\prime}$. Once all queries
in $G^{\prime}$ complete or leave $G^{\prime}$, GraphWalker swaps it out, and
reads the partition with most queries in disk. It repeats this process till
all queries complete. GraphWalker supports unbiased RW only.
This paper focuses on accelerating the in-memory execution of RW queries.
ThunderRW abstracts the computation of RW algorithms from the perspective of
queries as well to exploit the parallelism in RW algorithms, but takes the
_step-centric_ model, which regards one step of a query as the task unit and
factors one step into the gather-move-update operations to empower the step
interleaving technique. Moreover, ThunderRW supports all the five sampling
methods in Section 2.3 so that users can adopt an appropriate sampling method
given a specific workload. ThunderRW supports all the four RW-algorithms in
Section 2.2, which demonstrates its programming flexibility over other RW
frameworks.
RW algorithm optimization. Due to the importance of the RW-based applications,
a variety of algorithm-specific optimizations have been proposed for different
RW applications, e.g., PPR (Wang et al., 2017; Shi et al., 2019; Lofgren et
al., 2014; Wei et al., 2018; Guo et al., 2017), Node2Vec (Zhou et al., 2018)
and second-order random walks (Shao et al., 2020). In contrast, we aim to
design a generic and efficient random walk framework on which users can easily
implement different kinds of random walk applications. Thus, the algorithm-
specific optimizations are beyond the scope of this paper.
Prefetching in databases. Our step-interleaving techniques are inspired by the
prefetching techniques in query processing of databases. As the performance
gap between main memory and CPU widens, prefetching has been an effective
means to improve database performance. There have been studies applying
prefetching to B-tree index (Chen et al., 2001) and hash joins (Chen et al.,
2007; Balkesen et al., 2013; Kim et al., 2009; Jha et al., 2015). Hash joins
are probably the most widely studied operator for prefetching. The group
prefetching (GP) and software pipeline prefetching (SPP) (Chen et al., 2007)
are the classic prefetching technique for hash joins, which rearrange a
sequence of operations in a loop to several stages and execute all queries
stage by stage in batch. However, GP and SPP cannot efficiently handle queries
with irregular access patterns, for example a binary search performs three
searches to find the target value, while the other one needs four times. To
resolve the problem, AMAC (Kocberber et al., 2015) proposes to execute the
stages of each query asynchronously by explicitly maintaining the states of
each stage. However, AMAC incurs more overhead than GP and SPP, especially
when there are a number of stages because it needs to maintain the states of
each stage. As in the context of random walk, there is a lack of a model to
abstract stages from a sequence of operations and model their dependency
relationships to guide the implementation.
## 3\. Motivations
In this section, we study the profiling results to assess the performance
bottlenecks of in-memory computation of RW algorithms. Specifically, we
execute RW queries with different sampling methods and examine the hardware
utilization with the _top-down microarchitecture analysis method_ (TMAM). In
the following, we first introduce TMAM and then present the profiling results.
Top-down analysis method (TMAM) (Coorporation, 2016). TMAM is a simplified and
intuitive model for identifying the performance bottlenecks in out-of-order
CPUs. It uses the _pipeline slot_ to represent the hardware resources required
to process the micro-operations (uOps). In a cycle, a pipeline slot is either
empty (_stalled_) or filled with a uOp. The execution stall is caused by the
_front-end_ or the _back-end_ part of the pipeline. Specifically, the back-
end cannot accept new operations due to the lack of required resources. It can
be further split into _memory bound_ , which represents the stall caused by
the memory subsystem, and _core bound_ , which reflects the stall incurred by
the unavailable execution units. When the slot is filled with a uOp, it will
be classified as _retiring_ if the uOp eventually retires (Otherwise, the slot
is categorized as _bad speculation_). We use Intel Vtune Profiler to measure
the percentage of pipeline slots in each category (retiring, bad speculation,
front-end bound, memory bound and core bound) in our experiments.
### 3.1. Observations
Table 1. Comparison of pipeline slot breakdown and memory bandwidth (the total
value of read and write) between traditional graph algorithms and RW
algorithms.
Method | | Front
---
End
| Bad
---
Spec
Core | Memory | Retiring | | Memory
---
Bandwidth
BFS | 11.6% | 9.1% | 20.8% | 40.6% | 18.0% | 51.7 GB/s
SSSP | 9.1% | 12.5% | 24.9% | 36.9% | 16.6% | 38.2 GB/s
PPR | 0.6% | 0.7% | 15.8% | 73.1% | 9.7% | 1.4 GB/s
DeepWalk | 1.0% | 3.9% | 16.7% | 69.7% | 8.7% | 5.6 GB/s
Node2Vec | 11.5% | 22.1% | 24.3% | 28.1% | 14.1% | 17.1 GB/s
MetaPath | 6.2% | 7.5% | 29.7% | 33.9% | 22.7% | 9.9 GB/s
Varying random walk workloads. We first evaluate the four RW algorithms in
Section 2.2. Specifically, we set PPR as unbiased, and configure the
termination probability as 0.2. For DeepWalk and Node2Vec, we set the target
length as 80. The transition probability of DeepWalk is the edge weight, and
that of Node2Vec is calculated based on Equation 1 where $a=2$ and $b=0.5$.
The schema length of MetaPath is 5, and we generate it by randomly choosing
five labels from the edge label set. PPR starts $|V|$ queries from a given
vertex, and the others start a query from each vertex in $V$. Following
existing studies (Page et al., 1999; Cochez et al., 2017; Grover and Leskovec,
2016; Sun and Han, 2013) (as well as popular open-source packages
111https://github.com/aditya-grover/node2vec, Last accessed on 2021/03/20
222https://github.com/GraphSAINT/GraphSAINT, Last accessed on 2021/03/20.), we
use NAIVE sampling for PPR, while ALIAS sampling for the others. Moreover, we
build alias tables for DeepWalk in a preprocessing phase to accelerate the
execution of queries. However, this method is prohibitively expensive for high
order RW due the the exponential memory consumption (Yang et al., 2019; Shao
et al., 2020). For example, the space complexity of such an index for
Node2Vec, which is second-order, is $O(\sum_{v\in V}d_{v}^{2})$, and it can
consume more than 1000 TB space for _twitter_. As such, we compute the
transition probability and perform the initialization of ALIAS in run time. To
compare the performance characteristics with RW algorithms, we evaluate BFS
and SSSP, which are two conventional graph algorithms. We develop RW
algorithms without any frameworks, whereas implementing BFS and SSSP with
Ligra (Shun and Blelloch, 2013).
Table 1 presents the experiment results on _livejournal_ , the details of
which are listed in Table 5. RW queries randomly visit nodes on the graph that
leads to a massive number of random memory accesses. Consequently, as high as
73.1% pipeline slots of PPR and DeepWalk are stalled due to memory access. In
contrast, the memory bound of BFS and SSSP is less than 45%, which
demonstrates much better cache locality than that of PPR and DeepWalk. Due to
the large proportional of memory stalls, the retiring of PPR and DeepWalk is
less than 10%. Furthermore, we measure the memory bandwidth utilization of
these algorithms. Our benchmark shows that the max memory bandwidth of our
test bed is 60 GB/s. As shown in the table, the bandwidth utilization of BFS
and SSSP are rather high (86.2% and 63.6%, respectively), while that of PPR
and DeepWalk is very low (2.3% and 9.3%, respectively).
Compared with PPR and DeepWalk, Node2Vec and MetaPath exhibit different
characteristics. The memory bound is lower than PPR and DeepWalk, whereas the
retirement and bandwidth are much higher. To achieve more insights, we first
examine the execution time breakdown on computing the transition probability
(denoted by _compute $p(e)$_), and the initialization and generation phases of
sampling an edge (denoted by _Init_ and _Gen_ , respectively), and then
analyze the complexity of these operations at a step.
Table 2. Comparison of execution time breakdown and the time complexity per
step among RW algorithms where $v=Q.cur$ and $u$ is the last vertex of $Q$.
Method | Time Breakdown | Complexity per Step
---|---|---
Compute $p(e)$ | Sampling | Compute $p(e)$ | Sampling
Init | Gen | Init | Gen
PPR | N/A | N/A | 100% | N/A | N/A | $O(1)$
DeepWalk | N/A | N/A | 100% | N/A | N/A | $O(1)$
Node2Vec | 89.9% | 9.9% | 0.2% | $O(d_{v}\times\log d_{u})$ | $O(d_{v})$ | $O(1)$
MetaPath | 29.0% | 69.9% | 1.1% | $O(d_{v})$ | $O(d_{v})$ | $O(1)$
Table 2 lists the results. PPR and DeepWalk are static, and they only need to
sample an edge and move $Q$ along it in run time. In contrast, Node2Vec and
MetaPath are dynamic, and they first compute the transition probability for
each $e\in E_{v}$ where $v=Q.cur$, and then sample an edge. Consequently, the
cost on _Gen_ is neglected as shown in Table 2. Moreover, the memory bound is
much lower than static RWs in Table 1 since the computation scans $E_{v}$ in a
continuous manner. Given $e\in E_{v}$ and $u$ is the last vertex of $Q$, the
complexity of computing $p(e)$ in Node2Vec is $O(\log d_{u})$ because the
distance check in Equation 1 is implemented by a binary search. However,
MetaPath computes $p(e)$ with a simple label filter. As a result, computing
$p(e)$ accounts for around 90% of the execution time in Node2Vec, whereas
_Init_ dominates the cost in MetaPath.
Observation 1. _The in-memory computation of common RW algorithms suffers
severe performance issues due to memory stalls caused by cache misses and
under-utilizes the memory bandwidth. For high order RW algorithms, computing
$p(e)$ and initializing the auxiliary data structure for sampling dominate the
in-memory computation cost, and their complexities are determined by the RW
algorithm and the selected sampling method, respectively._
Varying sampling methods and RW types. We further examine the performance of
sampling methods. We continue to develop a micro benchmark that executes
$10^{7}$ RW queries each of which starts from a vertex randomly selected from
the graph. The target length is 80. We evaluate three types of RW queries as
discussed in Section 2.2: unbiased, static and dynamic. For unbiased RW, we
first perform the initialization phase of sampling methods for the neighbor
set of each vertex in a _preprocessing_ step. We then use the generation phase
of a sampling method to select a neighbor of $Q.cur$ in execution. For static
RW, we evaluate queries with the same process as that of unbiased. The only
difference is that the edge weight is used to set the transition probability
for static RW whereas the transition probability in unbiased RW is the default
uniform. For dynamic RW, we set the edge weight as the transition probability,
while performing the initialization phase for the neighbor set of $Q.cur$ in
execution because the transition probability of dynamic RW varies during the
computation.
(a) Unbiased.
(b) Static.
(c) Dynamic.
Figure 1. Effectiveness of sampling methods.
Figure 1 presents the experiment results of the sequential execution with
variant sampling methods on different RW types. We have the following
findings. First, the NAIVE sampling method performs the best on unbiased RW as
it has no initialization phase. Second, among static methods, the ALIAS
sampling method outperforms others because its generation phase has lower time
complexity. However, ALIAS runs much slower than other methods on dynamic RW
since its initialization cost is high in practice. Third, O-REJ performs well
on dynamic RW since it does not have the initialization phase. Fourth, we can
observe that the cost of evaluating dynamic RW is significantly expensive than
that of unbiased and static RW because of the initialization phase (if exists)
at each step.
Observation 2. _Sampling methods have an important impact on the performance
and no sampling method can dominate on all cases. Generally, dynamic RW is
expensive than unbiased and static RW._
### 3.2. System Implications
Based on the profiling results, we can categorize the cost of evaluating RW
queries into two classes, that of computing $p(e)$ and that of sampling an
edge. As the former is determined by the RW algorithms (i.e., algorithm-
specific), our framework targets at accelerating the latter operation.
Moreover, we have the following implications for the design and implementation
of ThunderRW. First, we need to develop mechanisms to reduce the cache stalls.
Our profiling results show that in-memory computation of common RW algorithms
suffer severe performance issues due to the irregular memory accesses. None of
previous random walk frameworks (Pandey et al., [n.d.]; Wang et al., 2020;
Yang et al., 2019) address the problem. On the other hand, there are massive
queries in random walk workloads, but the memory bandwidth is under-utilized.
Inspired by previous work on accelerating multiple index lookups in database
systems with prefetching (Chen et al., 2007; Kocberber et al., 2015), there
are opportunities for prefetching and interleaving executions among different
queries.
Second, there is a need to support multiple sampling methods. However,
existing frameworks support one sampling method only and generally regard all
RW as dynamic (e.g., C-SAW), while (1) the sampling method has an important
impact on the performance and none of them can dominate on all cases; and (2)
the cost of evaluating dynamic RW is generally much more expensive than that
of unbiased and static RW.
## 4\. ThunderRW Abstraction
In this section, we present the abstraction of the computation in ThunderRW.
### 4.1. Step-centric Model
To abstract the computation of RW algorithms, we propose the _step-centric_
model in this paper. We observe that RW algorithms are built upon a number of
RW queries rather than a single query. In spite of limited intra-query
parallelism, there is abundant inter-query parallelism in RW-algorithms as
each RW query can be executed independently. Therefore, our step-centric model
abstracts the computation of RW algorithms from the perspective of queries to
exploit the inter-query parallelism.
Specifically, we model the computation from the local view of moving one step
of a query $Q$. Then, we abstract a step of $Q$ into the Gather-Move-Update
(GMU) operations to characterize the common structure of RW algorithms. With
the step-centric model, users develop RW algorithms by ”thinking like a
walker”. They focus on defining functions setting the transition probability
of $e\in E_{v}$ and updating states of $Q$ at each step, while the framework
facilitates applying user-defined step-oriented functions to RW queries.
### 4.2. Step-centric Programming
Input: a graph $G$ and a set $\mathbb{Q}$ of random walk queries;
Output: the walk sequences of each query in $\mathbb{Q}$;
1 foreach _$Q\in\mathbb{Q}$_ do
2 do
3 $C\leftarrow$ Gather(_$G$ , $Q$, Weight_);
4 $e\leftarrow$ Move(_$G$ , $Q$, $C$_);
5 $stop\leftarrow$ _Update_($Q$, $e$);
6
7 while _$stop$ is false_;
8return $\mathbb{Q}$;
9
10Function _Gather(_$G,Q$ , Weight_)_
11 $C\leftarrow\\{\\}$;
12 foreach _$e\in E_{Q.cur}$_ do
13 Add _Weight_($Q$, $e$) to $C$;
14 $C\leftarrow$ execute initialization phase of a given sampling method on
$C$;
15 return $C$;
16
17
18Function _Move(_$G,Q,C$_)_
19 Select an edge $e(Q.cur,v)\in E_{Q.cur}$ based on $C$ and add $v$ to $Q$;
20 return $e(Q.cur,v)$;
21
Algorithm 2 ThunderRW Framework
Framework. Algorithm 2 gives an overview of ThunderRW. Lines 1-6 execute each
query one-by-one. Lines 3-5 factor one step into three functions based on the
step-centric model. Gather collects the transition probabilities of edges
adjacent to $Q.cur$. It loops over $E_{Q.cur}$, applies Weight, a user-defined
function, to each edge $e$ and add the transition probability of $e$ to $C$
(Lines 10-11). Then, Line 12 executes the initialization phase of a given
sampling method to update $C$. Move picks an edge based on $C$ and moves $Q$
along the selected edge (Lines 14-16). As random memory accesses in the
_system space_ (i.e., the framework excluding user-defined functions) are
mainly in Move, we apply step-interleaving techniques to optimize its
performance (see Section 5). Finally, Line 5 invokes Update, a user-defined
function, to update states of $Q$ based on the movement. The return value of
Update decides whether $Q$ should be terminated.
The framework described in Algorithm 2 can support unbiased, static and
dynamic RW with different sampling methods. Furthermore, we optimize the
execution flow of ThunderRW based on the RW type and the selected sampling
method. The transition probability of static RW is fixed during the execution.
In that case, ThunderRW omits the Gather operation but introducing a
preprocessing step to reduce the runtime cost, which obtains transition
probabilities in the system initialization. Algorithm 3 presents the
preprocessing for static RW. Given a vertex $v$, Lines 3-4 loop over each edge
$e$ in $E_{v}$ and apply the Weight function to $e$ to obtain the transition
probability. As the probability does not rely on a query, we set $Q$ as
_null_. After that, Lines 5-6 perform the initialization phase of a given
sampling method on $C_{v}$ and store $C_{v}$ for the usage in the query
execution. As such, we can load $C_{Q.cur}$ directly without Gather for static
RW in Algorithm 2.
Input: a graph $G$;
Output: the transition probabilities $C_{v}$ on $E_{v}$ for each vertex $v$;
1 foreach _$v\in V(G)$_ do
2 $C_{v}\leftarrow\\{\\}$;
3 foreach _$e\in E_{v}$_ do
4 Add _Weight_($null$, $e$) to $C_{v}$;
5 $C_{v}\leftarrow$ execute initialization phase of a given sampling method on
$C_{v}$;
6 Store $C_{v}$ for the usage in query execution.
Algorithm 3 Preprocessing for Static Random Walk
Moreover, the NAIVE and O-REJ sampling methods have no initialization phase as
discussed in Section 2.3. Hence, we do not need to collect the transition
probability for initialization. As such, ThunderRW skips both the
preprocessing step and the Gather operation in the execution if NAIVE or O-REJ
is used.
Application Programming Interfaces (APIs). ThunderRW provides two kinds of
APIs, which include hyperparameters and user-defined functions. Users develop
their RW algorithms in two steps. Firstly, set the RW type and the sampling
method via hyperparameters walker_type and sampling_method, respectively.
Secondly, define the Weight and Update functions. The Weight function
specifies the relative chance of an edge being selected. The Update function
modifies states of $Q$ given the selected edge. If its return value is _true_
, then the framework terminates $Q$. Otherwise, $Q$ continues walking on $G$.
When using O-REJ, users need to implement the MaxWeight function to set the
maximum value of the transition probability. We present an example in the
following.
⬇
1WalkerType walker_type = WalkerType::Dynamic;
2SamplingMethod sampling_method = SamplingMethod::O-REJ;
3double Weight(Walker Q, Edge e) {
4 if (Q.length == 0) return max(1.0 / a, 1.0, 1.0 / b);
5 else if (e.dst == Q.prev) return 1.0 / a;
6 else if (IsNeighbor(e.dst, Q.prev)) return 1.0;
7 else return 1.0 / b;
8}
9bool Update(Walker Q, Edge e) {
10 return Q.length == target_length;
11}
12double MaxWeight() {
13 return max(1.0 / a, 1.0, 1.0 / b);
14}
###### Example 4.1.
List LABEL:list:node2vec shows the sample code of Node2Vec, which is dynamic.
As the maximum value can be easily determined by the parameters $a$ and $b$,
we use O-REJ to avoid scanning each edge adjacent to $Q.cur$ at each step.
Thus, we set sampling_method to O-REJ and implement MaxWeight. The Weight
function is configured based on Equation 1. Once the length of $Q$ meets the
target length, we terminate it.
ThunderRW applies user-defined functions to RW queries, and evaluates the
queries based on RW type and selected sampling method in parallel. Thus, users
can easily implement customized RW algorithms with ThunderRW, which
significantly reduces the engineering effort. For example, users write only
around ten lines of code to implement Node2Vec as shown in Example 4.1.
Parallelization. RW algorithms contain massive random walk queries each of
which can be completed independently and rapidly. Therefore, ThunderRW adopts
the static scheduling method to keep load balancing among workers.
Specifically, we regard each thread as a worker and evenly assign $\mathbb{Q}$
to the workers. A worker independently executes the assigned queries with
Algorithm 2. Our experiment results show that the simple scheduling method
achieves good performance.
### 4.3. Analysis
In this subsection, we analyze the space and time cost of Algorithm 2 on
different RW types with variant sampling methods. As the cost of Weight and
Update is determined by users’ implementation, we assume their cost is a
constant value for the ease of analysis.
Table 3. The time complexity of ThunderRW on different random walk types with
variant sampling methods
Method | Unbiased | Static | Dynamic
---|---|---|---
NAIVE | $O(T)$ | N/A | N/A
ITS | $O(|E|+T\times\log d_{avg})$ | Same as unbiased | $O(T\times(d_{avg}+\log d_{avg}))$
ALIAS | $O(|E|+T)$ | Same as unbiased | $O(T\times(d_{avg}+1))$
REJ | $O(|E|+T\times\mathbb{E})$ | Same as unbiased | $O(T\times(d_{avg}+\mathbb{E}))$
O-REJ | $O(T\times\mathbb{E})$ | _Same as unbiased_ | Same as unbiased
Space. The space for storing the graph is $O(|E|+|V|)$, and that for
maintaining the output is $O(\sum_{Q\in\mathbb{Q}}|Q|)$. Gather in Algorithm 2
requires $O(d_{max})$ space to store $C$ where $d_{max}$ is the max degree
value of $G$. Suppose that ThunderRW has $n$ threads. Then, the memory cost is
$O(n\times d_{max})$. When there is a preprocessing step, the memory cost of
ITS and ALIAS is $O(|E|)$, while that of REJ is $O(|V|)$ based on the analysis
in Section 2.3.
Time. Given a sampling method, $\alpha$ and $\beta$ denote the cost of its
initialization phase and generation phase, respectively. Let $d_{avg}$
represent the average degree of $G$. Thus, the cost of Gather in Algorithm 2
is $d_{avg}+\alpha$, and that of Move is $\beta$. For static RW, the
preprocessing cost is $\sum_{v\in V}(d_{v}+\alpha)$, while the cost of
processing one step is $\beta$ as it does not conduct Gather during execution.
From Section 2.3 we can get the value of $\alpha$ and $\beta$ for the sampling
methods. Support that $T=\sum_{Q\in\mathbb{Q}}|Q|$, which is the total number
of steps of all queries. Table 3 summarizes the time complexity on different
RW types with variant sampling methods.
As shown in the table, NAIVE supports unbiased RW only. For ITS, ALIAS and
REJ, the cost on unbiased and static RW consists of the preprocessing cost and
the execution cost. Because RW algorithms can have massive RW queries with a
long length, the execution cost is generally much more expensive than the
preprocessing cost. As O-REJ has no initialization phase, it neither performs
the preprocessing for unbiased and static RW nor executes Gather for dynamic
RW. Thus, the time complexity is the same for the three RW types.
Recommendation. From the analysis, we have the following guidelines for
setting sampling methods for users: (1) NAIVE is the best sampling method for
unbiased RW; (2) ALIAS is a good choice for static RW since the execution time
is generally longer than the preprocessing time; and (3) if we can set a
reasonable max value for the transition probability, then use O-REJ for
dynamic RW. Users can easily tell the RW type based on the properties of
transition probability. To further ease the programming efforts, we set the
default sampling method of unbiased, static and dynamic RW to NAIVE, ALIAS and
ITS, respectively. We use ITS instead of ALIAS for dynamic RW because the
initialization cost of ALIAS at each step is much more than that of ITS in
practice. If users can set a good max value for the transition probability,
then they can select O-REJ for dynamic RW.
## 5\. Step-Interleaving
In this section, we present the step interleaving technique, which reduces the
pipeline stall caused by random memory accesses.
### 5.1. General Idea
Based on the step-centric model, ThunderRW processes a step of a query $Q$
with the GMU operations. According to the profiling results in Section 3,
there can be two main sources for random memory accesses under the model.
First, the Move operation picks an edge randomly and moves $Q$ along the
selected edge. Second, the operations in user-defined functions can introduce
cache misses, for example, the distance check operation in Node2Vec. As
operations in the user space (i.e., user-defined functions) are determined by
RW algorithms, and can be very flexible, we target at memory issues incurred
by the system (i.e., the Move operation). Motivated by the profiling result,
we propose to use the software prefectching technique (Lee et al., 2012) to
accelerate in-memory computation of ThunderRW. However, a step of a query $Q$
does not have enough computation workload to hide memory access latency
because steps of $Q$ have dependency relationship. Therefore, we propose to
hide memory access latency via executing steps of different queries
alternately.
Specifically, given a sequence of operations in Move, we decompose them into
multiple stages such that the computation of a stage consumes the data
generated by previous stages and it retrieves the data for the subsequent
stages if necessary. We execute a group of queries simultaneously. Once a
stage of a query $Q$ completes, we switch to stages of other queries in the
group. We resume the execution of $Q$ when stages of other queries complete.
In such a way we hide the memory access latency in a single query and keep
CPUs busy. We call this approach _step interleaving_.
Figure 2. Sequential versus step interleaving.
###### Example 5.1.
Figure 2 presents an example where a step is divided into four stages. If
executing a query step-by-step sequentially, then CPUs are frequently stalled
due to memory access. Even with prefetching, the computation of a stage cannot
hide the memory access latency. In contrast, the step interleaving hides the
memory access latency by executing steps of different queries alternately.
Let’s perform a simple back-of-envelop calculation on the performance gain of
interleaving execution. Given a group containing $k$ queries, we assume that
Move of each query executes the same number of stages and the cost $W_{C}$ of
each stage is the same for the ease of analysis. Suppose that there are $m$
stages with memory access and $\overline{m}$ without. $W_{L}$ denotes the
latency of memory access. Then, the cost of moving a step for the queries in
sequential is equal to $W_{0}=k((m+\overline{m})W_{C}+mW_{L}$). Let $W_{S}$
denote the cost of switching. The cost of Move with step interleaving is
$W_{1}=k((m+\overline{m})(W_{C}+W_{S})+m(\max(W_{L}-kW_{S}-(k-1)W_{C},0))$
where the last term calculates whether step interleaving hides memory access
latency. Therefore, the gain of step interleaving for a step of $k$ queries
can be estimated by Equation 2 where
$W_{hide}=\max(W_{L}-kW_{S}-(k-1)W_{C},0)$.
(2) $\displaystyle W_{gain}$ $\displaystyle=(W_{0}-W_{1})/k$
$\displaystyle=mW_{L}-(m+\overline{m})W_{S}-mW_{hide}.$
From Equation 2, we can see that step interleaving requires an efficient
switch mechanism to reduce the overhead $W_{S}$ of performing switching, and
enough workload to overlap the memory access latency $W_{hide}$.
### 5.2. Stage Dependency Graph
We design the _stage dependency graph_ (SDG) to model stages of a sequence of
operations in a step. Each node in SDG is a stage containing a set of
operations and edges represent the dependency relationship among them. Given
the sequence of operations, we build SDG in two steps, abstracting stages
(nodes) and extracting dependency relationships (edges).
Defining stages: As we hide memory access latency by switching the execution
of queries, the constraint on stages is that each stage contains at most one
memory access operation and the operations consuming the data are in
subsequent stages. Note that we view the operation containing jump operation
as a single stage for the ease of the implementation of switching. We present
an example in the following.
Table 4. Stages of Move with ALIAS and REJ ($v=Q.cur$). Stage | ALIAS
---|---
$S_{0}$ | $O_{0}$: Load $d_{v}$.
$S_{1}$ | $O_{1}$: Generate an int random num $x$ in $[0,d_{v})$.
$O_{2}$: Generate a real random num $y$ in $[0,1)$.
$O_{3}$: Load $C[x]=(H[x],A[x])$.
$S_{2}$ | $O_{4}$: If $y<H[x]$, $v^{\prime}=A[x].first$; Else $v^{\prime}=A[x].second$.
$O_{5}$: Add $v^{\prime}$ to $Q$ and return $e(v,v^{\prime})$.
Stage | REJ
$S_{0}$ | $O_{0}$: Load $d_{v}$.
$S_{1}$ | $O_{1}$: Load the maximum value $p_{v}^{*}$.
$S_{2}$ | $O_{2}$: Generate an int random num $x$ in $[0,d_{v})$.
$O_{3}$: Generate a real random num $y$ in $[0,p_{v}^{*})$.
$O_{4}$: Load $C[x]=p$.
$S_{3}$ | $O_{5}$: If $y>C[x]$, jump to $O_{2}$; Else jump to $O_{6}$.
$S_{4}$ | $O_{6}$: Load $e(v,v^{\prime})=E_{v}[x]$.
$S_{5}$ | $O_{7}$: Add $v^{\prime}$ to $Q$ and return $e(v,v^{\prime})$.
###### Example 5.2.
The right column of Table 4 illustrates the sequence of operations in the Move
function with the ALIAS and REJ sampling methods, respectively, to perform the
neighbor selection. The left column lists stages. For example, $S_{0}$ of
ALIAS loads $d_{v}$ consumed in $O_{1}$ of $S_{1}$. $O_{5}$ in REJ has the
jump operation. Therefore, we regard it as a separate stage.
Defining edges: Next, we add edges among nodes in SDG based on their
dependency relationships. Given stages $S$ and $S^{\prime}$, if there is a
dependency relationship between $S$ and $S^{\prime}$, we add an edge from $S$
to $S^{\prime}$. The edges are categorized into three types, _memory
dependency_ , _computation dependency_ and _control dependency_. We call the
first two relationship as _data dependency_. More specifically, if
$S^{\prime}$ consumes the data loaded from memory by $S$, then the edge type
is memory dependency. Otherwise, $S^{\prime}$ depends on the data computed by
$S$ and the edge type is computation dependency. The data leading to the
dependency is attached to each edge as properties. Furthermore, if $S$
contains the operation jumping to $S^{\prime}$, we add the control dependency
from $S$ to $S^{\prime}$. SDG allows that there are multiple edges (i.e.,
dependency relationships) between nodes. If we only consider data dependency,
SDG is a directed acyclic graph (DAG), while the control dependency can
generate cycles in SDG.
Figure 3. Stage dependency graph.
###### Example 5.3.
Continuing with Example 5.2, Figure 3 shows SDGs. In SDG of ALIAS, $S_{2}$
relies on $x,y$, which are random numbers generated by $S_{1}$, while
$(H[x],A[x])$ is the data retrieved from memory. As such, $S_{1}$ and $S_{2}$
have both memory and computation dependency relationships. SDG of ALIAS is a
DAG because there is no control dependency. In contrast, there is a cycle
containing $S_{2}$ and $S_{3}$ in SDG of REJ because of the control
dependency.
In summary, SDG is a methodology to abstract stages from a sequence of
operations in Move and model the dependency relationship among them. Note that
the stage design of MOVE does not require user input but it is implemented in
the system space.
### 5.3. State Switch Mechanism
In this subsection, we introduce the implementation of step interleaving under
SDG. Based on Equation 2, we need an efficient switch mechanism. For example,
using multi-threading is forbidden because the overhead of context switch
among threads is in microseconds, whereas the main memory latency is in
nanoseconds. As each thread tends to take many RW queries, we switch the
execution among stages in a single thread.
We categorize stages of a SDG into two classes based on whether they belong to
cycles in SDG, and efficiently handle them in different manners. For stages
not in cycles (called _non-cycle stages_), a query visits them exactly once to
complete Move. Given a group of queries $\mathbb{Q}^{\prime}$, we execute them
in a coupled manner. Particularly, once a query $Q_{i}\in\mathbb{Q}^{\prime}$
completes a stage $S$, we switch to the next query
$Q_{i+1}\in\mathbb{Q}^{\prime}$ to process $S$. After all queries complete
$S$, we move to the next stage. In contrast, stages in cycles (called _cycle
stages_) can be visited variant times for different queries. To deal with the
irregularity, we process them in a decoupled manner. Specifically, each query
$Q$ records the stage $S$ to be executed. When switching to $Q$, we execute
$S$, set the next stage of $Q$ based on SDG, and switch to the next query
after completing $S$. As a result, each query executes asynchronous.
For data communication between different stages in a query, we create two
kinds of ring buffers based on SDG, in which the computation dependency edge
indicates the information requiring to be stored. In particular, the _task
ring_ is used for data communication across all stages of a query, while the
search ring serves to process cycle stages. As we need to explicitly record
states of cycle stages and control the switch of them, processing cycle stages
not only causes implementation complexities, but also incurs more overhead.
Note that the SDGs of NAIVE and ALIAS have no cycle stages because there are
no for loops in their generation phases, whereas that of ITS, REJ and O-REJ
have. The implementation details are introduced in the appendix.
### 5.4. Ring Size Tuning
The task ring size $k$ and the search ring size $k^{\prime}$ determine the
group size of queries executed simultaneously in a thread, and therefore
control memory level parallelism of executing non-cycle stages and cycle
stages, respectively. According to Equation 2, we can improve the performance
by increasing $k$ to reduce $W_{hide}$. However, $k$ is limited by hardware.
Particularly, modern CPUs can issue a limited number of outstanding memory
requests, and the L1 data cache size is only tens of kilobytes. Setting $k$ to
a large value can evict data before the usage. In ThunderRW, we tune ring
sizes by pre-executing a number of queries. We start a RW query from each
vertex with the target length as 10 and set the RW type as static. We first
select the NAIVE and ALIAS sampling methods, respectively and vary $k$ from
$1,2,...,512,1024$ to pick an optimal value $k^{*}$. Next we fix $k$ to
$k^{*}$ and vary $k^{\prime}$ from $1,2,...,k^{*}$ to select optimal values
for ITS, REJ and O-REJ, respectively.
Input: a graph $G$ and a set $\mathbb{Q}$ of random walk queries;
Output: the walk sequences of each query in $\mathbb{Q}$;
1 Add the first $k$ queries in $\mathbb{Q}$ to $\mathbb{Q}^{\prime}$;
2 $completed\leftarrow 0$, $submitted\leftarrow k$;
3 while _$completed <|\mathbb{Q}|$_ do
4 $\mathbb{C}\leftarrow\\{\\}$;
5 for _$Q\in\mathbb{Q}^{\prime}$_ do
6 $C\leftarrow$Gather(_$G,Q,\textbf{Weight}$_);
7 Add $\mathbb{C}[Q]$ to $C$;
8
9
10 $\mathbb{U}\leftarrow$ Move(_$G,\mathbb{Q}^{\prime},\mathbb{C}$_);
11
12 for _$Q\in\mathbb{Q}^{\prime}$_ do
13 if _Update($Q,\mathbb{U}[Q]$) is true_ then
14 Remove $Q$ from $\mathbb{Q}^{\prime}$;
15 $completed\leftarrow completed+1$;
16 if _$submitted <|\mathbb{Q}|$_ then
17 Get next query $Q^{\prime}$ from $\mathbb{Q}$ and add it to
$\mathbb{Q}^{\prime}$;
18 $submitted\leftarrow submitted+1$;
19
20
21
22
Algorithm 4 ThunderRW using Step Interleaving
### 5.5. Integration with ThunderRW
Algorithm 4 illustrates our ThunderRW framework using step interleaving. Line
1 adds the first $k$ queries in $\mathbb{Q}$ to $\mathbb{Q}^{\prime}$ where
$k$ is the parameter setting the group size. Lines 3-15 repeatedly execute GMU
operations on queries in $\mathbb{Q}^{\prime}$ until all queries in
$\mathbb{Q}$ complete. Specifically, Lines 5-7 first execute the Gather
operation on each query in $\mathbb{Q}^{\prime}$. Next, Line 8 invokes the
Move operation using step interleaving to process queries in
$\mathbb{Q}^{\prime}$. After that, Lines 9-15 apply the Update operation to
all queries in the group. If a query completes, then Lines 11-15 remove it and
submit the next query in $\mathbb{Q}$ to $\mathbb{Q}^{\prime}$. Thus, the step
interleaving technique can be seamlessly integrated with ThunderRW without
changing APIs.
Time and space. The time complexity of Algorithm 4 is the same with the
analysis in Section 4.3 because the step interleaving does not change the
number of steps moved. Suppose that there are $n$ threads. Then, the memory
cost is $O(n\times k\times d_{max})$ in addition to the space storing the
graph and the output because each thread has at most $k$ queries in flight.
## 6\. Experiments
We conduct experiments to evaluate the performance of ThunderRW in this
section.
### 6.1. Experimental Setup
We conduct experiments on a Linux server equipped with an Intel Xeon W-2155
CPU and 220GB RAM. The CPU has ten physical cores with hyper-threading
disabled for consistent measurement. The sizes of L1, L2 and L3 (last level
cache, LLC) caches are 32KB, 1MB and 13.75MB, respectively.
Table 5. Properties of real-world datasets. Dataset | Name | $|V|$ | $|E|$ | $d_{avg}$ | $d_{max}$ | Memory
---|---|---|---|---|---|---
amazon | am | 0.55M | 1.85M | 3.38 | 549 | 0.01GB
youtube | yt | 1.14M | 2.99M | 5.24 | 28754 | 0.03GB
us patents | up | 3.78M | 16.52M | 8.74 | 793 | 0.17GB
eu-2005 | eu | 0.86M | 19.24M | 44.74 | 68963 | 0.15GB
amazon-clothing | ac | 15.16M | 63.33M | 4.18 | 12845 | 0.35GB
amazon-book | ab | 18.29M | 102.12M | 5.58 | 58147 | 0.52GB
livejournal | lj | 4.85M | 68.99M | 28.45 | 20333 | 0.54GB
com-orkut | ot | 3.07M | 117.19M | 76.34 | 33313 | 0.89GB
wikidata | wk | 40.96M | 265.20M | 6.47 | 8085513 | 1.29GB
uk-2002 | uk | 18.52M | 298.11M | 32.19 | 194955 | 2.30GB
twitter | tw | 41.66M | 1.21B | 58.08 | 2997487 | 9.27GB
friendster | fs | 65.61M | 1.81B | 55.17 | 5214 | 13.71GB
Datasets. Table 5 lists the statistics of the twelve real-world graphs in our
experiments. _ab_ and _ac_ are downloaded from (n.d., 2018), _wk_ is obtained
from (n.d., [n.d.]), _eu_ , _uk_ and _tw_ are obtained from (Rossi and Ahmed,
2015), and the other graphs are downloaded from (Leskovec and Krevl, 2014).
The datasets are from different categories such as web, social and citation,
and have different densities. The number of vertices is ranged from hundreds
of thousands to tens of millions, and the number of edges scales from millions
to billions. Except _am_ , all the graphs outsize LLC.
Workloads. We study PPR, DeepWalk, Node2Vec and MetaPath to evaluate the
performance and generality of competing methods. The settings of the four
algorithms are the same as that in Section 3. _ab_ and _ac_ are weighted
graphs where weights denote review ratings for products. _wk_ has 1327
distinct labels, which represents the relationship between entities in a
knowledge base. The other graphs are unweighted and unlabeled. Given a graph
having no labels or weights, we set the weight and label of edges with the
same setting as previous work (Yang et al., 2019): (1) We choose a real number
from [1, 5) uniformly at random, and assign it to an edge as its weight; and
(2) We set the edge label by randomly choosing a label from a set containing
five distinct labels.
Comparison. We compare the performance of ThunderRW (called _TRW_ for short)
with the following methods.
* •
_BL_ : Baseline approaches that first load a graph entirely into memory and
then execute random walks, the detail of which is presented in Section 3.
* •
_HG_ : Our homegrown implementation optimizing _BL_ from two aspects: (1)
select a suitable sampling method for each algorithm according to the
recommendation in Section 4.3; and (2) regard each query as a parallel task
with OpenMP.
* •
_GW_ : GraphWalker (Wang et al., 2020), the state-of-the-art RW framework in a
single machine. For the fair of comparison, we configure GraphWalker to
execute in-memory, without any disk I/O.
* •
_KK_ : KnightKing (Yang et al., 2019), the state-of-the-art distributed RW
framework. It supports to execute in a single machine.
Table 6. Overall performance comparison (seconds).
| PPR | DeepWalk | Node2vec | MetaPath
---|---|---|---|---
Dataset | _BL_ | _HG_ | _GW_ | _KK_ | _TRW_ | _BL_ | _HG_ | _KK_ | _TRW_ | _BL_ | _HG_ | _KK_ | _TRW_ | _BL_ | _HG_ | _TRW_
am | 0.06 | 0.008 | 0.42 | 0.012 | 0.007 | 2.16 | 0.21 | 0.44 | 0.07 | 9.97 | 0.26 | 2.08 | 0.14 | 0.22 | 0.018 | 0.012
yt | 0.33 | 0.04 | 1.68 | 0.05 | 0.015 | 9.78 | 0.98 | 1.93 | 0.26 | 853.13 | 1.30 | 5.94 | 1.03 | 6.18 | 0.23 | 0.24
up | 1.24 | 0.13 | 7.19 | 0.19 | 0.07 | 45.44 | 4.33 | 8.41 | 0.95 | 369.00 | 6.20 | 16.92 | 4.01 | 4.88 | 0.40 | 0.24
eu | 0.16 | 0.02 | 0.99 | 0.03 | 0.011 | 8.16 | 0.82 | 1.56 | 0.20 | 2731.07 | 1.47 | 4.43 | 1.14 | 90.55 | 3.18 | 3.55
ac | 4.84 | 0.51 | 19.31 | 0.65 | 0.19 | 173.66 | 17.86 | 31.88 | 3.31 | 6951.12 | 24.54 | 87.86 | 6.26 | 45.01 | 2.01 | 1.69
ab | 8.86 | 0.94 | 26.74 | 1.09 | 0.26 | 212.80 | 22.24 | 40.07 | 4.01 | 26231.45 | 32.04 | 100.78 | 7.87 | 128.35 | 5.06 | 4.47
lj | 1.69 | 0.19 | 7.90 | 0.23 | 0.06 | 55.63 | 5.44 | 10.67 | 1.19 | 2951.33 | 9.09 | 24.95 | 6.20 | 18.08 | 0.94 | 0.75
ot | 1.49 | 0.16 | 5.25 | 0.19 | 0.04 | 38.54 | 3.70 | 7.97 | 0.80 | 5891.28 | 7.28 | 15.16 | 4.82 | 40.77 | 1.72 | 1.57
wk | 21.86 | 2.21 | 47.05 | 3.07 | 0.59 | 502.27 | 49.67 | 95.17 | 9.26 | _OOT_ | 68.43 | 216.24 | 27.68 | 5.98 | 0.54 | 0.55
uk | 6.47 | 0.69 | 27.72 | 0.90 | 0.24 | 203.86 | 20.42 | 21.40 | 4.56 | 12630.01 | 34.36 | 94.69 | 28.68 | 322.66 | 12.84 | 12.56
tw | 26.42 | 2.73 | 77.12 | 3.61 | 1.16 | 575.43 | 61.18 | 115.92 | 11.13 | _OOT_ | 130.72 | 232.41 | 91.00 | _OOT_ | 12300.32 | 9780.20
fs | 79.14 | 8.20 | 223.81 | 10.72 | 4.10 | 1043.93 | 108.23 | 208.45 | 17.67 | _OOT_ | 178.15 | 364.51 | 120.16 | 683.05 | 28.69 | 25.01
We implement all our methods including _BL_ , _HG_ and _TRW_ in C++. _GW_
333https://github.com/ustcadsl/GraphWalker, Last accessed on 2020/12/07. and
_KK_ 444https://github.com/KnightKingWalk/KnightKing, Last accessed on
2020/12/20. are programmed in C++ as well. All the source code is compiled by
g++ 8.3.2 with -O3 enabled. _BL_ executes in serial, while the other methods
are running on all the cores of the single socket, with one thread per core.
We consider C-SAW (Pandey et al., [n.d.]), the state-of-the-art RW framework
on GPUs, as well. However, its open source
package555https://github.com/concept-inversion/C-SAW, Last accessed on
2020/12/07. supports 4000 queries at most, which cannot handle the workload
containing massive queries in the experiment. Previous experiment results
(Yang et al., 2019; Wang et al., 2020) show that _KK_ and _GW_ significantly
outperform generic graph computing frameworks such as Gemini (Zhu et al.,
2016) on RW algorithms. Therefore, our experiment does not involve C-SAW as
well as any generic graph computing frameworks.
As for RW algorithms, _GW_ only supports unbiased RW. Thus, we execute PPR
without considering edge weights, and evaluate _GW_ on PPR only. Despite that
_KK_ studies MetaPath in the original paper (Yang et al., 2019), its open
source package cannot handle labeled graphs. As such, it cannot execute
MetaPath. In contrast, _TRW_ supports all the four algorithms, which
demonstrates its flexibility.
As for sampling methods, _BL_ uses NAIVE for PPR, while adopts ALIAS for the
other three algorithms. As discussed in Section 3, building alias tables for
dynamic RW in an indexing phase can consume a huge amount of memory.
Therefore, in the experiments, _BL_ dynamically computes the alias table
(i.e., perform the initialization of ALIAS) at each step of a query, which is
the same as the computation flow of _TRW_ for dynamic RW. Different from _BL_
, _HG_ adopts O-REJ for Node2Vec, and ITS for MetaPath. This is because (1)
the max value of transition probability of Node2Vec can be easily set as
$\max(1,1/a,1/b)$, and O-REJ can avoid scanning the neighbors of $Q.cur$ at
each step; and (2) the probability distribution of MetaPath is skewed due to
filtering based on labels, which increases the generation cost of rejection
sampling, and the initialization phase of ITS is much faster than that of
ALIAS in practice. _TRW_ adopts the same sampling method as _HG_ for each
algorithm.
Ring Size Setting. We tune the ring size with the method in Section 5.4.
Despite that the graphs have variant structures, the optimal setting for them
is close. First, the optimal value for the graphs except _am_ is $k=64$ and
$k^{\prime}=32$ because the optimal ring size is closely related to the
instructions available for computation, the switch overhead, the memory access
latency, and the maximum number of outstanding memory requests, which are
determined by the program and hardwares. Second, the optimal value for _am_ is
$k=32$ and $k^{\prime}=32$ as _am_ fits in LLC and the memory access latency
is smaller than that of the other graphs. Additionally, the tuning process is
very efficient, which takes less than one minute for most of the graphs. Even
for _fs_ with more than 1.8 billion edges, the tuning is completed with around
four minutes.
Metrics. The _total time_ is the elapsed time on evaluating RW algorithms
without counting the time on loading data from the disk. For static random
walk, the total time consists of the _preprocessing time_ , which is the time
spent on the preprocessing, and the _execution time_ , which is the time spent
on executing queries. To complete experiments in a reasonable time, we set the
time limit for each algorithm as eight hours. If an algorithm cannot be
completed within the limit, we terminate it and record the execution time as
_OOT_ (i.e., out-of-time). We measure the _throughput_ (steps per second) by
dividing the number of steps of all queries by the execution time. To provide
more insights, we adopt _Intel Vtune Profiler_ to examine the pipeline slot
utilization and use _Linux Perf_ to examine the _instructions per step_ and
_cycles per step_ , which are the number of instructions and the number of
cycles on one step, respectively.
Supplement experiments. More experiment results including the impact of ring
sizes, memory bandwidth utilization, the effectiveness of prefetching data to
different cache levels, the impact of the step interleaving on existing
systems and the comparison with AMAC (Kocberber et al., 2015) are presented in
the appendix.
### 6.2. Overall Comparison
Table 6 gives an overall comparison of competing methods on the four RW
algorithms. Although _GW_ is parallel, it runs slower than _BL_ , the
sequential baseline algorithm. _KK_ runs faster than _GW_ and _BL_ , but
slower than _HG_ because (1) the framework incurs extra overhead compared with
_HG_ ; and (2) _HG_ adopts an appropriate sampling method for each algorithm.
_TRW_ runs 54.6-131.7X and 1.7-14.6X faster than _GW_ and _KK_ , respectively.
Benefiting from parallelization, _HG_ achieves 7.5-10.5X speedup over _BL_ on
PPR and DeepWalk. Moreover, _HG_ runs 38.3-1857.9X and 11.1-28.5X faster than
_BL_ on Node2Vec and MetaPath, respectively, because _HG_ adopts O-REJ
sampling for Node2Vec, which avoids scanning the neighbors of $Q.cur$ at each
step, and uses ITS sampling for MetaPath, the initialization phase of which is
more efficient than that of ALIAS in practice. _TRW_ runs 8.6-3333.1X faster
than _BL_. Even compared with _HG_ , _TRW_ achives up to 6.1X speedup
benefiting from our step-centric model and step interleaving technique. As
MetaPath is dynamic and both _TRW_ and _HG_ use ITS sampling, the gather
operation at each step dominates the cost. Still, MetaPath on ThunderRW
outperforms that on HG for nine out of twelve graphs, and is slightly slower
on the other three graphs. _tw_ is dense but highly skewed (as shown in Table
5) and the vertices with high degrees are frequently visited. Consequently,
the execution time on MetaPath against _tw_ is much longer than that on other
graphs.
In summary, ThunderRW significantly outperforms state-of-the-art frameworks
and homegrown solutions (e.g., _BL_ takes more than eight hours for Node2Vec
on _tw_ , while _TRW_ completes the algorithm in two minutes). Furthermore,
ThunderRW saves a lot of engineering effort on the implementation and
parallelization of RW algorithms compared with _BL_ and _HG_.
(a) Pipeline slot breakdown.
(b) Speedup.
Figure 4. Vary RW-algorithms on _lj_.
(a) Pipeline slot breakdown.
(b) Speedup.
Figure 5. Vary sampling methods on _lj_.
### 6.3. Evaluation of Step Interleaving
We evaluate the effectiveness of step interleaving in this subsection. For
brevity, we use _lj_ as the representative graph by default.
Varying RW algorithms. We first evaluate the effectiveness of step
interleaving on different RW algorithms. Figure 4 presents the pipeline slot
breakdown and speedup among the RW algorithms. wo/si and w/si denote ThunderRW
without and with the step interleaving technique, respectively. Enabling step
interleaving drastically reduces memory bound on PPR and DeepWalk, and
improves the instruction retirement. Correspondingly, w/si achieves
significant speedup over wo/si in Figure 4(b). The speedup on PPR is lower
than that on DeepWalk because PPR issues all queries from a given vertex and
the expected length of a query is 5, which by default exhibits better memory
locality than DeepWalk. The memory bound on Node2Vec is reduced from around
60% to 40% because the Weight function checks whether two vertices are
neighbors with a binary search, which causes a number of random memory access.
The speedup on MetaPath is small because MetaPath is dynamic and the gather
operation dominates the cost at each step.
Varying sampling methods. We next examine the performance of step interleaving
on variant sampling methods. As the gather operation dominates the cost on
dynamic random walk, we focus on unbiased and static random walk.
Particularly, we use DeepWalk as the representative RW algorithm and evaluate
it with the five sampling methods in Section 2.3, respectively. When adopting
NAIVE, we regard DeepWalk as unbiased random walk (i.e., without considering
edge weight). Figure 5 presents the pipeline slot breakdown and speedup on
_lj_ with variant sampling methods. We can see that the step interleaving
technique significantly reduces memory bound on all the five sampling methods
and achieves remarkable speedup. The results demonstrate both the generality
and effectiveness of the step interleaving technique.
Varying datasets. To explore the impact of graph structures on the
performance, we evaluate the speedup of enabling step interleaving for
DeepWalk on different datasets. Figure 6 presents the experiment results. The
speedup on _am_ and _yt_ is smaller than that on other graphs because _am_ can
fit in LLC, and _yt_ is only two times larger than LLC. The speedup on _eu_
and _uk_ is lower than the other graphs that are much larger than LLC since
_eu_ and _uk_ have dense communities (e.g., _uk_ has a clique containing
around 1000 vertices (Chang, 2019)), and RW queries exhibit good memory
locality. In contrast, the speedup on _ac_ and _ab_ is generally higher than
the other graphs because they are bipartite graphs and very sparse, and RW
queries have poor memory locality. In summary, the optimization tends to
achieve higher speedup on large and sparse graphs than small graphs and graphs
with dense community structures because RW queries have poorer memory locality
on the former one. Nevertheless, the optimization brings up to 3X speedup even
on graphs entirely fitting in LLC (i.e., _am_) since L1 cache is only tens of
kilobytes, but around ten times faster than LLC, and the step interleaving
directly fetches the data to L1 cache.
Figure 6. Vary datasets for DeepWalk.
### 6.4. Scalability Evaluation
In this section, we evaluate the scalability of ThunderRW. By default, we
execute $10^{7}$ RW queries on _lj_ with the target length as 80. Each query
starts from a vertex selected from the graph randomly. We first evaluate the
throughput in terms of steps per second with the number of queries and the
length of queries varying, respectively. In that case, we set the RW as static
and use the ALIAS sampling method as the representative. Next, we evaluate the
speedup with the number of threads varying. When setting the RW as unbiased,
we use the NAIVE sampling method, while we examine the speedup on ITS, ALIAS,
REJ and O-REJ, respectively, when setting the RW as static and dynamic.
Varying number and length of queries. Figure 7(a) presents the throughput with
the number of queries varying from $10^{2}$ to $10^{7}$. For $10^{2}-10^{4}$
queries, the execution time is very short and the start up and shut down time
can dominate it. For example, for $10^{2}$ queries, each thread spends less
than 0.1 ms on performing random walks, while the execution time is around 2
ms because of the cost on resource (e.g., memory and threads) initialization
and release. As a result, the benefit of the optimization is limited, and the
throughput is lower than that with a large number of queries. The throughput
is more than $3\times 10^{8}$ and keeps stable with the number of queries
varying from $10^{6}$ to $10^{7}$. Figure 7(b) presents the throughput with
the length of queries varying from 5 to 160. The throughput is steady. In
summary, ThunderRW has good scalability in terms of the number and length of
queries.
(a) Varying number of queries.
(b) Varying length of queries.
Figure 7. Throughput on _lj_ with number and length of queries varying.
Varying number of threads. Figure 8 shows the speedup with the number of
threads varying from 1 to 10 (i.e., the number of cores in the machine). For
all the five sampling methods on unbiased/static RW, ThunderRW achieves nearly
linear speedup with the number of threads as shown in Figure 8(a).
Particularly, when the number of threads is 10, the speedup is from 8.8X to
9.6X. Figure 8(b) presents the speedup on dynamic RW. The speedup is from 7.8X
to 9.0X. Overall, ThunderRW achieves good scalability in terms of the number
of threads.
(a) Unbiased/static RW.
(b) Dynamic RW.
Figure 8. Speedup on _lj_ with number of threads varying.
### 6.5. Generality Evaluation
To evaluate the generality of ThunderRW, we repeat the first experiment in
Section 6.4 on a machine equipped with an Intel Xeon Gold 6246R CPU, which has
16 physical cores. The sizes of L1, L2 and LLC caches are 32KB, 1MB and
35.75MB, respectively. Additionally, the CPU is based on the _Cascade Lake_
microarchitecture, while that used in other experiments is based on _Skylake_.
As the CPU has 16 physical cores, we set the number of workers as 16. As shown
in Figure 9, enabling the optimization significantly improves the throughput.
Moreover, using the new CPU increases the throughput, for example, when the
length of queries is 160, the throughput grows from $3\times 10^{8}$ to
$4.1\times 10^{8}$. The experiment results show that the techniques proposed
in this paper are generic to different architectures.
(a) Varying number of queries.
(b) Varying length of queries.
Figure 9. Throughput on _lj_ with number and length of queries varying on
processors with different architectures.
### 6.6. Discussions
ThunderRW regards a step of a query as a parallel task unit, which
parallelizes the computation from the perspective of queries instead of the
graph data. As RW algorithms consist of massive queries and the cost of moving
a step is extremely small (e.g., around 34 ns for DeepWalk on _lj_), there are
a large number of small parallel tasks, which can be easily parallelized. As
such, the parallelization of ThunderRW can achieve significant speedup over
the sequential despite that graph structures are complex and flexible.
Moreover, the sampling method has an important impact on the performance, and
therefore providing variant sampling methods is essential.
The step interleaving technique executes different queries alternately to
reduce memory bound incurred by random memory accesses. Its effectiveness is
closely related to the memory locality of workloads, which is determined by RW
algorithms and graph structures. In general, the optimization tends to achieve
higher speedup on large and sparse graphs than small graphs and graphs with
dense community structures because RW queries have poorer memory locality on
the former graphs. Nevertheless, the random memory access is a common issue
for RW algorithms since (1) graphs are much larger than cache sizes; and (2)
RW queries wander randomly in the graph. Thus, the step interleaving can
achieve significant speedup even on graphs entirely fitting LLC.
However, the speedup achieved by the step interleaving on high order RW
algorithms can be lower than that on first order algorithms. First, the
operations in user-defined functions can introduce random memory accesses.
Despite that, the optimization still brings 1.2-4.3X speedup on Node2Vec.
Second, the Gather operation dominates the cost at each step when performing
it in run time.
## 7\. Conclusion
In this paper, we propose ThunderRW, an efficient in-memory RW engine on which
users can easily implement customized RW algorithms. We design a step-centric
model to abstract the computation from the local view of moving one step of a
query. Based on the model, we propose the step interleaving technique to hide
memory access latency by executing multiple queries alternately. We implement
four representative RW algorithms including PPR, DeepWalk, Node2Vec and
MetaPath with our framework. Experimental results show that ThunderRW
outperforms state-of-the-art RW frameworks by up to one order of magnitude and
the step interleaving reduces the memory bound from 73.1% to 15.0%. Currently,
we implement the step interleaving technique in ThunderRW by explicitly and
manually storing and restoring states of each query. An interesting future
work is to implement the method with _coroutines_ , which is an efficient
technique supporting interleaved execution (Jonathan et al., 2018; Psaropoulos
et al., 2017; He et al., 2020).
## References
* (1)
* Balkesen et al. (2013) C. Balkesen, J. Teubner, G. Alonso, and M. T. Özsu. 2013. Main-memory hash joins on multi-core CPUs: Tuning to the underlying hardware. In _2013 IEEE 29th International Conference on Data Engineering (ICDE)_. 362–373.
* Beamer et al. (2015) Scott Beamer, Krste Asanovic, and David Patterson. 2015\. Locality exists in graph processing: Workload characterization on an ivy bridge server. In _2015 IEEE International Symposium on Workload Characterization_. IEEE, 56–65.
* Chang (2019) Lijun Chang. 2019\. Efficient maximum clique computation over large sparse graphs. In _Proceedings of the 25th ACM SIGKDD International Conference on Knowledge Discovery & Data Mining_. 529–538.
* Chen et al. (2007) Shimin Chen, Anastassia Ailamaki, Phillip B Gibbons, and Todd C Mowry. 2007. Improving hash join performance through prefetching. _ACM Transactions on Database Systems (TODS)_ 32, 3 (2007), 17–es.
* Chen et al. (2001) Shimin Chen, Phillip B. Gibbons, and Todd C. Mowry. 2001\. Improving Index Performance through Prefetching. _SIGMOD Rec._ 30, 2 (2001), 235–246.
* Cochez et al. (2017) Michael Cochez, Petar Ristoski, Simone Paolo Ponzetto, and Heiko Paulheim. 2017. Biased graph walks for RDF graph embeddings. In _Proceedings of the 7th International Conference on Web Intelligence, Mining and Semantics_. 1–12.
* Coorporation (2016) Intel Coorporation. 2016\. Intel 64 and IA-32 architectures optimization reference manual.
* Dai et al. (2018) Quanyu Dai, Qiang Li, Jian Tang, and Dan Wang. 2018\. Adversarial network embedding. In _Proceedings of the AAAI Conference on Artificial Intelligence_ , Vol. 32.
* Dhulipala ([n.d.]) Laxman Dhulipala. [n.d.]. Provably Efficient and Scalable Shared-Memory Graph Processing. ([n. d.]).
* Fan et al. (2018) Wenfei Fan, Wenyuan Yu, Jingbo Xu, Jingren Zhou, Xiaojian Luo, Qiang Yin, Ping Lu, Yang Cao, and Ruiqi Xu. 2018. Parallelizing sequential graph computations. _ACM Transactions on Database Systems (TODS)_ 43, 4 (2018), 1–39.
* Fogaras et al. (2005) Dániel Fogaras, Balázs Rácz, Károly Csalogány, and Tamás Sarlós. 2005\. Towards scaling fully personalized pagerank: Algorithms, lower bounds, and experiments. _Internet Mathematics_ 2, 3 (2005), 333–358.
* Fortunato and Hric (2016) Santo Fortunato and Darko Hric. 2016. Community detection in networks: A user guide. _Physics reports_ 659 (2016), 1–44.
* Fu et al. (2017) Tao-yang Fu, Wang-Chien Lee, and Zhen Lei. 2017. Hin2vec: Explore meta-paths in heterogeneous information networks for representation learning. In _Proceedings of the 2017 ACM on Conference on Information and Knowledge Management_. 1797–1806.
* Gonzalez et al. (2012) Joseph E Gonzalez, Yucheng Low, Haijie Gu, Danny Bickson, and Carlos Guestrin. 2012. Powergraph: Distributed graph-parallel computation on natural graphs. In _Presented as part of the 10th USENIX Symposium on Operating Systems Design and Implementation (OSDI 12)_. 17–30.
* Gonzalez et al. (2014) Joseph E Gonzalez, Reynold S Xin, Ankur Dave, Daniel Crankshaw, Michael J Franklin, and Ion Stoica. 2014. Graphx: Graph processing in a distributed dataflow framework. In _11th USENIX Symposium on Operating Systems Design and Implementation (OSDI 14)_. 599–613.
* Grover and Leskovec (2016) Aditya Grover and Jure Leskovec. 2016. node2vec: Scalable feature learning for networks. In _Proceedings of the 22nd ACM SIGKDD international conference on Knowledge discovery and data mining_. 855–864.
* Guo et al. (2017) Wentian Guo, Yuchen Li, Mo Sha, and Kian-Lee Tan. 2017\. Parallel personalized pagerank on dynamic graphs. _Proceedings of the VLDB Endowment_ 11, 1 (2017), 93–106.
* He et al. (2020) Yongjun He, Jiacheng Lu, and Tianzheng Wang. 2020. CoroBase: coroutine-oriented main-memory database engine. _Proceedings of the VLDB Endowment_ 14, 3 (2020), 431–444.
* Hu et al. (2018) Binbin Hu, Chuan Shi, Wayne Xin Zhao, and Philip S Yu. 2018\. Leveraging meta-path based context for top-n recommendation with a neural co-attention model. In _Proceedings of the 24th ACM SIGKDD International Conference on Knowledge Discovery & Data Mining_. 1531–1540.
* Jeh and Widom (2002) Glen Jeh and Jennifer Widom. 2002. SimRank: a measure of structural-context similarity. In _Proceedings of the eighth ACM SIGKDD international conference on Knowledge discovery and data mining_. 538–543.
* Jha et al. (2015) Saurabh Jha, Bingsheng He, Mian Lu, Xuntao Cheng, and Huynh Phung Huynh. 2015. Improving Main Memory Hash Joins on Intel Xeon Phi Processors: An Experimental Approach. _Proc. VLDB Endow._ 8, 6 (2015), 642–653.
* Jonathan et al. (2018) Christopher Jonathan, Umar Farooq Minhas, James Hunter, Justin Levandoski, and Gor Nishanov. 2018\. Exploiting coroutines to attack the” killer nanoseconds”. _Proceedings of the VLDB Endowment_ 11, 11 (2018), 1702–1714.
* Jun et al. (2018) Sang-Woo Jun, Andy Wright, Sizhuo Zhang, Shuotao Xu, et al. 2018\. GraFBoost: Using accelerated flash storage for external graph analytics. In _2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA)_. IEEE, 411–424.
* Khorasani et al. (2014) Farzad Khorasani, Keval Vora, Rajiv Gupta, and Laxmi N Bhuyan. 2014. CuSha: vertex-centric graph processing on GPUs. In _Proceedings of the 23rd international symposium on High-performance parallel and distributed computing_. 239–252.
* Kim et al. (2009) Changkyu Kim, Tim Kaldewey, Victor W. Lee, Eric Sedlar, Anthony D. Nguyen, Nadathur Satish, Jatin Chhugani, Andrea Di Blas, and Pradeep Dubey. 2009. Sort vs. Hash Revisited: Fast Join Implementation on Modern Multi-Core CPUs. 2, 2 (2009), 1378–1389.
* Kocberber et al. (2015) Onur Kocberber, Babak Falsafi, and Boris Grot. 2015\. Asynchronous memory access chaining. _Proceedings of the VLDB Endowment_ 9, 4 (2015), 252–263.
* Kyrola et al. (2012) Aapo Kyrola, Guy Blelloch, and Carlos Guestrin. 2012\. Graphchi: Large-scale graph computation on just a PC. In _Presented as part of the 10th USENIX Symposium on Operating Systems Design and Implementation (OSDI 12)_. 31–46.
* Lao et al. (2011) Ni Lao, Tom Mitchell, and William Cohen. 2011. Random walk inference and learning in a large scale knowledge base. In _Proceedings of the 2011 conference on empirical methods in natural language processing_. 529–539.
* Lee et al. (2012) Jaekyu Lee, Hyesoon Kim, and Richard Vuduc. 2012. When prefetching works, when it doesn’t, and why. _ACM Transactions on Architecture and Code Optimization (TACO)_ 9, 1 (2012), 1–29.
* Leskovec and Krevl (2014) Jure Leskovec and Andrej Krevl. 2014. SNAP Datasets: Stanford Large Network Dataset Collection. http://snap.stanford.edu/data.
* Li et al. (2014) Rong-Hua Li, Jeffrey Xu Yu, Xin Huang, and Hong Cheng. 2014\. Random-walk domination in large graphs. In _2014 IEEE 30th International Conference on Data Engineering_. IEEE, 736–747.
* Liu and Huang (2017) Hang Liu and H Howie Huang. 2017. Graphene: Fine-grained IO management for graph computing. In _15th USENIX Conference on File and Storage Technologies (FAST 17)_. 285–300.
* Liu et al. (2016) Qin Liu, Zhenguo Li, John CS Lui, and Jiefeng Cheng. 2016\. Powerwalk: Scalable personalized pagerank via random walks with vertex-centric decomposition. In _Proceedings of the 25th ACM International on Conference on Information and Knowledge Management_. 195–204.
* Lofgren (2015) Peter Lofgren. 2015\. Efficient algorithms for personalized pagerank. _arXiv preprint arXiv:1512.04633_ (2015).
* Lofgren et al. (2014) Peter A Lofgren, Siddhartha Banerjee, Ashish Goel, and C Seshadhri. 2014. FAST-PPR: scaling personalized pagerank estimation for large graphs. In _Proceedings of the 20th ACM SIGKDD international conference on Knowledge discovery and data mining_. 1436–1445.
* Low et al. (2012) Yucheng Low, Joseph Gonzalez, Aapo Kyrola, Danny Bickson, Carlos Guestrin, and Joseph M Hellerstein. 2012. Distributed graphlab: A framework for machine learning in the cloud. _arXiv preprint arXiv:1204.6078_ (2012).
* Lu et al. (2021) Shengliang Lu, Shixuan Sun, Johns Paul, Yuchen Li, and Bingsheng He. 2021. Cache-Efficient Fork-Processing Patterns on Large Graphs. In _Proceedings of the 2021 International Conference on Management of Data_. 1208–1221.
* Lv et al. (2019) Xin Lv, Yuxian Gu, Xu Han, Lei Hou, Juanzi Li, and Zhiyuan Liu. 2019. Adapting Meta Knowledge Graph Information for Multi-Hop Reasoning over Few-Shot Relations. In _Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing (EMNLP-IJCNLP)_. 3367–3372.
* Malewicz et al. (2010) Grzegorz Malewicz, Matthew H Austern, Aart JC Bik, James C Dehnert, Ilan Horn, Naty Leiser, and Grzegorz Czajkowski. 2010\. Pregel: a system for large-scale graph processing. In _Proceedings of the 2010 ACM SIGMOD International Conference on Management of data_. 135–146.
* Marsaglia (1963) George Marsaglia. 1963\. Generating discrete random variables in a computer. _Commun. ACM_ 6, 1 (1963), 37–38.
* Mikolov et al. (2013) Tomas Mikolov, Kai Chen, Greg Corrado, and Jeffrey Dean. 2013\. Efficient estimation of word representations in vector space. _arXiv preprint arXiv:1301.3781_ (2013).
* n.d. ([n.d.]) n.d. [n.d.]. Wikimedia Downloads. https://dumps.wikimedia.org/.
* n.d. (2018) n.d. 2018. Amazon Review Data. https://nijianmo.github.io/amazon/index.html.
* Nguyen et al. (2013) Donald Nguyen, Andrew Lenharth, and Keshav Pingali. 2013\. A lightweight infrastructure for graph analytics. In _Proceedings of the twenty-fourth ACM symposium on operating systems principles_. 456–471.
* Page et al. (1999) Lawrence Page, Sergey Brin, Rajeev Motwani, and Terry Winograd. 1999. _The PageRank citation ranking: Bringing order to the web._ Technical Report. Stanford InfoLab.
* Pandey et al. ([n.d.]) Santosh Pandey, Lingda Li, Adolfy Hoisie, Xiaoye Li, and Hang Liu. [n.d.]. C-SAW: A Framework for Graph Sampling and Random Walk on GPUs. In _2020 SC20: International Conference for High Performance Computing, Networking, Storage and Analysis (SC)_. IEEE Computer Society, 780–794.
* Perozzi et al. (2014) Bryan Perozzi, Rami Al-Rfou, and Steven Skiena. 2014\. Deepwalk: Online learning of social representations. In _Proceedings of the 20th ACM SIGKDD international conference on Knowledge discovery and data mining_. 701–710.
* Pržulj (2007) Nataša Pržulj. 2007\. Biological network comparison using graphlet degree distribution. _Bioinformatics_ 23, 2 (2007), e177–e183.
* Psaropoulos et al. (2017) Georgios Psaropoulos, Thomas Legler, Norman May, and Anastasia Ailamaki. 2017. Interleaving with coroutines: a practical approach for robust index joins. _Proceedings of the VLDB Endowment_ 11, CONF (2017), 230–242.
* Robert and Casella (2013) Christian Robert and George Casella. 2013. _Monte Carlo statistical methods_. Springer Science & Business Media.
* Rossi and Ahmed (2015) Ryan A. Rossi and Nesreen K. Ahmed. 2015. The Network Data Repository with Interactive Graph Analytics and Visualization. In _AAAI_. http://networkrepository.com
* Schwarz (2011) Keith Schwarz. 2011\. Darts, dice, and coins: Sampling from a discrete distribution. _Retrieved_ 3, 28 (2011), 2012.
* Shao et al. (2020) Yingxia Shao, Shiyue Huang, Xupeng Miao, Bin Cui, and Lei Chen. 2020. Memory-Aware Framework for Efficient Second-Order Random Walk on Large Graphs. In _Proceedings of the 2020 ACM SIGMOD International Conference on Management of Data_. 1797–1812.
* Shi et al. (2019) Jieming Shi, Renchi Yang, Tianyuan Jin, Xiaokui Xiao, and Yin Yang. 2019. Realtime top-k personalized pagerank over large graphs on gpus. _Proceedings of the VLDB Endowment_ 13, 1 (2019), 15–28.
* Shun and Blelloch (2013) Julian Shun and Guy E Blelloch. 2013. Ligra: a lightweight graph processing framework for shared memory. In _Proceedings of the 18th ACM SIGPLAN symposium on Principles and practice of parallel programming_. 135–146.
* Sun and Han (2013) Yizhou Sun and Jiawei Han. 2013. Mining heterogeneous information networks: a structural analysis approach. _Acm Sigkdd Explorations Newsletter_ 14, 2 (2013), 20–28.
* Sundaram et al. (2015) Narayanan Sundaram, Nadathur Rajagopalan Satish, Md Mostofa Ali Patwary, Subramanya R Dulloor, Satya Gautam Vadlamudi, Dipankar Das, and Pradeep Dubey. 2015\. Graphmat: High performance graph analytics made productive. _arXiv preprint arXiv:1503.07241_ (2015).
* Walker (1977) Alastair J Walker. 1977\. An efficient method for generating discrete random variables with general distributions. _ACM Transactions on Mathematical Software (TOMS)_ 3, 3 (1977), 253–256.
* Wang et al. (2020) Rui Wang, Yongkun Li, Hong Xie, Yinlong Xu, and John CS Lui. 2020. GraphWalker: An I/O-Efficient and Resource-Friendly Graph Analytic System for Fast and Scalable Random Walks. In _2020 USENIX Annual Technical Conference (USENIX ATC 20)_. 559–571.
* Wang et al. (2017) Sibo Wang, Renchi Yang, Xiaokui Xiao, Zhewei Wei, and Yin Yang. 2017. FORA: simple and effective approximate single-source personalized pagerank. In _Proceedings of the 23rd ACM SIGKDD International Conference on Knowledge Discovery and Data Mining_. 505–514.
* Wang et al. (2016) Yangzihao Wang, Andrew Davidson, Yuechao Pan, Yuduo Wu, Andy Riffel, and John D Owens. 2016\. Gunrock: A high-performance graph processing library on the GPU. In _Proceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming_. 1–12.
* Wei et al. (2018) Zhewei Wei, Xiaodong He, Xiaokui Xiao, Sibo Wang, Shuo Shang, and Ji-Rong Wen. 2018\. Topppr: top-k personalized pagerank queries with precision guarantees on large graphs. In _Proceedings of the 2018 International Conference on Management of Data_. 441–456.
* Williams et al. (2009) Samuel Williams, Andrew Waterman, and David Patterson. 2009\. Roofline: an insightful visual performance model for multicore architectures. _Commun. ACM_ 52, 4 (2009), 65–76.
* Yan et al. (2014) Da Yan, James Cheng, Yi Lu, and Wilfred Ng. 2014\. Blogel: A block-centric framework for distributed computation on real-world graphs. _Proceedings of the VLDB Endowment_ 7, 14 (2014), 1981–1992.
* Yang et al. (2019) Ke Yang, MingXing Zhang, Kang Chen, Xiaosong Ma, Yang Bai, and Yong Jiang. 2019\. Knightking: a fast distributed graph random walk engine. In _Proceedings of the 27th ACM Symposium on Operating Systems Principles_. 524–537.
* Ye et al. (2019) Zhonglin Ye, Haixing Zhao, Ke Zhang, Yu Zhu, Yuzhi Xiao, and Zhaoyang Wang. 2019\. Improved DeepWalk Algorithm Based on Preference Random Walk. In _CCF International Conference on Natural Language Processing and Chinese Computing_. Springer, 265–276.
* Zhang et al. (2018) Yunming Zhang, Mengjiao Yang, Riyadh Baghdadi, Shoaib Kamil, Julian Shun, and Saman Amarasinghe. 2018\. Graphit: A high-performance graph dsl. _Proceedings of the ACM on Programming Languages_ 2, OOPSLA (2018), 1–30.
* Zhong and He (2013) Jianlong Zhong and Bingsheng He. 2013. Medusa: Simplified graph processing on GPUs. _IEEE Transactions on Parallel and Distributed Systems_ 25, 6 (2013), 1543–1552.
* Zhou et al. (2018) Dongyan Zhou, Songjie Niu, and Shimin Chen. 2018. Efficient graph computation for Node2Vec. _arXiv preprint arXiv:1805.00280_ (2018).
* Zhu et al. (2016) Xiaowei Zhu, Wenguang Chen, Weimin Zheng, and Xiaosong Ma. 2016\. Gemini: A computation-centric distributed graph processing system. In _12th USENIX Symposium on Operating Systems Design and Implementation (OSDI 16)_. 301–316.
## Appendix A Other Profiling Results
In this section, we evaluate the impact of varying the length and the number
of queries, respectively. We use a micro benchmark that assembles the access
pattern of RWs and also we can control the parameters easily. Particularly, we
set the number of queries as $10^{7}$ and configure the target length as 80 by
default. Each query starts from a vertex randomly selected from the graph. We
use the ALIAS sampling method to perform the queries. We first evaluate the
impact of varying the length from 5 to 160, and then examine the performance
of varying the number of queries from $10^{2}$ to $10^{8}$.
Tables 7 and 8 present the results with the length of queries varying from 5
to 160 and the number of queries varying from $10^{2}$ to $10^{8}$ on the
_livejournal_ graph, respectively. We can see that the memory bound is
consistently above 60% despite the variance in the length and number of
queries. With the length (or the number of queries) increasing, the memory
bound grows slightly. The memory bandwidth utilization is also far from the
maximum bandwidth in all test cases. In summary the in-memory computation of
RW algorithms suffers severe performance issues due to memory stalls caused by
cache misses and under-utilizes the memory bandwidth regardless of the length
and number of queries.
Table 7. Pipeline slot breakdown and memory bandwidth with the length of queries varying. | Length of
---
Queries
| Front
---
End
| Bad
---
Spec
Core | Memory | Retiring | | Memory
---
Bandwidth
5 | 3.6% | 5.5% | 16.6% | 61.3% | 13.0% | 7.7GB/s
10 | 2.7% | 4.0% | 18.5% | 63.4% | 11.2% | 6.6GB/s
20 | 2.7% | 4.1% | 18.1% | 64.0% | 11.1% | 6.0GB/s
40 | 2.5% | 4.0% | 18.1% | 64.5% | 10.9% | 5.8GB/s
80 | 2.3% | 3.7% | 18.6% | 64.8% | 10.6% | 5.6GB/s
160 | 2.3% | 3.6% | 18.5% | 65% | 10.5% | 5.6GB/s
Table 8. Pipeline slot breakdown and memory bandwidth with the number of queries varying. | Num of
---
Queries
| Front
---
End
| Bad
---
Spec
Core | Memory | Retiring | | Memory
---
Bandwidth
$10^{2}$ | 4.1% | 2.6% | 16.5% | 66.4% | 10.4% | 5.9GB/s
$10^{3}$ | 4.5% | 7.4% | 12.1% | 63.8% | 12.2% | 8.0GB/s
$10^{4}$ | 4.4% | 6.9% | 12.7% | 64.3% | 11.8% | 6.6GB/s
$10^{5}$ | 4.0% | 6.2% | 16.5% | 60.9% | 12.4% | 6.0GB/s
$10^{6}$ | 2.7% | 4.1% | 19.0% | 63.2% | 11.0% | 5.8GB/s
$10^{7}$ | 2.3% | 3.7% | 18.6% | 64.8% | 10.6% | 5.6GB/s
$10^{8}$ | 2.3% | 3.6% | 18.5% | 65.1% | 10.5% | 5.6GB/s
## Appendix B Other Implementation Details
In this section, we present the implementation details of the stage switch
mechanism, the graph storage, the walker management and the input/output of
the framework.
Stage switch. Continuing with Example 5.3, we use Move with the REJ sampling
method to demonstrate the implementation of stage switch. Algorithm 5 presents
the details where $\mathbb{Q}^{\prime}$ is a group of queries and $\mathbb{C}$
maintains the transition probability $C$ for each $Q\in\mathbb{Q}^{\prime}$.
Line 2 creates a task ring $TR$ with $|\mathbb{Q}^{\prime}|$ slots. Each slot
records states of a query $Q\in\mathbb{Q}^{\prime}$. The load operations are
replaced with the PREFETCH operations. We process a non-cycle stage $S$ in SDG
with a for loop where all queries evaluate $S$ one by one. For example, Lines
4-5 deal with $S_{0}$ in which we fetch the degree of $Q.cur$ for each
$Q\in\mathbb{Q}^{\prime}$. The Search function handles cycle stages. Line 16
first creates a search ring $SR$ with $k^{\prime}$ slots to process cycle
stages. If a slot $R\in SR$ is empty and there are queries in $TR$ not
submitted to $SR$ (Line 20), Lines 21-23 submit a query $Q$ to $SR$ and
initialize the slot $R$. If the stage is $S_{2}$, Lines 25-27 perform the
operations in $S_{2}$. Moreover, Line 28 sets $R.S$ to $S_{3}$ and stores
$x,y$ because the next stage is $S_{3}$ and $S_{3}$ depends on the value of
$x,y$ according to SDG. When $S_{3}$ is completed, we write $x$ to $TR$
because $S_{4}$ consumes it as shown in SDG. Lines 18-34 repeat the process
until all queries jump out the cycle. Lines 9-13 continue the computation with
values generated by Search. Line 14 returns $\mathbb{U}$ that maintains the
selected edge for each query.
1 Function _Move(_$G,\mathbb{Q}^{\prime},\mathbb{C}$_)_
2 Initialize a task ring $TR$ each slot of which corresponds to
$Q\in\mathbb{Q}^{\prime}$;
3 Initialize $\mathbb{U}$ as $\\{\\}$ to store the selected edge for
$Q\in\mathbb{Q}^{\prime}$;
/* Stage $S_{0}$. */
4 foreach _$Q\in\mathbb{Q}^{\prime}$_ do
5 PREFETCH $d_{v}$ where $v=Q.cur$;
6
/* Stage $S_{1}$. */
7 foreach _$Q\in\mathbb{Q}^{\prime}$_ do
8 PREFETCH $p_{v}^{*}$ where $v=Q.cur$;
9
10 Search(_$\mathbb{C},TR$_);
/* Stage $S_{4}$. */
11 foreach _$Q\in\mathbb{Q}^{\prime}$_ do
12 PREFETCH $E_{v}[TR[Q].x]$ where $v=Q.cur$;
13
/* Stage $S_{5}$. */
14 foreach _$Q\in\mathbb{Q}^{\prime}$_ do
15 Add $v^{\prime}$ to $Q$ where $v=Q.cur$ and
$e(v,v^{\prime})=E_{v}[TR[Q].x]$;
16 Set $\mathbb{U}[Q]$ to $e(v,v^{\prime})$;
17
18
19 return $\mathbb{U}$;
20
21
22Function _Search(_$\mathbb{C},TR$_)_
23 Initialize a search ring $SR$ with $k^{\prime}$ slots where
$k^{\prime}\leqslant|TR|$;
24 $submitted,completed,index\leftarrow 0$;
25 while _$completed <|TR|$_ do
26 $R\leftarrow SR[index]$;
27 if _$R.S=null$ and $submitted<|TR|$_ then
28 Get next slot $R^{\prime}\in TR$ and set $v$ to $R^{\prime}.Q.cur$;
29 Set $R.Q$, $R.S$, $R.d$ and $R.p^{*}$ to $R^{\prime}.Q$, $S_{2}$, $d_{v}$
and $p_{v}^{*}$, respectively;
30 $submitted\leftarrow submitted+1$;
31
/* Stage $S_{2}$. */
32 else if _$R.S=S_{2}$_ then
33 Generate an int random number $x$ in $[0,R.d)$;
34 Generate a real random number $y$ in $[0,R.p^{*})$;
35 PREFETCH $C[x]$ where $C=\mathbb{C}[R.Q]$;
36 Set $R.S$, $R.x$ and $R.y$ to $S_{3}$, $x$ and $y$, respectively;
37
/* Stage $S_{3}$. */
38 else if _$R.S=S_{3}$_ then
39 if _$R.y >C[R.x]$_ then Set $R.S$ to $S_{2}$;
40 else
41 Set $R.S$ and $TR[R.Q].x$ to _null_ and $R.x$, respectively;
42 $completed\leftarrow completed+1$;
43
44
45 $index\leftarrow(index+1)\mod k^{\prime}$;
46
47
Algorithm 5 Move with REJ using Step Interleaving
Graph storage. We store the graph $G$ in _compressed sparse row_ (CSR) where
$G$ consists of an array of vertices and an array of edges. Each vertex in CSR
points to the start of its adjacent edges in the edge array. Moreover, we
associate the edge label and edge weight to each edge and store them as two
arrays, respectively.
Walker management. Given a set $\mathbb{Q}$ of random walk queries, we assign
an unique ID from $0$ to $|\mathbb{Q}|-1$ to each of them. For a query
$Q\in\mathbb{Q}$, we maintain the query ID, the source vertex, the length of
$Q$ and a pointer linking to the payload (e.g., the walk path). In addition,
user can customize the data associating with each query.
Input and output. ThunderRW provides APIs for users to specify the source
vertices of RW queries and the number of queries from each source. For
example, we can start a RW query from each vertex in $G$ for DeepWalk, while
issue a number of queries from a given vertex for single source PPR.
ThunderRW outputs the walk path for each RW query. The output can be either
consumed by down streaming tasks on the fly or stored for the future usage.
The former case consumes a small amount of memory space, whereas the memory
cost of the latter can be $O(\sum_{Q\in\mathbb{Q}}|Q|)$. Fortunately, it is
unnecessary to maintain all walks in memory in practical implementation.
Instead, we can use the classic double buffering mechanism to efficiently dump
the output to the disk in batch..
Specifically, one is used to write results to the disk, while the other
records new results generated by the engine. When the second one is full, we
swap the role of the two buffers. In this way, the I/O cost can be easily and
seamlessly overlapped by the computation because (1) modern computers support
direct memory access (DMA), which transfers data independent of CPUs, and
operating systems provide simple APIs for async I/O programming (e.g.,
_aio_write_ in Linux); and (2) the time on filling a buffer is much longer
than that on writing to disks because of the rapid advancement of storage
hardwares. For example, the time on filling 2 GB buffer by the engine is
around 1.79 second in our test bed (equipped with Samsung PM981 NVMe SSD),
which can be completely stored to disk in around 1.20 second. Moreover, the
980 PRO series with PCIe-4.0 achieve up to 5100MB/second sequential write
speed, which can output 2 GB data in around 0.4 second.
## Appendix C Supplement Experiments
### C.1. Tuning Ring Sizes
Time on tuning ring sizes. Table 9 presents the time on tuning the ring size.
We can see that the tuning process is very efficient. Even for _fs_ having
more than 1.8 billion edges, the tuning takes around four minutes, whereas the
tuning on most of the graphs takes less than one minute.
Table 9. The time on tuning ring sizes (seconds). Dataset | am | yt | up | eu | ac | ab
---|---|---|---|---|---|---
Time | 0.87 | 2.67 | 9.45 | 2.55 | 35.12 | 39.23
Dataset | lj | ot | wk | uk | tw | fs
Time | 13.19 | 9.82 | 132.4 | 51.86 | 156.37 | 241.44
Impact of ring sizes. We evaluate the impact of ring sizes on the performance.
Based on our parameter tuning method, we first vary the task ring size from 1
to 1024 on NAIVE and ALIAS to pick the optimal value $k^{*}$, and then fix the
task ring size to $k^{*}$ and vary the search ring size from 1 to $k^{*}$ on
ITS, REJ and O-REJ to determine the search ring size. As shown in Figure
10(a), the speedup first increases quickly with $k$ varying from 1 to 8
because one core in our CPUs can support ten L1-D outstanding misses as it has
ten MSHRs. The optimal speedup is achieved when $k=64$ because we need to
introduce enough computation workload between the data request and the data
usage to hide memory access latency. Further increasing $k$ degrades the
performance as the L1-D cache size is limited and the request data can be
evicted. Next, we fix the task ring size and vary the search ring size. When
$k^{\prime}=32$, ThunderRW achieves the highest speedup.
(a) Task ring size ($k$).
(b) Search ring size ($k^{\prime}$).
Figure 10. Speedup with ring size varying on _lj_.
### C.2. Prefetching Data to Different Cache Levels
We use the intrinsic _mm_prefetch(PTR, HINT) to prefetch the data. The
intrinsic fetches the line of data from memory containing address PTR to a
location in the cache hierarchy specified by locality hint HINT (Lee et al.,
2012). The intrinsic can load the data to L1, L2 or L3 cache based on the
hint. When fetching the data to L1 or L2, it loads the data to the higher
cache level as well. Moreover, we can specify the data as non-temporal with
_MM_HINT_NTA. Then, the intrinsic will load the data to L1 cache, mark it as
non-temporal and bypass L2 and L3 caches. We set HINT to _MM_HINT_T0 to fetch
the data to L1 cache with respect to all level caches, which has good
performance based on our experiment.
We evaluate the effectiveness of prefetching the data to L1, L2, and L3 cache,
respectively. Table 10 lists the experiment results on the _livejournal_
graph. The performance of fetching data to L1/L2/L3 cache is close. In
contrast, marking the data as non-temporal degrades the performance. This is
because the penalty of L3 cache miss is much more than that of L1/L2 cache
misses and bypassing L3 cache results in more L3 cache misses. Thus, ThunderRW
uses the _MM_HINT_T0 cache locality hint to fetch the data to L1 cache.
Table 10. Effectiveness of prefetching data to different cache levels (Speedup over loading data to L1 Cache). Method | L1 Cache | L2 Cache | L3 Cache | Non-temporal Data
---|---|---|---|---
NAVIE | 1.00 | 0.97 | 0.95 | 0.79
ITS | 1.00 | 1.01 | 1.00 | 0.95
ALIAS | 1.00 | 0.95 | 0.95 | 0.80
REJ | 1.00 | 1.00 | 0.99 | 0.92
O-REJ | 1.00 | 1.01 | 1.01 | 0.96
### C.3. Pipeline Slot Breakdown and Memory Bandwidth of ThunderRW
Tables 11 and 12 present the pipleline slot breakdown and memory bandwidth of
ThunderRW with the length of queries and the number of queries varying,
respectively. Compared with results in Tables 7 and 8, ThunderRW dramatically
reduces the memory bound, while significantly increases the retiring.
Moreover, the memory bandwidth utilization is improved. The memory bound for
$10^{2}$ queries is higher than other settings because each thread has only 10
queries, whereas the optimal task ring size $k$ is 64.
Table 11. Pipeline slot breakdown and memory bandwidth of ThunderRW with the length of queries varying. | Length of
---
Queries
| Front
---
End
| Bad
---
Spec
Core | Memory | Retiring | | Memory
---
Bandwidth
5 | 5.0% | 10.8% | 25.7% | 27.0% | 31.5% | 29.4GB/s
10 | 6.4% | 10.3% | 29.9% | 18.0% | 36.1% | 29.8GB/s
20 | 6.8% | 10.6% | 30.6% | 12.4% | 40.1% | 30.8GB/s
40 | 6.8% | 10.7% | 31.0% | 9.2% | 42.3% | 31.1GB/s
80 | 6.9% | 10.8% | 31.2% | 7.9% | 43.2% | 31.1GB/s
160 | 7.0% | 10.8% | 31.3% | 7.3% | 43.7% | 31.2GB/s
Table 12. Pipeline slot breakdown and memory bandwidth of ThunderRW with the number of queries varying. | Num of
---
Queries
| Front
---
End
| Bad
---
Spec
Core | Memory | Retiring | | Memory
---
Bandwidth
$10^{2}$ | 5.3% | 6.5% | 28.1% | 27.3% | 32.8% | 26.1GB/s
$10^{3}$ | 6.3% | 10.4% | 30.7% | 9.8% | 42.8% | 30.1GB/s
$10^{4}$ | 7.2% | 11.1% | 32.2% | 7.7% | 43.9% | 29.0GB/s
$10^{5}$ | 6.9% | 10.8% | 31.1% | 7.9% | 43.2% | 31.5GB/s
$10^{6}$ | 6.9% | 10.8% | 31.0% | 8.0% | 43.3% | 31.4GB/s
$10^{7}$ | 6.9% | 10.7% | 31.4% | 8.2% | 42.8% | 31.1GB/s
$10^{8}$ | 6.8% | 10.7% | 31.4% | 8.4% | 42.7% | 31.0GB/s
### C.4. Impact on Existing Systems
In principle, the step interleaving technique is a generic optimization for RW
algorithms because it accelerates in-memory computation by hiding memory
access latency in a single query via executing a group of queries alternately,
and RW algorithms generally consist of a number of random walks. However,
directly implementing it in the code base of GraphWalker and KnightKing is
difficult because (1) their walker-centric model regards each query as a task
unit, which cannot support to execute steps of different queries alternately;
and (2) their source code does not consider the extensibility to support
further enhancement. As such, we emulate the execution paradigm of the two
systems to study the impact of our optimization on their in-memory
computation.
Specifically, the in-memory computation of KnightKing adopts the BSP model,
which executes random walks iteratively and moves one step for all queries at
each iteration. We implement this procedure, and integrate SI into it as
follows: (1) divide queries into a number of groups; (2) run queries in a
group with the step interleaving; and (3) execute queries group by group at
each iteration. The implementation without/with the step interleaving is
denoted by _KK_ /_KK-si_. The in-memory computation of GraphWalker adopts the
ASP model, which assigns a query to each core and executes it independently.
We implement the procedure, and integrate the step interleaving into it as
follows: assign a group of random walks to each core and execute them with the
step interleaving. The implementation without/with the step interleaving is
denoted by _GW_ /_GW-si_.
Figure 11 presents experiment results of DeepWalk on _lj_ with ALIAS sampling.
We set the group size as 64, which is the same as the optimal ring size.
Enabling step interleaving significantly reduces memory bound for both _GW_
and _KK_ , and improves the instruction retirement. Figure 11(b) shows the
speedup over _GW_. We find that _KK_ , which uses BSP, runs 1.8X faster than
_GW_ , which utilizes ASP, because modern CPUs execute instructions out-of-
order and steps of different queries at each iteration are independent of each
other, which benefits from this feature. After adopting the step interleaving,
both _GW_ and _KK_ achieve a significant speedup. _GW-si_ runs faster than
_KK-si_ since _KK-si_ executes each query at one iteration and the context
switch of each query incurs overhead.
(a) Pipeline slot breakdown.
(b) Speedup.
Figure 11. Impact on in-memory computation of GraphWalker (GW) and KnightKing
(KK).
### C.5. Comparison with AMAC (Kocberber et al., 2015)
To compare with prefetching techniques designed for index lookups in database
systems, we implement the Move operation with the stage switch mechanism in
AMAC (Kocberber et al., 2015). AMAC explicitly maintains states of all stages
in a SDG and performs the stage transition, which is similar to the method
processing cycle stages.
Table 13 presents instructions per step and cycles per step of wo/si, w/si and
AMAC. Enabling step interleaving leads to more instructions per step due to
the overhead of prefetching and stage transitions. The overhead on NAIVE and
ALIAS is smaller than that on the other three methods because all stages in
NAIVE and ALIAS are non-cycle stages. The benefit of hiding memory access
latency offsets the overhead of executing extra instructions. Therefore, the
step interleaving technique significantly reduces cycles per step. As NAIVE
and ALIAS have only a few stages, instructions per step of AMAC is close to
that of w/si on the two methods. However, AMAC takes 1.57-2.03X more
instructions per step than w/si on ITS, REJ and O-REJ, which consist of
several stages. AMAC incurs more overhead because it explicitly maintains
states of all stages in SDG and controls the stage transition. In contrary,
our stage switch mechanism processes cycle stages and non-cycle stages with
different methods, and controls the stage transition for cycle stages only.
Consequently, AMAC spends 1.18-1.64X more cycles per step than w/si. The
results demonstrate the effectiveness of our stage switch mechanism.
Table 13. Detailed metrics with sampling method varying. | Instructions per Step | Cycles per Step
---|---|---
Method | wo/si | w/si | AMAC | wo/si | w/si | AMAC
NAIVE | 131.24 | 132.32 | 137.42 | 596.12 | 111.26 | 112.55
ITS | 157.06 | 335.75 | 681.05 | 1716.52 | 327.65 | 537.09
ALIAS | 134.56 | 139.17 | 179.54 | 740.73 | 139.14 | 140.26
REJ | 187.87 | 260.83 | 464.78 | 940.75 | 273.44 | 352.84
O-REJ | 180.14 | 264.56 | 414.27 | 1000.66 | 333.21 | 392.21
### C.6. Future Extension
In case for extremely large graphs that cannot fit into the main memory of a
single machine, we consider two approaches. First, we can develop external
memory graph systems to host the graph in the hard disk. With the recent
advent of emerging storage such as Intel DCPMM persistent memory, the I/O cost
can be largely overlapped by in-memory processing (where ThunderRW can be
leveraged and adopted for performance improvement). Second, we plan to develop
distributed systems such as KnightKing, where our ThunderRW can be leveraged
as a single-node engine. We leave the extension of ThunderRW in the future
work.
| arxiv-papers | 2021-07-26T06:37:42 | 2024-09-04T03:07:17.812313 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Shixuan Sun and Yuhang Chen and Shengliang Lu and Bingsheng He and\n Yuchen Li",
"submitter": "Shixuan Sun",
"url": "https://arxiv.org/abs/2107.11983"
} |
2107.11991 | # What Remains of Visual Semantic Embeddings
Yue Jiao
University of Southampton
Southampton, UK
[email protected] Jonathon Hare
University of Southampton
Southampton, UK
[email protected] Adam Prügel-Bennett
University of Southampton
Southampton, UK
[email protected]
###### Abstract
Zero shot learning (ZSL) has seen a surge in interest over the decade for its
tight links with the mechanism making young children recognize novel objects.
Although different paradigms of visual semantic embedding models are designed
to align visual features and distributed word representations, it is unclear
to what extent current ZSL models encode semantic information from distributed
word representations. In this work, we introduce the split of tieredImageNet
to the ZSL task, in order to avoid the structural flaws in the standard
ImageNet benchmark. We build a unified framework for ZSL with contrastive
learning as pre-training, which guarantees no semantic information leakage and
encourages linearly separable visual features. Our work makes it fair for
evaluating visual semantic embedding models on a ZSL setting in which semantic
inference is decisive. With this framework, we show that current ZSL models
struggle with encoding semantic relationships from word analogy and word
hierarchy. Our analyses provide motivation for exploring the role of context
language representations in ZSL tasks.
## 1 Introduction
Zero-Shot Learning (ZSL), which aims to recognize unseen classes, is
considered one of the most difficult generalization tasks. ZSL is also an
important task for demonstrating how a machine learning model understands
high-level semantic information and transfers knowledge from seen to unseen
classes.
After a decade of research, ZSL models have been shown to have made huge
progress on some small and medium sized datasets annotated with handmade
attributes [38, 31, 9]. The key to transferring semantic knowledge from seen
to unseen classes is often achieved by building a visual semantic embedding
model, which aims to build a bridge to fill the gap between visual information
and semantic information [20, 32, 7]. This approach is based on the hypothesis
that similar structural relationships emerge from independent visual and
linguistic representations [29, 14].
ZSL methods fail dramatically when they are tested on the ImageNet dataset
[5]. To address this problem, recent works propose to introduce different
mechanisms to represent robust semantic hierarchy [37, 16, 22]. However, there
is still an open question: _do current ZSL models perform useful semantic
inference on the ImageNet dataset?_ Exploring the role of distributed
semantics and visual semantic alignment models in the ZSL task is still
lacking. For example, if we have two images: one of a working dog and one of a
hunting dog, it is not clear whether the semantic difference and the semantic
hierarchy between “working” and “hunting” will help us distinguish these two
images.
As Hascoet _et al_. [11] highlight, the standard ImageNet benchmark proposed
by Frome _et al_. [7] has structural flaws due to its data splitting: although
the full set of classes is split into disjoint training and test sets, the
test classes are hypernyms or hyponyms of the training classes within the
Wordnet [25] hierarchy. When an image of a “greenhouse” is classified as a
“building” or “conservatory” (see Figure 1), in the traditional ZSL setting,
we consider it is a case of classification error, whilst in terms of the
semantic definition of a “greenhouse”, it doesn’t seem to be an error. On the
other hand, in the standard benchmark, test classes, which are out of the 1000
classes of the ILSVRC 2012 1K [30], are imbalanced. Some categories have the
high sample populations, while some categories only have low samples.
Therefore, the standard benchmark is not fair enough to demonstrate to what
extent visual semantic embedding models are affecting semantic understanding
in the ZSL task. To address this problem, we propose using the split of
tieredImageNet [28], a large subset of ILSVRC 2012 1K, to build a new ZSL
benchmark. In the tieredImageNet dataset, classes are grouped into categories
corresponding to higher-level nodes in the ImageNet hierarchy. These
categories are split into two parts to ensure that there is no hyponymy and
hypernymy between the training and test classes, thus ensuring that the two
sets are semantically and linguistically disjoint. There will be no longer any
super classes in the testing set. The tieredImageNet split makes ZSL a fair
game in which models depend on visual-semantic alignment.
Figure 1: Illustration the standard ZSL ImageNet data split over the Wordnet
hierarchy. The red node “greenhouse” is in the seen classes, while its parent
“building” and children “conservatory” and “orangery” are tested as the unseen
classes. The standard ZSL aims to distinguish objects from their hyponyms and
hyponyms making it difficult to demonstrate whether ZSL models can learn
semantic concepts via semantic embedding and alignment.
With the new tieredImageNet split, we test four main paradigms to learning a
visual semantic embedding model. The first paradigm is to map pre-trained
image features into a rich word embedding space, such as Word2Vec [24] or
GloVe [27]. The typical work in this paradigm is done by Frome _et al_. [7],
which helps visual object categorization systems handle very large numbers of
labels. The second paradigm is to utilize an Autoencoder (AE) or a Variational
Autoencoder (VAE) to explore a latent semantic space of image features, in to
which word vectors are jointly mapped. Semantic AE [33], Cross and
Distribution Aligned VAE [31] and SCAN [13] belong to this paradigm. The third
paradigm uses explicit knowledge graphs which encode relationships between
object classes. Like [37], the weights and biases of the final linear layer of
the pre-trained image classifier are the new learning objectives. The output
node embeddings from a graph convolutional neural network (GCN) are used to
predict the visual classifier for each category, when corresponding word
vectors are inputted. The forth paradigm considers the visual semantic
embedding as a hyperbolic space and maps visual features and word vectors to a
Poincaré disk. This kind of ZSL methods, proposed by Liu _et al_. [22],
follows the empirical rule that a hyperbolic latent space can yield more
interpretable representations if the data has hierarchical structure [2, 23].
Compared with the first paradigm, the fourth employs an exponential map
instead of a nonlinear map in the Euclidean latent space.
To avoid supervised pre-training of a state-of-the-art deep neural network for
visual object recognition to create the visual feature extractor, we introduce
Self-Supervised Learning (SSL) [15] into the framework of ZSL. SSL allows us
to obtain general visual features without any explicit semantic information
being introduced. We have many concerns with the pre-trained classifier
towards our goal of understanding the role of visual semantic embeddings that
contribute to recognize novel objects. There are no guarantees that classifier
trained using supervised methods on the seen classes will be able to generate
separable image features for novel categories without any knowledge transfer
like Deep Transfer Clustering [8]. However, a SSL encoder can provide
separable image features without utilising any label information. Therefore,
we believe that introducing SSL into the framework of ZSL can help us
understand how distributed semantics are aligned and how they affect ZSL
models recognize novel classes.
Finally, within our proposed zero-shot setting, we come to the conclusion that
current ZSL models struggle with semantic inference on the WordNet hierarchy.
When the unseen classes are not the hypernyms and hyponyms of seen classes,
ZSL models with different semantic alignment mechanisms do not show the
ability to use word analogy and word hierarchy implicitly, even though the
image features of novel classes are near linearly separable. We show that
graph-based visual semantic embedding models perform worse than the vanilla
one which just learns a nonlinear map.
Therefore, we believe future ZSL frameworks should explore the role of
contextual word representations on large vision-and-language tasks.
Our main contributions are to:
* •
Introduce the tieredImagenet split into ZSL to replace the standard one, which
avoid major structural flaws in ZSL benchmarking;
* •
Build a unified framework for ZSL with SSL pre-training, thus preventing any
semantic information leakage. This makes the visual semantic embedding models
be the only decisive factor in the ZSL framework;
* •
Demonstrate that current ZSL methods can not generalize from complicated
semantic relationships. Encoded information from word analogy and word
hierarchy is not enough for the ZSL task.
## 2 Preliminaries
### 2.1 Problem Definition
Let $D_{S}=\\{(x,y)\mid x\in X_{S},y\in Y_{S}\\}$ be a set of training
examples consisting of seen images from $X_{S}$ and seen class labels from
$Y_{S}$. Let $D_{U}=\\{(x,y)\mid x\in X_{U},y\in Y_{U}\\}$ be a set of testing
examples. $Y_{U}$ represents the unseen set of class labels, which is disjoint
from $Y_{S}$. The task of ZSL is to learn a classifier, $X\rightarrow Y$,
where $X$ is the union set of $X_{S}$ and $X_{U}$ and $Y$ is the union set of
$Y_{S}$ and $Y_{U}$.
### 2.2 Word Embeddings
The idea of word embedding methods is derived from the distributional
hypothesis in linguistics: words that are used and occur in the same contexts
tend to purport similar meanings [10]. Word representations learned in an
unsupervised manner from the contextual relationship of words, or the co-
occurrence statistics of words, in large text corpora are the main source of
high-level semantic knowledge in most ZSL approaches. In ZSL these word
embedding models provide a mapping, $Y\rightarrow W$, from class labels to
high dimensional word vectors. We denote the word vector corresponding to a
label $y$ as $w(y)$. Cosine similarity is usually used to predict word
similarity. For a pair of word representations $w_{i}$ and $w_{j}$, we have:
$\mathrm{similarity}(w_{i},w_{j})=\frac{w_{i}\top
w_{j}}{\left\|w_{i}\right\|\left\|w_{j}\right\|}\enspace.$
### 2.3 Contrastive Learning
Previous ZSL models begin with training a classifier on the training set. This
step leaks specific semantic information into the feature extractor component
of a ZSL system. However, this step can not guarantee that we can obtain
linear separable novel image features, therefore, it is very difficult to
figure out whether the feature extractor or the downstream visual semantic
embedding model affect the generalization performance. Contrastive learning
(CL) provides a framework to learn representations of identity by pushing
apart two views of different objects and bringing together two views of same
objects in a representation space [4]. Recent works show that CL can generate
linear separable image features without any supervised label information [26,
35, 12, 3]. Given an anchor variables from the first view $v_{1,i}$, CL aims
to score the correct positive variable from the second view $v_{2,i}\sim
p(v_{2}\mid v_{1,i})$ higher compared to a set of $K$ negatives $v_{2,k}\sim
p(v_{2})$. A popular approach is to utilise the InfoNCE loss [26]:
$L_{NCE}=-\mathbf{E}\left[\log\frac{\exp{h(f(v_{1,i}),f(v_{2,i}))}}{\sum_{j=1}^{K}\exp{h(f(v_{1,i}),f(v_{2,j}))}}\right]$
The function $h$ represents a critic head and the function $f$ is a shared
encoder, which extracts view-invariant visual representations This way of
feature learning is natural and powerful. This allows the step of building the
visual semantic embedding model to be the only stage to align visual
information and distributed linguistic representations. When we freeze the
visual encoder, we can evaluate what mechanism performs better on semantic
understanding.
### 2.4 Graph Convolutional Networks
Graph convolutional networks (GCNs) [19] allow local graph operators to share
the statistical strength between word vectors of classes, which is considered
as a tool to utilize semantic information hidden in the WordNet hierarchy.
Given a graph with $N$ classes and a $d$ word embedding per class, $\mat{W}$
is the $N\times d$ word embedding matrix. We define a symmetric adjacency
matrix $\mat{A}$ and a degree matrix $\mat{D}$ to represent the connections
between the classes in the WordNet. The propagation rule to perform
convolutions on the graph is defined as:
$\displaystyle\mat{H}_{l+1}$
$\displaystyle=\sigma(\mat{D}^{-1}\mat{A}\mat{H}_{l}\mat{\Theta}_{l}),$
$\displaystyle\mat{H}_{0}$ $\displaystyle=\mat{W}$
Here $\mat{H}_{l}$ is the input at each layer of the GCN and $\mat{H}_{l+1}$
is the output. $\sigma$ is the activation function and $\mat{\Theta}_{l}$ is
the learnable parameter matrix at the layer $l$. We define a two layer GCN as
$g$.
### 2.5 Poincaré Embedding
The Poincaré embedding model is another natural technique to capture
hierarchical information in the WordNet hierarchy. As it is not pre-trained on
a large text corpus, each node in the Poincaré embedding model does not
contain any word co-occurrence statistics. Poincaré embeddings preserve the
distances between the nodes on the graph approximately, which can be used to
compute semantic similarities. Given two Poincaré embeddings $p_{i}$ and
$p_{j}$, we have the distance:
$d(p_{i},p_{j})=\cosh^{-1}\left(1+2\frac{\left\|p_{i}-p_{j}\right\|^{2}}{\left\|1-p_{i}\right\|^{2}+\left\|1-p_{j}\right\|^{2}}\right)\;.$
Two kinds of transformation are useful in a hyperbolic space. The mapping $e$
to project a vector $v$ in Euclidean space to hyperbolic space is defined as:
$p=e(v)=\tanh(\left\|v\right\|)\frac{v}{\left\|v\right\|}$
The Mobius multiplication $m$ is defined as:
$m(x)=\tanh\left(\frac{\left\|mx\right\|}{\left\|x\right\|}\tanh^{-1}(\left\|x\right\|)\right)\frac{mx}{\left\|x\right\|}$
## 3 A Unified Framework of ZSL
In this section, we provide our unified framework for the ZSL task. This new
pipeline consists of two steps.
Figure 2: Illustration of the process of building ZSL models in this work.
There are two steps in the whole pipeline. Left: The first step is pre-
training. In this step, an image encoder is learnt by Contrastive Learning on
the ILSVRC 2012 1K. GloVe word embeddings and Poincaré embeddings are
respectively trained on the Wikipedia corpus and the WordNet noun tree. (In
this case, we use the subtree with the root node “entity”.) Right: The second
step is to learn a visual semantic embedding model, which can be seen as
semantic alignment. In this framework we consider four independent paradigms
including: DeVISE, PrVISE, GrVISE and HyVISE. This two-step framework defines
a game in which novel image features are linear separable in theory and visual
semantic paradigms are the key to solve a semantic inference puzzle on the
WordNet. Therefore, it is fairer to demonstrate how visual semantic embedding
models do semantic generalization.
#### Pre-training.
In this step, three unsupervised embedding systems are derived from the ILSVRC
2012 1K, the Wikipedia2014 & Gigaword5 text corpus and the relation graph
built from the WordNet. The goal of build three embedding spaces is to capture
transferable visual, linguistic and structural information from different
modalities, which generalizes to an information alignment system.
After pre-training, image embeddings $I$ extracted by the CL encoder $f$, word
embeddings $W$ and Poincaré embeddings $P$ are powerful features for the
downstream visual semantic embedding learner.
#### Semantic alignment.
The second step is to build a visual semantic embedding model to align
semantics in different modalities. In this stage, $D_{S}=\\{(x,y)\mid x\in
X_{S},y\in Y_{S}\\}$ is used to train a semantic alignment learner. This step
can find structural or semantic correspondence between two embedding systems.
It is the key technique to transfer semantic knowledge from word
representations to a visual model. In out framework, four independent
paradigms (DeVISE, PrVISE, GrVISE and HyVISE) are defined below. Each of them
use different properties of word embedding systems. Note that the HyVISE which
maps image and word representations to a Riemannian space has achieved the
state-of-the-art performance in the standard ImageNet benchmark with
traditional zero-shot setting.
* •
DeVISE DeVISE is proposed by Frome _et al_. [7] as the abbreviation of a deep
visual semantic embedding model. In this work, we redefine it as a
discriminative visual semantic model for its behaviour of mapping visual
features to the word embedding space by a combination of dot-product
similarity and hinge rank loss. For a data instance in $D_{S}$, we have the
loss:
$\begin{split}&L_{DeVISE}(x,y)=\sum_{j\neq y}\max[0,\\\ &margin-w(y)\cdot
t(f(x))+w_{j}\cdot t(f(x))]\end{split}$ (1)
where $t$ is a trainable transformation neural network. This loss aims to make
image features close to their word embeddings and remains distances with word
embeddings for incorrect labels. DeVISE is the natural way to project the
information in the visual domain to the distributed word space.
* •
PrVISE Probabilistic visual semantic embedding (PrVISE) is a model derived
from a Variational Autoencoder [18] framework, a generative model with a prior
distribution $p(z)=\mathcal{N}(z;0,I)$. The VAE model makes it possible to
approximate a latent data distribution from a reconstruction task. As its
extension, PrVISE provides an adaptive latent prior distribution, which can be
learnt by a neural network $q_{\phi_{w}}$ from the pre-trained word embedding
space. By minimizing the KL divergence between the image latent distribution
$q_{\phi_{i}}$ and the adaptive prior distribution, we define the loss of
PrVISE as:
$\begin{split}L_{PrVISE}(x,y)&=-\mathbf{E}_{q_{\phi_{i}}(z|f(x))\\!}\left[\log\\!\left(p_{\theta_{i}}(f(x)|z)\right)\right]\\\
&-\mathbf{E}_{q_{\phi_{w}}(z|w(y))\\!}\left[\log\\!\left(p_{\theta_{w}}(w(y)|z)\right)\right]\\\
&+\mathbf{KL}\\!\left(q_{\phi_{i}}(z|f(x))\,\big{\|}\,q_{\phi_{w}}(z|w(y))\right),\end{split}$
(2)
where $p_{\theta_{w}}$ and $p_{\theta_{i}}$ are decoders for word and image
feature reconstruction. PrVISE aims to find a joint embedding space for visual
and linguistic information.
* •
GrVISE GrVISE represents the visual semantic embedding model with Graph neural
networks (like SGCN, DGP in [37, 16]). It addresses the problem that how to
encode additional information in graph edges with a graph with weighted nodes.
In the standard ZSL setting, it seems that capturing relational information
from pre-trained word embeddings will augment semantics. In our case, GrVISE
firstly trains a linear probe on $D_{S}$. A shallow GCN $g(\cdot)$ is expected
to distill discriminative visual semantic embeddings from the word embedding
inputs. By minimizing the l2 loss between the GCN output $g(w)$ and the linear
probe parameter $l$:
$\begin{split}&L_{GrVISE}=\sum_{w_{i}\in W,l_{i}\in
L}{\left\|g(w_{i})-l_{i}\right\|^{2}}\end{split}$ (3)
we hope the GCN is able to predict the parameters of a new linear probe for
unseen classes.
* •
HyVISE HyVISE is a Riemannian version of DeVISE. Instead of mapping image
features to a Euclidean space, HyVISE firstly projects image representations
to a hyperbolic space with a map $e$, then models a Mobius transformer $m$ to
make image representations close to their corresponding Poincaré embeddings.
The loss is defined as:
$\begin{split}&L_{HrVISE}(x,y)=\sum_{j\neq y}\max[0,margin\\\
&+d(m(e(f(x))),p(y))-d(m(e(f(x))),p_{j})]\end{split}$ (4)
Unlike previous works, we do not fine-tune the image encoder during the
semantic alignment stage. We consider this is essential to detect the
effectiveness of each visual semantic embedding mechanism.
Closely related to our work is done by Sylvain _et al_. [34], who propose a
two-step framework CM-DIM with self-supervised encoders. However, CM-DIM
focused on the local and compositional behaviours of different pre-training
representations for the conventional zero-shot learning benchmarks. Our
intention is merely to explore how the role of distributed word
representations are influenced in the different forms of zero-shot learning
models, which we think is the key to make zero-shot learning more applicable
in real-world applications. In this work, capturing image representations by a
self-supervised method is not a prioritized problem, recent works [26, 35, 12,
3] have demonstrated that contrastive learning can learn good image
representations.
In the next section, we will use this unified ZSL framework and evaluate how a
ZSL model works under a tough data split which needs high-level semantic
information inference.
## 4 Evaluation
In this section, we firstly introduce the data split and evaluation tools and
metrics. Then we try to answer the following questions with comparative
experiments.
* •
Does a visual semantic embedding model have the benefit to use information in
the word embedding space?
* •
Do graph-based models learn the hierarchical distributed semantics?
### 4.1 tieredImageNet
The standard data split in the ImageNet has its own structural flaws. Test
classes are the hypernym and hyponym of training classes. On the other hand,
testing images contain many inconsistencies, it is hard to ensure images with
high quality are tested. While tieredImageNet is a large subset of ILSVRC 2012
1k, in which classes are nodes of a tree of height 13 covering 608 classes. To
build a ZSL benchmark, we combine the training classes and validation classes
of tieredImageNet as the seen set, and we keep its own testing classes. In our
ZSL setting, 448 classes are in the seen set, and 160 classes are in the
testing set. Images in the training set of ILSVRC 2012 1k with the seen labels
are used to train a visual semantic embedding model. Images in the validation
set of ILSVRC 2012 1k with the seen labels are used to evaluate embedding
performance. Images in the validation set of ILSVRC 2012 1k with the unseen
labels are used to evaluate ZSL performance.
### 4.2 Model Details
For pre-trained image encoder, we use a ResNet-50 trained for 800 epochs on
the ILSVRC 2012 1k with InfoMin CL Algorithm [36]
111https://github.com/HobbitLong/PyContrast. With a linear probe, this image
encoder shows $73.0\%$ classification accuracy on the ILSVRC 2012 1k task. We
choose 300 dimensional GloVe222https://nlp.stanford.edu/projects/GloVe/ with
6B tokens as the pre-trained word vectors. For each class label, we average
all the GloVe vectors of its synonyms. We train a Poincaré embedding model
with gensim 333https://radimrehurek.com/gensim/ from the tree of
tieredImageNet classes. We choose a 100 dimensional hyperbolic space for this
model.
The transformer in DeVISE is a two-layer multilayer perceptron (MLP) with 512
hidden neurons. The feature encoder and decoder in PrVISE are both two-layer
MLPs with 512 hidden neurons. The adaptive prior distribution in PrVISE is 300
dimensional, learnt by a two-layer MLP. In GrVISE, a two-layer GCN is used to
predict weights of the visual classifier. And in HrVISE, a two-layer MLP is
modified to implement Mobius multiplication twice.
All the visual semantic embedding models are trained with encoder freezing.
The Adam optimizer [17] with learning rate 1e-4 is used in all the models to
optimize the loss function. All the models are trained for 200 epochs and
mini-batches of size 256.
Figure 3: Illustration a subset of tieredImageNet. The training classes and test classes are nodes from different high-level semantic concepts. Compared with the standard split in Figure 1, this spilt is more difficult for ZSL, while it provides complex semantic relationship and inference. We think it is an ideal way to demonstrate how a visual semantic embedding models works. Models | embedding hit@1 | embedding hit@5 | avg.sim@1 | avg.sim@5 | avg.sim.dis@1 | avg.sim.dis@5
---|---|---|---|---|---|---
LP | 75.18% | 93.26% | 0.8505 | 0.5067 | 21.80 | 69.13
DeVISE | 71.96% | 92.34% | 0.8330 | 0.5089 | 23.72 | 69.84
PrVISE | 54.01% | 74.24% | 0.7353 | 0.5087 | 29.25 | 52.51
Table 1: Comparison of model performance on visual semantic embedding task.
Only seen images in the testing set are used. A linear layer classifier LP
performs better than the DeVISE and the PrVISE models on the flat hit metrics.
The LP also achieve the highest semantic similarities for the wrong classified
data. The DeVISE performs slightly worse than the LP. The VAE-based model
PrVISE struggles to classify images by comparing the KL-divergence between
latent distributions. This indicates a big performance gap exists when the
latent space can not be disentangled.
### 4.3 Metrics
We consider different kinds of measures to answer the questions provided at
the beginning of this section.
To demonstrate the performance of visual semantic embeddings, we compute the
embedding hit@k metrics – the percentage of test images in the seen set for
which the model returns the one true seen label in its top k predictions. For
the ZSL task, we consider the generalized ZSL. Therefore, we compute the ZSL-S
hit@k ZSL-U hit@k metrics. Here S represents test images in the seen set,
while U represents test images in the unseen set.
Inspired by the average hierarchical distance of a mistake [1], which rethinks
whether we should treat all classes other than the “true” label as equally
wrong, we also employ the average similarity of a mistake (avg.sim@k) and the
average similarity distance of a mistake (avg.sim.dis@k) as metrics to
demonstrate whether a visual semantic embedding model remains the properties
of a word embedding space. To compute these two metrics, we firstly compute
the cosine similarity matrix of the GloVe vectors. Then we sort these
similarities and get the distance of each pair of labels. For the data
instances which the model does not return the true labels, we average the
similarities and distances between the predicted labels and the true labels in
their top $k$ predictions. In the ZSL part, we also employ ZSL-S avg.sim@k,
ZSL-U avg.sim@k, ZSL-S avg.sim.dis@k and ZSL-U avg.sim.dis@k. The difference
is we build the similarity matrices with the union set of training labels and
testing labels.
We think the new metrics can help us figure out the effectiveness of semantic
alignment in the ZSL task, as Roads and Love [29] employed the Spearman
correlation between the upper diagonal portion of two similarity matrices in
two conceptual systems.
## 5 Experimental results
### 5.1 Does a visual semantic embedding model benefit from distributional
semantics in a static word embedding space?
To answer this question, we should consider the paradigms purely dealing with
information from word embeddings. In the following, we analyse the performance
of DeVISE and PrVISE. As a comparison, we implement a linear classifier (LP)
on top of the frozen representation encoded by the InfoMin encoder. Although
the linear probe can not be used in the ZSL task, it still gives insights
about how image representations are distributed with equally weighted labels.
The Table 1 shows results to evaluate visual semantic embedding performance.
We highlight that only seen classes in the testing set is used in this part. A
linear layer classifier performs better than the DeVISE and the PrVISE models
on the flat hit metrics. Although there are no distributed semantics leaked to
the LP, it still shows the highest semantic similarities for the wrong
classified data.
DeVISE performs slightly worse than the linear classifier. There is no
evidence to prove that pre-trained word embeddings help neural networks make a
more reasonable decision at the semantic level, as random embeddings still
work well on the classification task [7, 1].
The generative model PrVISE shows the worst performance. Unlike the success on
medium datasets with human designed attributes, the VAE-based model struggles
to classify images by comparing the KL-divergence between latent
distributions. This indicates a big performance gap exists when the latent
space can not be disentangled. However, the PrVISE model “makes better
semantic mistakes”. Focusing on the difference of average similarities on the
top 1 and top 5 predictions, these models do not prefer to choose candidate
labels in the semantic synonyms of true labels. The PrVISE model does decrease
the semantic distance of a mistake on the top 5 predictions. Although, it is
still very hard to answer the question “Is visual similarity correlated to
semantic similarity?” [6], it seems that the PrVISE model exchanges the
embedding accuracy with the semantic accuracy. When we evaluate the
performance of visual semantic embedding with extended labels, this phenomenon
is more clear. DeVISE and PrVISE can deal with a flexible number of classes.
When the number of label classes increases, the embedding performance drops
(see Table 2), but the PrVISE model still “makes better semantic mistakes”.
For unseen classes (Table 3), PrVISE performs worse than DeVISE. Notably, the
performance in this work with contrastive learning pre-training reaches the
same order of magnitude as the traditional setting, even though our data split
is more difficult.
Models | LP | DeVISE | PrVISE
---|---|---|---
ZSL-S hit@1 | 75.18% | 66.10% | 53.97%
ZSL-S hit@5 | 93.26% | 89.72% | 74.16%
ZSL-S avg.sim@1 | 0.8505 | 0.7857 | 0.7352
ZSL-S avg.sim@5 | 0.5067 | 0.4781 | 0.5091
ZSL-S avg.sim.dis@1 | 21.80 | 54.03 | 37.93
ZSL-S avg.sim.dis@5 | 69.13 | 126.59 | 67.89
Table 2: We test the visual semantic embedding models with full class semantics. This task maps seen image features into a space containing seen and unseen labels. Compared with Table 1, DeVISE and PrVISE can deal with a flexible number of classes. When the number of label classes increases, the embedding performance drops. The PrVISE model “makes better semantic mistakes”. Models | LP | DeVISE | PrVISE
---|---|---|---
ZSL-U hit@1 | N/A | 1.590% | 0.481%
ZSL-U hit@5 | N/A | 6.832% | 2.806%
ZSL-U avg.sim@1 | N/A | 0.3173 | 0.2805
ZSL-U avg.sim@5 | N/A | 0.3062 | 0.2760
ZSL-U avg.sim.dis@1 | N/A | 199.11 | 198.30
ZSL-U avg.sim.dis@5 | N/A | 208.98 | 204.18
Table 3: Comparison of the DeVISE and the PrVISE on the tiered-ImageNet ZSL
split. Note that a linear layer can not predicts unseen classes. PrVISE
performs worse than DeVISE. The results show that the performance with
contrastive learning pre-training reaches the same order of magnitude as the
traditional setting. Both DeVISE and PrVISE do not show the advantages,
although the pre-trained features of unseen images are linearly separable.
### 5.2 Do graph-based models learn hierarchical distributed semantics?
We test the performance of GrVISE and HyVISE to demonstrate how a semantic
graph affects the way a model aligns visual semantics. We discuss GrVISE and
HyVISE respectively.
GrVISE is designed to predict the parameters of a linear image classifier with
the help of GCN. We set a two-layer MLP as a comparison. In previous work
using a GCN based model [37], parameters in the last layer of a pre-trained
classifier are considered to be naturally normalized. However, we notice that
the parameters in a pre-trained linear probe on a freezing encoder are not
normalized. Therefore, we normalize the parameters of the linear probe. Note
that the normalized parameters still work well the original classification
task.
Models | GCN | MLP
---|---|---
embedding hit@1 | 29.67% | 36.77%
ZSL-S hit@1 | 29.63% | 36.70%
ZSL-U hit@1 | 0.832% | 0.0%
Table 4: Results for the GrVISE on our ZSL setting. A two-layer MLP is also
tested. The MLP achieves better parameter prediction for seen classes, while
the graph-based model performs better for zero-shot learning. As the same,
they do not take the advantages of a semantic graph, when image features are
linearly separable.
We observe that an MLP performs better on parameter prediction. Therefore, it
achieves better embedding performance for seen classes. While a GCN performs
better to predict unseen labels, this demonstrates that a GCN does learn a bit
of structural information from a hand-crafted semantic graph.
However, the GrVISE does not organize visual semantic information better than
the DeVISE. We argue that the process of learning a parameter prediction task
can gradually acquire semantic information for unseen classes. We train a
linear probe on both seen and unseen classes. Then we train a GCN and an MLP
respectively to predict the parameters of seen classes. Note that the probe
can achieve $79.10\%$ classification accuracy on unseen classes.
In Figure 4, we show that the GrVISE can not precisely learn unseen
parameters. The dashed lines representing the prediction error for unseen
class parameters are observed stopping decreasing at the early epoch. The
WordNet structure does not narrow the semantic gap between seen classes and
unseen classes.
Figure 4: Illustration how a GCN and an MLP predict parameters of a pre-
trained image classifier. Note that the dashed line belong to unseen classes.
This demonstrates that the GCN achieves better parameter prediction on unseen
image embedding.
Finally, we test the HyVISE, which can been seen as the DeVISE in Riemannian
geometry. We get 13.91% embedding hit@1, 13.84% ZSL-S hit@1 and 0.219% ZSL-U
hiy@1. The results indicate that a Poincaré visual semantic embedding model
does not work well on a complicate ZSL data split, when the split is based on
a sub tree of the WordNet.
In summary, we find that the DeVISE still works robustly on a new ZSL class
split, which needs high-level semantic understanding. Compared with a linear
classifier, DeVISE does not show that it has performed semantic inference
using the distributed semantics. The generative model PrVISE performs slightly
worse than the DeVISE, but it shows the advantage of predicting better
mistakes, which are semantically similar to the ground truth labels. The
graph-based models GrVISE and HyVISE lose their advantages shown the standard
splits. The results demonstrate that recent semantic alignment techniques
could overfit to the original flawed problem, and they also indicate we need a
deeper discussion on the ZSL task in the real world.
## 6 Conclusion
In this work, we investigate the problem of zero-shot learning. We introduce
the tieredImagenet split into ZSL to replace the standard data split. That
makes the evaluation of ZSL does not suffer from problems such as structural
flaws, bad image quality. We build a unified framework for ZSL with
contrastive learning as pre-training. The two-step framework does not leak
semantic information at the early stage, which makes us evaluate semantic
inference fairly. With this framework, we test four mainstream methods for
visual semantic alignment. We demonstrate that current ZSL methods rely deeply
on similarity comparison. No evidence shows that distributed visual
information and distributed semantic information can be combined to implement
semantic analogy and semantic inference across modalities. Therefore, these
ZSL methods can not generalize from complicated structural information.
We believe that rethinking the goals and the definition of ZSL tasks is very
much needed. As Roads and Love [29] pointed out in their work, it is unclear
what mechanism makes two-year-old children exhibit an average vocabulary of
200-300 words. Learning a novel object is still the most challenging task.
During this decade, communities prefer to capture distributed knowledge from
large image dataset and text corpus. However, this method has been shown as an
unrobust approach which can only works on hyponymy and hypernymy. Context
missing may be the main reason. Context information is more helpful than a
distributed system. Currently, vision-language pre-training has shown that it
can effectively learn generic representations for downstream tasks. In
particular, Oscar [21] has shown its success on captioning images with novel
objects. Context surrounding representations seem to outperform in capturing
visual semantics [14]. We believe that it is necessary to build a ZSL task on
contextual language models in the future to replace distributed word embedding
models. The new ZSL task will offer new practical insights on the way how
human learn novel objects.
## References
* [1] Luca Bertinetto, Romain Mueller, Konstantinos Tertikas, Sina Samangooei, and Nicholas A Lord. Making better mistakes: Leveraging class hierarchies with deep networks. In Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, pages 12506–12515, 2020.
* [2] Benjamin Paul Chamberlain, James Clough, and Marc Peter Deisenroth. Neural embeddings of graphs in hyperbolic space. arXiv preprint arXiv:1705.10359, 2017.
* [3] Ting Chen, Simon Kornblith, Mohammad Norouzi, and Geoffrey Hinton. A simple framework for contrastive learning of visual representations. arXiv preprint arXiv:2002.05709, 2020.
* [4] Sumit Chopra, Raia Hadsell, and Yann LeCun. Learning a similarity metric discriminatively, with application to face verification. In 2005 IEEE Computer Society Conference on Computer Vision and Pattern Recognition (CVPR’05), volume 1, pages 539–546. IEEE, 2005.
* [5] J. Deng, W. Dong, R. Socher, L.-J. Li, K. Li, and L. Fei-Fei. ImageNet: A Large-Scale Hierarchical Image Database. In CVPR09, 2009.
* [6] Thomas Deselaers and Vittorio Ferrari. Visual and semantic similarity in imagenet. In CVPR 2011, pages 1777–1784. IEEE, 2011.
* [7] Andrea Frome, Greg S Corrado, Jon Shlens, Samy Bengio, Jeff Dean, Marc’Aurelio Ranzato, and Tomas Mikolov. Devise: A deep visual-semantic embedding model. In Advances in neural information processing systems, pages 2121–2129, 2013.
* [8] Kai Han, Andrea Vedaldi, and Andrew Zisserman. Learning to discover novel visual categories via deep transfer clustering. In International Conference on Computer Vision (ICCV), 2019.
* [9] Zongyan Han, Zhenyong Fu, and Jian Yang. Learning the redundancy-free features for generalized zero-shot object recognition. In Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, pages 12865–12874, 2020.
* [10] Zellig S Harris. Distributional structure. Word, 10(2-3):146–162, 1954.
* [11] Tristan Hascoet, Yasuo Ariki, and Tetsuya Takiguchi. On zero-shot recognition of generic objects. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pages 9553–9561, 2019.
* [12] Kaiming He, Haoqi Fan, Yuxin Wu, Saining Xie, and Ross Girshick. Momentum contrast for unsupervised visual representation learning. In Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, pages 9729–9738, 2020.
* [13] Irina Higgins, Nicolas Sonnerat, Loic Matthey, Arka Pal, Christopher P Burgess, Matko Bosnjak, Murray Shanahan, Matthew Botvinick, Demis Hassabis, and Alexander Lerchner. Scan: Learning hierarchical compositional visual concepts. arXiv preprint arXiv:1707.03389, 2017.
* [14] Gabriel Ilharco, Rowan Zellers, Ali Farhadi, and Hannaneh Hajishirzi. Probing text models for common ground with visual representations. arXiv preprint arXiv:2005.00619, 2020.
* [15] Longlong Jing and Yingli Tian. Self-supervised visual feature learning with deep neural networks: A survey. IEEE Transactions on Pattern Analysis and Machine Intelligence, 2020\.
* [16] Michael Kampffmeyer, Yinbo Chen, Xiaodan Liang, Hao Wang, Yujia Zhang, and Eric P Xing. Rethinking knowledge graph propagation for zero-shot learning. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pages 11487–11496, 2019.
* [17] Diederik P Kingma and Jimmy Ba. Adam: A method for stochastic optimization. arXiv preprint arXiv:1412.6980, 2014.
* [18] Diederik P Kingma and Max Welling. Auto-encoding variational bayes. arXiv preprint arXiv:1312.6114, 2013.
* [19] Thomas N Kipf and Max Welling. Semi-supervised classification with graph convolutional networks. arXiv preprint arXiv:1609.02907, 2016.
* [20] Christoph H Lampert, Hannes Nickisch, and Stefan Harmeling. Learning to detect unseen object classes by between-class attribute transfer. In 2009 IEEE Conference on Computer Vision and Pattern Recognition, pages 951–958. IEEE, 2009.
* [21] Xiujun Li, Xi Yin, Chunyuan Li, Pengchuan Zhang, Xiaowei Hu, Lei Zhang, Lijuan Wang, Houdong Hu, Li Dong, Furu Wei, et al. Oscar: Object-semantics aligned pre-training for vision-language tasks. In European Conference on Computer Vision, pages 121–137. Springer, 2020.
* [22] Shaoteng Liu, Jingjing Chen, Liangming Pan, Chong-Wah Ngo, Tat-Seng Chua, and Yu-Gang Jiang. Hyperbolic visual embedding learning for zero-shot recognition. In Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, pages 9273–9281, 2020.
* [23] Emile Mathieu, Charline Le Lan, Chris J Maddison, Ryota Tomioka, and Yee Whye Teh. Continuous hierarchical representations with poincaré variational auto-encoders. In Advances in neural information processing systems, pages 12565–12576, 2019.
* [24] Tomas Mikolov, Ilya Sutskever, Kai Chen, Greg S Corrado, and Jeff Dean. Distributed representations of words and phrases and their compositionality. In Advances in neural information processing systems, pages 3111–3119, 2013.
* [25] George A Miller. Wordnet: a lexical database for english. Communications of the ACM, 38(11):39–41, 1995.
* [26] Aaron van den Oord, Yazhe Li, and Oriol Vinyals. Representation learning with contrastive predictive coding. arXiv preprint arXiv:1807.03748, 2018.
* [27] Jeffrey Pennington, Richard Socher, and Christopher D Manning. Glove: Global vectors for word representation. In Proceedings of the 2014 conference on empirical methods in natural language processing (EMNLP), pages 1532–1543, 2014.
* [28] Mengye Ren, Eleni Triantafillou, Sachin Ravi, Jake Snell, Kevin Swersky, Joshua B Tenenbaum, Hugo Larochelle, and Richard S Zemel. Meta-learning for semi-supervised few-shot classification. arXiv preprint arXiv:1803.00676, 2018.
* [29] Brett D Roads and Bradley C Love. Learning as the unsupervised alignment of conceptual systems. Nature Machine Intelligence, 2(1):76–82, 2020.
* [30] Olga Russakovsky, Jia Deng, Hao Su, Jonathan Krause, Sanjeev Satheesh, Sean Ma, Zhiheng Huang, Andrej Karpathy, Aditya Khosla, Michael Bernstein, et al. Imagenet large scale visual recognition challenge. International journal of computer vision, 115(3):211–252, 2015\.
* [31] Edgar Schonfeld, Sayna Ebrahimi, Samarth Sinha, Trevor Darrell, and Zeynep Akata. Generalized zero-and few-shot learning via aligned variational autoencoders. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pages 8247–8255, 2019.
* [32] Richard Socher, Milind Ganjoo, Christopher D Manning, and Andrew Ng. Zero-shot learning through cross-modal transfer. In Advances in neural information processing systems, pages 935–943, 2013.
* [33] Flood Sung, Yongxin Yang, Li Zhang, Tao Xiang, Philip HS Torr, and Timothy M Hospedales. Learning to compare: Relation network for few-shot learning. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pages 1199–1208, 2018.
* [34] Tristan Sylvain, Linda Petrini, and Devon Hjelm. Locality and compositionality in zero-shot learning. arXiv preprint arXiv:1912.12179, 2019.
* [35] Yonglong Tian, Dilip Krishnan, and Phillip Isola. Contrastive multiview coding. arXiv preprint arXiv:1906.05849, 2019.
* [36] Yonglong Tian, Chen Sun, Ben Poole, Dilip Krishnan, Cordelia Schmid, and Phillip Isola. What makes for good views for contrastive learning. arXiv preprint arXiv:2005.10243, 2020.
* [37] Xiaolong Wang, Yufei Ye, and Abhinav Gupta. Zero-shot recognition via semantic embeddings and knowledge graphs. In Proceedings of the IEEE conference on computer vision and pattern recognition, pages 6857–6866, 2018.
* [38] Yongqin Xian, Bernt Schiele, and Zeynep Akata. Zero-shot learning-the good, the bad and the ugly. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pages 4582–4591, 2017.
| arxiv-papers | 2021-07-26T06:55:11 | 2024-09-04T03:07:17.832392 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yue Jiao, Jonathon Hare, Adam Pr\\\"ugel-Bennett",
"submitter": "Yue Jiao",
"url": "https://arxiv.org/abs/2107.11991"
} |
2107.11992 | # HRegNet: A Hierarchical Network for
Large-scale Outdoor LiDAR Point Cloud Registration
Fan Lu1, Guang Chen1,, Yinlong Liu2, Lijun Zhang1, Sanqing Qu1, Shu Liu3,
Rongqi Gu4
1Tongji University, 2Technische Universität München, 3ETH Zurich, 4Westwell
lab
{lufan,guangchen,tjedu_zhanglijun,2011444}@tongji.edu.cn
[email protected], [email protected], [email protected] Corresponding
author: [email protected]
###### Abstract
Point cloud registration is a fundamental problem in 3D computer vision.
Outdoor LiDAR point clouds are typically large-scale and complexly
distributed, which makes the registration challenging. In this paper, we
propose an efficient hierarchical network named HRegNet for large-scale
outdoor LiDAR point cloud registration. Instead of using all points in the
point clouds, HRegNet performs registration on hierarchically extracted
keypoints and descriptors. The overall framework combines the reliable
features in deeper layer and the precise position information in shallower
layers to achieve robust and precise registration. We present a correspondence
network to generate correct and accurate keypoints correspondences. Moreover,
bilateral consensus and neighborhood consensus are introduced for keypoints
matching and novel similarity features are designed to incorporate them into
the correspondence network, which significantly improves the registration
performance. Besides, the whole network is also highly efficient since only a
small number of keypoints are used for registration. Extensive experiments are
conducted on two large-scale outdoor LiDAR point cloud datasets to demonstrate
the high accuracy and efficiency of the proposed HRegNet. The project website
is https://ispc-group.github.io/hregnet.
## 1 Introduction
Point cloud registration aims to estimate the optimal rigid transformation
between two point clouds, which is a fundamental problem in 3D computer vision
and plays an important role in many applications such as robotics [27] and
autonomous driving [24].
Iterative Closest Point (ICP) [3] is the best-known method to solve point
cloud registration problem. However, ICP highly relies on the initial guesses
of the transformation for iteration and can easily get stuck into local
minimum due to the non-convexity of the problem. Several variants of ICP [31,
23, 37] have been proposed to achieve global optimal estimation, however, are
typically time-consuming for large-scale point clouds.
Figure 1: Network architecture of the proposed HRegNet. The point clouds $P$
are hierarchically downsampled to small sets of keypoints $X$ and descriptors
$D$. We perform coarse registration in the bottom layer to leverage the
reliable features for keypoints matching and fine registration is followed to
refine the transformation by exploiting the precise position information in
upper layers.
Recently, deep learning has achieved great success in numerous 3D computer
vision tasks such as 3D object detection and semantic segmentation [13]. There
also emerge a number of deep learning-based methods for point cloud
registration. However, existing methods are mostly designed for object-level
point clouds [35, 1, 36, 39, 19] or indoor point clouds [5, 14, 25, 11].
Compared to object-level or indoor point clouds, outdoor LiDAR point clouds
typically have higher sparsity, larger spatial range and a more complex and
variable distribution, which makes the registration intractable. Consequently,
existing learning-based methods are either unreliable or time-consuming to be
applied to outdoor LiDAR point cloud registration.
In this paper, we aim to provide an accurate, reliable and efficient network
for large-scale outdoor LiDAR point cloud registration. Inspired by the
success of learning-based 3D features on LiDAR point cloud registration [18,
2, 20, 38, 7], we propose a hierarchical keypoint-based point cloud
registration network named HRegNet. The overall structure is displayed in Fig.
1. We hierarchically downsample the point clouds to multiple small sets of
keypoints and descriptors for registration. Intuitively, as the layer goes
deeper, the information of a single keypoint increases, which makes the
descriptors more reliable for keypoints matching, however, the increasing
sparsity of keypoints may also cause larger position error of corresponding
keypoints. Based on the above consideration, the network starts with coarse
registration in the bottom layer by globally matching keypoints in descriptor
space to leverage the reliable features. Then the coarse transformation is
refined by fine registration in upper layers based on local matching in
spatial neighborhoods, which exploits the precise position information in
shallower layers. Besides, since only a small number of keypoints are used for
registration, the network has high efficiency and can be applied in
applications requiring real-time performance, such as autonomous driving.
Although the keypoints in the bottom layer have reliable features, possible
error of descriptors may lead to a considerable number of mismatches. To
improve the robustness and accuracy of registration, we present a learning-
based correspondence network to generate corresponding keypoints and reject
unreliable correspondences. Here we introduce two important concepts for
keypoints matching, namely bilateral consensus and neighborhood consensus.
Bilateral consensus, as illustrated in Fig. 2(a), means that a pair of
corresponding keypoints should be the nearest neighbor in descriptor space of
each other from both sides. As shown in Fig. 2(b), neighborhood consensus
indicates that the neighboring keypoints of two corresponding keypoints should
also have high similarity. Notably, bilateral consensus and neighborhood
consensus have been successfully applied in many cases (_e.g._ , estimate
image dense correspondences [30]). To effectively incorporate them into the
learning-based registration pipeline, we design novel similarity features
based on bi-directional similarity of descriptors and an attention-based
neighbor encoding module, which significantly improves the registration
performance.
(a) Bilateral consensus.
(b) Neighborhood consensus.
Figure 2: (a) Bilateral consensus: Two corresponding keypoints should be the
nearest neighbor in descriptor space of each other. (b) Neighborhood
consensus: Spatial neighborhoods of two corresponding keypoints should also be
similar.
To evaluate the proposed HRegNet, extensive experiments are performed on two
large-scale outdoor LiDAR point cloud datasets, namely KITTI odometry dataset
[10] and NuScenes dataset [4]. The results demonstrate that the proposed
method significantly outperforms existing methods in terms of both accuracy
and efficiency.
In summarize, our main contributions are as follows:
* •
We propose a novel point cloud registration network named HRegNet, which
achieves state-of-the-art performance with high computational efficiency.
* •
The hierarchical paradigm well combines the strengths of keypoints and
descriptors in shallower and deeper layers to achieve precise and robust
registration.
* •
We design novel similarity features, which effectively incorporate bilateral
consensus and neighborhood consensus into the registration pipeline and
significantly improve the registration performance.
## 2 Related works
We briefly review the related works in two aspects: classical and learning-
based point cloud registration methods.
##### Classical point cloud registration:
Iterative closest point (ICP) [3] is the best-known algorithm for point cloud
registration, which iteratively finds the closest point and updates the
transformation by solving a least square problem. However, ICP is a local
registration algorithm and can easily get stuck into local minimum. Several
variants [31, 23, 37] aim to break the limitation of ICP. Go-ICP [37] uses a
Branch-and-Bound (BnB) algorithm to search a global optimal solution. Several
methods also try to extract features from point clouds for registration [9,
32, 33, 34, 15]. For example, Fast Point Feature Histogram (FPFH) [32] builds
an oriented histogram using pairwise geometric properties. A comprehensive
review of handcrafted features in 3D point clouds can be found in [12]. After
feature extraction, RANdom SAmple Consensus (RANSAC) [8] is commonly used for
robust feature matching by randomly sampling small subsets of correspondences
and then finding optimal correspondences for registration.
##### Learning-based point cloud registration:
PointNetLK is a pioneering work of learning-based point cloud registration
[1]. It performs registration by combines PointNet [28] and Lucas & Kanade
algorithm [22] into a single trainable recurrent deep neural network. Deep
Closest Point (DCP) [35] is a well-known learning-based point cloud
registration network. It uses a transformer network to predict soft matching
between point clouds and provides a differentiable Singular Value
Decomposition (SVD) layer to calculate transformation. IDAM [19] utilizes an
iterative distance-aware similarity matrix convolution module for pairwise
points matching. However, the above methods are basically designed for object-
level point clouds and not applicable to complex large-scale LiDAR point
clouds.
Recently, there emerge several learning-based methods for indoor point cloud
registration. 3DRegNet [25] proposes to use deep network to directly regress
the transformation. Feature-metric registration [14] aims to solve the
registration problem from a different perspective. It performs registration by
minimizing a feature-metric projection error without correspondences rather
than minimizing commonly used geometric error. Gojcic _et al_. mainly focus on
the registration of multiview 3D point clouds [11]. Deep Global Registration
(DGR) [5] proposes to use a learning-based feature named Fully Convolutional
Geometric Features (FCGF) [7] to perform registration. A 6D convolutional
network [6] is adopted to predict a likelihood for each correspondence. DGR
achieves state-of-the-art performance in indoor point cloud registration.
DeepVCP [21] is a method designed for LiDAR point cloud registration. It
proposes to use virtual points to construct correspondences. However, the
keypoints matching in DeepVCP is performed only in local 3D coordinate space,
which makes the method can be basically applied to local registration problem.
## 3 Methodology
Given source and target point clouds
$P^{\mathcal{S}},P^{\mathcal{T}}\in\mathbb{R}^{N\times 3}$, HRegNet aims to
predict the optimal rotation matrix $\hat{\mathbf{R}}$ and translation vector
$\hat{\mathbf{t}}$ from source to target point clouds in a coarse-to-fine
manner. As shown in Fig. 1, here we adopt a 3-layer implementation. Given a
point cloud $P$, we utilize 3 cascaded feature extraction modules to
hierarchically downsample the point clouds to multiple small sets of keypoints
$X_{l}\in\mathbb{R}^{M_{l}\times 3}$, descriptors
$D_{l}\in\mathbb{R}^{M_{l}\times C_{l}}$ and also saliency uncertainties
$\Sigma_{l}\in\mathbb{R}^{M_{l}}$, where $l=\\{1,2,3\\}$ represents the layer
number, $M_{l}$ is the number of keypoints and $C_{l}$ is the channel of
descriptors. To exploit reliable features of keypoints in the bottom layer,
coarse registration is performed by globally matching keypoints in descriptor
space to estimate a coarse transformation $\mathbf{R}_{3},\mathbf{t}_{3}$,
which is further applied to transform the keypoints in upper layer. After
that, we adopt fine registration in layer $l=2$ to refine the coarse
transformation. We assume that the coarse transformation can basically align
the point clouds, thus, keypoints matching in fine registration is performed
locally in spatial neighborhoods. Finally, another fine registration is
applied in the top layer to obtain the final estimation
$\hat{\mathbf{R}},\hat{\mathbf{t}}$.
### 3.1 Feature extraction
The input of each feature extraction module is the keypoints (or the original
point cloud), saliency uncertainties, descriptors and also features of
keypoints in previous layer. We firstly adopt Weighted Farthest Point Sampling
(WFPS) [42, 29] to select a set of candidate keypoints. After that,
$k$-nearest-neighbor ($k$NN) search is performed to construct clusters
centered on the candidate keypoints and a Shared Multi-layer Perceptron
(Shared-MLP) [28] is followed to refine the location of candidate keypoints by
predicting attentive weight for each neighboring point in the cluster.
Saliency uncertainty is also predicted by applying another Shared-MLP to the
cluster. Besides, a descriptor network is designed to extract descriptor from
the cluster for each keypoint. Since the feature extraction module is not the
main focus of this paper, the detailed network structure is provided in our
supplementary material.
### 3.2 Coarse registration
After the keypoints and descriptors are extracted by the feature extraction
module, the key problem then is how to find correct correspondences between
source and target keypoints. The most commonly used method to match two sets
of keypoints is nearest neighbor (NN) search in descriptor space. Although the
descriptors in the bottom layer are relatively more reliable, they are not
perfect. Thus, the simple NN search-based approach may result in a
considerable number of mismatches due to possible errors of descriptors, which
will cause a large registration error. To address the above problem, in this
paper, we adopt a learning-based correspondence network to match two sets of
keypoints in the bottom layer $l=3$ to perform coarse registration.
#### 3.2.1 Correspondence network
To simplify the formulation, the subscripts $l$ indicating layer number are
omitted in this section and we denote the source and target keypoints and
descriptors as $X^{\mathcal{S}},X^{\mathcal{T}}\in\mathbb{R}^{M\times 3}$ and
$D^{\mathcal{S}},D^{\mathcal{T}}\in\mathbb{R}^{M\times C}$, respectively. As
shown in Fig. 3, for a source keypoint $x^{\mathcal{S}}$, we firstly perform
$k$-nearest-neighbor ($k$NN) search in descriptor space to find $K$ candidate
corresponding keypoints in $X^{\mathcal{T}}$. The $K$ neighboring candidate
keypoints $\\{x_{1}^{\mathcal{T}},\cdots,x_{K}^{\mathcal{T}}\\}$ and the
center keypoint $x^{\mathcal{S}}$ form a cluster. The features of the cluster
consist of three parts: geometric features $F_{G}$, descriptor features
$F_{D}$ and similarity features $F_{S}$. $F_{G}$ is the concatenation of
coordinates of the center and neighboring keypoints. Besides, the relative
coordinates and distances between neighboring and center keypoints are
calculated as additional geometric features. $F_{D}$ consists of the
descriptors of center and neighboring keypoints. In addition, the saliency
uncertainties of keypoints are also included in $F_{D}$. $F_{S}$ is introduced
to incorporate bilateral consensus and neighborhood consensus and will be
described in detail in Section 3.2.2 below.
Figure 3: Architecture of correspondence network in coarse registration. The
input is the $k$NN cluster of a source keypoint $x^{\mathcal{S}}$ and the
features consist of geometric features $F_{G}$, descriptor features $F_{D}$
and similarity features $F_{S}$. The output is the corresponding keypoint
$\tilde{x}^{\mathcal{T}}$ and the confidence score $c$.
The cluster features are firstly passed into a 3-layer Shared-MLP to generate
a feature map $\tilde{F}=\\{f_{1},\cdots,f_{K}\\}$. A max-pool layer and a
Softmax function are further applied to predict an attentive weight
$w_{k}^{\mathcal{T}}$ for each candidate keypoint $x_{k}^{\mathcal{T}}$. The
estimated corresponding keypoint of $x^{\mathcal{S}}$ can be represented as
the weighted sum of the candidate keypoints. Besides, an attentive feature
$\bar{F}$ of the cluster is calculated as the weighted sum of $\tilde{F}$.
$\bar{F}$ is further fed into a MLP with a Sigmoid function to predict a
confidence score $c$ for this correspondence. Then the confidence score is
normalized by $\tilde{c}_{i}=c_{i}/\sum_{j=1}^{M}c_{j}$. As we claimed before,
using simple NN search can cause a considerable number of mismatches due to
the error of descriptors. Intuitively, the proposed attention-based
formulation aims to implicitly assign higher weights to the correct candidate
corresponding keypoints. The learning-based paradigm incorporates the
geometric features, descriptors and also bilateral consensus and neighborhood
consensus to generate accurate correspondences and reject unreliable
correspondences using the predicted confidence score $\tilde{c}$. Given the
corresponding keypoints and confidence scores, the optimal transformation
$\mathbf{R}^{*},\mathbf{t}^{*}$ can be calculated as
$\mathbf{R}^{*},\mathbf{t}^{*}=\mathop{\arg\min}_{\mathbf{R},\mathbf{t}}\sum_{i}^{M}\tilde{c}_{i}\left\|\mathbf{R}x_{i}^{\mathcal{S}}+\mathbf{t}-\tilde{x}_{i}^{\mathcal{T}}\right\|_{2}$
(1)
where $x_{i}^{\mathcal{S}}$ and $\tilde{x}_{i}^{\mathcal{T}}$ are
corresponding keypoints, $\tilde{c}_{i}$ is confidence score and
$\left\|\cdot\right\|_{2}$ denotes $L_{2}$ norm. Eq. 1 can be closed-form
solved using weighted Kabsch algorithm [16], which has also been derived in
detail in [11].
#### 3.2.2 Similarity features
Bilateral consensus: Based on the $k$NN search, we can only ensure that the
searched $K$ candidate keypoints in $X^{\mathcal{T}}$ are most similar with
the keypoint $x^{\mathcal{S}}$. However, this single directional operation can
not guarantee the reverse best similarity of the matching. Intuitively, a
correct correspondence should satisfy bilateral consensus, which means that if
$x_{j}^{\mathcal{T}}$ is the nearest neighbor (in descriptor space) in
$X^{\mathcal{T}}$ of $x_{i}^{\mathcal{S}}$, then $x_{i}^{\mathcal{S}}$ should
also be the nearest neighbor in $X^{\mathcal{S}}$ of $x_{j}^{\mathcal{T}}$.
Figure 4: Illustration of similarity matrix. Given source and target
keypoints, we calculate the cosine similarity of the descriptors to form
$S\in\mathbb{R}^{M\times M}$. The neighbor encoding module is adopted to
gather neighborhood information and the similarity matrix $S_{N}$ is
calculated based on the neighbor-aware descriptors $D_{N}^{\mathcal{S}}$,
$D_{N}^{\mathcal{T}}$.
Based on the above consideration, we introduce novel similarity features to
take bilateral consensus into consideration. As shown in the top row of Fig.
4, for each keypoint $x_{i}^{\mathcal{S}}$, we calculate the cosine similarity
of the descriptor $d_{i}^{\mathcal{S}}$ with descriptors of all keypoints in
$X^{\mathcal{T}}$. Consequently, we can obtain a $M\times M$ similarity matrix
and an entry $s_{ij}$ of the similarity matrix $S\in\mathbb{R}^{M\times M}$
can be calculated as
$s_{ij}=\frac{\langle
d_{i}^{\mathcal{S}},d_{j}^{\mathcal{T}}\rangle}{\left\|d_{i}^{\mathcal{S}}\right\|_{2}\left\|d_{j}^{\mathcal{T}}\right\|_{2}}$
(2)
where $\langle\cdot,\cdot\rangle$ and $\left\|\cdot\right\|_{2}$ denote inner
product and $L_{2}$ norm.
After that, we normalize the similarity matrix in two directions to generate
two different similarity matrixes $S^{f}$ (forward matrix) and $S^{b}$
(backward matrix) as
$s_{ij}^{f}=\frac{s_{ij}}{\max_{m}s_{im}},\quad
s_{ij}^{b}=\frac{s_{ij}}{\max_{m}s_{mj}}$ (3)
Then, the similarity features of the cluster are the concatenation of
corresponding similarity scores of the candidate keypoints with the center
keypoint in forward and backward similarity matrix $S^{f}$ and $S^{b}$. Take a
pair of candidate corresponding keypoints
$\\{x_{i}^{\mathcal{S}},x_{j}^{\mathcal{T}}\\}$ as an example, then the
similarity features of this correspondence can be represented as
$[s_{ij}^{f},s_{ij}^{b}]$. The similarity features implicitly model bilateral
consensus. If $x_{j}^{\mathcal{T}}$ is the most similar keypoint of
$x_{i}^{\mathcal{S}}$ among all keypoints in $X^{\mathcal{T}}$, then
$s_{ij}^{f}=1$. Then, if $x_{i}^{\mathcal{S}}$ is also the most similar
keypoint in $X^{\mathcal{S}}$ of $x_{j}^{\mathcal{T}}$, $s_{ij}^{b}$ will also
be equal to $1$, otherwise $s_{ij}^{b}<1$ because the best similarity score
will not fall in $s_{ij}$ in this case. Thus, $s_{ij}^{f}$ and $s_{ij}^{b}$
will both be equal to $1$ only if the correspondence between
$x_{i}^{\mathcal{S}}$ and $x_{j}^{\mathcal{T}}$ satisfies bilateral consensus.
Neighborhood consensus: In addition to bilateral consensus, neighborhood
consensus is also important for good correspondence, which means that the
neighboring keypoints of two corresponding keypoints should have similar
features. To exploit neighborhood consensus, we propose an attention-based
neighbor encoding module to gather the information of neighboring keypoints to
generate neighbor-aware descriptors. Take a keypoint $x^{\mathcal{S}}$ in
$X^{\mathcal{S}}$ as an example, we firstly perform $k$NN spatially to search
$K$ neighboring keypoints in $X^{\mathcal{S}}$ to form a cluster. The features
of the cluster consist of the descriptors of neighboring keypoints, relative
coordinates and relative distances from neighboring to center keypoints. The
cluster features are input into a Shared-MLP to generate a feature map. After
that, a max-pool layer and a Softmax function are followed to predict
attentive weights for each neighboring keypoint. The neighbor-aware descriptor
$d_{N}^{\mathcal{S}}$ of $x^{\mathcal{S}}$ can be calculated as the weighted
sum of the neighboring descriptors. Thus, the similarity of neighbor-aware
descriptors can encode the similarity of neighboring keypoints. As shown in
the bottom row of Fig. 4, using the neighbor-aware descriptors
$D_{N}^{\mathcal{S}}$ and $D_{N}^{\mathcal{T}}$, we generate a neighbor-aware
similarity matrix $S_{N}\in\mathbb{R}^{M\times M}$ through the similar method
described before.
Finally, the similarity features $F_{S}$ consist of two parts, namely
$F_{S}^{O}$ and $F_{S}^{N}$, where $F_{S}^{O}$ denotes the similarity features
from original similarity matrix $S$ and $F_{S}^{N}$ denotes that from the
neighbor-aware similarity matrix $S_{N}$. Consequently, the introduction of
similarity features $F_{S}$ is able to simultaneously incorporate bilateral
consensus and neighborhood consensus into the registration pipeline
implicitly.
### 3.3 Fine registration
After applying coarse registration in layer $l=3$, we obtain the coarse
transformation $\mathbf{R}_{3},\mathbf{t}_{3}$. Fine registration is applied
in upper layers to reduce the registration error caused by the sparsity of the
keypoints in deeper layers.
Take the middle layer $l=2$ as an example, we firstly transform the source
keypoints using the coarse transformation $\mathbf{R}_{3},\mathbf{t}_{3}$. We
assume that the coarse registration can provide a correct but not accurate
enough estimation. Thus, the corresponding target keypoint
$\tilde{x}^{\mathcal{T}}$ of a source keypoint $x^{\mathcal{S}}$ should be
spatially close to $x^{\mathcal{S}}$ after the coarse transformation. Based on
the above assumption, for a source keypoint $x^{\mathcal{S}}$, we perform
$k$NN search locally in its spatial neighborhoods rather than in descriptor
space to find $K$ candidate corresponding keypoints to construct a cluster.
Different from coarse registration, the features of cluster in fine
registration only include geometric features $F_{G}$ and descriptor features
$F_{D}$. The similarity features are dropped here due to the computational
complexity for a larger number of keypoints in upper layers. We then apply a
similar correspondence network on the cluster to generate keypoints
correspondences and confidence scores. Weighted Kabsch algorithm is followed
to calculate the transformation $\Delta\mathbf{R}_{2},\Delta\mathbf{t}_{2}$.
Then the transformation $\mathbf{R}_{2},\mathbf{t}_{2}$ after the fine
registration in layer $l=2$ can be calculated as
$\mathbf{R}_{2}=\Delta\mathbf{R}_{2}\mathbf{R}_{3},\mathbf{t}_{2}=\Delta\mathbf{R}_{2}\mathbf{t}_{3}+\Delta\mathbf{t}_{2}$.
Similarly, another fine registration is applied in the top layer $l=1$ based
on the coarse transformation $\mathbf{R}_{2},\mathbf{t}_{2}$ to get the final
registration result $\hat{\mathbf{R}},\hat{\mathbf{t}}$.
To summarize, the hierarchical structure leverages robust features in bottom
layer and accurate position information in upper layers to achieve reliable
and precise registration.
### 3.4 Loss function
The loss function $\mathcal{L}=\mathcal{L}_{trans}+\alpha\mathcal{L}_{rot}$,
where $\mathcal{L}_{trans}$ and $\mathcal{L}_{rot}$ are translation and
rotation loss, respectively. Given estimated and ground truth transformation
$\hat{\mathbf{R}},\hat{\mathbf{t}}$ and $\mathbf{R},\mathbf{t}$,
$\mathcal{L}_{trans}$ and $\mathcal{L}_{rot}$ can be calculated as
$\displaystyle\mathcal{L}_{trans}$
$\displaystyle=\left\|\mathbf{t}-\hat{\mathbf{t}}\right\|_{2}$ (4)
$\displaystyle\mathcal{L}_{rot}$
$\displaystyle=\left\|\hat{\mathbf{R}}^{T}\mathbf{R}-\mathbf{I}\right\|_{2}$
(5)
where $\mathbf{I}$ denotes identity matrix.
## 4 Experiments
### 4.1 Experiment settings
Figure 5: Qualitative visualization of the proposed point cloud registration
method. We display 3 samples of point cloud registration here. The first row
displays the correspondences between source and target keypoints in coarse
registration with confidence score $\tilde{c}>0.005$ and the second row
displays the correspondences with confidence score $\tilde{c}>0.0005$. The
green lines and red lines represent inlier and outlier correspondences,
respectively. The bottom row shows the aligned two point clouds and we zoom in
an area for better visualization.
Datasets: We perform extensive experiments on two large-scale outdoor LiDAR
point cloud datasets, namely KITTI odometry dataset [10] and NuScenes dataset
[4]. KITTI dataset consists of 11 sequences (00 to 10) with ground truth
vehicle poses and we use Sequence 00 to 05 for training, 06 to 07 for
validation and 08 to 10 for testing. We use the current frame with the 10th
frame after that to form a pair of point clouds. To reduce the noise of ground
truth vehicle poses, we perform Iterative Closest Point (ICP) algorithm in
Open3D library [41] to refine the noisy relative transformation between two
point clouds. NuScenes dataset includes 1000 scenes, among which 850 scenes
are used for training and validation and 150 scenes for testing. We use the
first 700 scenes in the 850 scenes to train the network and the other 150
scenes for validation. NuScenes dataset only provides the ground truth poses
of the given samples and the time interval between two consecutive point cloud
samples is about 0.5s. We use the current point cloud sample with the second
sample after it as a pair of point clouds.
Implementation details: In the pre-processing, we firstly voxelize the input
point clouds and the voxel size is set to 0.3m. After that, we randomly sample
16384 points from the point clouds in KITTI dataset and 8192 points in
NuScenes dataset. The network is implemented using PyTorch [26] and we use
Adam [17] as the optimizer. The learning rate is initially set to 0.001 and
decreases by 50% every 10 epochs. The hyperparameter $\alpha$ in the loss
function $\mathcal{L}$ is set to 1.8 for KITTI dataset and 2.0 for NuScenes
dataset. When training the network, we firstly pre-train the feature
extraction module and then train the whole network based on the pre-trained
features. The whole network is trained on an NVIDIA RTX 3090 GPU. The details
of pre-training and the network architecture are described in the
supplementary material.
Baseline methods: We compare the performance of the proposed HRegNet with both
classical and learning-based methods. All of the methods are tested on an
Intel i9-10920X CPU and an NVIDIA RTX 3090 GPU.
Classical methods: We evaluate the performance of point to point ICP (ICP
(P2Point)), point to plane ICP (ICP (P2Plane)) [3], RANSAC [8], and Fast
Global Registration (FGR) [40]. All of the classical methods are implemented
using Open3D library [41]. For RANSAC and FGR, we extract Fast Point Feature
Histograms (FPFH) [32] from 0.3m-voxel-downsampled point clouds. The maximum
iteration number of RANSAC is set to 2e6111We have tried more iterations,
however, the accuracy will not be obviously improved while the computational
time will increase significantly..
Learning-based methods: We choose 4 representative learning-based methods to
compare with the proposed HRegNet222We also try to compare our method with
DeepVCP[21], however, the source code has not been released by the author and
the self-implemented version does not provide reasonable results.. (1) Deep
Closest Point (DCP) [35]: DCP is a pioneering work for learning-based point
cloud registration. For the pre-processing of point clouds, 4096 points are
randomly sampled from 0.3m-voxel-downsampled point clouds for both datasets.
(2) IDAM [19]: IDAM is one of the state-of-the-art object-level point cloud
registration methods. The pre-processing is the same as that for DCP. (3)
Feature-metric Registration (FMR) [14]: FMR has been evaluated for both
object-level and indoor point cloud registration. The pre-processing of point
clouds is the same as that in our methods. (4) Deep Global Registration (DGR)
[5]: DGR achieves state-of-the-art performance in indoor point cloud
registration. The point clouds are voxelized with 0.3m voxel size. All the
learning-based baseline methods are retrained on both datasets for better
performance.
### 4.2 Evaluation
(a) KITTI dataset
(b) NuScenes dataset
Figure 6: Registration recall with different RRE and RTE thresholds on KITTI
dataset and NuScenes dataset.
##### Qualitative visualization:
We display several qualitative samples of point cloud registration in Fig. 5.
Corresponding keypoints in coarse registration with confidence scores
$\tilde{c}>0.005$ and $\tilde{c}>0.0005$ are displayed in the first and second
row respectively. Two corresponding keypoints are considered as an inlier if
the relative position error (after applying the ground truth relative
transformation) less than a distance threshold $\epsilon_{d}=1$m. The green
and red lines represent inlier and outlier correspondences, respectively.
According to the results, the correspondences with larger confidence score
($\tilde{c}>0.005$) are basically all inliers and several mismatches start to
appear when reducing the threshold of $\tilde{c}$ to 0.0005. The qualitative
results show that the correspondence network can generate accurate and correct
correspondence of keypoints and the predicted confidence score can effectively
reject unreliable correspondences. The third row of Fig. 5 displays the two
aligned point clouds, which demonstrates that the network can precisely
predict the transformation. More qualitative results are displayed in our
supplementary material.
Table 1: Registration performance on KITTI dataset and NuScenes dataset. Methods | KITTI dataset | NuScenes dataset
---|---|---
RTE (m) | RRE (deg) | Recall | Time (ms) | RTE (m) | RRE (deg) | Recall | Time (ms)
ICP (P2Point) [3] | $0.04\pm 0.05$ | $0.11\pm 0.09$ | 14.3% | 472.2 | $0.25\pm 0.51$ | $0.25\pm 0.50$ | 18.8% | 82.0
ICP (P2Plane) [3] | $0.04\pm 0.04$ | $0.14\pm 0.15$ | 33.5% | 461.7 | $0.15\pm 0.30$ | $0.21\pm 0.31$ | 36.8% | 44.5
FGR [40] | $0.93\pm 0.59$ | $0.96\pm 0.81$ | 39.4% | 506.1 | $0.71\pm 0.62$ | $1.01\pm 0.92$ | 32.2% | 284.6
RANSAC [8] | $0.13\pm 0.07$ | $0.54\pm 0.40$ | 91.9% | 549.6 | $0.21\pm 0.19$ | $0.74\pm 0.70$ | 60.9% | 268.2
DCP [35] | $1.03\pm 0.51$ | $2.07\pm 1.19$ | 47.3% | 46.4 | $1.09\pm 0.49$ | $2.07\pm 1.14$ | 58.6% | 45.5
IDAM [19] | $0.66\pm 0.48$ | $1.06\pm 0.94$ | 70.9% | 33.4 | $0.47\pm 0.41$ | $0.79\pm 0.78$ | 88.0% | 32.6
FMR [14] | $0.66\pm 0.42$ | $1.49\pm 0.85$ | 90.6% | 85.5 | $0.60\pm 0.39$ | $1.61\pm 0.97$ | 92.1% | 61.1
DGR [5] | $0.32\pm 0.32$ | $0.37\pm 0.30$ | 98.7% | 1496.6 | $0.21\pm 0.18$ | $0.48\pm 0.43$ | 98.4% | 523.0
HRegNet | $\mathbf{0.12\pm 0.13}$ | $\mathbf{0.29\pm 0.25}$ | 99.7% | 106.2 | $\mathbf{0.18\pm 0.14}$ | $\mathbf{0.45\pm 0.30}$ | 99.9% | 87.3
##### Quantitative evaluation:
We adopt relative translation error (RTE) and relative rotation error (RRE) to
evaluate the registration performance. RTE can be calculated as Eq. 4 and RRE
can be represented as ${\rm arccos}({{\rm
Tr}(\hat{\mathbf{R}}^{T}\mathbf{R}-1)}/{2})$, where $\hat{\mathbf{R}}$ and
$\mathbf{R}$ are the estimated and ground truth rotation matrix. Registration
recall is defined as the ratio of successful registration. A registration is
considered as successful when the RTE and RRE are within the thresholds
$\epsilon_{trans}$ and $\epsilon_{rot}$. We display the registration recall
with different RTE and RRE thresholds on two datasets in Fig. 6. According to
the results, the proposed HRegNet outperforms all baseline methods by an
obvious margin on both two datasets. Besides, for a more detailed comparison
of the registration performance, we calculate the average RRE and RTE and
display the results in Table 1. Noting that a part of failed registrations can
result in dramatically large RRE and RTE, which can cause unreliable error
metrics. Thus, the average RTE and RRE are only calculated for successful
registrations and the thresholds are set as $\epsilon_{trans}=2$m and
$\epsilon_{rot}=5$deg. The registration recall at the given threshold is also
displayed in Table 1.
According to the results, ICP algorithms (for both ICP (P2Point) and ICP
(P2Plane)) fail to generate reasonable relative transformation in most cases
due to the lack of precise initial transformation between two point clouds.
FGR performs slightly better than ICP, however, the registration recall is
still below 50%, which is unacceptable in applications. RANSAC achieves the
best performance among the classical methods thanks to the powerful outlier
rejection mechanism, however, the iterative paradigm can also result in poor
efficiency. The average RTE of RANSAC is similar to ours method, however, it
is due to a number of mismatches are omitted in the calculation and the
registration recall of RANSAC is obviously lower than the proposed method
according to Fig. 6. Moreover, the runtime of our method is almost 1/5 of
RANSAC on KITTI dataset.
As for the learning-based methods, the recall of DCP on KITTI and NuScenes
dataset are both less than 60% and the average RTE and RRE are also quite
large. IDAM performs better than DCP, however, the recall is still only about
70% on KITTI dataset and the RTE and RRE are much higher than the proposed
method, which indicates the poor applicability of the object-level point cloud
registration methods to complex large-scale LiDAR point clouds. FMR achieves a
slightly faster speed than our method, however, the registration error is much
higher than ours. For example, the RTE of FMR on KITTI dataset is more than 5
times of our method. DGR achieves the best registration performance among all
the learning-based baseline methods. However, the 6D convolutional network-
based outlier rejection method is time-consuming and the voxel-based
representation of point clouds limits the precision of the registration. The
RTE of our method is almost 1/3 of that of DGR on KITTI dataset. Moreover, our
method achieves almost $15\times$ faster speed than DGR on KITTI dataset.
Overall, extensive experiments demonstrate that the proposed HRegNet achieves
state-of-the-art performance in terms of both accuracy and efficiency.
### 4.3 Ablation study
We perform abundant ablation studies on KITTI dataset to demonstrate the
effectiveness of the hierarchical structure and the introduction of the
similarity features.
Hierarchical structure: To validate the effectiveness of the hierarchical
structure, we use the output transformation $\mathbf{R},\mathbf{t}$ from layer
3 to layer 1 as the final estimation respectively to evaluate the performance.
The network with different output layers is trained separately using the same
hyperparameters. The registration recall with different output layers is
displayed in Fig. 7. The detailed average RRE and RTE is shown in Table 2 and
the calculation settings are the same as that in Table 1. According to the
results, the average RTE and RRE are gradually reduced with the layer-by-layer
refinement. The results in layer 2 achieve much lower rotation error than
layer 3. And the translation accuracy in layer 1 (_i.e._ , the full model) is
also obviously improved compared to layer 2, which demonstrates the validity
of hierarchical refinement strategy. Noting that the registration recall with
different RRE thresholds of layer 1 is almost the same as layer 2 and we found
that further increasing the number of layers will not result in significant
improvements in registration performance, however, will deteriorate the
efficiency of the network. Considering the trade-off between accuracy and
efficiency, we choose the 3-layer implementation.
Figure 7: Registration recall of different output layers on KITTI dataset. We
set the range of RTE threshold as from 0 to 0.5m and RRE threshold as from 0
to 1deg for better visualization. Layer 1: top layer; Layer 2: middle layer;
Layer 3: bottom layer.
Similarity features: As we described before, the similarity features $F_{S}$
consist of two parts, namely the original similarity features $F_{S}^{O}$ and
neighbor-aware similarity features $F_{S}^{N}$. To analysis the impact of the
two parts on the performance, we drop $F_{S}^{O}$ and $F_{S}^{N}$ separately
and retrain the network. The registration recall and the average RRE and RTE
of the full model and the model without $F_{S}^{O}$, $F_{S}^{N}$ and $F_{S}$
are displayed in Fig. 8 and Table 2. According to the results, the
registration recall without both similarity features $F_{S}$ is inferior to
the other cases by a significant margin, which demonstrates the importance of
the bilateral consensus. The neighbor-aware similarity features $F_{S}^{N}$
incorporate the information of neighboring keypoints into consideration,
however, may also lead to the neglect of the own unique features of the
keypoint. Thus, the original and neighbor-aware similarity features are
complementary to each other and the combination of the two (_i.e._ , the full
model) outperforms other cases. Overall, the results demonstrate that the
introduction of the similarity features significantly improves the
performance.
Figure 8: Registration recall with and without (w/o) similarity features on KITTI dataset. $F_{S}^{O}$: original similarity features. $F_{S}^{N}$: neighbor-aware similarity features. $F_{S}$: both similarity features. Table 2: Ablation studies on KITTI dataset. Model | RTE (m) | RRE (deg) | Recall | Time (ms)
---|---|---|---|---
Full | $\mathbf{0.12\pm 0.13}$ | $\mathbf{0.29\pm 0.25}$ | 99.7% | 106.2
Layer 2 | $0.15\pm 0.18$ | $0.29\pm 0.27$ | 99.2% | 101.4
Layer 3 | $0.16\pm 0.18$ | $0.55\pm 0.45$ | 99.7% | 96.9
w/o $F_{S}^{O}$ | $0.15\pm 0.19$ | $0.31\pm 0.30$ | 99.1% | 98.6
w/o $F_{S}^{N}$ | $0.14\pm 0.17$ | $0.33\pm 0.29$ | 99.4% | 96.4
w/o $F_{S}$ | $0.19\pm 0.22$ | $0.46\pm 0.36$ | 98.7% | 88.0
## 5 Conclusion
In this paper, we provide an efficient hierarchical network for large-scale
outdoor LiDAR point cloud registration. The hierarchical paradigm leverages
different characteristics of keypoints and descriptors in deeper and shallower
layers by introducing coarse registration and fine registration in different
layers. To construct reliable correspondences between keypoints, we propose a
correspondence network to generate corresponding keypoints. Moreover, novel
similarity features are designed to effectively incorporate bilateral
consensus and neighborhood consensus into the registration pipeline. Abundant
ablation studies demonstrate the effectiveness of the hierarchical paradigm
and the introduction of similarity features. Besides, the network is also
highly efficient since we only use a small number of keypoints for
registration. Extensive experiments on two large-scale LiDAR point cloud
datasets demonstrate the high accuracy and efficiency of the proposed HRegNet.
##### Acknowledgments:
This work is funded by the Key Technologies Developement and Application of
Piloted Autonomous Driving Trucks Project, and the Shanghai Rising Star
Program (No. 21QC1400900), and the National Key Research and Development
Program of China (No.2016YFB0100901).
## References
* [1] Yasuhiro Aoki, Hunter Goforth, Rangaprasad Arun Srivatsan, and Simon Lucey. Pointnetlk: Robust & efficient point cloud registration using pointnet. In Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, pages 7163–7172, 2019.
* [2] Xuyang Bai, Zixin Luo, Lei Zhou, Hongbo Fu, Long Quan, and Chiew-Lan Tai. D3feat: Joint learning of dense detection and description of 3d local features. In Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, pages 6359–6367, 2020.
* [3] P. J. Besl and N. D. McKay. A method for registration of 3-d shapes. IEEE Transactions on Pattern Analysis and Machine Intelligence, 14(2):239–256, 1992.
* [4] Holger Caesar, Varun Bankiti, Alex H Lang, Sourabh Vora, Venice Erin Liong, Qiang Xu, Anush Krishnan, Yu Pan, Giancarlo Baldan, and Oscar Beijbom. nuscenes: A multimodal dataset for autonomous driving. In Proceedings of the IEEE/CVF conference on computer vision and pattern recognition, pages 11621–11631, 2020.
* [5] Christopher Choy, Wei Dong, and Vladlen Koltun. Deep global registration. In Proceedings of the IEEE/CVF conference on computer vision and pattern recognition, pages 2514–2523, 2020.
* [6] Christopher Choy, JunYoung Gwak, and Silvio Savarese. 4d spatio-temporal convnets: Minkowski convolutional neural networks. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pages 3075–3084, 2019.
* [7] Christopher Choy, Jaesik Park, and Vladlen Koltun. Fully convolutional geometric features. In Proceedings of the IEEE/CVF International Conference on Computer Vision, pages 8958–8966, 2019.
* [8] Martin A Fischler and Robert C Bolles. Random sample consensus: a paradigm for model fitting with applications to image analysis and automated cartography. Communications of the ACM, 24(6):381–395, 1981.
* [9] Alex Flint, Anthony Dick, and Anton Van Den Hengel. Thrift: Local 3d structure recognition. In 9th Biennial Conference of the Australian Pattern Recognition Society on Digital Image Computing Techniques and Applications (DICTA 2007), pages 182–188. IEEE, 2007.
* [10] Andreas Geiger, Philip Lenz, and Raquel Urtasun. Are we ready for autonomous driving? the kitti vision benchmark suite. In 2012 IEEE Conference on Computer Vision and Pattern Recognition, pages 3354–3361. IEEE, 2012.
* [11] Zan Gojcic, Caifa Zhou, Jan D Wegner, Leonidas J Guibas, and Tolga Birdal. Learning multiview 3d point cloud registration. In Proceedings of the IEEE/CVF conference on computer vision and pattern recognition, pages 1759–1769, 2020.
* [12] Yulan Guo, Mohammed Bennamoun, Ferdous Sohel, Min Lu, Jianwei Wan, and Ngai Ming Kwok. A comprehensive performance evaluation of 3d local feature descriptors. International Journal of Computer Vision, 116(1):66–89, 2016.
* [13] Yulan Guo, Hanyun Wang, Qingyong Hu, Hao Liu, Li Liu, and Mohammed Bennamoun. Deep learning for 3d point clouds: A survey. IEEE transactions on pattern analysis and machine intelligence, 2020\.
* [14] Xiaoshui Huang, Guofeng Mei, and Jian Zhang. Feature-metric registration: A fast semi-supervised approach for robust point cloud registration without correspondences. In Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, pages 11366–11374, 2020.
* [15] Andrew E. Johnson and Martial Hebert. Using spin images for efficient object recognition in cluttered 3d scenes. IEEE Transactions on pattern analysis and machine intelligence, 21(5):433–449, 1999.
* [16] Wolfgang Kabsch. A solution for the best rotation to relate two sets of vectors. Acta Crystallographica Section A: Crystal Physics, Diffraction, Theoretical and General Crystallography, 32(5):922–923, 1976.
* [17] Diederik P. Kingma and Jimmy Ba. Adam: A method for stochastic optimization. In 3rd International Conference on Learning Representations, 2015\.
* [18] Jiaxin Li and Gim Hee Lee. Usip: Unsupervised stable interest point detection from 3d point clouds. In Proceedings of the IEEE/CVF International Conference on Computer Vision, pages 361–370, 2019.
* [19] Jiahao Li, Changhao Zhang, Ziyao Xu, Hangning Zhou, and Chi Zhang. Iterative distance-aware similarity matrix convolution with mutual-supervised point elimination for efficient point cloud registration. In European Conference on Computer Vision (ECCV), 2020.
* [20] Fan Lu, Guang Chen, Yinlong Liu, Zhongnan Qu, and Alois Knoll. Rskdd-net: Random sample-based keypoint detector and descriptor. Advances in Neural Information Processing Systems, 33, 2020.
* [21] Weixin Lu, Guowei Wan, Yao Zhou, Xiangyu Fu, Pengfei Yuan, and Shiyu Song. Deepvcp: An end-to-end deep neural network for point cloud registration. In Proceedings of the IEEE/CVF International Conference on Computer Vision, pages 12–21, 2019.
* [22] Bruce D Lucas, Takeo Kanade, et al. An iterative image registration technique with an application to stereo vision. 1981\.
* [23] Haggai Maron, Nadav Dym, Itay Kezurer, Shahar Kovalsky, and Yaron Lipman. Point registration via efficient convex relaxation. ACM Transactions on Graphics (TOG), 35(4):1–12, 2016.
* [24] Balázs Nagy and Csaba Benedek. Real-time point cloud alignment for vehicle localization in a high resolution 3d map. In Proceedings of the European Conference on Computer Vision (ECCV) Workshops, pages 0–0, 2018.
* [25] G Dias Pais, Srikumar Ramalingam, Venu Madhav Govindu, Jacinto C Nascimento, Rama Chellappa, and Pedro Miraldo. 3dregnet: A deep neural network for 3d point registration. In Proceedings of the IEEE/CVF conference on computer vision and pattern recognition, pages 7193–7203, 2020.
* [26] Adam Paszke, Sam Gross, Francisco Massa, Adam Lerer, James Bradbury, Gregory Chanan, Trevor Killeen, Zeming Lin, Natalia Gimelshein, Luca Antiga, Alban Desmaison, Andreas Kopf, Edward Yang, Zachary DeVito, Martin Raison, Alykhan Tejani, Sasank Chilamkurthy, Benoit Steiner, Lu Fang, Junjie Bai, and Soumith Chintala. Pytorch: An imperative style, high-performance deep learning library. In Advances in Neural Information Processing Systems 32, pages 8024–8035. 2019.
* [27] François Pomerleau, Francis Colas, and Roland Siegwart. A review of point cloud registration algorithms for mobile robotics. Foundations and Trends in Robotics, 4(1):1–104, 2015.
* [28] Charles R Qi, Hao Su, Kaichun Mo, and Leonidas J Guibas. Pointnet: Deep learning on point sets for 3d classification and segmentation. In Proceedings of the IEEE conference on computer vision and pattern recognition, pages 652–660, 2017.
* [29] Charles Ruizhongtai Qi, Li Yi, Hao Su, and Leonidas J Guibas. Pointnet++: Deep hierarchical feature learning on point sets in a metric space. In Advances in Neural Information Processing Systems, volume 30, 2017.
* [30] Ignacio Rocco, Mircea Cimpoi, Relja Arandjelović, Akihiko Torii, Tomas Pajdla, and Josef Sivic. Neighbourhood consensus networks. In Advances in Neural Information Processing Systems, volume 31, 2018.
* [31] David M Rosen, Luca Carlone, Afonso S Bandeira, and John J Leonard. Se-sync: A certifiably correct algorithm for synchronization over the special euclidean group. The International Journal of Robotics Research, 38(2-3):95–125, 2019.
* [32] Radu Bogdan Rusu, Nico Blodow, and Michael Beetz. Fast point feature histograms (fpfh) for 3d registration. In 2009 IEEE international conference on robotics and automation, pages 3212–3217. IEEE, 2009.
* [33] Ivan Sipiran and Benjamin Bustos. Harris 3d: a robust extension of the harris operator for interest point detection on 3d meshes. The Visual Computer, 27(11):963–976, 2011.
* [34] Federico Tombari, Samuele Salti, and Luigi Di Stefano. Unique signatures of histograms for local surface description. In European conference on computer vision, pages 356–369. Springer, 2010.
* [35] Yue Wang and Justin M Solomon. Deep closest point: Learning representations for point cloud registration. In Proceedings of the IEEE/CVF International Conference on Computer Vision, pages 3523–3532, 2019.
* [36] Yue Wang and Justin M Solomon. Prnet: Self-supervised learning for partial-to-partial registration. arXiv preprint arXiv:1910.12240, 2019.
* [37] Jiaolong Yang, Hongdong Li, Dylan Campbell, and Yunde Jia. Go-icp: A globally optimal solution to 3d icp point-set registration. IEEE transactions on pattern analysis and machine intelligence, 38(11):2241–2254, 2015.
* [38] Zi Jian Yew and Gim Hee Lee. 3dfeat-net: Weakly supervised local 3d features for point cloud registration. In Proceedings of the European Conference on Computer Vision (ECCV), pages 607–623, 2018.
* [39] Wentao Yuan, Benjamin Eckart, Kihwan Kim, Varun Jampani, Dieter Fox, and Jan Kautz. Deepgmr: Learning latent gaussian mixture models for registration. In European Conference on Computer Vision, pages 733–750. Springer, 2020.
* [40] Qian-Yi Zhou, Jaesik Park, and Vladlen Koltun. Fast global registration. In European Conference on Computer Vision, pages 766–782. Springer, 2016.
* [41] Qian-Yi Zhou, Jaesik Park, and Vladlen Koltun. Open3D: A modern library for 3D data processing. arXiv:1801.09847, 2018.
* [42] Yao Zhou, Guowei Wan, Shenhua Hou, Li Yu, Gang Wang, Xiaofei Rui, and Shiyu Song. Da4ad: End-to-end deep attention-based visual localization for autonomous driving. In European Conference on Computer Vision, pages 271–289. Springer, 2020.
| arxiv-papers | 2021-07-26T07:01:36 | 2024-09-04T03:07:17.844942 | {
"license": "Creative Commons - Attribution Share-Alike - https://creativecommons.org/licenses/by-sa/4.0/",
"authors": "Fan Lu, Guang Chen, Yinlong Liu, Lijun Zhang, Sanqing Qu, Shu Liu,\n Rongqi Gu",
"submitter": "Fan Lu",
"url": "https://arxiv.org/abs/2107.11992"
} |
2107.11998 | # A novel bivariate generalized weibull distribution with properties and
applications
Ashok Kumar Pathak1 , Mohd. Arshad2,∗ , Qazi J. Azhad3 , Mukti Khetan4 and
Arvind Pandey5
1Department of Mathematics and Statistics, Central University of Punjab,
Bathinda, India.
2Department of Mathematics, Indian Institute of Technology Indore, Simrol,
Indore, India.
3Department of Mathematics and Statistics, Banasthali vidyapith, Rajasthan,
India.
4Department of Mathematics, Amity, University Mumbai, Maharashtra, India.
5Department of Statistics, Central University of Rajasthan, Rajasthan, India
###### Abstract.
Univariate Weibull distribution is a well known lifetime distribution and has
been widely used in reliability and survival analysis. In this paper, we
introduce a new family of bivariate generalized Weibull (BGW) distributions,
whose univariate marginals are exponentiated Weibull distribution. Different
statistical quantiles like marginals, conditional distribution, conditional
expectation, product moments, correlation and a measure component reliability
are derived. Various measures of dependence and statistical properties along
with ageing properties are examined. Further, the copula associated with BGW
distribution and its various important properties are also considered. The
methods of maximum likelihood and Bayesian estimation are employed to estimate
unknown parameters of the model. A Monte Carlo simulation and real data study
are carried out to demonstrate the performance of the estimators and results
have proven the effectiveness of the distribution in real-life situations.
*Corresponding author.
E-mail address: [email protected] (Ashok Kumar Pathak),
[email protected] (Mohd. Arshad), [email protected] (Qazi J.
Azhad), [email protected] (Mukti Khetan), [email protected] (Arvind
Pandey).
Keywords: Bivariate generalized Weibull distribution, Generalized exponential
distribution, Measures of association, Copulas, Inference, Markov Chain Monte
Carlo.
## 1\. Introduction
The Weibull distribution is a natural extension of exponential and Rayleigh
distributions, and is extensively used for modeling lifetime data with
constant, strictly increasing and decreasing hazard functions. The cumulative
distribution function of a two parameter Weibull random variable $U$ with
parameters $a$ and $b$ (denoted by $U\sim W(a,b))$ is given by
$F_{U}(x)=1-e^{-\displaystyle bx^{a}},\;\;{x>0},$
where $a,b>0$. Several generalizations of the Weibull distribution have been
proposed by introducing additional parameters (see for example, Mudholkar and
Srivastva (1993), Xie et al. (2002), Bebbington et al. (2007), Alshangiti
(2014), Almalki (2018), Park and Park (2018), Gen and Songjian (2019), Bahman
and Mohammad (2021)). Generalized Weibull distribution does not only includes
a large family of well know distributions, but also has a broader range of
hazard rate functions, which enhance the flexibility of models in modeling
complex lifetime data. These distributions have vast applications in diverse
disciplines like reliability, environmental, social science and medicine.
Distributions are key elements for modeling dependence among random variables.
Recently, the constructions of new bivariate distributions with specified
marginals have received lots of attention for theoretical and practical
purposes. Various new state-of-the-art techniques for constructing bivariate
or multivariate distributions have been discussed in the literature. Some of
these important techniques include, cumulative hazard rate function,
conditional distribution, order statistics and copula function (see
Balakrishnan and Lai (2009), Sarabia and Emilio (2008), Samanthi and Sepanski
(2019)).
Marshall and Olkin (1967) presented a bivariate generalization of the
exponential distribution having Weibull marginals. This distribution is well
known as Marshall-Olkin bivariate Weibull (MOBW) distribution and is most
commonly used in practical applications. The MOBW distribution has absolutely
continuous and singular components and is useful in competing risk modeling.
Some of the important references include Lee (1979), Hanagal (1996), Kundu and
Gupta (2010), Nandi and Dewan (2010), and Jose et al. (2011). Lu and
Bhattacharyya (1990) proposed a new bivariate Weibull (BW) distribution which
can model both positive and negative dependence. Marshall and Olkin (1997)
constructed a new family of bivariate Weibull distribution by adding a
parameter in the Weibull model and established its various properties. Kundu
and Gupta (2014) discussed a new five parameter flexible geometric-Weibull
distribution, which is a generalization of the Weibull distributions.
Recently, some new family of bivariate Weibull distributions also have been
proposed and studied in the literature. Al-Mutairi et al. (2018) proposed a
new four-parameter bivariate weighted Weibull distribution whose joint
probability density function can be either a decreasing or unimodal function.
This model is useful in analyzing a wide class of bivariate data in practice.
Barbiero (2019) constructed a new bivariate distribution with discrete
marginals via Farlie-Gumbel-Morgenstern copula and performed the Monte Carlo
simulation study to demonstrate the performance of the different estimation
techniques. Recently, Gongsin and Saporu (2020) derived a new bivariate
distribution using conditional and marginal Weibull distributions and utilized
this model in renewable energy data. Bai et al. (2020) discuss the inferential
aspect of Marshall-Olkin bivariate Weibull distribution with application in
competing risks.
This paper aims to introduce a new absolutely continuous bivariate generalized
Weibull (BGW) distribution, whose marginals are a member of exponentiated
Weibull family of distributions. The proposed distribution has the bivariate
generalized exponential (BGE) as a sub-model studied by Mirhosseini et al.
(2015). The bivariate generalized Rayleigh (BGR) distribution discussed by
Pathak and Vellaisamy (2020) is also a sub-model of the proposed BGW
distribution. Several important properties of the BGE, BGR, and their mixtures
can be easily studied on a common platform via BGW distribution. The proposed
model can be utilized as a better alternative to BGE and BGR models in
practical applications. Various statistical properties along with some concept
of dependence are discussed for the proposed BGW distribution. We obtain the
copula associated with BGW distribution and derive the various measures of
dependence based on copula. The values of these measures are also plotted for
different values of copula parameters. With the help of copula, we demonstrate
that the proposed distribution exhibits a strong positive dependence and can
be useful in numerous real situations.
The structure of the article is as follows: In Section 2, we introduced a new
family of bivariate Weibull distribution and deduce some existing families of
well known distributions and their extensions. In Section 3, we derive the
expressions for joint density, conditional density and conditional
distribution for the BGW distribution. We also obtain the expressions for
product moments and distribution of minimum order statistics. Section 4,
presents some concept of dependence and discuss ageing properties for the BGW
family. In Section 5, we obtain the copula associated with BGW distribution
and some measures of association in terms of copulas. Sector 6 deals with the
methodology of maximum likelihood and Bayesian estimation to estimate unknown
parameters of the model. Section 7 presents the detailed Monte Carlo
simulation study to validate the performances of the estimators. Section 8
discusses the application of real-data set and its interpretations; the paper
ends with conclusions.
## 2\. Bivariate Generalized Weibull Distribution
Consider a sequence of independent Bernoulli trials in which the $i$-th trial
has probability of success $\theta/i$, $0<\theta\leq 1$,
$i\in\\{1,2,\ldots\\}$. Let $K$ denote the trial number on which the first
success occurs. Then the probability mass function and probability generating
function of random variable $K$ is (see Pathak and Vellaisamy (2020) or Dolati
et al. (2014) or Mirhosseini et al. (2015))
$\displaystyle P(K=k)$
$\displaystyle=\left(1-\theta\right)\left(1-\frac{\theta}{2}\right)\ldots\left(1-\frac{\theta}{k-1}\right)\frac{\theta}{k}$
$\displaystyle=\frac{(-1)^{k-1}}{k!}{\theta(\theta-1)\ldots(\theta-k+1)},$
for $k=1,2,\ldots$, and
$h_{K}(s)=E\left(s^{K}\right)=1-(1-s)^{\theta},\;\;s\in[0,1],$ (2.1)
respectively.
Consider that $\\{U_{1},U_{2},\ldots\\}$ and $\\{V_{1},V_{2},\ldots\\}$ are
two sequences of mutually independent and identically distributed (i.i.d.)
random variables, where $U_{i}\sim\mathrm{W}(a,b_{1})$ and
$V_{i}\sim\mathrm{W}(a,b_{2})$ for $i\in\\{1,2,3,\ldots\\}$. Define
$X:=\min(U_{1},\ldots,U_{K})$ and $Y:=\min(V_{1},\ldots,V_{K})$. The joint
survival function of $(X,Y)$ is given by
$\displaystyle S(x,y)$ $\displaystyle=P(X>x,Y>y)$
$\displaystyle=P\left(\min(U_{1},\ldots,U_{K})>x,\min(V_{1},\ldots,V_{K})>y\right)$
$\displaystyle=\sum_{k=1}^{\infty}\left[P(U_{i}>x)P(V_{i}>y)\right]^{k}P[K=k]$
$\displaystyle=h_{K}\left(e^{-\displaystyle(b_{1}x^{a}+b_{2}y^{a})}\right)$
$\displaystyle=1-\left\\{1-e^{-\displaystyle(b_{1}x^{a}+b_{2}y^{a})}\right\\}^{\theta}.$
(2.2)
A bivariate random vector $(X,Y)$ is said to have a bivariate generalized
Weibull distribution with parameters $a,b_{1},b_{2}$ and $\theta$, if its
joint distribution function is given by
$\displaystyle F(x,y)=$ $\displaystyle\left\\{1-e^{-\displaystyle
b_{1}x^{a}}\right\\}^{\theta}+\left\\{1-e^{-\displaystyle
b_{2}y^{a}}\right\\}^{\theta}-\left\\{1-e^{-\displaystyle(\displaystyle
b_{1}x^{a}+\displaystyle b_{2}y^{a})}\right\\}^{\theta},$ (2.3)
where $x,y\geq 0$ and $a,b_{1},b_{2}>0$ and $0<\theta\leq 1$. It is denoted by
BGW$(a,b_{1},b_{2},\theta)$.
The joint probability density function of the BGW distribution is given by
$f(x,y)=\frac{\partial^{2}F(x,y)}{\partial x\partial y}=\theta
a^{2}b_{1}b_{2}x^{a-1}y^{a-1}e^{-Z(x,y;\psi)}\left(1-e^{-Z(x,y;\psi)}\right)^{\theta-2}\left(1-\theta
e^{-Z(x,y;\psi)}\right),$ (2.4)
where $Z(x,y;\psi):=Z(x,y,a,b_{1},b_{2})=b_{1}x^{a}+b_{2}y^{a}$ and
$\psi=(a,b_{1},b_{2})$.
It may be observed that $X\sim\mathrm{EW}(a,b_{1},\theta)$, which is a member
of exponentiated Weibull (EW) distribution having distribution function
$F_{X}(x)=\left\\{1-e^{-b_{1}x^{a}}\right\\}^{\theta}$, $x\geq 0$ (see
Mudholkar and Srivastva (1993)). Also, generalized exponential distribution
with parameters $b_{1}$ and $\theta$ i.e., $X\sim\text{GE}(b_{1},\theta)$ is a
sub-model of EW model, when $a=1$ (see Gupta and Kundu (1999)). Similarly,
$Y\sim\mathrm{EW}(a,b_{2},\theta)$.
The BGW family includes a large class of well-known families of distributions
and their extensions. Some important special cases of BGW distribution are as
follows:
* (i)
Bivariate Generalized Exponential Distribution: When $a=1$, from (2.3) the
joint distribution of random vector $(X,Y)$ is
$F(x,y)=\left\\{1-e^{-b_{1}x}\right\\}^{\theta}+\left\\{1-e^{-b_{2}y}\right\\}^{\theta}-\left\\{1-e^{-(b_{1}x+b_{2}y)}\right\\}^{\theta},$
(2.5)
where $x,y\geq 0$, $b_{1},b_{2}>0$, and $0<\theta\leq 1$, which is the
bivariate generalized exponential (BGE) distribution proposed by Mirhosseini
et al. (2015).
* (ii)
Bivariate Generalized Rayleigh Distribution: When $a=2$, we have from (2.3)
$F(x,y)=\left\\{1-e^{-b_{1}x^{2}}\right\\}^{\theta}+\left\\{1-e^{-b_{2}y^{2})}\right\\}^{\theta}-\left\\{1-e^{-\left(b_{1}x^{2}+b_{2}y^{2}\right)}\right\\}^{\theta},$
(2.6)
where $x,y\geq 0$, $b_{1},b_{2}>0$, and $0<\theta\leq 1$, which is a bivariate
generalized Rayleigh (BGR) distribution with parameters $b_{1},b_{2}$ and
$\theta$ as discussed by Pathak and Vellaisamy (2020).
* (iii)
For $\theta=1$, equation (2.3) leads to independence of $X$ and $Y$ with
distribution
$F(x,y)=\left\\{1-e^{-b_{1}x^{a}}\right\\}\left\\{1-e^{-b_{2}y^{a}}\right\\},$
where $x,y\geq 0$, $a>0$ and $b_{i}>0$ for $i=1,2$.
Different surface plots of joint distribution and density of the BGW
distribution, given in (2.3) and (2.4), are presented in Figure 1 for
different parameter values.
(a)
(b)
(c)
(d)
Figure 1. Surface plots of $F(x,y)$ and $f(x,y)$ of the BGW distribution: In
(A) and (B), $a=1$, $b_{1}=0.5$, $b_{2}=0.5$, $\theta=0.5$. In (C) and (D),
$a=1$, $b_{1}=1$, $b_{2}=1$, $\theta=0.2$.
With the help of binomial series expansion of
$\left(1-e^{-Z(x,y;\psi)}\right)^{\theta}$, the survival and density functions
of the BGW distribution are
$S(x,y)=\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}e^{-jZ(x,y;\psi)},$
and
$\displaystyle f(x,y)$
$\displaystyle=a^{2}b_{1}b_{2}x^{a-1}y^{a-1}\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}j^{2}e^{-jZ(x,y;\psi)}$
$\displaystyle=a^{2}b_{1}b_{2}x^{a-1}y^{a-1}\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}j^{2}e^{-j\left(b_{1}x^{a}+b_{2}y^{a}\right)},$
(2.7)
respectively.
## 3\. Basic Properties
In this section, some basic quantities of BGW distribution such as condition
density, conditional distribution function and conditional survival function
will be derived. Distribution of minimum order statistic and stress-strength
reliability parameter are obtained. Expression for regression function for the
BGW distribution and its sub-models will also be reported. We will also derive
product moments and calculate the correlation coefficient for the BGW
distribution.
Using basic definitions, the following result is easy to establish.
###### Theorem 3.1.
Let $(X,Y)\sim\mathrm{BGW}(a,b_{1},b_{2},\theta)$. Then
* (i)
the conditional density function of $Y$ given $X=x$ is
$f(y|x)=\frac{ab_{2}y^{a-1}e^{-b_{2}y^{a}}\left(1-e^{-Z(x,y;\phi)}\right)^{\theta-2}\left(1-\theta
e^{-Z(x,y;\psi)}\right)}{\left\\{1-e^{-b_{1}x^{a}}\right\\}^{\theta-1}},$
* (ii)
the conditional distribution of $Y$ given $X=x$ is
$F(y|x)=P(Y\leq
y|X=x)=1-\frac{e^{-b_{2}y^{a}}\left(1-e^{-Z(x,y;\psi)}\right)^{\theta-1}}{\left\\{1-e^{-b_{1}x^{a}}\right\\}^{\theta-1}},$
* (iii)
the conditional survival function of $Y$ given $X=x$ is
$S(y|x)=P(Y>y|X=x)=\frac{e^{-b_{2}y^{a}}\left(1-e^{-Z(x,y;\psi)}\right)^{\theta-1}}{\left\\{1-e^{-b_{1}x^{a}}\right\\}^{\theta-1}}.$
The following result gives the expression for regression function of BGW
model.
###### Theorem 3.2.
Let $(X,Y)$ be a bivariate random vector having BGW distribution. Then the
regression function of $Y$ on $X=x$ is
$E(Y|X=x)=\displaystyle\frac{ab_{1}\Gamma(1+1/a)x^{a-1}}{b_{2}^{1/a}f_{X}(x)}\displaystyle\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}j^{1-1/a}e^{-jb_{1}x^{a}},$
(3.1)
where $f_{X}(x)$ is the marginal density of $X$.
###### Proof.
The proof is given in Appendix. ∎
From (3.1), we can get regression function of several well known distributions
studied in literature. In particular, for $a=1$, (3.1) reduces to
$E(Y|X=x)=\frac{b_{1}}{b_{2}f_{X}(x)}\left[1-\left(1-e^{-b_{1}x}\right)^{\theta}\right],$
which has been established for the BGE distribution in Mirhosseini et al.
(2015).
In the following result, we derive an expression for product moments for the
BGW distribution and from it we deduce product moments for some known families
of distributions. Also, we calculate the coefficient of correlation for the
BGW families of distributions.
###### Theorem 3.3.
Let $(X,Y)\sim\mathrm{BGW}(a,b_{1},b_{2},\theta)$. Then
$E(X^{r}Y^{s})=\displaystyle\frac{\Gamma(1+r/a)\Gamma(1+s/a)}{b_{1}^{r/a}b_{2}^{s/a}}\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}\frac{1}{j^{(r+s)/a}}.$
(3.2)
###### Proof.
Proof is given in Appendix. ∎
From Theorem 3.3, we have the following:
* (i)
For $a=1$, (3.2) yields
$E(X^{r}Y^{s})=\displaystyle
r!~{}s!\sum_{j=1}^{\infty}\binom{\theta}{j}\frac{(-1)^{j+1}}{b_{1}^{r}b_{2}^{s}j^{r+s}},$
(3.3)
the product moments of the BGE distribution discussed in Mirhosseini et al.
(2015). Specially, for $r=s=1$, (3.3) gives
$E(XY)=\sum_{j=1}^{\infty}\binom{\theta}{j}\frac{(-1)^{j+1}}{b_{1}b_{2}j^{2}},$
which has been considered in Mirhosseini et al. (2015).
* (ii)
When $a=2$ and $r=s=1$, from (3.2) the product moment of the BGR defined in
(2.6), is
$E(XY)=\frac{\pi}{4}\sum_{j=1}^{\infty}\binom{\theta}{j}\frac{(-1)^{j+1}}{\sqrt{b_{1}b_{2}}~{}j}.$
Now consider $X\sim\text{EW}(a,b_{1},\theta)$, then its $r$th moment about the
origin is denoted by $A(a,b_{1},\theta,r)$ and is given by
$A(a,b_{1},\theta,r)=E(X^{r})=\displaystyle\frac{\theta\Gamma(1+r/a)}{b_{1}^{r/a}}\sum_{j=0}^{\infty}\binom{\theta-1}{j}\frac{(-1)^{j}}{(j+1)^{1+r/a}}.$
(3.4)
A similar expression $B(a,b_{2},\theta,s)$ for the $s$th moment for $Y$ can
also be obtained.
For $r=s=1$ and with the help of (3.2) and (3.4) through a simple algebra, the
coefficient of correlation for the BGW distribution is given by
$R(X,Y)=\frac{\displaystyle\frac{\Gamma(1+1/a)\Gamma(1+1/a)}{b_{1}^{1/a}b_{2}^{1/a}}\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}\frac{1}{j^{2/a}}-A(a,b_{1},\theta,1)B(a,b_{2},\theta,1)}{\sqrt{A(a,b_{1},\theta,2)-A^{2}(a,b_{1},\theta,1)}\sqrt{B(a,b_{2},\theta,2)-B^{2}(a,b_{2},\theta,1)}}.$
For $\theta=1$, $R(X,Y)=0$ which corresponds to the independence of $X$ and
$Y$.
In the next result, we derive expressions for the distribution of minimum
order statistic and stress-strength parameter for the BGW distribution.
###### Theorem 3.4.
If $(X,Y)\sim\mathrm{BGW}(a,b_{1},b_{2},\theta)$, then
* (i)
$\min(X,Y)\sim\mathrm{EW}(a,b_{1}+b_{2},\theta)$
* (ii)
$P(X<Y)=\displaystyle\frac{b_{2}}{b_{1}+b_{2}}.$
###### Proof.
Proof is given in Appendix A. ∎
Let $X$ and $Y$ be the lifetimes of two components in a system. Then
$\min(X,Y)$ may be observed as the lifetimes of two components series system.
System will work as long as both components functioning together. It may be
applicable in measuring the reliability of computer networking, electronic
circuits etc.
###### Remark 3.1.
It may be notice that for $b_{1}=b_{2}=b$ (say), $P(X<Y)=1/2$.
In the forthcoming sections, we discuss some measures of the local dependences
for the BGW distribution and discuss its important properties.
## 4\. Dependence and Ageing Properties
The notion of dependence among random variables is very useful in reliability
theory and lifetime data analysis. Covariance and product moment correlation
are classical techniques for measuring the strength of dependence between two
variables. Apart from these classical measures, several other notions of new
dependence have been proposed in the literature. In this section, we study
various dependence properties namely, positive quadrant dependence, regression
dependence, stochastic increasing, totally positivity of order 2, etc. of the
proposed BGW distribution. Furthermore, we also study some ageing properties
of the BGW under different bivariate ageing definitions. First, we proceed
with positive quadrant dependence.
###### Definition 4.1.
Let $(X,Y)$ be a bivariate random vector with distribution and marginals
$F(x,y)$, $F_{X}(x)$ and $F_{Y}(y)$, respectively. We say that $(X,Y)$ is
positive quadrant dependent (PQD) if
$F(x,y)\geq F_{X}(x)F_{Y}(y)\;\;\text{for~{}all~{} $x$~{} and~{} $y$},$
or, equivalently, if
$S(x,y)\geq S_{X}(x)S_{Y}(y)\;\;\text{for~{}all~{} $x$~{} and~{} $y$,}$
where $S(x,y)$, $S_{X}(x)$ and $S_{Y}(y)$ denotes the joint and marginals
survival functions. The random vector $(X,Y)$ is negative quadrant dependent
(NQD) if reverse inequality holds (see Lehmann (1966) and Nelsen (2006)).
###### Proposition 4.1.
Let $(X,Y)$ follows $\mathrm{BGW}(a,b_{1},b_{2},\theta)$. Then $(X,Y)$ is PQD.
###### Proof.
From (2), one can easily get marginal survival functions $S_{X}(x)$ and
$S_{Y}(y)$. With the help of joint and marginal survival function, one can
easily establish that $S(x,y)\geq S_{X}(x)S_{Y}(y)$, which corresponds to the
PQD of the BGW distribution. ∎
###### Remark 4.1.
$X$ and $Y$ are positively correlated if $\text{Cov}(X,Y)\geq 0$. Hence, a
direct consequences of PQD property, leads to $\text{Cov}(X,Y)\geq 0$, for the
BGW family.
Regression dependence is stronger concept of dependence than PQD. Here, we
study the measure of regression dependence for the BGW distribution.
###### Definition 4.2.
$F(x,y)$ is positively regression dependent if (see Nelsen (2006))
$P(Y>y|X=x)~{}\text{is ~{}increaing ~{}in~{} }x~{}\text{for ~{}all~{}
values~{} of}~{}y.$
###### Proposition 4.2.
Let $(X,Y)$ follows the BGW distribution with distribution function $F(x,y)$.
Then $F(x,y)$ in (2.3) is positively regression dependent.
###### Proof.
The conditional survival function $P(Y>y|X=x)$ of $Y$ on $X=x$ is reported in
(iii) point of the Theorem (3.1). On differentiation with respect to $x$, we
get
$\frac{\partial}{\partial
x}P(Y>y|X=x)=(\theta-1)ab_{1}x^{a-1}(e^{-b_{2}y^{a}}-1)(1-e^{-b_{1}x^{a}})^{\theta-2}e^{-Z(x,y;\psi)}\left(1-e^{-Z(x,y;\psi)}\right)^{\theta-2}\geq
0.$
This completes the proof of result. ∎
We next review some other basic definitions related to dependence. A details
discussion on these dependence can be found in Nelsen (2006).
###### Definition 4.3.
$Y$ is left tail decreasing in $X$ (denoted as LTD($Y|X$)) if $P(Y\leq y|X\leq
x)$ is a nonincreasing function in $x$ for all $y$.
###### Definition 4.4.
The random vector $(X,Y)$ is said to be left corner set decreasing (LCSD) if
$P(X\leq x,Y\leq y|X\leq x_{1},Y\leq y_{1})$ is nonincreasing in $x_{1}$ and
$y_{1}$ for all $x$ and $y$.
###### Proposition 4.3.
Let $(X,Y)\sim\mathrm{BGW}(a,b_{1},b_{2},\theta)$. Then
* (i)
$(X,Y)$ is LTD.
* (ii)
$(X,Y)$ is LCSD.
To prove the Proposition 4.3, it suffices to establish the totally positivity
of order 2 (TP2) of density $f$, which is a strongest concept of dependence.
As TP2 is equivalent to LCSD and implies to LTD (see Nelsen (2006), and
Balakrishnan and Lai (2009)).
In order to establish the TP2 property of the BGW distribution, we begin with
a local dependence function. To study the dependence between random variables
$X$ and $Y$, Holland and Wang (1987) proposed a local dependence function
$\delta(x,y)$ as
$\delta(x,y)=\frac{\partial^{2}}{\partial x\partial y}\ln f(x,y).$
This dependence function provides a powerful tool to study the TP2 property of
a bivariate distribution. Some detailed properties of the $\delta(x,y)$ have
been studied in Holland and Wang (1987) and Balakrishnan and Lai (2009).
###### Proposition 4.4.
Let $(X,Y)\sim\mathrm{BGW}(a,b_{1},b_{2},\theta)$. Then
$\displaystyle\delta(x,y)$
$\displaystyle=a^{2}b_{1}b_{2}x^{a-1}y^{b-1}e^{-Z(x,y;\psi)}\left[\frac{(2-\theta)}{(1-e^{-Z(x,y;\psi)})^{2}}-\frac{\theta}{(1-\theta
e^{-Z(x,y;\psi)})^{2}}\right].$
It may notice that, when $\theta=1$, then $\delta(x,y)=0$, which leads to the
independence of $X$ and $Y$.
Holland and Wang (1987) established that a bivariate density $f(x,y)$ will
possess the TP2 property if and only if $\delta(x,y)\geq 0$.
Now, we have the following result:
###### Theorem 4.1.
Let $(X,Y)\sim\text{BGW}(a,b_{1},b_{2},\theta)$. Then, for $0<\theta\leq 1$,
the density $f(x,y)$ given in (2.4) is TP2.
Let $(X,Y)$ be a bivariate random vector with joint density $f(x,y)$ and
survival function $S(x,y)$. Then, the bivariate hazard rate function is
defined as (see Basu (1971))
$h(x,y)=\displaystyle\frac{f(x,y)}{S(x,y)}.$ (4.1)
If $(X,Y)\sim\text{BGW}(a,b_{1},b_{2},\theta)$, then we have
$h(x,y)=\frac{\theta
a^{2}b_{1}b_{2}x^{a-1}y^{a-1}e^{-Z(x,y;\psi)}\left(1-e^{-Z(x,y;\psi)}\right)^{\theta-2}\left(1-\theta
e^{-Z(x,y;\psi)}\right)}{\left[1-\left\\{1-e^{-Z(x,y;\psi)}\right\\}^{\theta}\right]}.$
If $\theta=1$, $h(x,y)$ leads to product of two marginal failure rate
functions.
### 4.1. Hazard gradient functions
The hazard components of a bivariate random vector $(X,Y)$ are defined as (see
Johnson and Kotz (1975))
$\eta_{1}(x,y)=-\frac{\partial}{\partial x}\ln S(x,y)$
and
$\eta_{2}(x,y)=-\frac{\partial}{\partial y}\ln S(x,y).$
The vector $(\eta_{1}(x,y),\eta_{2}(x,y))$ are termed as the hazard gradient
of a bivariate random vector $(X,Y)$. It may notice that $\eta_{1}(x,y)$ is
conditional hazard rate of $X$ given information $Y>y$ and $\eta_{2}(x,y)$ is
conditional hazard rate of $Y$ given information $X>x$.
Hence, for the BGW distribution the hazard gradient is
$\eta_{1}(x,y)=\frac{\theta
ab_{1}x^{a-1}e^{-Z(x,y;\psi)}\left(1-e^{-Z(x,y;\psi)}\right)^{\theta-1}}{\left\\{1-\left(1-e^{-Z(x,y;\psi)}\right)^{\theta}\right\\}},$
(4.2)
and
$\eta_{2}(x,y)=\frac{\theta
ab_{2}y^{a-1}e^{-Z(x,y;\psi)}\left(1-e^{-Z(x,y;\psi)}\right)^{\theta-1}}{\left\\{1-\left(1-e^{-Z(x,y;\psi)}\right)^{\theta}\right\\}}.$
(4.3)
Next result demonstrates the monotonicity of the conditional hazard rate
functions.
###### Proposition 4.5.
Let $(X,Y)\sim\text{BGW}(a,b_{1},b_{2},\theta)$. Then
* (i)
$\eta_{1}(x,y)$ is deceasing in $y$.
* (ii)
$\eta_{2}(x,y)$ is deceasing in $x$.
###### Proof.
Due to Shaked (1977), if $f(x,y)$ is TP2, then conditional hazard rate
$\eta_{1}(x,y)$ is deceasing in $y$ and $\eta_{2}(x,y)$ is deceasing in $x$.
Hence, by virtue of TP2 property of BGW family and Shaked (1977) results,
proof is immediate. ∎
###### Proposition 4.6.
The BGW distribution in (2.3) is bivariate decreasing hazard rate (DHR).
## 5\. Copulas and dependence measures
The dependencies between two random variables $X$ and $Y$ are completely
determined by its joint distribution $F(x,y)$. Copula is a powerful tool to
study the dependence between variables. Any distribution function can be
expressed in the form of copula, in which dependence and marginals can be
studied separately. Sklar (1959) showed that any joint distribution function
$F$ can be expressed in the form
$F(x,y)=C(F_{X}(x),F_{Y}(y))\;\;\text{for~{}all}~{}x,y\in\mathbb{R}.$ (5.1)
For continuous $F_{X}$ and $F_{Y}$, the representation (5.1) is unique. In
discrete case, it is uniquely determined on the
$\text{Range}(F_{X})\times\text{Range}(F_{Y})$.
Let $F_{X}^{-1}$ and $F_{Y}^{-1}$ be the inverse distribution functions of
continuous random variables $X$ and $Y$, respectively. Then, for every
$s,t\in[0,1]$, one can easily obtain the copula $C$ as follows:
$C(s,t)=F(F_{X}^{-1}(s),F_{Y}^{-1}(t)).$
Let $(X,Y)$ have the BGW distribution. Then associated copula is given by
$\displaystyle C(s,t)$
$\displaystyle=s+t-\left\\{1-\left(1-s^{\frac{1}{\theta}}\right)\left(1-t^{\frac{1}{\theta}}\right)\right\\}^{\theta}$
(5.2)
$\displaystyle=s+t-st\left\\{s^{-\frac{1}{\theta}}-t^{-\frac{1}{\theta}}-1\right\\}^{\theta}.$
It may be notice that the copula $C$ associated with the BGW family is the
same as the copula reported in Mirhosseini et al. (2015) and Pathak and
Vellaisamy (2020) for the bivariate generalized exponential (BGE) distribution
and bivariate generalized linear exponential (BGLE) distribution,
respectively.
The product moments correlation is a measure of linear dependence and may give
misleading results even in the case of strong dependence for non-elliptical
random variables. As the copulas are invariant under the monotonic
transformation of random variables. Therefore, the copula based measures of
concordance are capable to capture non-linear dependence and are usually
considered as the best alternative to linear correlation. First of all, we
consider some important measures of dependence based on copulas for the BGW
family, namely Spearman’s rho ($\rho$), Kendall’s tau ($\tau$), Blest’s
measure ($B$), and Spearman’s footrule coefficients ($\phi$). For definitions
and important properties, once may refer to Nelsen (1998, 2006) and Genest and
Plante (2003).
The following result is due to Dolati et al. (2014), Mirhosseini et al.
(2015), and Pathak and Vellaisamy (2020).
###### Proposition 5.1.
For the $\text{BGW}(a,b_{1},b_{2},\theta)$ family
$\displaystyle\rho(X,Y)$
$\displaystyle=9-12\theta^{2}\sum_{j=0}^{\infty}(-1)^{j}\binom{\theta}{j}\big{[}B(\theta,j+1)\big{]}^{2},$
$\displaystyle\tau(X,Y)$ $\displaystyle=1+4\theta
B(2,2\theta+1)\big{(}\Psi(2)-\Psi(2\theta+1)\big{)},$ $\displaystyle\phi(X,Y)$
$\displaystyle=4-6\theta\sum_{j=0}^{\infty}\binom{\theta}{j}(-1)^{j}B(\theta,2j+1),$
and
$\displaystyle
B(X,Y)=8-24\theta^{2}\sum_{j=0}^{\infty}\binom{\theta}{j}(-1)^{j}B(\theta,j+1)\big{[}B(\theta,j+1)-B(2\theta,j+1)\big{]},$
where $B(a,b)$ is beta function and $\Psi$ denotes the digamma function
defined as $\Psi=\frac{d}{du}\ln\Gamma(u)$, where $\Gamma(u)$ is the gamma
function.
Now, we have the following interconnection between Spearman’s rho and
Kendall’s tau for the BGW family.
###### Theorem 5.1.
If $(X,Y)$ follows the $\mathrm{BGW}(a,b_{1},b_{2},\theta)$, the $\rho(X,Y)$
and $\tau(X,Y)$ are non-negative and $\rho(X,Y)>\tau(X,Y)$.
###### Proof.
For $0<\theta\leq 1$, Theorem 4.1 shows that BGW family is TP2. Therefore,
$\rho(X,Y)$ and $\tau(X,Y)$ are non-negative. TP2 property implies that $X$
and $Y$ are positively quadrant dependent. By an exercise of Proposition 2.3
of Capéraá and Genset (1993), we obtain that $\rho(X,Y)>\tau(X,Y)$. ∎
Next, we calculate tail dependence coefficients and derive the expression for
measure of regression dependence for the copula associated with BGW
distribution.
### 5.1. Tail dependence coefficient
Tail dependence coefficients, evaluate the amount of dependence on the tails
of a joint bivariate distribution and can describe the extremal dependence.
Let $C$ be a copula associated with a bivariate random vector $(X,Y)$. Then
the coefficients of lower-tail dependence ($\lambda_{L}(C)$) and upper-tail
dependence ($\lambda_{U}(C)$) are defined as (see Nelsen (2006), p. 214)
$\lambda_{L}(C)=\lim_{t\rightarrow 0^{+}}\frac{C(t,t)}{t},$
and
$\lambda_{U}(C)=\lim_{t\rightarrow 1^{-}}\frac{1-2t+C(t,t)}{1-t}.$
The range of tail dependences is between 0 to 1. If $\lambda_{L}(C)>0$, then
$X$ and $Y$ have lower-tail dependence and if $\lambda_{L}(C)=0$, then no
lower-tail dependence. Similarly, $\lambda_{U}(C)$ can also be interpreted.
For BGW family,
$\displaystyle\lambda_{L}(C)=$ $\displaystyle\lim_{t\rightarrow
0^{+}}\frac{2t-t\\{2-t^{\frac{1}{\theta}}\\}^{\theta}}{t}=2-2^{\theta},$
and
$\lambda_{U}(C)=\lim_{t\rightarrow
1^{-}}\frac{1-\left\\{1-\left(1-t^{\frac{1}{\theta}}\right)\right\\}^{\theta}}{1-t}=0.$
Hence, the BGW family have lower-tail dependence but no upper-tail dependence.
### 5.2. A measure of regression dependence
A measure of regression dependence between two random variables $X$ and $Y$ in
terms of copula $C$ is defined as (see Dette et al. (2013))
$r(X,Y)=6\int_{0}^{1}\int_{0}^{1}\left(\frac{\partial}{\partial
s}C(s,t)\right)^{2}ds~{}dt-2.$ (5.3)
The range $r(X,Y)$ is in $[0,1]$. $r(X,Y)=1$ if and only if $Y=h(X)$ for some
Borel measurable function $h$, and $r(X,Y)=0$ if and only if $X$ and $Y$ are
independent.
###### Theorem 5.2.
Let $X$ and $Y$ be bivariate random variables with distribution belonging to
the family of $\text{BGW}(a,b_{1},b_{2},\theta)$. Then
$r(X,Y)=4+6\theta^{2}\sum_{j=0}^{\infty}\binom{\theta-1}{j}{(-1)^{j}}\left[{B(2-\theta,j+1)}B(\theta,j+3)-2B(1,j+1)B(\theta,j+2)\right].$
###### Proof.
Appendix is given in Appendix. ∎
Figure 2. Copula based measures of dependence for different parameter values.
We plot the numerical values of $\rho(X,Y)$, $\tau(X,Y)$, $\phi(X,Y)$,
$B(X,Y)$, and $r(X,Y)$ for different values of copula parameter in Figure 2 to
demonstrate the dependence structure. From Figure 2, we see that these
measures exhibit non-negative values, which correspond to the PQD of the
copula. Also, as the parameter $\theta$ tends to 1, the values of these
measures approach to zero, which supports the independence of $X$ and $Y$.
## 6\. Estimation of parameter
In this section, we consider the problem of estimation of unknown parameters
$a$, $b_{1}$, $b_{2}$ and $\theta$ for the BGW distribution using maximum
likelihood and Bayesian approach. First, we obtain the maximum likelihood
estimates (MLEs) of the unknown parameters.
### 6.1. Maximum Likelihood Estimation
Let $\\{(x_{1},y_{1}),(x_{2},y_{2}),\ldots,(x_{n},y_{n})\\}$ be a sample of
size $n$ from BGW($a,b_{1},b_{2},\theta$) distribution. The likelihood
function based on this sample and density function given in (2.4) is defined
as
$L(\Theta|\boldsymbol{x},\boldsymbol{y})=\prod_{i=1}^{n}f(x_{i},y_{i};\psi),$
where $\boldsymbol{x}=(x_{1},x_{2},\ldots,x_{n})$ and
$\boldsymbol{y}=(y_{1},y_{2},\ldots,y_{n})$ are realizations of
$\boldsymbol{X}$ and $\boldsymbol{Y}$, respectively, and
$\Theta=(a,b_{1},b_{2},\theta).$ Now, the log-likelihood function is defined
as
$\displaystyle\ln L(\Theta|\boldsymbol{x},\boldsymbol{y})=$ $\displaystyle
n\left(\ln\theta+2\ln a+\ln b_{1}+\ln
b_{2}\right)+(a-1)\sum_{i=1}^{n}\left(\ln x_{i}+\ln
y_{i}\right)-\sum_{i=1}^{n}Z(x_{i},y_{i};\psi)$
$\displaystyle+(\theta-2)\sum_{i=1}^{n}\ln\left(1-e^{-Z(x_{i},y_{i};\psi)}\right)+\sum_{i=1}^{n}\ln\left(1-\theta
e^{-Z(x_{i},y_{i};\psi)}\right).$ (6.1)
In order to find the MLEs of $\Theta=(a,b_{1},b_{2},\theta),$ we differentiate
(6.1) with respect to $a,b_{1},b_{2},\theta$ and equate them to 0. The normal
equations after differentiation (6.1), are given as
$\displaystyle\dfrac{2n}{a}+\sum_{i=1}^{n}(\ln x_{i}+\ln
y_{i})-\sum_{i=1}^{n}\left(b_{1}x_{i}^{a}\ln x_{i}+b_{2}y_{i}^{a}\ln
y_{i}\right)+(\theta-2)\sum_{i=1}^{n}\dfrac{e^{-Z(x_{i},y_{i};\psi)}\left(b_{1}x_{i}^{a}\ln
x_{i}+b_{2}y_{i}^{a}\ln y_{i}\right)}{1-e^{-Z(x_{i},y_{i};\psi)}}$
$\displaystyle\qquad\quad+\theta\sum_{i=1}^{n}\dfrac{e^{-Z_{(}x_{i},y_{i};\psi)}\left(b_{1}x_{i}^{a}\ln
x_{i}+b_{2}y_{i}^{a}\ln y_{i}\right)}{1-\theta e^{-Z_{(}x_{i},y_{i};\psi)}}=0$
$\displaystyle\dfrac{n}{b_{1}}-\sum_{i=1}^{n}x_{i}^{a}+(\theta-2)\sum_{i=1}^{n}\dfrac{x_{i}^{a}e^{-Z(x_{i},y_{i};\psi)}}{1-e^{-Z(x_{i},y_{i};\psi)}}+\theta\sum_{i=1}^{n}\dfrac{x_{i}^{a}e^{-Z(x_{i},y_{i};\psi)}}{1-\theta
e^{-Z(x_{i},y_{i};\psi)}}=0$
$\displaystyle\dfrac{n}{b_{2}}-\sum_{i=1}^{n}y_{i}^{a}+(\theta-2)\sum_{i=1}^{n}\dfrac{y_{i}^{a}e^{-Z(x_{i},y_{i};\psi)}}{1-e^{-Z(x_{i},y_{i};\psi)}}+\theta\sum_{i=1}^{n}\dfrac{y_{i}^{a}e^{-Z(x_{i},y_{i};\psi)}}{1-\theta
e^{-Z(x_{i},y_{i};\psi)}}=0$
$\displaystyle\dfrac{n}{\theta}+\sum_{i=1}^{n}\ln(1-e^{-Z(x_{i},y_{i};\psi)})+\sum_{i=1}^{n}\dfrac{e^{-Z(x_{i},y_{i};\psi)}}{1-\theta
e^{-Z(x_{i},y_{i};\psi)}}=0.$
We see that normal equations are complex in nature and the manual solution of
these equations is very tedious and quite cumbersome. So, we tend to
computational aid to find out the MLEs of unknown parameters.
### 6.2. Bayesian Estimation
In this section, we will obtain Bayes estimators of the unknown quantities of
BGW distribution. For this purpose, we consider independent gamma priors for
parameters $a$, $b_{1}$, $b_{2}$ i.e., $\pi(a)\sim
Gamma(\delta_{1},\zeta_{1}),$ $\pi(b_{1})\sim Gamma(\delta_{2},\zeta_{2}),$
$\pi(b_{2})\sim Gamma(\delta_{3},\zeta_{3})$ and beta prior for $\theta$ i.e.,
$\pi(\theta)\sim Beta(\delta_{4},\zeta_{4}).$ The joint posterior distribution
of $\Theta$ is given as
$\pi(\Theta|\boldsymbol{x},\boldsymbol{y})\propto
L(\Theta|\boldsymbol{x},\boldsymbol{y})\pi(\Theta).$ (6.2)
Now, according to our problem, equation (6.2) reduces to
$\displaystyle\pi(\Theta|\boldsymbol{x},\boldsymbol{y})\propto$
$\displaystyle\theta^{n+\delta_{4}-1}a^{2n+\delta_{1}-1}b_{1}^{n+\delta_{2}-1}b_{2}^{n+\delta_{3}-1}e^{-\zeta_{1}a}e^{-\zeta_{2}b_{1}}e^{-\zeta_{3}b_{2}}(1-\theta)^{\zeta_{4}-1}$
$\displaystyle\prod_{i=1}^{n}\left((x_{i}y_{i})^{a-1}e^{-Z(x_{i},y_{i};\psi)}(1-e^{-Z(x_{i},y_{i};\psi)})^{\theta-2}(1-\theta
e^{-Z(x_{i},y_{i};\psi)})\right),$
$\displaystyle\qquad\qquad\qquad\qquad\qquad\qquad
a>0,~{}b_{1}>0,~{}b_{2}>0,~{}\theta\in(0,1].$ (6.3)
Further, we consider an asymmetric loss function called general entropy loss
function i.e.,
$l(\delta,\lambda)\propto\left(\dfrac{\delta}{\lambda}\right)^{c}-c\ln\left(\dfrac{\delta}{\lambda}\right)-1,\qquad
c\neq 0$
with corresponding Bayes estimator as
$\delta_{GE}=\left[E(\lambda^{-c})\right]^{-1/c}.$
We see joint posterior density defined in (6.2) has a complex nature and
finding out its expected value is again tedious. So, manually, it is quite
impossible to obtain the Bayes estimators of the unknown quantities. But, we
can employ the Markov chain Monte Carlo (MCMC) technique to find the
approximate Bayes estimates with the aid of marginal posterior densities. The
marginal posterior densities are calculated as
$\displaystyle\pi(a|b_{1},b_{2},\theta,\boldsymbol{x},\boldsymbol{y})\propto$
$\displaystyle a^{2n+\delta_{1}-1}e^{-\zeta_{1}a}$
$\displaystyle\prod_{i=1}^{n}\left((x_{i}y_{i})^{a-1}e^{-Z(x_{i},y_{i};\psi)}(1-e^{-Z(x_{i},y_{i};\psi)})^{\theta-2}(1-\theta
e^{-Z(x_{i},y_{i};\psi)})\right),$
$\displaystyle\pi(b_{1}|a,b_{2},\theta,\boldsymbol{x},\boldsymbol{y})\propto$
$\displaystyle b_{1}^{n+\delta_{2}-1}e^{-\zeta_{2}b_{1}}$
$\displaystyle\prod_{i=1}^{n}\left(e^{-Z(x_{i},y_{i};\psi)}(1-e^{-Z(x_{i},y_{i};\psi)})^{\theta-2}(1-\theta
e^{-Z(x_{i},y_{i};\psi)})\right),$
$\displaystyle\pi(b_{2}|a,b_{1},\theta,\boldsymbol{x},\boldsymbol{y})\propto$
$\displaystyle b_{2}^{n+\delta_{3}-1}e^{-\zeta_{3}b_{2}}$
$\displaystyle\prod_{i=1}^{n}\left(e^{-Z(x_{i},y_{i};\psi)}(1-e^{-Z(x_{i},y_{i};\psi)})^{\theta-2}(1-\theta
e^{-Z(x_{i},y_{i};\psi)})\right),$
$\displaystyle\pi(\theta|a,b_{1},b_{2},\boldsymbol{x},\boldsymbol{y})\propto$
$\displaystyle\theta^{n+\delta_{4}-1}\left(1-\theta\right)^{\zeta_{4}-1}\prod_{i=1}^{n}\left((1-e^{-Z(x_{i},y_{i};\psi)})^{\theta-2}(1-\theta
e^{-Z(x_{i},y_{i};\psi)})\right).$
We see that the marginal posterior densities of parameters do not acquire any
closed form of known distribution, so, generation of random samples from these
densities is not simple. To tackle this situation, we employ the technique of
MCMC with the aid of Metropolis-Hasting algorithm (See Gelman et al. (2013),
Arshad et al. (2021), and Azhad et al. (2021)).
(i):
Initiate with prefixed value of $(a,b_{1},b_{2},\theta)$ as
$(a^{0},b_{1}^{0},b_{2}^{0},\theta^{0}).$
(ii):
Set j=1.
(iii):
Generate $a^{j},$ $b_{1}^{j},$ $b_{2}^{j}$ and $\theta^{j}$ from their
respective marginal posterior densities given in Section 6.2 by employing
Metropolis-Hasting algorithm and using initial values given in step (i).
(iv):
Repeat (ii)-(iii) for $j=1,2,\ldots\ldots,T$ times and obtain the generated
samples of $a,$ $b_{1},$ $b_{2},$ and $\theta.$
Now, the Bayes estimator, $\delta_{BE},$ can be found by using the following
result
$\delta_{BE}=\left(\dfrac{1}{T-N}\sum_{j=1}^{T-N}(\delta^{j})^{-c}\right)^{-1/c},$
where $N$ is the burn-in period.
## 7\. Simulation Study
In this section, a simulation study is conducted to exhibit the performances
of derived various estimators under the paradigm of classical and Bayesian. We
have obtained maximum likelihood estimators and MCMC Bayes estimators for
unknown quantities. The performances of these estimators are measured based on
the criteria of mean squared errors (MSE). In addition to that we have also
provided the biases of the estimators. To obtain the MSEs and biases, we
employ the Monte Carlo technique. The process is repeated 1000 times to
observe the behaviour of estimators. These results are calculated for
different configurations of the parameters and sample sizes. We have used the
R software (R Core Team (2020)) for the calculation of the results. The
results are calculated and reported in the Tables [1-3]. Table [1] shows the
biases and MSEs of Bayes estimates of the parameters $a$, $b_{1},$ $b_{2},$
$\theta$ for $(\delta_{i},\zeta_{i})=(1.5,1.5),$ $i=1,2,3,4$,
$n=\\{10,20,30,40\\},$ and $c=\\{0.5,1\\}.$ Table [2] shows the biases and
MSEs of Bayes estimates of the parameters $a$, $b_{1},$ $b_{2},$ $\theta$ for
$(\delta_{i},\zeta_{i})=(2,2)$, $i=1,2,3,4$, $n=\\{10,20,30,40\\},$ and
$c=\\{0.5,1\\}.$ The Markov chain is run for 10,000 times with the burn in
period of 2000. Table [3] represents the biases and MSEs of maximum likelihood
estimates of the parameters $a$, $b_{1},$ $b_{2},$ $\theta$ for different
configurations. From all these tables, we observe that biases can not be used
to observe the performances of the estimators as their behaviour is not
consistent for all estimates. Whereas, we observe that MSEs are exhibiting a
better picture for the performances of estimators. So, from Table [1-3], we
conclude that MCMC Bayes estimates are performing better than MLE in most of
the scenarios. Also, the behaviour of generated samples using MCMC is depicted
in Figures [3-6]. These figures exhibits trace plot of each generated sample
of unknown quantity.
Table 1. Bias and mean squared error (MSE) of Bayes estimators for $(\delta_{i},\zeta_{i})=(1.5,1.5)$ for $i=1,2,3,4$. $n$ | $(a,b_{1},b_{2},\theta)$ | Bias | MSE
---|---|---|---
$a$ | $b_{1}$ | $b_{2}$ | $\theta$ | $a$ | $b_{1}$ | $b_{2}$ | $\theta$
$c=0.5$
10 | (2,1.5,1.5,0.5) | 0.5429 | 0.3750 | 0.3853 | 0.1057 | 0.4742 | 0.2207 | 0.2008 | 0.0169
20 | 0.5389 | 0.3525 | 0.3237 | 0.0974 | 0.4710 | 0.2056 | 0.1505 | 0.0142
30 | 0.5326 | 0.3363 | 0.2993 | 0.0903 | 0.4520 | 0.1926 | 0.1340 | 0.0126
40 | 0.5094 | 0.3020 | 0.2767 | 0.0820 | 0.3841 | 0.1555 | 0.1150 | 0.0102
10 | (2,2,1.5,0.5) | 0.5317 | 0.4573 | 0.4398 | 0.1079 | 0.5331 | 0.3147 | 0.2537 | 0.0176
20 | 0.5285 | 0.4249 | 0.3486 | 0.0998 | 0.4633 | 0.3081 | 0.1733 | 0.0148
30 | 0.5202 | 0.4269 | 0.3155 | 0.0932 | 0.4398 | 0.3022 | 0.1485 | 0.0133
40 | 0.4837 | 0.4034 | 0.2889 | 0.0847 | 0.3985 | 0.2840 | 0.1243 | 0.0110
10 | (2.5,2,1.5,0.5) | 0.6779 | 0.4692 | 0.3722 | 0.1016 | 0.7165 | 0.3106 | 0.1964 | 0.0154
20 | 0.6676 | 0.4446 | 0.3299 | 0.1018 | 0.6456 | 0.3142 | 0.1609 | 0.0150
30 | 0.6317 | 0.4480 | 0.2993 | 0.0975 | 0.6445 | 0.3283 | 0.1324 | 0.0133
40 | 0.4744 | 0.4242 | 0.2743 | 0.0925 | 0.4562 | 0.3165 | 0.1141 | 0.0121
$c=1$
10 | (2,1.5,1.5,0.5) | 0.5275 | 0.3810 | 0.4343 | 0.1114 | 0.4513 | 0.2089 | 0.2473 | 0.0185
20 | 0.5266 | 0.3468 | 0.3447 | 0.1008 | 0.4381 | 0.2088 | 0.1670 | 0.0150
30 | 0.5236 | 0.3312 | 0.3108 | 0.0930 | 0.4257 | 0.1844 | 0.1418 | 0.0132
40 | 0.4813 | 0.2984 | 0.2837 | 0.0844 | 0.3734 | 0.1504 | 0.1196 | 0.0106
10 | (2,2,1.5,0.5) | 0.5225 | 0.4894 | 0.4982 | 0.1145 | 0.4987 | 0.3375 | 0.3138 | 0.0193
20 | 0.5168 | 0.4194 | 0.3752 | 0.1034 | 0.4487 | 0.3007 | 0.1960 | 0.0157
30 | 0.5040 | 0.4185 | 0.3307 | 0.0963 | 0.4180 | 0.2930 | 0.1603 | 0.0140
40 | 0.4583 | 0.3969 | 0.2980 | 0.0872 | 0.3875 | 0.2712 | 0.1313 | 0.0115
10 | (2.5,2,1.5,0.5) | 0.6664 | 0.4977 | 0.4213 | 0.1066 | 0.6850 | 0.3427 | 0.2417 | 0.0168
20 | 0.6524 | 0.4410 | 0.3485 | 0.1057 | 0.6282 | 0.3111 | 0.1755 | 0.0159
30 | 0.6114 | 0.4396 | 0.3091 | 0.1006 | 0.6199 | 0.3033 | 0.1393 | 0.0140
40 | 0.4508 | 0.4167 | 0.2808 | 0.0953 | 0.4184 | 0.3024 | 0.1182 | 0.0126
Table 2. Bias and mean squared error (MSE) of Bayes estimators for $(\delta_{i},\zeta_{i})=(2,2)$ for $i=1,2,3,4$. $n$ | $(a,b_{1},b_{2},\theta)$ | Bias | MSE
---|---|---|---
$a$ | $b_{1}$ | $b_{2}$ | $\theta$ | $a$ | $b_{1}$ | $b_{2}$ | $\theta$
$c=0.5$
10 | (2,1.5,1.5,0.5) | 0.5078 | 0.3330 | 0.3743 | 0.0928 | 0.4086 | 0.1642 | 0.1862 | 0.0137
20 | 0.4963 | 0.3129 | 0.3095 | 0.0891 | 0.4078 | 0.1616 | 0.1385 | 0.0118
30 | 0.4960 | 0.3043 | 0.2805 | 0.0835 | 0.3643 | 0.1539 | 0.1145 | 0.0107
40 | 0.4290 | 0.2799 | 0.2626 | 0.0789 | 0.3468 | 0.1317 | 0.1019 | 0.0095
10 | (2,2,1.5,0.5) | 0.4989 | 0.4647 | 0.4358 | 0.0959 | 0.3750 | 0.3046 | 0.2405 | 0.0141
20 | 0.4890 | 0.3655 | 0.3405 | 0.0930 | 0.3703 | 0.2257 | 0.1605 | 0.0132
30 | 0.4684 | 0.3747 | 0.3042 | 0.0873 | 0.3390 | 0.2180 | 0.1319 | 0.0114
40 | 0.3887 | 0.3609 | 0.2734 | 0.0810 | 0.3161 | 0.2120 | 0.1081 | 0.0098
10 | (2.5,2,1.5,0.5) | 0.6248 | 0.4635 | 0.3663 | 0.0937 | 0.5612 | 0.2966 | 0.1811 | 0.0130
20 | 0.5938 | 0.3938 | 0.3094 | 0.0898 | 0.5361 | 0.2423 | 0.1371 | 0.0115
30 | 0.5493 | 0.3932 | 0.2783 | 0.0881 | 0.5016 | 0.2355 | 0.1115 | 0.0112
40 | 0.3742 | 0.3755 | 0.2570 | 0.0870 | 0.2841 | 0.2310 | 0.1002 | 0.0112
$c=1$
10 | (2,1.5,1.5,0.5) | 0.4990 | 0.3431 | 0.4215 | 0.0981 | 0.3891 | 0.1711 | 0.2275 | 0.0150
20 | 0.4855 | 0.3107 | 0.3314 | 0.0923 | 0.3810 | 0.1584 | 0.1554 | 0.0126
30 | 0.4809 | 0.3004 | 0.2934 | 0.0861 | 0.3518 | 0.1481 | 0.1230 | 0.0112
40 | 0.4069 | 0.2772 | 0.2703 | 0.0812 | 0.3366 | 0.1278 | 0.1076 | 0.0099
10 | (2,2,1.5,0.5) | 0.4901 | 0.5046 | 0.4897 | 0.1017 | 0.3628 | 0.3482 | 0.2926 | 0.0156
20 | 0.4782 | 0.3706 | 0.3686 | 0.0968 | 0.3518 | 0.2177 | 0.1834 | 0.0141
30 | 0.4532 | 0.3683 | 0.3205 | 0.0903 | 0.3291 | 0.2116 | 0.1443 | 0.0120
40 | 0.3678 | 0.3558 | 0.2841 | 0.0835 | 0.2908 | 0.2093 | 0.1157 | 0.0103
10 | (2.5,2,1.5,0.5) | 0.6137 | 0.5036 | 0.4152 | 0.0975 | 0.5312 | 0.3414 | 0.2234 | 0.0138
20 | 0.5798 | 0.3969 | 0.3305 | 0.0928 | 0.5192 | 0.2372 | 0.1537 | 0.0127
30 | 0.5308 | 0.3884 | 0.2903 | 0.0918 | 0.4820 | 0.2337 | 0.1198 | 0.0119
40 | 0.3601 | 0.3702 | 0.2655 | 0.0909 | 0.2633 | 0.2216 | 0.1051 | 0.0118
Table 3. Bias and mean squared error (MSE) of maximum likelihood estimators. $n$ | $(a,b_{1},b_{2},\theta)$ | Bias | MSE
---|---|---|---
$a$ | $b_{1}$ | $b_{2}$ | $\theta$ | $a$ | $b_{1}$ | $b_{2}$ | $\theta$
10 | (2,1.5,1.5,0.5) | 0.9675 | 0.4735 | 0.3771 | 0.0559 | 0.6315 | 0.4462 | 0.4651 | 0.0470
20 | 0.7561 | 0.3918 | 0.1813 | 0.0541 | 0.5806 | 0.3536 | 0.3117 | 0.0225
30 | 0.6650 | 0.3127 | 0.1042 | 0.0513 | 0.5284 | 0.3046 | 0.2285 | 0.0159
40 | 0.6263 | 0.2607 | 0.0678 | 0.0309 | 0.4770 | 0.2494 | 0.1809 | 0.0127
10 | (2,2,1.5,0.5) | 0.9681 | 0.5318 | 0.3779 | 0.0559 | 0.6314 | 0.3966 | 0.4664 | 0.0470
20 | 0.7562 | 0.6157 | 0.1820 | 0.0541 | 0.5806 | 0.2861 | 0.3131 | 0.0225
30 | 0.6650 | 0.5619 | 0.1042 | 0.0513 | 0.5284 | 0.2407 | 0.2284 | 0.0159
40 | 0.6263 | 0.5278 | 0.0678 | 0.0310 | 0.4770 | 0.2300 | 0.1809 | 0.0127
10 | (2.5,1.5,1.5,0.5) | 0.9880 | 0.4605 | 0.4617 | 0.0662 | 0.4001 | 0.4383 | 0.4563 | 0.0480
20 | 0.9259 | 0.3527 | 0.2681 | 0.0643 | 0.3788 | 0.3468 | 0.3437 | 0.0253
30 | 0.8561 | 0.2724 | 0.1863 | 0.0608 | 0.3716 | 0.2935 | 0.2549 | 0.0176
40 | 0.8109 | 0.2241 | 0.1477 | 0.0439 | 0.3395 | 0.2395 | 0.2036 | 0.0144
10 | (2.5,2,1.5,0.5) | 0.9867 | 0.4779 | 0.4639 | 0.0662 | 0.4001 | 0.3955 | 0.4555 | 0.0480
20 | 0.9256 | 0.5408 | 0.2680 | 0.0643 | 0.3789 | 0.2767 | 0.3453 | 0.0253
30 | 0.8562 | 0.5332 | 0.1864 | 0.0608 | 0.3711 | 0.2495 | 0.2552 | 0.0176
40 | 0.8109 | 0.4979 | 0.1476 | 0.0440 | 0.3381 | 0.2211 | 0.2038 | 0.0144
Figure 3. Trace Plot of $a$
Figure 4. Trace Plot of $b_{1}$
Figure 5. Trace Plot of $b_{2}$
Figure 6. Trace of $\theta$
## 8\. A real application
In this section, we consider the American Football (National Football League)
League data set, reported in Jamalizadeh and Kundu (2013). In this data, the
variable $X$ represents the game time to the first points scored by kicking
the ball between goal posts and $Y$ represents the ‘game time’ by moving the
ball into the end zone. We first calculate descriptive statistics and some
basic measures of dependence, namely Pearsons’s correlation coefficient,
Spearman’s rho, Kendall’s tau, Blest’s measure and Spearman’s footrule
coefficient for the considered data set. The values of these quantities are
reported in Table 4. The calculated values of Pearsons’s correlation
coefficient, Spearman’s rho, Kendall’s tau, Blest’s measure and Spearman’s
footrule coefficient are 0.7226, 0.8038, 0.6802, 0.6171 and 0.8276,
respectively, which clearly exhibits a positive associative in considered
data.
Table 4. Descriptive statistics and measures of dependence of the American Football data. | Statistics
---
| X
---
| Y
Minimum | 0.7500 | | 0.7500
Maximum | 32.4500 | | 49.8800
1st Quantile | 4.2280 | | 6.4230
Mean | 9.0740 | | 13.4250
Median | 7.5150 | | 9.9150
3rd Quantile | 11.4350 | | 14.9550
Skewness | 1.6664 | | 1.6750
Kurtosis | 6.3692 | | 5.1236
Standard deviation | 6.8359 | | 12.3285
Pearson’s correlation | | 0.7226 |
Spearman’s rho | | 0.8038 |
Kendall’s tau | | 0.6802 |
Spearman’s footrule coeff. | | 0.6171 |
Blest’s measure | | 0.8276 |
To show the applicability of the result, we have to check whether the dataset
$X$ and $Y$ support assumed families of distributions. For this purpose, we
consider Kolmogorov-Smirnov (KS) test and find out that $X$ supports
exponentiated Weibull distribution for $a=1.0606,$ $b_{1}=0.9958$ and
$\theta=0.9999$ with $p$-value as 0.4765 and KS distance as 0.1301. In a
similar manner, we find that $Y$ supports exponentiated Weibull distribution
for $a=1.2429$ , $b_{1}=0.8123,$ and $\theta=0.9983$ with $p$-value as 0.3802
and KS distance as 0.13646. These results can easily be visualized graphically
in Figure [7-8]. Now, after discussing the fitting of marginals to this data.
We consider the fitting for BGW distribution and compare the proposed model
from submodels of BGW distribution. The considered submodels are bivariate
generalized Rayleigh (BGR) distribution and bivariate generalized exponential
(BGE) distribution. The considered data set is used by Jamalizadeh and Kundu
(2013) to show the application of their proposed weighted Marshall-Olkin
bivariate exponential distribution (WMOBE) with the Marshall-Olkin bivariate
Weibull (MOBW) (Jamalizadeh and Kundu (2013)) distribution. The authors
concluded that WMOBE provides a better fit over the MOBW. In this article, we
consider the same dataset to show that our proposed model provides a better
fit over WMOBE and MOBW distribution. The comparison is made based on the log-
likelihood function, Akaike information criteria (AIC), and Bayesian
information criteria (BIC). The values of AIC is calculated by $2p-2\ln L$,
and BIC is calculated by $p\ln n-2\ln L,$ where $p$ is the number of
parameters, $n$ is the number of observations and $L$ is the maximum value of
the likelihood. Table [5] presents estimates and other quantities of the data
with respect to models. From this table, we infer that BGW distribution has
the minimum value of the AIC and BIC, and maximum value of log-likelihood. So,
with respect to these findings, we conclude that the considered dataset
supports BGW distribution best among other distributions. The estimates of the
unknown parameters given in Table [5] are MLEs. Now we calculate the MCMC
estimates of the parameters of BGW distribution. The results are calculated
and reported in Table [6].
Figure 7. Fitted CDF Plot of $X$
Figure 8. Fitted CDF Plot of $Y$
Table 5. Parameter estimates with log-likelihood and AIC values Distribution | Estimates | LL | AIC | BIC
---|---|---|---|---
BGW | $a=3.9834,~{}b_{1}=0.0150,~{}b_{2}=0.0059,~{}\theta=0.1701$ | -65.2343 | 138.4686 | 145.4193
BGE | $b_{1}=1.0331,~{}b_{2}=0.7082,~{}\theta=0.9160$ | -91.9866 | 189.9732 | 198.5239
BGR | $b_{1}=0.3161,~{}b_{2}=0.1662,\theta=0.4071$ | -73.0684 | 152.1368 | 157.3498
WMOBE | $\lambda_{1}=0.5996,~{}\lambda_{2}=0.0346,~{}\theta=0.8639,~{}\alpha=2.5302$ | -85.4447 | 178.8894 | 185.8401
MOBW | $\lambda_{1}=1.2889,~{}\lambda_{2}=0.5761,~{}\theta=0.4297,~{}\alpha=0.0244$ | -90.4169 | 188.8338 | 195.7845
Table 6. MCMC Bayes estimates of parameters for Prior $(\delta_{i},\zeta_{i})$, $i=1,2,3,4$ Prior | $c$ | | MCMC Bayes Estimates | |
---|---|---|---|---|---
$a$ | $b_{1}$ | $b_{2}$ | $\theta$
| -1 | 2.5649 | 0.1864 | 0.0882 | 0.3235
| -0.5 | 2.5511 | 0.1709 | 0.0798 | 0.3184
$(1.5,1.5)$ | 0.5 | 2.523 | 0.1424 | 0.0647 | 0.3087
| 1 | 2.5087 | 0.1298 | 0.0582 | 0.304
| 1.5 | 2.4943 | 0.1184 | 0.0524 | 0.2995
| -1 | 2.3968 | 0.2369 | 0.1164 | 0.3551
| -0.5 | 2.3827 | 0.2209 | 0.1072 | 0.3501
$(2,2)$ | 0.5 | 2.3551 | 0.1855 | 0.0872 | 0.3403
| 1 | 2.3416 | 0.1659 | 0.076 | 0.3355
| 1.5 | 2.3284 | 0.1457 | 0.0642 | 0.3307
Since $\text{BGE}(b_{1},b_{2},\theta)$ and $\text{BGR}(b_{1},b_{2},\theta)$
reported in (2.5) and (2.6), respectively, are sub-models of
$\text{BGW}(a,b_{1},b_{2},\theta)$. We consider the test of the following
hypothesis:
(i) $H_{0}:a=1~{}\text{(BGE})$ against $H_{1}:a\neq 1~{}\text{(BGW})$ and (ii)
$H_{0}^{*}:a=2~{}\text{(BGR})$ against $H_{1}^{*}:a\neq 2~{}\text{(BGW})$ and
carry out the likelihood ratio tests. The log-likelihood ratio test statistic
value for (i) hypothesis is $-2[\ln L_{BGE}-\ln L_{BGW}]=53.5046$ with
corresponding $p$-value approximately zero. Further, for (ii) hypothesis,
$-2[\ln L_{BGR}-\ln L_{BGW}]=15.6682$ with corresponding $p$-value 0.00007.
Considering the values of test statistic and associated $p$-values, we
conclude that BGW distribution provides a better fit over the BGE and BGR
distribution for the considered data set.
## Conclusions
This article presents a novel absolute continuous bivariate generalized
Weibull (BGW) distribution. The univariate marginals of this distribution are
exponentiated Weibull distributions. The proposed model has bivariate
generalized exponential (BGE) (see Mirhosseini et al. (2015)) and bivariate
generalized Rayleigh distribution (see Pathak and Vellaisamy (2021)) as sub-
models for specific values of parameters. Several properties of the BGW
distribution are presented such as distribution function, survival function,
density function etc. Results pertaining to product moments of the
distribution are given which are further reduced for the sub-models of the
distributions. For reliability and lifetime analysis, the notion of dependence
is discussed with the aid of positive quadrant dependence, regression
dependence, stochastic increasing, totally positivity of order 2, etc. Apart
from that, various dependence measures are provided for the BGW model e.g.,
copula based dependence, tail coefficient dependence and regression
dependence. The authors have also considered estimation of unknown parameters
under classical and Bayesian paradigm. For the computational part, a rigorous
simulation study is conducted to observe the behaviour of estimates of the
parameters using mean squared error criteria. Finally, we have also shown that
BGW distribution works well in real data application.
## 9\. Appendix
###### Proof of Theorem 3.2.
We have
$\displaystyle E(Y|X=x)$
$\displaystyle=\int_{0}^{\infty}yf(y|x)dy=\frac{1}{f_{X}(x)}\int_{0}^{\infty}yf(x,y)dy.$
(9.1)
Using (2.7) in (9.1), we get
$\displaystyle E(Y|X=x)$
$\displaystyle=\frac{1}{f_{X}(x)}\int_{0}^{\infty}a^{2}b_{1}b_{2}x^{a-1}y^{a}\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}j^{2}e^{-j\left(b_{1}x^{a}+b_{2}y^{a}\right)}dy.$
Due to absolute integrability of the summand, we can interchange summation and
integration. Hence, we get
$\displaystyle E(Y|X=x)$
$\displaystyle=\frac{ab_{1}x^{a-1}}{f_{X}(x)}\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}j^{2}e^{-jb_{1}x^{a}}\left\\{\int_{0}^{\infty}ab_{2}y^{a}e^{-jb_{2}y^{a}}dy\right\\}.$
Evaluation of integral inside the bracket completes the proof of Theorem 3.2.
∎
###### Proof of Theorem 3.3.
Product moment in terms of density is defined as
$E(X^{r}Y^{s})=\int_{0}^{\infty}\int_{0}^{\infty}x^{r}y^{s}f(x,y)dxdy.$ (9.2)
Putting $f(x,y)$ from (2.7) in (9.2), we get
$E(X^{r}Y^{s})=\int_{0}^{\infty}\int_{0}^{\infty}x^{r}y^{s}a^{2}b_{1}b_{2}x^{a-1}y^{b-1}\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}j^{2}e^{-j\left(b_{1}x^{a}+b_{2}y^{a}\right)}dxdy.$
(9.3)
Due to absolute integrability of the summand, we can interchange summation and
integration. Therefore
$E(X^{r}Y^{s})=\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}j^{2}~{}L_{1}L_{2},$
where
$L_{1}=\int_{0}^{\infty}\displaystyle
ab_{1}x^{r+a-1}e^{-j{b_{1}}x^{a}}dx=\displaystyle\frac{\Gamma(1+r/a)}{j(b_{1}j)^{r/a}}$
and
$L_{2}=\int_{0}^{\infty}\displaystyle
ab_{2}y^{s+a-1}e^{-j{b_{2}}y^{a}}dy=\displaystyle\frac{\Gamma(1+s/a)}{j(b_{2}j)^{s/a}}.$
Hence the proof complete. ∎
###### Proof of Theorem 3.4.
(i) Since
$\displaystyle P(\min\\{X,Y\\}>s)$ $\displaystyle=P(X>s,Y>s)$
$\displaystyle=\sum_{k=1}^{\infty}\left[P(U_{i}>s)P(V_{i}>s)\right]^{k}P(K=k)$
$\displaystyle=h_{K}\left(e^{-\left(b_{1}t^{a}+b_{2}t^{a}\right)}\right)\;\;\;\;\;\;\;\hfill{(\text{Using~{}
eq~{}}(\ref{PG1}))}$
$\displaystyle=1-\left\\{1-e^{-(b_{1}+b_{2})t^{a}}\right\\}^{\theta},$
we have
$P(\min\\{X,Y\\}\leq s)=\left\\{1-e^{-(b_{1}+b_{2})s^{a}}\right\\}^{\theta},$
which establish the first part of the theorem.
(ii) We have
$P(X<Y)=\int_{0}^{\infty}\int_{0}^{y}f(x,y)dxdy.$ (9.4)
Using (2.7) in (9.4), we get
$\displaystyle P(X<Y)$
$\displaystyle=\int_{0}^{\infty}\int_{0}^{y}a^{2}b_{1}b_{2}x^{a-1}y^{b-1}\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}j^{2}e^{-j\left(b_{1}x^{a}+b_{2}y^{a}\right)}dxdy$
$\displaystyle=\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}j^{2}\int_{0}^{\infty}ab_{2}y^{a-1}e^{-j{b_{2}y^{a}}}\left\\{\int_{0}^{y}ab_{1}x^{a-1}e^{-jb_{1}x^{a}}dx\right\\}dy$
$\displaystyle=1-\sum_{j=1}^{\infty}\binom{\theta}{j}(-1)^{j+1}j\int_{0}^{\infty}ab_{2}y^{a-1}e^{-\displaystyle
j(b_{1}+b_{2})y^{a}}dy$ (9.5)
Integration of (9) and bit algebra leads to proof of the result. ∎
###### Proof of Theorem 5.2.
Differentiating equation (5.2) partially with respect to $s$, we get
$\frac{\partial}{\partial
s}C(s,t)=1-s^{\frac{1}{\theta}-1}\left(1-t^{\frac{1}{\theta}}\right)\left\\{1-\left(1-s^{\frac{1}{\theta}}\right)\left(1-t^{\frac{1}{\theta}}\right)\right\\}^{\theta-1}.$
(9.6)
Taking square of (9.6), we get
$\displaystyle\left(\frac{\partial}{\partial s}C(s,t)\right)^{2}=$
$\displaystyle
1+s^{2\left(\frac{1}{\theta}-1\right)})\left(1-t^{\frac{1}{\theta}}\right)^{2}\left\\{1-\left(1-s^{\frac{1}{\theta}}\right)\left(1-t^{\frac{1}{\theta}}\right)\right\\}^{2(\theta-1)}$
$\displaystyle-2s^{\frac{1}{\theta}-1}\left(1-t^{\frac{1}{\theta}}\right)\left\\{1-\left(1-s^{\frac{1}{\theta}}\right)\left(1-t^{\frac{1}{\theta}}\right)\right\\}^{\theta-1}$
(9.7)
The binomial series expansion of (9) leads to
$\displaystyle\left(\frac{\partial}{\partial s}C(s,t)\right)^{2}=$
$\displaystyle
1+\sum_{j=0}^{\infty}\binom{\theta-1}{j}(-1)^{j}s^{2\left(\frac{1}{\theta}-1\right)}\left(1-s^{\frac{1}{\theta}}\right)^{j}\left(1-t^{\frac{1}{\theta}}\right)^{j+2}$
$\displaystyle-2\sum_{j=0}^{\infty}\binom{\theta-1}{j}(-1)^{j}s^{\frac{1}{\theta}-1}\left(1-s^{\frac{1}{\theta}}\right)^{j}\left(1-t^{\frac{1}{\theta}}\right)^{j+1}.$
(9.8)
Putting (9) in (5.3) and after integrating with respect to $s$ and $t$, we get
the proof of the theorem. ∎
## References
* [1] Almalki, S. J. (2018). A reduced new modified Weibull distribution. Commun. Stat. Theory Methods, 47, 2297-2313.
* [2] Al-Mutairi, D. K., Ghitany, M. E., Kundu, D. (2018). Weighted Weibull distribution: bivariate and multivariate cases. Braz. J. Probab. Stat., 32, 20-43.
* [3] Alshangiti, A. M., Kayid, M., Alarfaj, B. (2014). A new family of Marshall-Olkin extended distributions. J. Comput. Appl. Math., 271, 369-379.
* [4] Arshad, M., Azhad, Q. J., Gupta, N., Pathak, A. K. (2021). Bayesian inference of unit Gompertz distribution based on dual generalized order statistics. To appear in Comm. Statist. Simulation Comput., DOI: doi.org/10.1080/03610918.2021.1943441.
* [5] Azhad, Q. J., Arshad, M., Khandelwal, N. (2021). Statistical inference of reliability in multicomponent stress strength model for Pareto distribution based on upper record values. To appear in Int. J. Model. Simul., DOI: doi.org/10.1080/02286203.2021.1891496.
* [6] Bahman, T., Mohammad, A. (2021). A new extension of Chen distribution with applications to lifetime data. Commun. Math. Stat., 9, 23-38.
* [7] Bai, X., Shi, Y., Ng, H. K. T., Liu, Y. (2020). Inference of accelerated dependent competing risks model for Marshall-Olkin bivariate Weibull distribution with nonconstant parameters. J. Comput. Appl. Math., 366, 112398, 19 pp.
* [8] Balakrishnan, N., Lai, C. D. (2009). Continuous bivariate distributions., Second ed. Springer, New York.
* [9] Barbiero, A. (2019). A bivariate count model with discrete Weibull margins. Math. Comput. Simulation, 156, 91–109.
* [10] Basu, A. P. (1971). Bivariate failure rate. J. Amer. Statist. Assoc., 66, 103-104.
* [11] Bebbington, M., Lai, C. D., Zitikis, R. (2007). A flexible Weibull extension. Reliab. Eng. Syst. Saf., 92, 719-726.
* [12] Capéraà, P., Genest, C. (1993). Spearman’s $\rho$ is larger than Kendall’s $\tau$ for positively dependent random variables. J. Nonparametr. Statist., 2, 183-194.
* [13] Dette, H., Siburg, K. F., Stoimenov, P. A. (2013). A copula-based non-parametric measure of regression dependence. Scand. J. Stat., 40, 21-41.
* [14] Dolati, A., Amini, M., Mirhosseini, S. M. (2014). Dependence properties of bivariate distributions with proportional (reversed) hazards marginals. Metrika, 77, 333-347.
* [15] Gelman, A., Stern, H. S., Carlin, J. B., Dunson, D. B., Vehtari, A., and Rubin, D. B. (2013). Bayesian data analysis. Third ed., CRC Press.
* [16] Gen, Y., Songjian, W. (2019). The gamma/Weibull customer lifetime model. Commun. Math. Stat., 7, 33-59.
* [17] Genest, C., Plante, J. F. (2003). On Blest’s measure of rank correlation. Canad. J. Statist., 31, 35-52.
* [18] Gongsin, I. E., Saporu, F. W. O. (2020). A bivariate conditional Weibull distribution with application. Afr. Mat., 31, 565-583.
* [19] Gupta, R. D., Kundu, D. (1999). Generalized exponential distributions. Aust. N. Z. J. Stat., 41, 173-188.
* [20] Hanagal, D. D. (1996). A multivariate Weibull distribution. Econ. Qual. Control., 11, 193-200.
* [21] Holland, P. W., Wang, Y. J. (1987). Dependence function for continuous bivariate densities. Commun. Stat. Theory Methods, 16, 863-876.
* [22] Jamalizadeh, A., Kundu, D. (2013). Weighted Marshall–Olkin bivariate exponential distribution. Statistics, 47, 917-928.
* [23] Johnson, N. L., Kotz, S. (1975). A vector valued multivariate hazard rate. J. Multivar. Anal., 5, 53-66.
* [24] Jose, K. K., Ristić, M. M., Joseph, A. (2011). Marshall-Olkin bivariate Weibull distributions and processes. Statist. Papers, 52, 789-798.
* [25] Kundu, D., Gupta, R. D. (2010). A class of absolutely continuous bivariate distributions. Stat. Methodol., 7 , 464-477.
* [26] Kundu, D., Gupta, A. K. (2014). On bivariate Weibull-geometric distribution. J. Multivariate Anal., 123, 19-29.
* [27] Lee, L. (1979). Multivariate distributions having Weibull properties. J. Multivariate Anal., 9, 267-277.
* [28] Lehmann, E. L. (1966). Some concepts of dependence. Ann. Math. Statist., 37, 1137-1153.
* [29] Lu, J. C., Bhattacharyya, G. K. (1990). Some new constructions of bivariate Weibull models.Ann. Inst. Statist. Math., 42, 543-559.
* [30] Marshall, A. W., Olkin, I. (1967). A generalized bivariate exponential distribution. J. Appl. Probability, 4, 291-302.
* [31] Marshall, A. W., Olkin, I. (1997). A new method for adding a parameter to a family of distributions with application to the exponential and Weibull families. Biometrika, 3, 641-652.
* [32] Mirhosseini, S. M., Amini, M., Kundu, D., Dolati, A. (2015). On a new absolutely continuous bivariate generalized exponential distribution. Stat. Methods Appl., 24, 61-83.
* [33] Mudholkar, G. S., Srivastava, D. K. (1993) Exponentiated Weibull Family for Analyzing Bathtub Failure-Rate Data. IEEE Trans. Reliab., 42, 299-302.
* [34] Nandi S, Dewan I. (2010). An EM algorithm for estimating the parameters of bivariate Weibull distribution under random censoring. Comput. Statist. Data Anal., 54, 1559-1569.
* [35] Nassar, M., Afify, A. Z., Dey, S., Kumar, D. (2018). A new extension of Weibull distribution: properties and different methods of estimation. J. Comput. Appl. Math., 336, 439-457.
* [36] Nelsen, R. B. (1998). Concordance and Gini’s measure of association. J. Nonparametr. Statist., 3, 227-238.
* [37] Nelsen, R. B. (2006). An Introduction to Copulas. Second ed., Springer, New York.
* [38] Park, S., Park, J. (2018). A general class of flexible Weibull distributions. Commun. Stat. Theory Methods, 73, 767-778.
* [39] Pathak, A. K., Vellaisamy, P. (2020) A bivariate generalized linear exponential distribution: properties and estimation. To appear in Comm. Statist. Simulation Comput., DOI: 10.1080/03610918.2020.1771591.
* [40] R Core Team (2020). R: A Language and Environment for Statistical Computing. R Foundation for Statistical Computing, Vienna, Austria.
* [41] Samanthi, R. G., Sepanski, J. (2019). A bivariate extension of the beta generated distribution derived from copulas. Commun. Stat. Theory Methods, 48, 1043-1059.
* [42] Sarabia, M.J., Emilio, G.D. (2008). Construction of multivariate distributions: a review of some recent results. SORT, 32, 3–36.
* [43] Shaked, M. (1977). A family of concepts of dependence for bivariate distributions., J. Amer. Statist. Assoc., 72, 642-650.
* [44] Sklar, A. (1959). Fonctions de répartition à $\bf n$ dimensions et leurs marges. Publ. Inst. Statist. Univ. Paris, 8, 229-231.
* [45] Xie, M., Tang, Y., Goh, T. N. (2002). A modified Weibull extension with bathtub-shaped failure rate function. Reliab. Eng. Syst. Saf., 73, 279-285.
| arxiv-papers | 2021-07-26T07:17:37 | 2024-09-04T03:07:17.869766 | {
"license": "Creative Commons Zero - Public Domain - https://creativecommons.org/publicdomain/zero/1.0/",
"authors": "Ashok Kumar Pathak, Mohd. Arshad, Qazi J. Azhad, Mukti Khetan and\n Arvind Pandey",
"submitter": "Mohd Arshad",
"url": "https://arxiv.org/abs/2107.11998"
} |
2107.11999 | # Stable Dynamic Mode Decomposition Algorithm for Noisy Pressure-Sensitive
Paint Measurement Data
Yuya Ohmichi 111Associate Senior Researcher, Aeronautical Technology
Directorate Japan Aerospace Exploration Agency, Tokyo 182-8522, Japan Yosuke
Sugioka 222Researcher, Aeronautical Technology Directorate Japan Aerospace
Exploration Agency, Tokyo 182-8522, Japan and Kazuyuki Nakakita 333Senior
Researcher, Aeronautical Technology Directorate Japan Aerospace Exploration
Agency, Tokyo 182-8522, Japan
## 1 Introduction
AN increasing number of large-scale time-series datasets are being generated
with the development of numerical and experimental techniques. For example,
pressure-sensitive paint (PSP) [1, 2, 3] and particle image velocimetry (PIV)
[4, 5, 6] have been used for aerospace fluid analysis to obtain the spatial
and temporal distribution of the flow field. To gain deeper insights from
multidimensional time-series data and utilize them for modeling fluid flow,
data analysis techniques based on modal decomposition are being actively
studied [7, 8, 9]. Dynamic mode decomposition (DMD) [10] is one of the most
commonly used modal analysis methods along with proper orthogonal
decomposition (POD) [11]. DMD has been applied in various studies [12, 2, 13,
14, 15, 16] due to its advantages in extracting both spatial modes and their
associated temporal behavior.
In this study, we investigate the DMD method for noisy data, particularly for
unsteady PSP measurement data. PSP is an optical measurement method for the
pressure field based on oxygen quenching of luminescence. It has the advantage
of enabling pressure measurements with high temporal and spatial resolution by
using a fast-response porous binder. However, when the pressure fluctuation of
the observation target is small, such as in a flow field with low dynamic
pressure, it is difficult to obtain a sufficiently large signal [17].
Therefore, when applying DMD to PSP data, a DMD algorithm is required that
performs accurately and stably even in the presence of noise.
In DMD analysis, we define the input data matrix, which is a sequence of $m$
snapshots, as ${\Psi}=[\psi_{1},\psi_{2},\cdots,\psi_{m}],$ where $\psi_{i}$
$(i=1,2,\cdots,m)$ is a column vector representing the $i$-th snapshot, and
subscript $i$ corresponds to the time of each snapshot. In addition, we define
two matrices, $X=[\psi_{1},\psi_{2},\cdots,\psi_{m-1}]$ and
$Y=[\psi_{2},\psi_{3},\cdots,\psi_{m}].$ In the standard DMD algorithm [10],
the DMD mode is defined as the eigenmode of the matrix $A=YX^{+}$, where
$X^{+}$ is the pseudoinverse of $X$. That is, $A$ is computed as the least-
squares (LS) solution of $Y=AX$.
Various DMD methods utilizing noise-aware [18, 19, 8, 20], sparse
representation [21, 22], variable projection [23], and ODE-based [24]
approaches , have been proposed. In particular, Dawson et al. [18] and Hemati
et al. [19] pointed out that the LS regression used in standard DMD implicitly
assumes that noise is only included in $Y$ and that there is no noise in $X$,
which causes bias in the DMD eigenvalues if the input data matrix includes
noise. They proposed total least-squares (TLS) DMD that computes $A$ using TLS
regression, which takes into account the noise in both $X$ and $Y$. A number
of studies [25, 20] also reported that TLS DMD outperformed standard DMD in
terms of the accuracy of DMD eigenvalues. However, it is well known that TLS
regression is prone to computational instability in ill-conditioned problems.
Fierro et al. [26] mathematically derived the relationship between LS and TLS
solutions using singular value decomposition (SVD) analysis and demonstrated
that the contribution of components with small singular values can be larger
in TLS solutions than in LS solutions for data with large noise. In
experimental measurement data, such as unsteady PSP data, the noise component
may be too large to be ignored (i.e., the signal-to-noise ratio is small) when
the signal component of the observation target is small. In this case, the
system to be solved by the DMD algorithm is likely to be ill-conditioned.
However, the computational stability of the TLS DMD algorithm has not been
sufficiently investigated.
In this study, we investigate the stability of DMD algorithms to noisy data
through DMD analysis of a numerical experiment and practical PSP measurement
data. We also apply the truncated TLS (T-TLS) regression and optimal
truncation level selection proposed by Fierro et al. [26] to DMD algorithm. We
evaluate the effectiveness of the T-TLS DMD algorithm by comparing its results
with those of other DMD algorithms.
## 2 Algorithms for Dynamic Mode Decomposition
### 2.1 Standard and exact DMD
The typical algorithm for standard DMD is described below:
1. 1.
Take the SVD of $X$, $X=U\Sigma V^{T}$.
2. 2.
Compute the reduced order operator, $\tilde{A}=U^{T}YV\Sigma^{-1}$.
3. 3.
Solve the eigenvalue problem of $\tilde{A}$,
$\tilde{A}\tilde{\phi}=\lambda\tilde{\phi}$.
4. 4.
Every nonzero $\lambda$ is a DMD eigenvalue, and the corresponding DMD
eigenvectors $\phi$ are given by $\phi=U\tilde{\phi}$.
Tu et al. [27] pointed out that standard DMD eigenvectors lie in the column
space of $X$ but should lie in that of $Y$. This can be achieved by computing
$\phi$ as $\phi=\lambda^{-1}YV\Sigma^{-1}\tilde{\phi}$. When this equation is
used instead of Step 4 above, the algorithm is called exact DMD. Note that the
eigenvalues of the exact DMD are identical to those of the standard DMD. In
this study, the exact DMD algorithm is used.
### 2.2 T-TLS DMD
The algorithms for TLS DMD and T-TLS DMD are described below:
1. 1.
Perform dimensionality reduction so that $r<m/2$ is satisfied, where $r$ is
the reduced dimension. Letting $P_{r}$ be the first $r$ POD vectors of $\Psi$,
the dimensionality reduction can be achieved by
$\tilde{X}=P_{r}^{T}X,~{}~{}\tilde{Y}=P_{r}^{T}Y.$ (1)
$P_{r}$ can be obtained by the SVD of $\Psi$. If $\Psi$ is too large to apply
the batch SVD algorithm, online algorithms, such as incremental POD [22], can
be applied.
2. 2.
Construct the augmented data matrix
$\tilde{Z}=\left[\tilde{X}^{T}~{}\tilde{Y}^{T}\right]$, which is an $m-1$ by
$2r$ matrix, and take the SVD of $\tilde{Z}$, $\tilde{Z}=U\Sigma V^{T}$.
3. 3.
Partition the matrix $V$ such that
$V=\begin{bmatrix}V_{11}&V_{12}\\\ V_{21}&V_{22}\end{bmatrix}.$ (2)
Here, $V_{11}$ and $V_{21}$ are $r$ by $k$ matrices, and $V_{12}$ and $V_{22}$
are $r$ by $q$ matrices (with $q=2r-k$).
4. 4.
Compute the reduced order operator, $\tilde{A}=V_{21}V_{11}^{+}$.
5. 5.
Solve the eigenvalue problem of $\tilde{A}$,
$\tilde{A}\tilde{\phi}=\lambda\tilde{\phi}$.
6. 6.
Every nonzero $\lambda$ is a DMD eigenvalue and corresponding DMD eigenvectors
$\phi$ are given by, $\phi=P_{r}\tilde{\phi}$.
When the regularization parameter $k~{}(=1,2,\cdots,r)$ is $k=r$, the
algorithm is identical to conventional TLS DMD. In the above T-TLS DMD
algorithm, the T-TLS regression proposed by Fierro et al. [26] is used instead
of TLS regression. By choosing the regularization parameter $k$ in (2)
appropriately, the component corresponding to the small singular values that
causes instability is truncated. A similar truncation process has already been
proposed by Hemati et al. [19] although they didn’t introduce the parameter
selection algorithm. They used the SVD of the augmented data matrix
$Z=\left[X^{T}~{}Y^{T}\right]$ as a pre-processing (dimensionality reduction),
while in the proposed algorithm, the SVD of the data matrix $\Psi$ is used as
a pre-processing and the truncation including optimal parameter selection is
performed in the low-dimensional space.
The choice of the optimal $k$ is nontrivial; in this study, we use $k$ that
minimizes the error $E(k)=\|\tilde{Y}-\tilde{A}\tilde{X}\|_{2}$ as a simple
criterion [26]. That is, we calculate $E(k)$ for all $k$ and adopt the $k$
corresponding to the smallest $E(k)$ as the optimal parameter. In the presence
of noise, $E(k)$ does not necessarily decrease monotonically as $k$ increases
because TLS regression does not necessarily minimize the LS error.
## 3 Results and Discussion
### 3.1 Numerical test
Figure 1: Comparison of eigenvalues estimated by T-TLS, TLS, subspace, and
exact DMD algorithms with $\sigma^{2}=0.1$ . Figure 2: Effects of noise
variance on eigenvalues of the Kármán vortex mode with $r=101$, estimated by
T-TLS, TLS, subspace, and exact DMD algorithms. Figure 3: Eigenvectors for
the Kármán vortex mode (upper row) and second harmonic mode (lower row)
estimated by T-TLS, TLS, subspace, and exact DMD algorithms with
$\sigma^{2}=0.1$ . The eigenvectors estimated by standard DMD without noise
are also shown as a reference.
First, the performance of the proposed and existing methods in the DMD
analysis of the Kármán vortex shedding phenomenon behind a square cylinder was
investigated. The unsteady flow field obtained by a two-dimensional numerical
fluid simulation was used as input data. The Mach number was 0.2 and the
Reynolds number was 100. The computational grid was a Cartesian grid of
$511\times 381$ points (in the uniform flow and transverse directions), and
the cylinder had its center located at the origin $(x/L,y/L)=(0,0)$. Here, $L$
is the length of the side of the square cylinder. The sixth-order accurate
compact finite difference method [28, 29] and eighth-order accurate filtering
[30] were used for spatial discretization, and the third-order three-step TVD
Runge–Kutta method [31] was used for time integration. As input datasets to
DMD, the velocity field in the wake region $x/L=[0,~{}10]$ and $y/L=[-5,~{}5]$
were sampled into equally spaced $101\times 101$ grid points. The number of
snapshots was 400, and the time interval between the snapshots was $\Delta
t=0.25L/U_{\infty}$. To investigate the effect of noise, random normal noise
with variance $\sigma^{2}$ was added to each snapshot as observation noise.
The input data (i.e., velocity) and noise amplitudes were normalized by the
uniform flow velocity, $U_{\infty}$. The input data were projected onto the
$r$ POD vectors using (1).
Figure 1 presents the eigenvalues, $\lambda$, of the first Kármán vortex
($St=0.147$) and second ($St=0.296$) modes obtained by the T-TLS, TLS,
subspace, and exact DMD algorithms. As mentioned in Sec. 2.1, the eigenvalues
of the exact DMD are identical to those of the standard DMD. The subspace DMD
[25] is one of the state-of-the-art DMD algorithms. The Strouhal number, $St$,
represents the frequency nondimensionalized by $L$ and $U_{\infty}$. The
figure displays the 95% confidence ellipse and the average eigenvalue
calculated based on 1,000 random trials with observation noise of
$\sigma^{2}=0.1$. The 95% confidence ellipse indicates the magnitude of the
variation of the computed eigenvalues, and the smaller the confidence ellipse,
the more stable the algorithm. The eigenvalues of the noiseless case (obtained
by standard DMD) are also plotted as true values. The optimal regularization
parameters for T-TLS DMD were approximately $k=45$ and 5 for $r=101$ and 21,
respectively. It was observed that for the case of the reduced dimension
$r=101$, the eigenvalues of exact DMD had a larger deviation from the true
eigenvalues than the other methods. The eigenvalues were shifted inward from
the circumference of the unit circle, indicating that the growth rate
decreased due to noise. In contrast, the average eigenvalues of T-TLS, TLS,
and subspace DMD had small deviations from the true values; in particular, the
average eigenvalue of TLS DMD was almost identical to the true value. However,
the 95% confidence ellipse for TLS and subspace DMD was large, indicating that
the variation of the eigenvalues caused by noise was large. In the T-TLS DMD,
the variation of the eigenvalues was superior to that of TLS DMD, and it was
confirmed that the T-TLS DMD algorithm was stable due to the effect of
truncation. The 95% confidence ellipse for exact DMD was also relatively
small. The eigenvalue of T-TLS DMD for the second mode (Fig. 1b) indicates
that the eigenvalue was slightly shifted to the damping side. This was due to
truncation in the T-TLS algorithm, which attenuated part of the signal
representing the second mode. Figures 1c and d display the results for the
reduced dimension $r=21$. Although the variation of the eigenvalues obtained
by each DMD method was reduced by a decrease in the reduced dimension, the
T-TLS DMD still had the smallest variation. Figure 2 presents the estimated
eigenvalues at several noise levels. The larger the noise, the larger the
variation in the eigenvalues, but the T-TLS DMD was the most stable at all
noise levels. The eigenvalues of T-TLS and subspace DMD were found to be
slightly shifted to the damping side for the case of the largest noise level
$\sigma^{2}=0.5$.
Figure 3 presents a comparison of the DMD eigenvectors obtained by each
algorithm. To compare the robustness of each DMD algorithm, the eigenvector
with the largest error among the results of random trials with noise is
displayed. For ease of comparison, the phases of all modes have been adjusted
to match by multiplying by a complex number [32]. For the Kármán vortex mode,
all methods exhibited similar distributions; however, the subspace DMD result
was somewhat unclear. For the second mode, the results for TLS and subspace
DMD had unclear distributions. That is, the coherent structures behind the
cylinder was collapsed. In contrast, the eigenvector of T-TLS DMD was clear,
indicating that by the regularization effect of truncation, the T-TLS DMD
algorithm was stable even when the TLS DMD algorithm was unstable. The
eigenvectors of the exact DMD were calculated stably, although there was bias
in the eigenvalues. From this analysis, we found that T-TLS DMD calculated DMD
eigenvalues and eigenvectors more stably and accurately than other methods
even in the presence of observation noise.
### 3.2 Pressure-sensitive paint measurement data
Figure 4: Pressure fluctuation ($C_{P}^{\prime}$) distribution of the
instantaneous flowfield obtained by pressure-sensitive paint measurement.
Next, we tested the effectiveness of the T-TLS DMD on practical PSP
measurement data. We analyzed the transonic buffet phenomenon appearing on the
NASA CRM wing surface [33, 34]. The experiment was conducted in a 2m $\times$
2m transonic wind tunnel (JTWT1) at the JAXA Chofu Aerospace Center. The Mach
number was set to 0.85, and the Reynolds number based on the mean aerodynamic
chord was set to $2.27\times 10^{6}$. The angle of attack was set to
$3.71^{\circ}$. The unsteady pressure distribution over the wing surface was
measured using polymer/ceramic PSP (PC-PSP) developed by Sugioka et al. [3].
Evaluating the noise level based on the previous study [33], the signal-to-
noise ratio was approximately 20 dB for large amplitude fluctuations at the
foot of the shockwave, and $O(1)$ dB for fluctuations downstream of the
shockwave. For details of the experiment, please refer to [33].
Figure 4 presents the instantaneous distribution of pressure fluctuation
$C_{P}^{\prime}$ obtained from the experiment. $C_{P}^{\prime}$ represents the
instantaneous pressure coefficient after subtracting the time-averaged value.
Sugioka et al. [33] demonstrated that in this flow, the shockwave generated on
the wing oscillated and a pressure fluctuation pattern, the so-called buffet
cell, appeared. The pressure pattern occurring near the spanwise position
$\eta=0.6$ in Fig. 4 is a buffet cell. In addition, pressure patterns that are
not buffet cells can also be seen in this figure. In particular, the mottled
distribution over the entire wing and the relatively large fluctuation at the
model edges are pseudo pressure distributions (i.e., noise). The former was
caused randomly by camera shot noise and was pronounced in regions with small
pressure fluctuations. The latter was due to alignment errors in the PSP
process, which were caused by vibrations of the model.
The pressure coefficient distribution obtained in this experiment was input to
the DMD analysis. The number of snapshots was 500, and the time interval
between snapshots was $\Delta t=0.38c_{\rm MAC}/U_{\infty}$. The reduced
dimension was set to $r=101$. The optimal regularization parameter for T-TLS
DMD was $k=49$. The difference in computation time between DMD algorithms was
about 1% of the total computation time. This is because in DMD analysis of
high-dimensional data such as spatial distribution data, most of the
computational cost is consumed by the SVD-based subspace projection, which is
a process common to all DMD algorithms.
Figure 5 presents the eigenvalues, $\lambda$, obtained using the T-TLS, TLS,
subspace, and exact DMD algorithms. It can be seen that the distribution of
eigenvalues differed greatly depending on the DMD algorithm used. Comparing
the results of T-TLS and TLS DMD, the eigenvalues of TLS DMD had absolute
values greater than 1, indicating that the growing mode appeared, whereas the
eigenvalues of T-TLS DMD did not exhibit the growing mode. Most of the
eigenvalues of T-TLS DMD were distributed near the unit circle; that is, they
were expressed as modes close to steady oscillation. In contrast, the
eigenvalues of subspace and exact DMD were distributed inside the unit circle,
and many of the modes were damping modes. Figure 6 presents a dependence of
T-TLS DMD eigenvalues on the regularization parameter $k$. When $k=101$, the
algorithm is identical to TLS DMD algorithm. As $k$ decreased, some
eigenvalues appeared on the damping side, while most of the eigenvalues were
still distributed near the unit circle.
Figure 7 presents a comparison of the DMD eigenvectors corresponding to
$St\approx 0.4$ indicated in Fig. 5 . According to Sugioka et al. [33] and
Ohmichi et al. [13], $St\approx 0.4$ is included in the range of the
characteristic frequency of buffet cells. Since the eigenvalue distribution
differed depending on the algorithm, as illustrated in Fig. 5, the modes close
to $St=0.4$ for each DMD algorithm are displayed. Figure 7a demonstrates that
a clear buffet cell pattern was captured by T-TLS DMD ($k=49$) . That is, a
pressure fluctuation pattern with periodicity in the spanwise direction
appeared near $\eta\approx 0.6$. This pressure fluctuation pattern has also
been observed in numerical fluid simulations [13]. The eigenvectors
($St\approx 0.4$) of T-TLS DMD with $k=25$ and 75 were similar to Fig. 7a
while a slightly larger noise appeared for $k=75$ (not shown here). Exact DMD
also extracted a clear buffet cell pattern. Exact DMD was thus considered to
be a relatively stable algorithm although it had the problem of eigenvalues
shifting to the damping side. Although a similar buffet cell pattern appeared
in the eigenvector of TLS and subspace DMD, it was more obscure than that of
T-TLS DMD. This may be due to the overfitting of the TLS and subspace DMD
algorithms to the noise. TLS and subspace DMD had other $St\approx 0.4$ modes
not shown in Fig. 7, but their distributions also contained noise.
Figure 5: Eigenvalue distributions obtained by T-TLS, TLS, subspace, and exact
DMD algorithms. Figure 6: Eigenvalue distributions obtained by T-TLS DMD with
changing the regularization parameter $k$. Figure 7: Real parts of
eigenvectors estimated by T-TLS, TLS, subspace, and exact DMD algorithms.
Modes with the frequency of $St\approx 0.4$ are displayed.
## 4 Conclusion
In this study, we investigated the stability of DMD algorithms to noisy data.
To achieve a stable DMD algorithm, we applied the truncated TLS (T-TLS)
regression and optimal truncation level selection to the TLS DMD algorithm. By
adding truncation regularization to the TLS DMD algorithm, T-TLS DMD improves
the stability of the computation while maintaining the accuracy of TLS DMD.
The effectiveness of the T-TLS DMD was evaluated by the analysis of the wake
behind a cylinder and PSP data for the buffet cell phenomenon. The results
showed the importance of regularization in the DMD algorithm. With respect to
the eigenvalues, T-TLS DMD was less affected by noise, and accurate
eigenvalues could be obtained stably, whereas the eigenvalues of TLS and
subspace DMD varied greatly due to noise. It was also observed that the
eigenvalues of the standard and exact DMD had the problem of shifting to the
damping side, as reported in previous studies. With respect to eigenvectors,
T-TLS and exact DMD captured the characteristic flow patterns clearly even in
the presence of noise, whereas TLS and subspace DMD were not able to capture
them clearly due to noise.
## Acknowledgments
This work was supported in part by the Japan Society for the Promotion of
Science (JSPS) KAKENHI (grant no. 20K14958).
## References
* Steimle et al. [2012] Steimle, P. C., Karhoff, D.-C., and Schroder, W., “Unsteady Transonic Flow over a Transport-Type Swept Wing,” _AIAA Journal_ , Vol. 50, No. 2, 2012, pp. 399–415. 10.2514/1.J051187.
* Ali et al. [2016] Ali, M. Y., Pandey, A., and Gregory, J. W., “Dynamic Mode Decomposition of Fast Pressure Sensitive Paint Data,” _Sensors_ , Vol. 16, No. 6, 2016, p. 862. 10.3390/s16060862.
* Sugioka et al. [2018] Sugioka, Y., Numata, D., Asai, K., Koike, S., Nakakita, K., and Nakajima, T., “Polymer/Ceramic Pressure-Sensitive Paint with Reduced Roughness for Unsteady Measurement in Transonic Flow,” _AIAA Journal_ , Vol. 56, No. 6, 2018, pp. 2145–2156. 10.2514/1.J056304.
* Westerweel et al. [2013] Westerweel, J., Elsinga, G. E., and Adrian, R. J., “Particle Image Velocimetry for Complex and Turbulent Flows,” _Annual Review of Fluid Mechanics_ , Vol. 45, No. 1, 2013, pp. 409–436. 10.1146/annurev-fluid-120710-101204.
* DeMauro et al. [2019] DeMauro, E. P., Beresh, S. J., Casper, K. M., Wagner, J. L., Henfling, J. F., and Spillers, R. W., “Volumetric Velocimetry of Complex Geometry Effects on Transonic Flow over Cavities,” _AIAA Journal_ , Vol. 57, No. 5, 2019, pp. 1941–1954. 10.2514/1.J057714.
* Singh et al. [2020] Singh, S., Ukeiley, L., Cattafesta, L., and Taira, K., “Extraction of DMD modes from Pulse-Burst PIV Data of Flow over an Open Cavity,” _AIAA Paper 2020-1068_ , 2020. 10.2514/6.2020-1068.
* Holmes et al. [2012] Holmes, P., Lumley, J. L., Berkooz, G., and Rowley, C. W., _Turbulence, Coherent Structures, Dynamical Systems and Symmetry_ , second edi ed., Cambridge University Press, Cambridge, 2012.
* Taira et al. [2017] Taira, K., Brunton, S. L., Dawson, S. T. M., Rowley, C. W., Colonius, T., McKeon, B. J., Schmidt, O. T., Gordeyev, S., Theofilis, V., and Ukeiley, L. S., “Modal Analysis of Fluid Flows: An Overview,” _AIAA Journal_ , Vol. 55, 2017, pp. 4013–4041. 10.2514/1.J056060.
* Taira et al. [2020] Taira, K., Hemati, M. S., Brunton, S. L., Sun, Y., Duraisamy, K., Bagheri, S., Dawson, S. T. M., and Yeh, C.-A., “Modal Analysis of Fluid Flows: Applications and Outlook,” _AIAA Journal_ , Vol. 58, No. 3, 2020, pp. 998–1022. 10.2514/1.J058462.
* Schmid [2010] Schmid, P. J., “Dynamic mode decomposition of numerical and experimental data,” _Journal of Fluid Mechanics_ , Vol. 656, 2010, p. 5–28. 10.1017/S0022112010001217.
* Lumley [1967] Lumley, J. L., “The Structure of Inhomogeneous Turbulent Flows,” _Atmospheric turbulence and radio propagation_ , edited by A. M. Yaglom and V. I. Tatarski, Nauka, Moscow, 1967, pp. 166–178.
* Mariappan et al. [2014] Mariappan, S., Gardner, A. D., Richter, K., and Raffel, M., “Analysis of Dynamic Stall Using Dynamic Mode Decomposition Technique,” _AIAA Journal_ , Vol. 52, No. 11, 2014, pp. 2427–2439. 10.2514/1.J052858.
* Ohmichi et al. [2018] Ohmichi, Y., Ishida, T., and Hashimoto, A., “Modal Decomposition Analysis of Three-Dimensional Transonic Buffet Phenomenon on a Swept Wing,” _AIAA Journal_ , Vol. 56, No. 10, 2018, pp. 3938–3950. 10.2514/1.J056855.
* Ohmichi et al. [2019] Ohmichi, Y., Kobayashi, K., and Kanazaki, M., “Numerical investigation of wake structures of an atmospheric entry capsule by modal analysis,” _Physics of Fluids_ , Vol. 31, No. 7, 2019, p. 074105. 10.1063/1.5092166.
* Bai et al. [2020] Bai, Z., Kaiser, E., Proctor, J. L., Kutz, J. N., and Brunton, S. L., “Dynamic Mode Decomposition for Compressive System Identification,” _AIAA Journal_ , Vol. 58, No. 2, 2020, pp. 561–574. 10.2514/1.J057870.
* Ranjan et al. [2020] Ranjan, R., Unnikrishnan, S., and Gaitonde, D., “A robust approach for stability analysis of complex flows using high-order Navier–Stokes solvers,” _Journal of Computational Physics_ , Vol. 403, 2020, p. 109076. https://doi.org/10.1016/j.jcp.2019.109076.
* Liu et al. [2021] Liu, T., Sullivan, J., Asai, K., Klein, C., and Egami, Y., _Pressure and Temperature Sensitive Paints_ , 2nd ed., Springer International Publishing, 2021.
* Dawson et al. [2016] Dawson, S. T. M., Hemati, M. S., Williams, M. O., and Rowley, C. W., “Characterizing and correcting for the effect of sensor noise in the dynamic mode decomposition,” _Experiments in Fluids_ , Vol. 57, No. 3, 2016, p. 42. 10.1007/s00348-016-2127-7.
* Hemati et al. [2017] Hemati, M. S., Rowley, C. W., Deem, E. A., and Cattafesta, L. N., “De-biasing the Dynamic Mode Decomposition for Applied Koopman Spectral Analysis of Noisy Datasets,” _Theoretical and Computational Fluid Dynamics_ , Vol. 31, No. 4, 2017, pp. 349–368. 10.1007/s00162-017-0432-2.
* Nonomura et al. [2019] Nonomura, T., Shibata, H., and Takaki, R., “Extended-Kalman-filter-based dynamic mode decomposition for simultaneous system identification and denoising,” _PLOS ONE_ , Vol. 14, No. 2, 2019, pp. 1–46. 10.1371/journal.pone.0209836.
* Jovanović et al. [2014] Jovanović, M. R., Schmid, P. J., and Nichols, J. W., “Sparsity-promoting dynamic mode decomposition,” _Physics of Fluids_ , Vol. 26, No. 2, 2014, p. 024103. 10.1063/1.4863670.
* Ohmichi [2017] Ohmichi, Y., “Preconditioned dynamic mode decomposition and mode selection algorithms for large datasets using incremental proper orthogonal decomposition,” _AIP Advances_ , Vol. 7, No. 7, 2017, p. 075318. 10.1063/1.4996024.
* Askham and Kutz [2018] Askham, T., and Kutz, J. N., “Variable Projection Methods for an Optimized Dynamic Mode Decomposition,” _SIAM Journal on Applied Dynamical Systems_ , Vol. 17, 2018, pp. 380–416. 10.1137/M1124176.
* Nonomura et al. [2021] Nonomura, T., Nankai, T., Iwasaki, Y., Komuro, A., and Asai, K., “Quantitative evaluation of predictability of linear reduced-order model based on particle-image-velocimetry data of separated flow field around airfoil,” _Experiments in Fluids_ , Vol. 62, 2021, pp. 1–22.
* Takeishi et al. [2017] Takeishi, N., Kawahara, Y., and Yairi, T., “Subspace dynamic mode decomposition for stochastic Koopman analysis,” _Phys. Rev. E_ , Vol. 96, 2017, p. 033310. 10.1103/PhysRevE.96.033310.
* Fierro et al. [1997] Fierro, R. D., Golub, G. H., Hansen, P. C., and O’Leary, D. P., “Regularization by truncated total least squares,” _SIAM J. Sci. Comput._ , Vol. 18, 1997, pp. 1223–1241.
* Tu et al. [2014] Tu, J. H., Rowley, C. W., Luchtenburg, D. M., Brunton, S. L., and Kutz, J. N., “On dynamic mode decomposition: Theory and applications,” _Journal of Computational Dynamics_ , Vol. 1, No. 2, 2014, pp. 391–421.
* Lele [1992] Lele, S. K., “Compact finite difference schemes with spectral-like resolution,” _Journal of Computational Physics_ , Vol. 103, No. 1, 1992, pp. 16–42. 10.1016/0021-9991(92)90324-R.
* Visbal and Gaitonde [2002] Visbal, M. R., and Gaitonde, D. V., “On the Use of Higher-Order Finite-Difference Schemes on Curvilinear and Deforming Meshes,” _Journal of Computational Physics_ , Vol. 181, No. 1, 2002, pp. 155–185. 10.1006/jcph.2002.7117.
* Gaitonde and Visbal [2000] Gaitonde, D. V., and Visbal, M. R., “Padé-type high-order boundary filters for the Navier–Stokes equations,” _AIAA Journal_ , Vol. 38, No. 11, 2000, pp. 2103–2112. 10.2514/2.872.
* Shu and Osher [1988] Shu, C.-W., and Osher, S., “Efficient implementation of essentially non-oscillatory shock-capturing schemes,” _Journal of Computational Physics_ , Vol. 77, No. 2, 1988, pp. 439–471. 10.1016/0021-9991(88)90177-5.
* Nonomura et al. [2018] Nonomura, T., Shibata, H., and Takaki, R., “Dynamic mode decomposition using a Kalman filter for parameter estimation,” _AIP Advances_ , Vol. 8, No. 10, 2018, p. 105106. 10.1063/1.5031816.
* Sugioka et al. [2021] Sugioka, Y., Nakakita, K., Koike, S., Nakajima, T., Nonomura, T., and Asai, K., “Characteristic unsteady pressure field on a civil aircraft wing related to the onset of transonic buffet,” _Experiments in Fluids_ , Vol. 62, 2021. 10.1007/s00348-020-03118-y.
* Koike et al. [2016] Koike, S., Ueno, M., Nakakita, K., and Hashimoto, A., “Unsteady pressure measurement of transonic buffet on NASA common research model,” _AIAA Paper 2016-4044_ , 2016. 10.2514/6.2016-4044.
| arxiv-papers | 2021-07-26T07:18:18 | 2024-09-04T03:07:17.884423 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yuya Ohmichi, Yosuke Sugioka, Kazuyuki Nakakita",
"submitter": "Yuya Ohmichi",
"url": "https://arxiv.org/abs/2107.11999"
} |
2107.12000 | # Probing the in-medium $P_{T}$-broadening by $\gamma$+HF angular de-
correlations
Sa Wang Guangdong Provincial Key Laboratory of Nuclear Science,Institute of
Quantum Matter, South China Normal University, Guangzhou 510006, China
Guangdong-Hong Kong Joint Laboratory of Quantum Matter, Southern Nuclear
Science Computing Center, South China Normal University, Guangzhou 510006,
China Key Laboratory of Quark & Lepton Physics (MOE) and Institute of
Particle Physics, Central China Normal University, Wuhan 430079, China Jin-
Wen Kang Key Laboratory of Quark & Lepton Physics (MOE) and Institute of
Particle Physics, Central China Normal University, Wuhan 430079, China Wei
Dai School of Mathematics and Physics, China University of Geosciences, Wuhan
430074, China Ben-Wei Zhang [email protected] Key Laboratory of Quark
& Lepton Physics (MOE) and Institute of Particle Physics, Central China Normal
University, Wuhan 430079, China Guangdong Provincial Key Laboratory of
Nuclear Science,Institute of Quantum Matter, South China Normal University,
Guangzhou 510006, China Enke Wang Guangdong Provincial Key Laboratory of
Nuclear Science,Institute of Quantum Matter, South China Normal University,
Guangzhou 510006, China Guangdong-Hong Kong Joint Laboratory of Quantum
Matter, Southern Nuclear Science Computing Center, South China Normal
University, Guangzhou 510006, China Key Laboratory of Quark & Lepton Physics
(MOE) and Institute of Particle Physics, Central China Normal University,
Wuhan 430079, China
###### Abstract
Angular correlations between vector boson and heavy flavors (HF) are
potentially new effective tools to gain insight into the partonic interactions
in the quark-gluon plasma (QGP). In this paper, we present the theoretical
study of the azimuthal angular de-correlations of $\gamma+$HF in nucleus-
nucleus collisions as a new probe of the in-medium $P_{T}$-broadening effect.
The initial production of $\gamma+$HF in p+p is generated by SHERPA which
matches the next-to-leading hard processes with parton shower. The in-medium
heavy quark evolution is implemented by a Monte Carlo Langevin simulation,
which takes into account the collisional and radiative energy loss. We observe
considerable suppression at $\Delta\phi_{\gamma D}\sim\pi$ and enhancement at
$\Delta\phi_{\gamma D}<2.8$ in $\gamma+$D azimuthal angular distribution in
$0-10\%$ Pb+Pb collisions at $\sqrt{s_{NN}}=$5.02 TeV compared to the p+p
baseline, which indicates evident in-medium $P_{T}$-broadening of charm
quarks. We also find that the overall modification patterns of $\gamma+$D
angular distribution are sensitive to the selection cut of D meson $p_{T}$.
Furthermore, by constructing the 2D ($x_{J}^{\gamma D},\Delta\phi_{\gamma D}$)
correlation diagram, it’s possible to display the respective impact of the two
aspects of jet quenching, energy loss and $P_{T}$-broadening, on the final-
state $\gamma+$D observable simultaneously. Additionally, we find weaker
angular de-correlations of $\gamma+$B compared to $\gamma+$D which may be
helpful to understand the mass hierarchy in heavy-ion collisions. Finally, the
nuclear modification of $\Delta\phi_{\gamma D}$ distributions in central
$0-10\%$ Au+Au collisions at RHIC energy is provided for completeness.
###### pacs:
13.87.-a; 12.38.Mh; 25.75.-q
## I Introduction
The strongly-coupled droplet of quark-gluon plasma (QGP) is one of the most
intriguing discoveries at the Relativistic Heavy Ion Collider (RHIC) and the
Large Hadron Collider (LHC). The strong interactions between the initial-
produced energetic jet and the hot QCD matter, referred as the “jet quenching”
phenomenon, are effective probes of the properties of the QGP, which have been
extensively investigated in the past decades Gyulassy:2003mc ; Qin:2015srf ;
Vitev:2008rz ; CasalderreySolana:2010eh ; He:2011pd ; Neufeld:2010fj ;
Senzel:2013dta ; Casalderrey-Solana:2014bpa ; Dai:2012am ; Milhano:2015mng ;
Chang:2016gjp ; Connors:2017ptx ; Zhang:2018urd ; Chen:2020pfa . These studies
reveal the two most important aspects of jet quenching in heavy-ion
collisions, parton energy loss and transverse momentum broadening, which are
closely related to the jet transport coefficient $\hat{q}\equiv d\left\langle
p_{\perp}^{2}\right\rangle/dL$ quantifying the strength of momentum exchanges
transverse to the direction of jet parton caused by the in-medium scattering
Burke:2013yra ; Baier:1996kr ; Baier:1996sk ; Baier:1998kq ; Liu:2015vna ;
Xie:2019oxg ; JETSCAPE:2021ehl ; Ru:2019qvz ; Kumar:2020wvb .
Lots of effort has been made to address the in-medium $P_{T}$-broadening
effect in the past few years both on experimental Adare:2009vd ; Aad:2010bu ;
Chatrchyan:2012gt ; Sirunyan:2017qhf ; Sirunyan:2017jic ; Adam:2015doa ;
Adamczyk:2017yhe ; Norman:2020grk and theoretical Dominguez:2008vd ;
DEramo:2012uzl ; Wu:2014nca ; Mueller:2016gko ; Chen:2016vem ; Mueller:2016xoc
; Luo:2018pto ; Ringer:2019rfk ; Jia:2019qbl ; Blanco:2020uzy ;
Zakharov:2020sfx ; Clayton:2021uuv sides. Although the measurement of hadron-
jet correlations in Au-Au collisions at the RHIC is believed as the indication
of the $P_{T}$-broadening in heavy-ion collisions Adamczyk:2017yhe , it’s
still a challenge to observe this effect in A+A collisions at the LHC energy
Ringer:2019rfk . The Ref. Mueller:2016gko has shown that at the LHC, the in-
medium $P_{T}$-broadening of inclusive dijet in nucleus-nucleus collisions are
polluted by the vacuum Sudakov effects therefore hard to be measured in
experiment. For other processes such as $Z^{0}/\gamma$+jet, since stronger jet
reduction due to energy loss is found at nearside where the multiple jets
processes dominate Luo:2018pto ; Zhang:2018urd , no significant angular de-
correlation of $Z^{0}/\gamma$+jet is observed in the measurements at LHC
Chatrchyan:2012gt ; Sirunyan:2017qhf ; Sirunyan:2017jic . Hence, there is an
urgent need for new observables which are sensitive to the $P_{T}$-broadening
effects.
Heavy flavors are also powerful hard probes to gain insight into the partonic
interactions in QGP. In addition to the $R_{AA}$ Adamczyk:2014uip ;
Adam:2015sza ; Sirunyan:2017xss ; Khachatryan:2016ypw ; ALICE:2018lyv ;
Xie:2016iwq and $v_{2}$ Adamczyk:2017xur ; Acharya:2017qps ; Sirunyan:2017plt
of heavy flavor meson, the recent measurement of the angular correlations
between $D^{0}$ meson and jets by CMS collaboration Sirunyan:2019dow sheds
new light on the $P_{T}$-broadening of heavy quarks due to the in-medium
interactions Wang:2019xey ; Wang:2020bqz ; Wang:2020ukj . The radial
distribution of charm quarks in jets is found to broaden to larger radii in
Pb+Pb collisions compared to p+p. Yet in fact, even the axis of high $p_{T}$
jet cannot be treated as a perfect reference to probe the $P_{T}$-broadening
of heavy quarks, since the quenching effects also modify the energy-momentum
of jets, the jet axis shifts on the $\eta-\phi$ plane correspondingly. On the
other hand, due to the energy loss effect, the events selected in Pb+Pb
collisions are actually shifted from higher initial kinematic region than that
in p+p, scilicet the “selection bias” Renk:2012ve ; Cunqueiro:2021wls . These
issues pose challenges to the studies of the nuclear modification mechanism of
the observables which are sensitive to the initial kinematic region.
Therefore, to some extent, the heavy flavors tagged by vector boson
($Z/\gamma$+HF) may be more suitable to study the in-medium
$P_{T}$-broadening. First, the vector bosons do not participate in the strong
interaction then are good references to probe the changes of heavy quark
momentum. Second, compared to the full jets which are composite of multiple
particles, the heavy flavor mesons eliminate the pollution of soft particles
in the background to a great extent. It’s therefore potentially promising to
probe the in-medium $P_{T}$-broadening effect by investigating the angular de-
correlations of $Z/\gamma$+HF in heavy-ion collisions. Third, the same $p_{T}$
cut for the direct photon would guarantee that the initial kinematics region
of A+A events is consistent with that of p+p, which is essential for us to
understand the medium modification of the angular correlations of $\gamma+$HF
in heavy-ion physics. Additionally, since heavy flavors are hard to be
produced in the medium excitation, $\gamma+$HF angular correlations can well
exclude the impact from the medium response effects Cao:2020wlm , therefore
may be helpful to understand the recent measurement on $Z^{0}$+hadron
ATLAS:2020wmg ; Sirunyan:2021jkr .
In this work, we present the first theoretical study of the azimuthal angular
correlations between the direct photon ($\gamma$) and heavy flavor hadrons
($\gamma+$HF) in high-energy nuclear collisions. The p+p baseline is provided
by the event generator SHERPA Gleisberg:2008ta which computes the next-to-
leading order matrix elements matched with parton shower effects (NLO+PS). We
investigate the nuclear modification effects of $\gamma+$D azimuthal angular
distributions in central $0-10\%$ Pb+Pb collisions at $\sqrt{s_{NN}}=$5.02 TeV
compared to the p+p baseline and find considerable angular de-correlations
indicating evident in-medium $P_{T}$-broadening effects. We will show that the
overall modification patterns of $\gamma+$D angular distribution are sensitive
to the selection threshold of D meson $p_{T}$. Furthermore, by constructing
the correlation diagram of ($x_{J}^{\gamma D},\Delta\phi_{\gamma D}$), we
provide a chance to display the respective impact of energy loss and
$P_{T}$-broadening effects on the final-state $\gamma+$D observable
simultaneously. Additionally, we also estimate the angular de-correlations of
$\gamma+$B in A+A collisions, and test the mass effect by comparing with that
of $\gamma+$D. At last, we present the prediction of the $\gamma+$D angular
de-correlations in central $0-10\%$ Au+Au collisions at $\sqrt{s_{NN}}=$200
GeV.
The remainder of this paper is organized as follows. In Sec. II, the
theoretical frameworks used to study the medium modification of $\gamma+$HF
angular correlations would be introduced. In Sec. III, we will show the main
results and give specific discussion on the $\gamma+$HF angular de-
correlations. At last, we will summarize this paper in Sec. IV.
## II Theoretical framework
Figure 1: Differential cross sections of $\gamma\,+\,$D-jet (upper panel) and
$\gamma\,+\,$B-jet (lower panel) versus the transverse energy $E^{\gamma}_{T}$
of the isolated-photon in p+p collisions at $\sqrt{s}$=8 TeV simulated by
SHERPA, compared with ATLAS data Aaboud:2017skj .
In this section, we will first discuss the p+p baseline used in this study,
and compare it with the available experimental data. Then we will make a brief
introduction of the theoretical framework used to describe the heavy quark
evolution in the hot and dense nuclear matter.
In fact, the previous studies CMS:2013lua ; ATLAS:2016jxf ; Zhang:2018urd had
suggested that NLO+PS calculations are prerequisite to investigate the angular
correlations between the vector boson and jets. In this work, the production
of the $\gamma+$HF in p+p collisions is produced by the Monte Carlo event
generator SHERPA-2.2.11 Gleisberg:2008ta ; Krauss:2001iv ; Gleisberg:2008fv ;
Schumann:2007mg . We use Sherpa in the MC@NLO prescription to generate the
photon+jet events containing a photon and two jets, with up to three
additional jets, then the photon+HF events can be selected in this photon+jet
event sample. The NLO matrix elements are matched with the parton shower using
the MC@NLO method Frixione:2002ik , in which the loop diagrams are computed
with OpenLoops program Buccioni:2019sur . The NNPDF 3.0 NLO parton
distribution function (PDF) NNPDF:2014otw has been chosen in the computation.
In Fig. 1, we present the differential cross sections of $\gamma\,+\,$D-jet
(upper panel) and $\gamma\,+\,$B-jet (lower panel) as a function of the
isolated-photon transverse energy $E^{\gamma}_{T}$ in p+p collisions at
$\sqrt{s}$=8 TeV by computed SHERPA compared to the ATLAS measurements at two
$\eta^{\gamma}$ ranges, $|\eta^{\gamma}|<$ 1.37 and
1.56$<|\eta^{\gamma}|<$2.37. Here the final-state jets are reconstructed by
Fastjet package Cacciari:2011ma using anti-$k_{T}$ algorithm Cacciari:2008gp
with cone size $R=\sqrt{(\Delta\eta)^{2}+(\Delta\phi)^{2}}=$0.4. The D-jets
(B-jets) are defined as the jets containing at least one D (B) meson inside
the jet cone. The same as the setup used in the ATLAS measurements, the
selected D-jets (B-jets) are required to have $p_{T}^{\rm jet}>$ 20 GeV within
$|\eta^{\rm jet}|<$2.5. To select the prompt-photon in the simulations, all
candidates are required to pass the Frixione isolation cut, $E^{\rm
iso}_{T}<0.0042\times E^{\gamma}_{T}+4.8$ GeV within a distance R=0.4 around
the photon, imposed in the ATLAS measurements Aaboud:2017skj . And we find
that the results simulated by SHERPA are consistent with the ATLAS
measurements, and a good p+p baseline is the basis of our subsequent study on
the angular correlations of $\gamma+$HF in nucleus-nucleus collisions.
Since heavy quarks are viewed as effective hard probes to constrain the
transport properties of QGP, a lot of theoretical models vanHees:2007me ;
CaronHuot:2008uh ; Djordjevic:2015hra ; He:2014cla ; Chien:2015vja ;
Kang:2016ofv ; Cao:2013ita ; Alberico:2013bza ; Xu:2015bbz ; Cao:2016gvr ;
Das:2016cwd ; Ke:2018tsh ; Altenkort:2020fgs ; He:2019vgs ; Li:2021nim have
been established to confront with the experimental measurements, for reviews
see Refs. Andronic:2015wma ; Cao:2018ews ; Dong:2019unq ; Dong:2019byy ;
Cao:2021ces ; Zhao:2020jqu . In this study, to estimate the nuclear
modification effect of the angular correlations of $\gamma+$HF, the initial
p+p events produced by SHERPA at parton level are utilized as input of the in-
medium evolution within Langevin equations Cao:2013ita ; Dai:2018mhw ;
Wang:2019xey ; Wang:2020qwe ; Wang:2020ukj .
$\displaystyle\Delta\vec{x}(t)=\frac{\vec{p}(t)}{E}\Delta t$ (1)
$\displaystyle\Delta\vec{p}(t)=-\Gamma(p,T)\vec{p}\Delta
t+\vec{\xi}(t)\sqrt{\Delta t}-\vec{p}_{\rm g}(t)$ (2)
These two equations represent the position and momentum updates of heavy
quarks during the evolution correspondingly. The three terms on the right-hand
side of Eq. (2) denote the drag term, the thermal stochastic term and the
recoil term respectively. $\Gamma$ is the drag coefficient which controls the
strength of collisional energy loss of heavy quarks. The thermal stochastic
term represents the random kicks suffered on heavy quarks from the thermal
quasi-particles in QGP, and obeys a Gaussian distribution with mean value 0
and variance $\kappa$.
$\displaystyle\left\langle\xi^{i}(t)\right\rangle=0$ (3)
$\displaystyle\left\langle\xi^{i}(t)\xi^{j}(t^{\prime})\right\rangle=\kappa\delta^{ij}\delta(t-t^{\prime})$
(4)
The momentum diffusion coefficient $\kappa$ is associated with the drag
coefficient $\Gamma$ by the fluctuation-dissipation relation $\kappa=2\Gamma
ET=2T^{2}/D_{s}$, where $D_{s}$ denotes the spatial diffusion coefficient. The
last negative term -$p_{g}$ represents the momentum recoil from the radiated
gluon caused by the in-medium inelastic interaction, which can be sampled by
the gluon spectrum calculated with higher-twist approach Guo:2000nz ;
Zhang:2003yn ; Zhang:2003wk ; Majumder:2009ge ,
$\displaystyle\frac{dN}{dxdk^{2}_{\perp}dt}=\frac{2\alpha_{s}C_{s}P(x)\hat{q}}{\pi
k^{4}_{\perp}}\sin^{2}(\frac{t-t_{i}}{2\tau_{f}})(\frac{k^{2}_{\perp}}{k^{2}_{\perp}+x^{2}M^{2}})^{4},$
(5)
where $x$ is the energy fraction carried by the radiated gluon and $k_{\perp}$
the transverse momentum of gluon relative to heavy quarks. $C_{s}$ is the
quadratic Casimir in color representation, and $P(x)$ the splitting function
in vacuum Wang:2009qb , $\tau_{f}=2Ex(1-x)/(k^{2}_{\perp}+x^{2}M^{2})$ the
gluon formation time. $\hat{q}=q_{0}(T/T_{0})^{3}p_{\mu}u^{\mu}/E$ is the jet
transport parameter Chen:2010te , where $T_{0}$ is the highest temperature in
the most central A+A collisions, and $u^{\mu}$ the velocity of the medium cell
where the heavy quark locates. Note that the heavy quark transport
coefficients have been extensively investigated in various models, for reviews
see Refs. Rapp:2018qla ; Cao:2018ews .
In our framework, there are two parameters $\hat{q}_{0}$ and $D_{s}$ (or
$\kappa$) needed to be determined, and they are usually related by a simple
relation $\hat{q}=2\kappa$ approximatively which had been successfully
employed to describe the D meson production in nucleus-nucleus collisions
Cao:2013ita ; Li:2019lex ; Li:2020kax ; Xu:2018gux . It’s noted that in a
realistic QGP medium, the two components of $\kappa$ may be different for the
relativistic propagation of heavy quarks Beraudo:2009pe ; Prino:2016cni , and
the $v_{Q}\sim 1$ may not be a good approximation at low $p_{T}$. Therefore,
we treat $\hat{q}$ and $\kappa$ as two independent parameters to be
constrained by experimental data. Note that what matters most in our framework
is the simultaneous description on the energy loss both of the light and heavy
flavors. Hence firstly, we use the values of $q_{0}$ extracted base on the
identified hadron production in nucleus-nucleus collisions in our precious
studies Ma:2018swx , in which $q_{0}=0.6$ GeV2/fm (RHIC) and $q_{0}=1.2$
GeV2/fm (LHC) are obtained. After the $q_{0}$ is fixed, then we extract the
best values $D_{s}(2\pi T)=5$ at the RHIC and $D_{s}(2\pi T)=4$ at the LHC
energy respectively by a $\chi^{2}$ fitting to the D meson $R_{AA}$ data
Sirunyan:2017xss ; ALICE:2018lyv ; Adamczyk:2014uip ; Xie:2016iwq , which are
consistent with the Lattice QCD calculation of $D_{s}(2\pi T)=3.7\sim 7$
Francis:2015daa .
We assume that the number of the radiated gluon during a time step obeys
Possion probability distribution,
$\displaystyle f(n)=\frac{\lambda^{n}}{n!}e^{-\lambda}$ (6)
where the parameter $\lambda$ denotes the mean number of the radiated gluon
and can be calculated by integrating Eq. (5).
$\displaystyle\lambda(t,\Delta t)=\Delta t\int
dxdk^{2}_{\perp}\frac{dN}{dxdk^{2}_{\perp}dt}$ (7)
During every evolution time step, we first estimate the total probability of
inelastic scattering $P_{\rm inel}(t,\Delta t)=1-\lambda e^{-\lambda}$ to
determine whether radiation occurs. If it occurs, the radiation number $n$
will be sampled based on Eq. (6), and the energy-momentum of the radiated
gluon can be sampled by the gluon spectrum in Eq. (5) one-by-one. To avoid the
divergence at $x\rightarrow 0$, only the gluon with energy above the Debye
screening mass $\mu_{D}=\sqrt{4\pi\alpha_{s}}T$ is allowed to emit, which
ensures that heavy quarks can reach the thermal equilibrium after enough long
propagation time. Note that only heavy quarks are taken for the Langevin
simulation, as for the light partons in the heavy-flavor jets we consider
their radiative energy loss based on the higher-twist formalism, because the
medium-induced radiation is the dominant energy loss mechanism for light
flavors.
The (2+1)D viscous hydrodynamic model Shen:2014vra has been used to describe
the time-space evolution of the expanding QCD fireball. The initial spacial
production vertex of $\gamma+$HF in QGP is sampled by Glauber model
Miller:2007ri . And we assume that the in-medium evolution stops when the
local temperature around the heavy quark is lower than $T_{c}=165$ MeV. After
the in-medium evolution, the fragmentation of heavy quarks into heavy flavor
mesons ($c\rightarrow D$ and $b\rightarrow B$) is achieved by the Lund
symmetric fragmentation function Andersson:1983ia . It should be noted that
the coalescence mechanism has not been considered in this work, which is
believed to play an important role in the hadronization of heavy quarks, and
it may cause considerable systematic errors for heavy meson spectra at
$p_{HQ}<4$ GeV Cao:2013ita ; Cao:2016gvr ; He:2019vgs ; Cao:2019iqs . Hence
some further efforts should be made to address the possible effects on the
azimuthal angular correlation of $\gamma$+HF from the coalescence
hadronization mechanism.
The Langevin transport approach has been applied to study the production of
$b\bar{b}$ dijets Dai:2018mhw and $Z^{0}\,+\,$b-jet Wang:2020qwe in our
previous works. During the last two years, it has also been successfully
employed to estimate the radial profile of heavy flavor meson in jets in
heavy-ion collisions Wang:2019xey ; Wang:2020bqz ; Wang:2020ukj , and gives
decent agreement with the CMS measurements Sirunyan:2019dow .
## III Results and discussions
In this section, we investigate the azimuthal angular correlations of
$\gamma+$D in high-energy nuclear collisions. In particular, we propose that
the $P_{T}$-broadening of charm quarks due to the in-medium interactions could
be reflected in the modification of $\Delta\phi_{\gamma
D}=|\phi_{\gamma}-\phi_{D}|$ distribution in heavy-ion collisions. And we find
that the two aspects of jet quenching effect, namely energy loss and
$P_{T}$-broadening, can be simultaneously displayed in the
($\Delta\phi_{\gamma D},x_{J}^{\gamma D}$) correlation diagram, where
$x_{J}^{\gamma D}=p_{T}^{D}/p_{T}^{\gamma}$. Additionally, we predict that, by
comparing the medium modifications of $\Delta\phi_{\gamma D}$ and
$\Delta\phi_{\gamma B}$ distributions in central Pb+Pb collisions, the mass
effect of jet quenching between charm and bottom quarks can be well addressed.
At last, we present the calculations of $\gamma+$D angular correlations in p+p
and Au+Au collisions at RHIC energy.
Figure 2: The normalized distribution of the azimuthal angular difference
($\Delta\phi_{\gamma D}=|\phi_{\gamma}-\phi_{D}|$) between the isolated-photon
and D meson in p+p and 0-10% Pb+Pb collisions at $\sqrt{s_{NN}}$=5.02 TeV. The
ratio of the normalized distributions in Pb+Pb to that in p+p is also plotted
in the lower panel.
In Fig. 2, we show the normalized distributions of azimuthal angular
difference ($\Delta\phi_{\gamma D}=|\phi_{\gamma}-\phi_{D}|$) between the
isolated-photon and D meson in p+p and central 0-10$\%$ Pb+Pb collisions at
$\sqrt{s_{NN}}$=5.02 TeV, as well as their ratio (PbPb/pp) in the lower panel.
It’s noted that the requirement $p_{T}^{\gamma}>10$ GeV can well constrain the
initial kinematics of the selected $\gamma+$D events in Pb+Pb collisions to be
consistent with that in the p+p baseline, which makes it available to compare
the quenched events with their initial distributions. All the selected D
mesons are required to have $p_{T}^{D}>$ 1 GeV due to the detector resolution
at the LHC, which may be used to suppress the contamination of the background
in experiment. In the upper panel of Fig. 2, in Pb+Pb collisions we observe
distinct reduction of $\gamma+$D events at the back-to-back region
($\Delta\phi_{\gamma D}\sim\pi$) in their normalized azimuthal angle
correlation distribution compared to the p+p baseline. Of course, it’s easier
to see the medium modification by illustrating the ratio (PbPb/pp) in the
bottom panel of of Fig. 2. We find considerable suppression of the ratio
($\sim 0.82$) at $\Delta\phi_{\gamma D}\sim\pi$ and enhancement (maximum value
$\sim$ 1.15) at $\Delta\phi_{\gamma D}\sim 2.1$, and the modification trends
to be invisible at $\Delta\phi_{\gamma D}<1.2$. It no doubt indicates the
angular de-correlations between the direct photon and charm quarks in nucleus-
nucleus collisions due to the strong in-medium interactions of charm quarks.
Figure 3: The fractional contributions in the total $\Delta\phi_{\gamma D}$
distribution from different D meson $p_{T}$ ranges in p+p (upper panel) and
0-10$\%$ Pb+Pb (lower panel) collisions at $\sqrt{s_{NN}}$=5.02 TeV.
To further understand the angular de-correlations of $\gamma+$D in
nucleus+nucleus collisions, it’s always essential and helpful to analyse the
contributions in the $\Delta\phi_{\gamma D}$ distribution from different D
meson $p_{T}$ bins. As shown in Fig. 3, we estimate the fractional
contributions of D mesons with $1<p_{T}^{D}<2$ GeV, $2<p_{T}^{D}<4$ GeV and
$p_{T}^{D}>4$ GeV to the total $\Delta\phi_{\gamma D}$ distribution both in
p+p (upper panel) and $0-10\%$ Pb+Pb (lower panel) collisions, where the $\rm
Fraction(\Delta\phi)$ is calculated as follows,
$\displaystyle\rm Fraction(\Delta\phi)|_{(p_{T}^{\rm min},p_{T}^{\rm max})}$
$\displaystyle=$ $\displaystyle\frac{\frac{dN}{d\Delta\phi}|_{p_{T}^{\rm
min}<p_{T}^{D}<p_{T}^{\rm max}}}{\frac{dN}{d\Delta\phi}|_{p_{T}^{D}>1}}$ (8)
In p+p collisions, we observe that the higher $p_{T}$ ($p_{T}^{D}>4$ GeV) D
mesons dominate the large azimuthal angle ($\Delta\phi_{\gamma D}>3\pi/4$)
region. In Pb+Pb collisions, we find a significant reduced contribution from
higher $p_{T}$ ($p_{T}^{D}>4$ GeV) D mesons due to the energy loss of charm
quarks and respectively the enhanced contributions from lower $p_{T}$ D
mesons. At $\Delta\phi_{\gamma D}<2.0$, lower $p_{T}$ ($p_{T}^{D}<4$ GeV) D
mesons are the dominant contribution both in p+p and Pb+Pb, which implies that
the overall medium modification pattern of $\Delta\phi_{\gamma D}$
distribution may be sensitive to the kinematic cut in event selection at this
region. Accordingly, in the upper panel of Fig. 4, we present the calculated
medium modification of $\Delta\phi_{\gamma D}$ distribution in $0-10\%$ Pb+Pb
collisions for different $p_{T}^{D}$ cut. We find that, as D meson selection
cut increases, the enhancement at $\Delta\phi_{\gamma D}<2.0$ gradually
disappears and turns into suppression. It can be explained by the fact that it
is easier to deflect lower $p_{T}$ heavy quarks into large angles than deflect
higher $p_{T}$ ones. In the lower panel of Fig. 4, the medium modifications of
$\Delta\phi_{\gamma D}$ distribution are also estimated within three $p_{T}$
windows of the direct photon. We find that the medium modifications of
$\Delta\phi_{\gamma D}$ gradually decrease with the enhancement of
$p_{T}^{\gamma}$ ranges, but still clear suppressions at $\Delta\phi_{\gamma
D}\sim\pi$ can be observed. This $p_{T}^{\gamma}$ dependence of medium
modification can be understood as follows, as $p_{T}^{\gamma}$ range
increases, the initial energy of the correlated charm quarks is also enhanced,
however we know that in-medium scattering has weaker influence on the more
energic charm quarks. These discussions and estimations may be useful for the
future experimental measurements on the medium modification of $\gamma+$D
angular de-correlations at the LHC.
Figure 4: Ratios of the normalized $\Delta\phi_{\gamma D}$ distribution of
0-10$\%$ Pb+Pb collisions to p+p, for different $p_{T}^{D}$ cut (upper panel)
and different $p_{T}^{\gamma}$ cut (lower panel).
Figure 5: 2D correlations diagram of $\Delta\phi_{\gamma
D}=|\phi_{\gamma}-\phi_{D}|$ and $x_{J}=p_{T}^{D}/p_{T}^{\gamma}$ in p+p
(upper panel) and 0-10$\%$ Pb+Pb (lower panel) collisions at
$\sqrt{s_{NN}}$=5.02 TeV.
From the above discussions, we find that energy loss and $P_{T}$-broadening
effects have intricate interplay on the medium modification of $\gamma+$D
angular correlations. To show their respective impact on the final-state
$\gamma+$D observable graphically and clearly, we construct the correlations
between $x_{J}^{\gamma D}$ and $\Delta\phi_{\gamma D}$ both in p+p and
$0-10\%$ Pb+Pb collisions at $\sqrt{s_{NN}}=$5.02 TeV, as shown in Fig. 5,
where $x_{J}^{\gamma D}=p_{T}^{D}/p_{T}^{\gamma}$ is the transverse momentum
balance between D meson and the direct photon. By comparing the diagrams in
p+p and Pb+Pb collisions, we can observe two obvious variations. First, we
find the events are concentrated at $x_{J}^{\gamma D}\in[0.3,0.6]$ in p+p
collisions but at $x_{J}^{\gamma D}\in[0.05,0.3]$ in Pb+Pb collisions. The
shift of $x_{J}^{\gamma D}$ from lager to smaller value is due to the energy
loss of charm quarks when they traverse the QGP. Second, we also observe that
the highlight region versus $\Delta\phi_{\gamma D}$ is obviously broadened in
Pb+Pb collisions compared to p+p, and the correlations at
$2.0<\Delta\phi_{\gamma D}<2.8$ are significantly strengthened, which all
indicate $\gamma+$D events shift towards smaller $\Delta\phi_{\gamma D}$. The
shift of $\Delta\phi_{\gamma D}$ in the ($x_{J}^{\gamma D}$,
$\Delta\phi_{\gamma D}$) correlation diagram is no doubt a clear signal of the
$P_{T}$-broadening effect of charm quarks due to the in-medium scattering.
Therefore, we propose that, in such an investigation of the correlations
between $x_{J}^{\gamma D}$ and $\Delta\phi_{\gamma D}$, the two aspects of jet
quenching effect, energy loss and $P_{T}$-broadening, can be well captured and
exhibited simultaneously.
Figure 6: The initial $\Delta\phi_{\gamma D}$ and $\Delta\phi_{\gamma B}$
distributions in p+p collisions at 5.02 TeV.
Figure 7: Upper panel: ratios of the normalized $\Delta\phi_{\gamma D}$
distribution of 0-10$\%$ Pb+Pb collisions to p+p. Lower panel: ratios of the
normalized $\Delta\phi_{\gamma B}$ distribution of 0-10$\%$ Pb+Pb collisions
to p+p. Three situations are considered both for $\gamma+$D and $\gamma+$B:
only radiative contribution (green dash-line), only collisional contribution
(red dot-dash-line), total Rad.+Coll. contributions (blue solid-line).
The flavor dependence of jet quenching is also an important and interesting
topic in heavy-ion physics Andronic:2015wma ; Cao:2018ews ; Dong:2019unq ;
Dong:2019byy ; Cao:2021ces ; Zhao:2020jqu . Due to the larger mass, bottom
quark was believed to lose less energy than charm quark in the QGP medium, and
some indications had been observed in experiment by comparing the nuclear
modification factor $R_{AA}$ of D meson and non-prompt $J/\psi$
Sirunyan:2017xss ; Khachatryan:2016ypw , as well as the most recent reported
electron $R_{AA}$ decayed by charm and bottom STAR:2021uzu ; PHENIX:2022wim .
We have also noted that some other observables may provide new perspectives to
gain insight into the mass hierarchy of jet quenching Li:2017wwc ;
Wang:2020ukj . In this study, for $\gamma+$HF, a good correspondence can be
established in p+p and A+A events by using the same $p_{T}^{\gamma}$ selection
cut, which makes it available to compare the strength of the in-medium
$P_{T}$-broadening of charm and bottom quarks straightforward.
It’s of necessity and interest to compare the initial azimuthal angular
distributions of $\gamma$+D and $\gamma$+B in p+p before proceeding into the
discussions in A+A collisions. As shown in Fig. 6, we plot the normalized
distributions of $\Delta\phi_{\gamma D}$ and $\Delta\phi_{\gamma B}$ in p+p
collisions at 5.02 TeV. We observe that $\gamma$+B shows steeper angular
distribution compared to $\gamma$+D especially at the region of
$\Delta\phi<\pi/2$. The initial deference between $\gamma$+D and $\gamma$+B in
p+p spectra may also have influence on the medium modification to be observed
in A+A collisions.
As shown in Fig. 7, we compare the medium modifications of the azimuthal
angular correlations of $\gamma+$D and $\gamma+$B in $0-10\%$ Pb+Pb collisions
at $\sqrt{s_{NN}}=$5.02 TeV. We find that the total modification of $\gamma+$B
angular correlations is visibly smaller than that of $\gamma+$D at the back-
to-back region ($\Delta\phi\sim\pi$), which may indicate that the in-medium
$P_{T}$-broadening effect of bottom quark seems weaker than that of charm
quark. However, we also observe that the enhancement of the ratio (PbPb/pp) of
$\gamma$+B at $\Delta\phi<\pi/2$ is larger than that of $\gamma$+D, which may
be related to the steeper initial distribution of $\Delta\phi_{\gamma B}$ at
this region compared to that of $\Delta\phi_{\gamma D}$ as shown in Fig. 6.
To estimate the net contributions of collisional (elastic) and radiative
(inelastic) mechanisms to the total angular de-correlations of $\gamma+$HF, we
also show the respective results plotted as the red and blue lines in Fig. 7.
Note that the notations “Rad. only” and “Coll. only” represent the the medium
modification of $\Delta\phi_{\gamma D}$ attributed to radiative and
collisional energy loss as a part of the total energy loss respectively, while
the parameters $\hat{q}$ and $\kappa$ are fixed. We find that the radiative
mechanism seems to play more important role in the total medium modification
of $\Delta\phi_{\gamma D}$. As for $\gamma+$B, the contributions from
radiative and collisional mechanisms are comparable, while the contribution
from radiative mechanism is obviously smaller than that of $\gamma+$D. Due to
the so-called “dead-cone” effect, the medium-induced gluon radiation can be
suppressed by the heavy quark mass, especially for the heavier bottom quarks
compared to charm quarks, which leads to smaller medium modification shown in
$\gamma+$B compared to $\gamma+$D at the back-to-back region
($\Delta\phi\sim\pi$).
To make a deep understanding to the $P_{T}$-broadening caused by the
collisional and radiative energy loss mechanisms, we estimate the transverse
momentum gained by heavy quarks after a certain propagation length (L=4 fm) in
a static medium (T=400 MeV) versus initial heavy quark $p_{T}$, as shown in
Fig. 8. We find that at $p_{T}<5$ GeV the collisional energy loss of charm
quarks dominates the momentum broadening, but the radiative energy loss plays
more important role in the kinematics of higher transverse momentum. As for
bottom quarks, the crossover point of these two mechanisms appears at 10 GeV,
because bottom quarks suffer stronger “dead-cone” effect due to their larger
mass. Hence we suggest that the future measurements of the angular de-
correlations of $\gamma+$D and $\gamma+$B at the LHC may be helpful to
understand the mass effect of charm and bottom quarks in heavy-ion collisions
from a new perspective differing from that of the parton energy loss.
Figure 8: The transverse momentum gained by charm (upper panel) and bottom
(lower panel) quarks after a certain propagation length (L=4 fm) in a static
medium (T=400 MeV) as a function of initial heavy quark $p_{T}$. Figure 9: The
normalized distribution of the azimuthal angular difference
($\Delta\phi_{\gamma D}=|\phi_{\gamma}-\phi_{D}|$) between the isolated-photon
and D meson in p+p and 0-10% Au+Au collisions at $\sqrt{s_{NN}}$=200 GeV. The
ratio of the normalized distributions in Au+Au to that in p+p is also plotted
in the lower panel.
Last but not least, we also present the predicted medium modification of
$\gamma+$D angular correlations at the RHIC energy. In Fig. 9, we show the
normalized $\Delta\phi_{\gamma D}$ distributions in p+p and central $0-10\%$
Au+Au collisions at $\sqrt{s_{NN}}$=200 GeV, as well as their ratio (PbPb/pp)
in the lower panel. Since the QGP formed at the RHIC energy has lower average
temperature than that at the LHC, the corresponding $P_{T}$-broadening of
heavy quarks may be smaller. However, the final-state modification of
$\gamma+$D angular correlation in nucleus-nucleus collisions also depends on
the initial $\Delta\phi_{\gamma D}$ distribution. We find that the
$\Delta\phi_{\gamma D}$ spectra in p+p collisions at RHIC energy is steeper
than that at LHC energy (as shown in Fig. 2), as a result, a visible
modification on the $\Delta\phi_{\gamma D}$ distributions in Au+Au collisions
can still be observed. We are looking forward to such measurements relating to
$\gamma+$HF angular de-correlations can also be implemented both at the RHIC
and the LHC energy.
## IV Summary
In this paper, we present the first theoretical study of the azimuthal angular
de-correlations of $\gamma+$HF in high-energy nuclear collisions as a new
probe of the in-medium $P_{T}$-broadening of heavy quarks traversing the
quark-gluon plasma. The p+p baseline is produced by the event generator SHERPA
which computes the next-to-leading order matrix elements matched with parton
shower effects. The in-medium heavy quark evolution is implemented by a Monte
Carlo Langevin simulation, which takes into account the partonic elastic and
inelastic interactions.
In Pb+Pb collisions at $\sqrt{s_{NN}}=$5.02 TeV, we find considerable
suppression at $\Delta\phi_{\gamma D}\sim\pi$ and enhancement at
$\Delta\phi_{\gamma D}<2.8$ in $\gamma+$D azimuthal angular distribution
compared to the p+p baseline. The angular de-correlations between photon and D
meson can be used to probe the in-medium $P_{T}$-broadening of charm quarks in
experiment. By analysing the contributions from different kinematics of D
meson in the $\gamma+$D angular distribution, we find that lower $p_{T}$ D
meson play an important role to determine the overall modification patterns of
the $\Delta\phi_{\gamma D}$. As for the estimation at higher $p_{T}^{\gamma}$
ranges, the angular de-correlations between photon and D meson are found to be
not significant. Furthermore, to display the respective impact of energy loss
and $p_{T}$ broadening on the final-state $\gamma$+D observable
simultaneously, we construct the 2D correlations diagrams between
$x_{J}^{\gamma D}$ and $\Delta\phi_{\gamma D}$ both in p+p and $0-10\%$ Pb+Pb
collisions at $\sqrt{s_{NN}}=$5.02 TeV. We observe that $x_{J}^{\gamma D}$
shifts towards smaller value representing the energy loss of charm quarks, and
the strengthen of ($x_{J}^{\gamma D},\Delta\phi_{\gamma D}$) correlations at
smaller $\Delta\phi_{\gamma D}$ region indicating the $P_{T}$-broadening of
charm quarks relative to the direct photon.
Additionally, we also investigate the angular de-correlations of $\gamma+$B in
Pb+Pb collisions at $\sqrt{s_{NN}}=$5.02 TeV. We observe weaker medium
modifications of azimuthal angular correlation of $\gamma+$B compared to that
of $\gamma+$D in Pb+Pb collisions at $\Delta\phi\sim\pi$. And we demonstrate
that the difference mainly results from the medium-induced gluon radiation,
which may be helpful to understand the “dead-cone” effect of charm and bottom
quarks in heavy flavor physics. At last, we also present the calculated medium
modification of $\gamma+$D angular correlations at the RHIC energy, and a
visible angular de-correlation of $\gamma+$D in $0-10\%$ Au+Au collisions at
$\sqrt{s_{NN}}=$200 GeV is predicted.
Acknowledgments: The authors would like to thank S. Chen, P. Ru, R. Ma for
their helpful comments, and Frank Siegert for providing the Run card of SHERPA
simulations. This research is supported by the Guangdong Major Project of
Basic and Applied Basic Research No. 2020B0301030008, the Science and
Technology Program of Guangzhou No. 2019050001 and Natural Science Foundation
of China with Project Nos. 11935007 and 12035007. Sa Wang is also supported by
China Postdoctoral Science Foundation under project No. 2021M701279.
## References
* (1) M. Gyulassy, I. Vitev, X. N. Wang and B. W. Zhang, In *Hwa, R.C. (ed.) et al.: Quark gluon plasma* 123-191
* (2) G. Y. Qin and X. N. Wang, Int. J. Mod. Phys. E 24, no. 11, 1530014 (2015).
* (3) I. Vitev, S. Wicks and B. W. Zhang, JHEP 0811, 093 (2008).
* (4) J. Casalderrey-Solana, J. G. Milhano and U. A. Wiedemann, J. Phys. G 38, 035006 (2011).
* (5) Y. He, I. Vitev and B. W. Zhang, Phys. Lett. B 713, 224 (2012).
* (6) R. B. Neufeld, I. Vitev and B.-W. Zhang, Phys. Rev. C 83, 034902 (2011).
* (7) F. Senzel, O. Fochler, J. Uphoff, Z. Xu and C. Greiner, J. Phys. G 42, no. 11, 115104 (2015).
* (8) W. Dai, I. Vitev and B. W. Zhang, Phys. Rev. Lett. 110, no.14, 142001 (2013) [arXiv:1207.5177 [hep-ph]].
* (9) J. Casalderrey-Solana, D. C. Gulhan, J. G. Milhano, D. Pablos and K. Rajagopal, JHEP 1410, 019 (2014); Erratum: [JHEP 1509, 175 (2015)].
* (10) J. G. Milhano and K. C. Zapp, Eur. Phys. J. C 76, no. 5, 288 (2016).
* (11) N. B. Chang and G. Y. Qin, Phys. Rev. C 94, no. 2, 024902 (2016).
* (12) M. Connors, C. Nattrass, R. Reed and S. Salur, Rev. Mod. Phys. 90, 025005 (2018)
* (13) S. L. Zhang, T. Luo, X. N. Wang and B. W. Zhang, Phys. Rev. C 98, 021901 (2018) [arXiv:1804.11041 [nucl-th]].
* (14) S. Y. Chen, W. Dai, S. L. Zhang, Q. Zhang and B. W. Zhang, Eur. Phys. J. C 80 (2020) no.9, 865 [arXiv:2005.02892 [hep-ph]].
* (15) K. M. Burke et al. [JET Collaboration], Phys. Rev. C 90 (2014) no.1, 014909 [arXiv:1312.5003 [nucl-th]].
* (16) R. Baier, Y. L. Dokshitzer, A. H. Mueller, S. Peigne and D. Schiff, Nucl. Phys. B 483 (1997), 291-320 [arXiv:hep-ph/9607355 [hep-ph]].
* (17) R. Baier, Y. L. Dokshitzer, A. H. Mueller, S. Peigne and D. Schiff, Nucl. Phys. B 484 (1997), 265-282 [arXiv:hep-ph/9608322 [hep-ph]].
* (18) R. Baier, Y. L. Dokshitzer, A. H. Mueller and D. Schiff, Nucl. Phys. B 531 (1998), 403-425 [arXiv:hep-ph/9804212 [hep-ph]].
* (19) Z. Q. Liu, H. Zhang, B. W. Zhang and E. Wang, Eur. Phys. J. C 76 (2016) no.1, 20 [arXiv:1506.02840 [nucl-th]].
* (20) M. Xie, S. Y. Wei, G. Y. Qin and H. Z. Zhang, Eur. Phys. J. C 79 (2019) no.7, 589 [arXiv:1901.04155 [hep-ph]].
* (21) S. Cao et al. [JETSCAPE], Phys. Rev. C 104 (2021) no.2, 024905 [arXiv:2102.11337 [nucl-th]].
* (22) P. Ru, Z. B. Kang, E. Wang, H. Xing and B. W. Zhang, Phys. Rev. D 103 (2021) no.3, L031901 [arXiv:1907.11808 [hep-ph]].
* (23) A. Kumar, A. Majumder and J. H. Weber, [arXiv:2010.14463 [hep-lat]].
* (24) A. Adare et al. [PHENIX Collaboration], Phys. Rev. C 80 (2009) 024908 [arXiv:0903.3399 [nucl-ex]].
* (25) G. Aad et al. [ATLAS Collaboration], Phys. Rev. Lett. 105 (2010) 252303 [arXiv:1011.6182 [hep-ex]].
* (26) S. Chatrchyan et al. [CMS Collaboration], Phys. Lett. B 718 (2013) 773 [arXiv:1205.0206 [nucl-ex]].
* (27) A. M. Sirunyan et al. [CMS Collaboration], Phys. Lett. B 785 (2018) 14 [arXiv:1711.09738 [nucl-ex]].
* (28) A. M. Sirunyan et al. [CMS Collaboration], Phys. Rev. Lett. 119 (2017) no.8, 082301 [arXiv:1702.01060 [nucl-ex]].
* (29) J. Adam et al. [ALICE Collaboration], JHEP 1509 (2015) 170 [arXiv:1506.03984 [nucl-ex]].
* (30) L. Adamczyk et al. [STAR Collaboration], Phys. Rev. C 96 (2017) no.2, 024905 [arXiv:1702.01108 [nucl-ex]].
* (31) J. Norman [ALICE], PoS HardProbes2020 (2021), 127 [arXiv:2009.08261 [hep-ex]].
* (32) F. Dominguez, C. Marquet, A. H. Mueller, B. Wu and B. W. Xiao, Nucl. Phys. A 811 (2008) 197 [arXiv:0803.3234 [nucl-th]].
* (33) F. D’Eramo, M. Lekaveckas, H. Liu and K. Rajagopal, JHEP 1305 (2013) 031 [arXiv:1211.1922 [hep-ph]].
* (34) B. Wu, JHEP 1412 (2014) 081 [arXiv:1408.5459 [hep-ph]].
* (35) A. H. Mueller, B. Wu, B. W. Xiao and F. Yuan, Phys. Lett. B 763 (2016) 208 [arXiv:1604.04250 [hep-ph]].
* (36) L. Chen, G. Y. Qin, S. Y. Wei, B. W. Xiao and H. Z. Zhang, Phys. Lett. B 773 (2017) 672 [arXiv:1607.01932 [hep-ph]].
* (37) A. H. Mueller, B. Wu, B. W. Xiao and F. Yuan, Phys. Rev. D 95 (2017) no.3, 034007 [arXiv:1608.07339 [hep-ph]].
* (38) T. Luo, S. Cao, Y. He and X. N. Wang, Phys. Lett. B 782 (2018) 707 [arXiv:1803.06785 [hep-ph]].
* (39) F. Ringer, B. W. Xiao and F. Yuan, Phys. Lett. B 808 (2020) 135634 [arXiv:1907.12541 [hep-ph]].
* (40) J. Jia, S. Y. Wei, B. W. Xiao and F. Yuan, Phys. Rev. D 101 (2020) no.9, 094008 [arXiv:1910.05290 [hep-ph]].
* (41) E. Blanco, K. Kutak, W. Płaczek, M. Rohrmoser and R. Straka, JHEP 04 (2021), 014 [arXiv:2009.03876 [hep-ph]].
* (42) B. G. Zakharov, Eur. Phys. J. C 81 (2021) no.1, 57 [arXiv:2003.10182 [hep-ph]].
* (43) H. Clayton, M. D. Sievert and W. A. Horowitz, [arXiv:2110.14737 [hep-ph]].
* (44) L. Adamczyk et al. [STAR Collaboration], Phys. Rev. Lett. 113, no. 14, 142301 (2014). [arXiv:1404.6185 [nucl-ex], arXiv:1809.08737 [nucl-ex]].
* (45) G. Xie [STAR], Nucl. Phys. A 956 (2016), 473-476 [arXiv:1601.00695 [nucl-ex]].
* (46) A. M. Sirunyan et al. [CMS Collaboration], Phys. Lett. B 782, 474 (2018) [arXiv:1708.04962 [nucl-ex]].
* (47) S. Acharya et al. [ALICE], JHEP 10 (2018), 174 doi:10.1007/JHEP10(2018)174 [arXiv:1804.09083 [nucl-ex]].
* (48) J. Adam et al. [ALICE Collaboration], JHEP 1603, 081 (2016). [arXiv:1509.06888 [nucl-ex]].
* (49) V. Khachatryan et al. [CMS Collaboration], Eur. Phys. J. C 77 (2017) no.4, 252 [arXiv:1610.00613 [nucl-ex]].
* (50) L. Adamczyk et al. [STAR Collaboration], Phys. Rev. Lett. 118, no. 21, 212301 (2017) [arXiv:1701.06060 [nucl-ex]].
* (51) S. Acharya et al. [ALICE Collaboration], Phys. Rev. Lett. 120, no. 10, 102301 (2018) [arXiv:1707.01005 [nucl-ex]].
* (52) A. M. Sirunyan et al. [CMS Collaboration], Phys. Rev. Lett. 120, no. 20, 202301 (2018) [arXiv:1708.03497 [nucl-ex]].
* (53) A. M. Sirunyan et al. [CMS Collaboration], Phys. Rev. Lett. 125 (2020) no.10, 102001 [arXiv:1911.01461 [hep-ex]].
* (54) S. Wang, W. Dai, B. W. Zhang and E. Wang, Eur. Phys. J. C 79 (2019) no.9, 789 [arXiv:1906.01499 [nucl-th]].
* (55) S. Wang, W. Dai, J. Yan, B. W. Zhang and E. Wang, Nucl. Phys. A 1005 (2021), 121787 [arXiv:2001.11660 [nucl-th]].
* (56) S. Wang, W. Dai, B. W. Zhang and E. Wang, Chin. Phys. C 45 (2021) no.6, 064105 arXiv:2012.13935 [nucl-th].
* (57) T. Renk, Phys. Rev. C 88 (2013) no.5, 054902 [arXiv:1212.0646 [hep-ph]].
* (58) L. Cunqueiro and A. M. Sickles, [arXiv:2110.14490 [nucl-ex]].
* (59) S. Cao and X. N. Wang, Rept. Prog. Phys. 84 (2021) no.2, 024301 [arXiv:2002.04028 [hep-ph]].
* (60) G. Aad et al. [ATLAS], Phys. Rev. Lett. 126 (2021) no.7, 072301 [arXiv:2008.09811 [nucl-ex]].
* (61) A. M. Sirunyan et al. [CMS Collaboration], arXiv:2103.04377 [hep-ex].
* (62) T. Gleisberg, S. Hoeche, F. Krauss, M. Schonherr, S. Schumann, F. Siegert and J. Winter, JHEP 0902, 007 (2009) [arXiv:0811.4622 [hep-ph]].
* (63) S. Chatrchyan et al. [CMS], Phys. Lett. B 722 (2013), 238-261 [arXiv:1301.1646 [hep-ex]].
* (64) M. Aaboud et al. [ATLAS], Nucl. Phys. B 918 (2017), 257-316 [arXiv:1611.06586 [hep-ex]].
* (65) F. Krauss, R. Kuhn and G. Soff, JHEP 02 (2002), 044 [arXiv:hep-ph/0109036 [hep-ph]].
* (66) T. Gleisberg and S. Hoeche, JHEP 12 (2008), 039 [arXiv:0808.3674 [hep-ph]].
* (67) S. Schumann and F. Krauss, JHEP 03 (2008), 038 [arXiv:0709.1027 [hep-ph]].
* (68) S. Frixione and B. R. Webber, JHEP 06 (2002), 029 [arXiv:hep-ph/0204244 [hep-ph]].
* (69) F. Buccioni, J. N. Lang, J. M. Lindert, P. Maierhöfer, S. Pozzorini, H. Zhang and M. F. Zoller, Eur. Phys. J. C 79 (2019) no.10, 866 [arXiv:1907.13071 [hep-ph]].
* (70) R. D. Ball et al. [NNPDF], JHEP 04 (2015), 040 [arXiv:1410.8849 [hep-ph]].
* (71) M. Cacciari, G. P. Salam and G. Soyez, Eur. Phys. J. C 72 (2012) 1896 [arXiv:1111.6097 [hep-ph]].
* (72) M. Cacciari, G. P. Salam and G. Soyez, JHEP 0804, 063 (2008) [arXiv:0802.1189 [hep-ph]].
* (73) M. Aaboud et al. [ATLAS Collaboration], Phys. Lett. B 776 (2018) 295 [arXiv:1710.09560 [hep-ex]].
* (74) H. van Hees, M. Mannarelli, V. Greco and R. Rapp, Phys. Rev. Lett. 100, 192301 (2008) [arXiv:0709.2884 [hep-ph]].
* (75) S. Caron-Huot and G. D. Moore, JHEP 0802, 081 (2008) [arXiv:0801.2173 [hep-ph]].
* (76) M. Djordjevic and M. Djordjevic, Phys. Rev. C 92, no. 2, 024918 (2015) [arXiv:1505.04316 [nucl-th]].
* (77) M. He, R. J. Fries and R. Rapp, Phys. Lett. B 735, 445 (2014) [arXiv:1401.3817 [nucl-th]].
* (78) Y. T. Chien, A. Emerman, Z. B. Kang, G. Ovanesyan and I. Vitev, Phys. Rev. D 93, no. 7, 074030 (2016)
* (79) Z. B. Kang, F. Ringer and I. Vitev, JHEP 1703, 146 (2017) [arXiv:1610.02043 [hep-ph]].
* (80) S. Cao, G. Y. Qin and S. A. Bass, Phys. Rev. C 88, 044907 (2013) [arXiv:1308.0617 [nucl-th]].
* (81) W. M. Alberico, A. Beraudo, A. De Pace, A. Molinari, M. Monteno, M. Nardi, F. Prino and M. Sitta, Eur. Phys. J. C 73, 2481 (2013) [arXiv:1305.7421 [hep-ph]].
* (82) J. Xu, J. Liao and M. Gyulassy, JHEP 1602, 169 (2016) [arXiv:1508.00552 [hep-ph]].
* (83) S. Cao, T. Luo, G. Y. Qin and X. N. Wang, Phys. Rev. C 94, no. 1, 014909 (2016).
* (84) S. K. Das, S. Plumari, S. Chatterjee, J. Alam, F. Scardina and V. Greco, Phys. Lett. B 768, 260 (2017)
* (85) W. Ke, Y. Xu and S. A. Bass, Phys. Rev. C 98, no. 6, 064901 (2018).
* (86) L. Altenkort, A. M. Eller, O. Kaczmarek, L. Mazur, G. D. Moore and H. T. Shu, arXiv:2009.13553 [hep-lat].
* (87) M. He and R. Rapp, Phys. Rev. Lett. 124 (2020) no.4, 042301 [arXiv:1905.09216 [nucl-th]].
* (88) S. Li, F. Sun, W. Xie and W. Xiong, Eur. Phys. J. C 81 (2021) no.6, 536 [arXiv:2106.08491 [hep-ph]].
* (89) X. Dong and V. Greco, Prog. Part. Nucl. Phys. 104, 97 (2019).
* (90) A. Andronic et al., Eur. Phys. J. C 76, no. 3, 107 (2016).
* (91) X. Dong, Y. J. Lee and R. Rapp, Ann. Rev. Nucl. Part. Sci. 69, 417 (2019) [arXiv:1903.07709 [nucl-ex]].
* (92) S. Cao et al., Phys. Rev. C 99, no. 5, 054907 (2019) [arXiv:1809.07894 [nucl-th]].
* (93) S. Cao, Nucl. Phys. A 1005 (2021) 121984.
* (94) J. Zhao, K. Zhou, S. Chen and P. Zhuang, Prog. Part. Nucl. Phys. 114 (2020) 103801 [arXiv:2005.08277 [nucl-th]].
* (95) W. Dai, S. Wang, S. L. Zhang, B. W. Zhang and E. Wang, Chin. Phys. C 44 (2020) no.10, 104105 [arXiv:1806.06332 [nucl-th]].
* (96) S. Wang, W. Dai, B. W. Zhang and E. Wang, arXiv:2005.07018 [hep-ph].
* (97) X. F. Guo and X. N. Wang, Phys. Rev. Lett. 85 (2000) 3591 [hep-ph/0005044].
* (98) B. W. Zhang and X. N. Wang, Nucl. Phys. A 720, 429 (2003).
* (99) B. W. Zhang, E. Wang and X. N. Wang, Phys. Rev. Lett. 93 (2004) 072301 [nucl-th/0309040].
* (100) A. Majumder, Phys. Rev. D 85 (2012) 014023
* (101) W. t. Deng and X. N. Wang, Phys. Rev. C 81 (2010) 024902
* (102) X. F. Chen, C. Greiner, E. Wang, X. N. Wang and Z. Xu, Phys. Rev. C 81, 064908 (2010) [arXiv:1002.1165 [nucl-th]].
* (103) R. Rapp et al., Nucl. Phys. A 979, 21 (2018) [arXiv:1803.03824 [nucl-th]].
* (104) S. Li and J. Liao, Eur. Phys. J. C 80 (2020) no.7, 671 [arXiv:1912.08965 [hep-ph]].
* (105) S. Q. Li, W. J. Xing, F. L. Liu, S. Cao and G. Y. Qin, Chin. Phys. C 44 (2020) no.11, 114101 [arXiv:2005.03330 [nucl-th]].
* (106) Y. Xu, S. A. Bass, P. Moreau, T. Song, M. Nahrgang, E. Bratkovskaya, P. Gossiaux, J. Aichelin, S. Cao and V. Greco, et al. Phys. Rev. C 99 (2019) no.1, 014902 [arXiv:1809.10734 [nucl-th]].
* (107) A. Beraudo, A. De Pace, W. M. Alberico and A. Molinari, Nucl. Phys. A 831 (2009), 59-90 [arXiv:0902.0741 [hep-ph]].
* (108) F. Prino and R. Rapp, J. Phys. G 43 (2016) no.9, 093002 [arXiv:1603.00529 [nucl-ex]].
* (109) G. Y. Ma, W. Dai, B. W. Zhang and E. K. Wang, Eur. Phys. J. C 79 (2019) no.6, 518 [arXiv:1812.02033 [nucl-th]].
* (110) A. Francis, O. Kaczmarek, M. Laine, T. Neuhaus and H. Ohno, Phys. Rev. D 92 (2015) no.11, 116003 [arXiv:1508.04543 [hep-lat]].
* (111) C. Shen, Z. Qiu, H. Song, J. Bernhard, S. Bass and U. Heinz, Comput. Phys. Commun. 199 (2016) 61
* (112) M. L. Miller, K. Reygers, S. J. Sanders and P. Steinberg, Ann. Rev. Nucl. Part. Sci. 57 (2007) 205 [nucl-ex/0701025].
* (113) B. Andersson, G. Gustafson, G. Ingelman and T. Sjostrand, Phys. Rept. 97 (1983), 31-145
* (114) S. Cao, K. J. Sun, S. Q. Li, S. Y. F. Liu, W. J. Xing, G. Y. Qin and C. M. Ko, Phys. Lett. B 807 (2020), 135561 [arXiv:1911.00456 [nucl-th]].
* (115) M. S. Abdallah et al. [STAR], [arXiv:2111.14615 [nucl-ex]].
* (116) U. A. Acharya et al. [PHENIX], [arXiv:2203.17058 [nucl-ex]].
* (117) H. T. Li and I. Vitev, Phys. Lett. B 793 (2019), 259-264 [arXiv:1801.00008 [hep-ph]].
| arxiv-papers | 2021-07-26T07:20:45 | 2024-09-04T03:07:17.896095 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Sa Wang, Jin-Wen Kang, Wei Dai, Ben-Wei Zhang, Enke Wang",
"submitter": "Sa Wang",
"url": "https://arxiv.org/abs/2107.12000"
} |
2107.12001 | # Correlation analysis for isotropic stochastic gravitational wave backgrounds
with maximally allowed polarization degrees
Hidetoshi Omiya [email protected] Naoki Seto
[email protected] Department of Physics, Kyoto University, Kyoto
606-8502, Japan
###### Abstract
We study correlation analysis for monopole components of stochastic
gravitational wave backgrounds, including the maximally allowed polarization
degrees. We show that, for typical detector networks, the correlation analysis
can probe virtually five spectra: three for the intensities of the tensor,
vector, and scalar modes and two for the chiral asymmetries of the tensor and
vector modes. The chiral asymmetric signal for the vector modes has been left
untouched so far. In this paper, we derive the overlap reduction function for
this signal and thus complete the basic ingredients required for widely
dealing with polarization degrees. We comprehensively analyze the geometrical
properties of all the five overlap reduction functions. In particular, we
point out the importance of reflection transformations for configuring
preferable networks in the future.
## I Introduction
A stochastic gravitational wave background is one of the important
observational targets in the near future. It can be generated by cosmological
processes Starobinsky (1979); Easther et al. (2007); Kamionkowski et al.
(1994); Caprini et al. (2008), and has a potential to probe unknown physics in
the early universe (see Maggiore (2000); Romano and Cornish (2017);
Christensen (2019); Kuroyanagi et al. (2018) for reviews). When searching for
a cosmological background, our primary target would be its isotropic
(monopole) component.
The polarization states are basic characteristics of a background, and it
would be interesting to discuss how well we can observationally extract
related information. In fact, gravitational waves can take at most six
polarization patterns (see Will (1993) for the geometrical explanation of the
patterns). In General Relativity (GR), we only have the two tensor (T) modes
known as the $+$ and $\times$ patterns. However, alternative theories of
gravity allow the existence of the additional four modes: the two vector (V)
modes (the $x$ and $y$ patterns) and the two scalar (S) modes (the $b$ and $l$
patterns). By detecting these vector and scalar modes of a background, we can
probe a violation of GR (see for example Nishizawa et al. (2009, 2010);
Cornish et al. (2018); Abbott et al. (2019)). For the tensor and vector
sectors, we could introduce the circular polarization bases, instead of the
familiar linear ones. The asymmetry between the right- and left-handed
patterns of a background would be a strong evidence for a parity violation
process Lue et al. (1999); Seto (2006); Kato and Soda (2016); Smith and
Caldwell (2017); Domcke et al. (2020); Belgacem and Kamionkowski (2020) (see
also Alexander et al. (2006); Satoh et al. (2008); Adshead and Wyman (2012);
Kahniashvili et al. (2005); Ellis et al. (2020) for generations).
The correlation analysis is a powerful approach for detecting weak stochastic
background signals under the presence of detector noises Christensen (1992);
Flanagan (1993); Allen and Romano (1999). When considering only the monopole
components, under the low frequency approximation, we can show that the
correlation analysis can virtually probe the five spectra
($I_{T},I_{V},I_{S},W_{T},W_{V}$) characterizing the polarization states. The
three spectra $(I_{T},I_{V},I_{S})$ represent the total intensities of the
tensor, vector, and scalar modes, respectively. The other two spectra
$(W_{T},W_{V})$ show the chiral asymmetries of the tensor and vector modes
which are usually referred to as the Stokes “$V$” parameter. In this paper, we
used the notation $W$ instead of the conventional one “$V$”, not to confuse
with the abbreviation $V$ which exclusively represents the vector modes.
Here, we should notice that the chiral spectra $(W_{T},W_{V})$ change their
signs with respect to the parity transformation. On the other hand, the total
intensities ($I_{T},I_{V},I_{S}$) are invariant under the parity
transformation. Therefore, we contrastively call the formers by the parity odd
spectra and later by the parity even spectra.
The overlap reduction functions (ORFs) describe the correlated responses of
the two detectors to the five spectra. We denote them by
$\gamma^{I_{P}}(P=T,V,S)$ and $\gamma^{W_{P}}(P=T,V)$. These ORFs play key
roles in the correlation analysis. The analytic expressions for four functions
($\gamma^{I_{T}},\gamma^{I_{V}},\gamma^{I_{S}},\gamma^{W_{T}}$) can be found
in the literatures Flanagan (1993); Allen and Romano (1999); Nishizawa et al.
(2009, 2010); Seto and Taruya (2008). However, the parity asymmetric vector
spectrum $W_{V}$ and the associated ORF $\gamma^{W_{V}}$ have been left
untouched so far.
In this paper, we derive the analytic expression for the remaining function
$\gamma^{W_{V}}$ and make comparative discussions on the five ORFs, paying
special attention to behaviors under the parity and reflection
transformations. We will see that these transformations are crucial for
optimizing the sensitivity to the asymmetric components $(W_{T},W_{V})$ and
their isolation from the symmetric components ($I_{T},I_{V},I_{S}$). These
results would be useful for designing future detector networks, including
space interferometers.
This paper is organized as follows. In Sec. II, we review the possible
polarization states of a stationary and isotropic gravitational wave
background. We will argue that the monopole pattern of a background is
effectively characterized by the five spectra
$(I_{T},I_{V},I_{S},W_{T},W_{V})$. In Sec. III, we discuss the correlation
analysis of a stochastic gravitational wave background and newly derive the
analytic expression for $\gamma^{W_{V}}$. In Sec. IV, we focus on the networks
which are insensitive to the parity even spectra ($I_{T},I_{V},I_{S}$) for
solely detecting the odd ones $(W_{T},W_{V})$. In Sec. V, we summarize our
results and discuss possible applications of our study.
## II Polarization of a stochastic gravitational wave background
First, we describe the polarization decomposition of a stochastic
gravitational wave background, specifically focusing on the vector modes.
Since our universe is highly isotropic and homogeneous, we set an isotropic
background as our primary target. In addition, considering that the observed
propagation speed $v_{g}$ of gravitational waves is nearly the same as the
speed of light $c$ Abbott et al. (2017), we hereafter assume $v_{g}=c$.
We formally apply the plane wave expansion for the metric perturbation
$h_{ij}$ induced by a gravitational wave background as
$\displaystyle\begin{aligned} h_{ij}(t,\bm{x})=&\sum_{P}\int df\int
d\bm{\Omega}\\\
&\times\tilde{h}_{P}(f,\bm{\Omega})\bm{e}_{P,ij}(\bm{\Omega})e^{-2\pi
if(t-\bm{\Omega}\cdot\bm{x}/c)}~{}.\end{aligned}$ (1)
Here, $\bm{\Omega}$ is the unit vector on the two sphere parametrized by
$\displaystyle\bm{\Omega}$
$\displaystyle=\left(\begin{array}[]{c}\sin\theta\cos\phi\\\
\sin\theta\sin\phi\\\ \cos\theta\end{array}\right)$ (5)
in the cartesian coordinate. Note that we normalized the integral measure
$d\bm{\Omega}$ by $\int d\bm{\Omega}=4\pi$.
In Eq. (1), the expression $e_{ij,P}(\Omega)$ represents the polarization
tensor and $\tilde{h}_{P}$ is the mode coefficient. The subscript $P$ denotes
the polarization modes of a gravitational wave and takes the following six
patterns $P=+,\times,x,y,b,$and $l$ in the most general case Will (1993). The
$+$\- and $\times$-patterns are the usual tensor (T) modes predicted by GR. On
the other hand, the remaining modes do not appear in GR. The $x$\- and
$y$-patterns are called the vector (V) modes and the $b$\- and $l$-patterns
are the scalar (S) modes. The corresponding polarization tensors are given by
$\displaystyle\begin{aligned}
\bm{e}_{+}&=\bm{m}\otimes\bm{m}-\bm{n}\otimes\bm{n}~{},&\bm{e}_{\times}&=\bm{m}\otimes\bm{n}+\bm{n}\otimes\bm{m}~{},\\\
\bm{e}_{x}&=\bm{\Omega}\otimes\bm{m}+\bm{m}\otimes\bm{\Omega}~{},&\bm{e}_{y}&=\bm{\Omega}\otimes\bm{n}+\bm{n}\otimes\bm{\Omega}~{},\\\
\bm{e}_{b}&=\sqrt{3}(\bm{m}\otimes\bm{m}+\bm{n}\otimes\bm{n})~{},&\bm{e}_{l}&=\sqrt{3}(\bm{\Omega}\otimes\bm{\Omega})~{},\end{aligned}$
(6)
where the unit transverse vectors $\bm{m}$ and $\bm{n}$ are given by
$\displaystyle\bm{m}$
$\displaystyle=\left(\begin{array}[]{c}\cos\theta\cos\phi\\\
\cos\theta\sin\phi\\\ -\sin\theta\end{array}\right)~{},$ $\displaystyle\bm{n}$
$\displaystyle=\left(\begin{array}[]{c}-\sin\phi\\\ \cos\phi\\\
0\end{array}\right)~{}.$ (13)
Here, the unconventional factor $\sqrt{3}$ of the scalar modes are chosen for
normalizing the strain fluctuations (see appendix A of Omiya and Seto (2020)).
In Eq. (1), the mode coefficients $\tilde{h}_{P}$ are random quantities and
their statistical properties are characterized by the power spectrum
densities. In concrete terms, we first discuss the vector modes. Because the
vector modes (the $x$\- and $y$\- patterns) can be regarded as the massless
spin-1 particles Will (1993), we can characterize their polarization
properties similarly to the electromagnetic waves Rybicki and Lightman (1979).
Therefore, the $2\times 2$ matrix (for $P,P^{\prime}=x,y$) for their power
spectra is given by
$\displaystyle\begin{aligned}
\braket{\tilde{h}_{P}(f,\bm{\Omega})\tilde{h}_{P^{\prime}}^{*}(f^{\prime},\bm{\Omega^{\prime}})}&=\frac{1}{2}\delta_{\Omega\Omega^{\prime}}\delta(f-f^{\prime})\\\
&\times\left(\begin{array}[]{cc}I_{V}+Q_{V}&U_{V}-iW_{V}\\\
U_{V}+iW_{V}&I_{V}-Q_{V}\end{array}\right)~{},\end{aligned}$ (14)
with the ensemble average $\braket{\cdots}$ (omitting the $(f,\bm{\Omega})$
dependence existing in the right-hand-side). Here, $I_{V},W_{V},Q_{V}$, and
$U_{V}$ are the Stokes parameters Rybicki and Lightman (1979). As already
mentioned, we used $W$ instead of the conventional choice $V$ (representing
“vector” in this paper).
The physical meaning of $I_{V}$ and $W_{V}$ can be seen more clearly in the
circular polarization bases $(\bm{e}^{V}_{R},\bm{e}^{V}_{L})$ rather than the
linear polarization bases $(\bm{e}_{x},\bm{e}_{y})$. We can relate them by
$\displaystyle\bm{e}^{V}_{R}$
$\displaystyle=\frac{1}{\sqrt{2}}\left(\bm{e}_{x}+i\bm{e}_{y}\right)~{},$
$\displaystyle\bm{e}^{V}_{L}$
$\displaystyle=\frac{1}{\sqrt{2}}\left(\bm{e}_{x}-i\bm{e}_{y}\right)~{}.$ (15)
Using these relations, the corresponding mode coefficients in the circular
polarization bases are given by
$\displaystyle\tilde{h}^{V}_{L}(f,\bm{\Omega})$
$\displaystyle=\frac{1}{\sqrt{2}}\left(\tilde{h}_{x}(f,\bm{\Omega})+i\tilde{h}_{y}(f,\bm{\Omega})\right)~{},$
(16) $\displaystyle\tilde{h}^{V}_{R}(f,\bm{\Omega})$
$\displaystyle=\frac{1}{\sqrt{2}}\left(\tilde{h}_{x}(f,\bm{\Omega})-i\tilde{h}_{y}(f,\bm{\Omega})\right)~{}.$
(17)
Then, from Eqs. (14), (16), and (17) we obtain
$\displaystyle\begin{aligned}
I_{V}&=\braket{\tilde{h}^{V}_{R}\tilde{h}_{R}^{V*}}+\braket{\tilde{h}^{V}_{L}\tilde{h}_{L}^{V*}}~{},\\\
W_{V}&=\braket{\tilde{h}^{V}_{R}\tilde{h}_{R}^{V*}}-\braket{\tilde{h}^{V}_{L}\tilde{h}_{L}^{v*}}~{},\\\
Q_{V}&=\braket{\tilde{h}^{V}_{R}\tilde{h}_{L}^{V*}}+\braket{\tilde{h}_{L}\tilde{h}_{R}^{V*}}~{},\\\
U_{V}&=i(\braket{\tilde{h}_{R}^{V}\tilde{h}_{L}^{V*}}-\braket{\tilde{h}_{L}^{V}\tilde{h}_{R}^{V*}})~{},\end{aligned}$
(18)
by omitting the delta functions and the $(f,\bm{\Omega})$ dependence. From
these expressions, we see that $I_{V}$ and $W_{V}$ respectively characterize
the total and asymmetry of the amplitudes of the right and the left handed
waves. We can also confirm that the combinations $Q_{V}\pm iU_{V}$
characterize the linear polarization Rybicki and Lightman (1979).
As commented earlier, the polarization patterns of the vector modes are
essentially the same as the electromagnetic waves. Therefore, if we rotate the
transverse coordinate around the propagation direction $\bm{\Omega}$ by the
angle $\alpha$, the left- and right- polarization modes transform as
$\displaystyle\tilde{h}^{V}_{L}$ $\displaystyle\to
e^{-i\alpha}\tilde{h}^{V}_{L}~{},$ (19) $\displaystyle\tilde{h}^{V}_{R}$
$\displaystyle\to e^{+i\alpha}\tilde{h}^{V}_{R}~{}.$ (20)
From Eq. (18), we correspondingly obtain
$\displaystyle\begin{aligned} &I_{V}\to I_{V}~{},W_{V}\to W_{V}~{},\\\
&Q_{V}\pm iU_{V}\to e^{\pm 2i\alpha}(Q_{V}\pm iU_{V})~{}.\end{aligned}$ (21)
We observe that $I_{V}$ and $W_{V}$ are spin-0 and $Q_{V}\pm iU_{V}$ are
spin-2. Below, we focus our study on the isotropic and stationary background
with no preferred spatial direction and orientation. Then, for the correlation
between the mode coefficients, we only need to keep the monopole components of
the spin-0 combinations. This is because a higher spin combination (e.g.
$Q_{V}\pm iU_{V}$) introduces a specific orientation and should vanish for an
isotropic background. Accordingly, we hereafter put
$I_{V}(f,\bm{\Omega})=I_{V}(f)$ and $W_{V}(f,\bm{\Omega})=W_{V}(f)$, ignoring
the angular pattern.
For the tensor modes, the power spectra are analogous to the vector modes.
Indeed, the $2\times 2$ matrix (for $P,P^{\prime}=+,\times$) for the tensor
power spectra is given by
$\displaystyle\begin{aligned}
\braket{\tilde{h}_{P}(f,\bm{\Omega})\tilde{h}_{P^{\prime}}^{*}(f^{\prime},\bm{\Omega^{\prime}})}&=\frac{1}{2}\delta_{\Omega\Omega^{\prime}}\delta(f-f^{\prime})\\\
&\times\left(\begin{array}[]{cc}I_{T}+Q_{T}&U_{T}-iW_{T}\\\
U_{T}+iW_{T}&I_{T}-Q_{T}\end{array}\right)~{}\end{aligned}$ (22)
Seto and Taruya (2008). But here, we should recall that the tensor modes are
spin-2. Then, the Stokes parameters are transformed similarly to the vector
modes, as shown in Eq. (21) with the factor $e^{\pm 4i\alpha}$ for the linear
polarization ($Q_{T}\pm iU_{T}$). Therefore, we keep only
$I_{T}(f,\bm{\Omega})=I_{T}(f)$ and $W_{T}(f,\bm{\Omega})=W_{T}(f)$ for an
isotropic background, as in the case of the vector modes.
The mode coefficients for the scalar modes are transformed as spin-0
particles. We put their covariance matrix ($P,P^{\prime}=b,l$) as
$\displaystyle\begin{aligned}
\braket{\tilde{h}_{P}(f,\bm{\Omega})\tilde{h}_{P^{\prime}}^{*}(f^{\prime},\bm{\Omega^{\prime}})}=&\frac{1}{2}\delta_{\Omega\Omega^{\prime}}\delta(f-f^{\prime})\\\
&\times\left(\begin{array}[]{cc}I_{b}&C_{S}\\\
C_{S}^{*}&I_{l}\end{array}\right)\end{aligned}$ (23)
taking into account the possible off-diagonal (correlation) terms. However, as
long as the low frequency approximation is valid, the two modes are
observationally degenerated (as shown in the next section). As a result, the
correlation analysis can probe only the mean amplitude defined by
$\displaystyle
I_{S}\equiv\frac{1}{2}\left(I_{b}+I_{l}-C_{S}-C_{S}^{*}\right)~{}.$ (24)
The mechanism behind this expression will be explained also in the next
section.
One might be interested in the correlation between different polarization
modes, such as the $T-V$, $T-S$, and $V-S$ pairs. However, they cannot produce
spin-0 combinations and should vanish for an isotropic background.
To summarize, there are at most five spectra ($I_{T},I_{V},I_{S},W_{T}$ and
$W_{V}$) that effectively characterize a stationary and isotropic
gravitational wave background (under the low frequency approximation). The
spectra $I_{T},I_{V},$ and $I_{S}$ represent the total intensity of the
tensor, vector, and scalar modes respectively. In contrast, the spectra
$W_{T}$ and $W_{V}$ are the odd parity component and probe the parity
violation process for the tensor and vector polarizations.
## III Overlap reduction functions
The correlation analysis is a powerful approach for detecting a gravitational
wave background Christensen (1992); Flanagan (1993); Allen and Romano (1999).
The ORFs are its key elements and characterize the correlated response of two
detectors to an isotropic background. As mentioned earlier, we have, in total,
the five monopole spectra. The ORF for $I_{T}$ was first discussed in
Christensen (1992); Flanagan (1993), for $W_{T}$ in Seto (2007); Seto and
Taruya (2007), and for $I_{V}$ and $I_{S}$ in Nishizawa et al. (2009, 2010).
However, the function for the remaining one $W_{V}$ had not been studied so
far.
In this section, we discuss the ORFs, paying special attention to the
unexplored one $W_{V}$ in relation to the analog one $W_{T}$. For
systematically handling intermediate calculations, we will also introduce the
new orthogonal tensorial bases, utilizing the underlying geometrical symmetry.
### III.1 General formulation
Let us consider the interaction of a detector $A$ with a gravitational wave
background. Under the low frequency approximation valid for $f\ll(2\pi
L/c)^{-1}$ with the detector arm length $L$, the response of the detector can
be modeled as Forward (1978)
$\displaystyle h_{A}(f)=D_{A}^{ij}\tilde{h}_{ij}(f,\bm{x}_{A})~{}.$ (25)
Here, $\tilde{h}_{ij}(f,\bm{x}_{A})$ is the metric perturbation of the
background at the detector. We also defined the detector tensor $\bm{D}_{A}$
by
$\displaystyle\bm{D}_{A}=\frac{\bm{u}_{A}\otimes\bm{u}_{A}-\bm{v}_{A}\otimes\bm{v}_{A}}{2}$
(26)
where $\bm{u}_{A}$ and $\bm{v}_{A}$ are the unit vectors representing the two
arm directions.
By cross-correlating two noise independent detectors, one can distinguish a
stochastic background from random detector noises. We define the expectation
value of the correlated signal for two detectors $A$ and $B$ as
$\displaystyle C_{AB}(f)\equiv\braket{h_{A}(f)h_{B}^{*}(f)}~{},$ (27)
again omitting the apparent delta function. Using Eqs. (1), (14), (22), (23),
and (25), and leaving only the monopole components, we obtain
$\displaystyle\begin{aligned}
C_{AB}(f)=\frac{4\pi}{5}&D_{A}^{ij}D_{B}^{kl}\left(\sum_{P=T,V,S}\Gamma^{I_{P}}_{ijkl}(f)I_{P}(f)\right.\\\
&\left.+\sum_{P=T,V}\Gamma^{W_{P}}_{ijkl}(f)W_{P}(f)\right)~{},\end{aligned}$
(28)
with
$\displaystyle\Gamma^{I_{T}}_{ijkl}$ $\displaystyle\equiv\frac{5}{8\pi}\int
d\bm{\Omega}(e_{+,ij}e_{+,kl}+e_{\times,ij}e_{\times,kl})e^{iy\bm{\Omega}\cdot\hat{\bm{d}}}~{},$
(29) $\displaystyle\Gamma^{I_{V}}_{ijkl}$
$\displaystyle\equiv\frac{5}{8\pi}\int
d\bm{\Omega}(e_{x,ij}e_{x,kl}+e_{y,ij}e_{y,kl})e^{iy\bm{\Omega}\cdot\hat{\bm{d}}}~{},$
(30) $\displaystyle\Gamma^{I_{S}}_{ijkl}$
$\displaystyle\equiv\frac{5}{8\pi}\int
d\bm{\Omega}(e_{b,ij}e_{b,kl}+e_{l,ij}e_{l,kl})e^{iy\bm{\Omega}\cdot\hat{\bm{d}}}~{},$
(31) $\displaystyle\Gamma^{W_{T}}_{ijkl}$
$\displaystyle\equiv-\frac{5i}{8\pi}\int
d\bm{\Omega}(e_{+,ij}e_{\times,kl}-e_{\times,ij}e_{+,kl})e^{iy\bm{\Omega}\cdot\hat{\bm{d}}}~{},$
(32) $\displaystyle\Gamma^{W_{V}}_{ijkl}$
$\displaystyle\equiv-\frac{5i}{8\pi}\int
d\bm{\Omega}(e_{x,ij}e_{y,kl}-e_{y,ij}e_{x,kl})e^{iy\bm{\Omega}\cdot\hat{\bm{d}}}~{}.$
(33)
In these expressions, we introduced the unit vector
$\hat{\bm{d}}=(\bm{x}_{A}-\bm{x}_{B})/d$ with $d\equiv|\bm{x}_{A}-\bm{x}_{B}|$
and $y=2\pi fd/c$. The tensors $\Gamma_{ijkl}^{I_{P},W_{P}}$ should be
completely determined by $y$ and $\hat{d}$.
Here we comment on the degeneracy between the two scalar patters ($b$ and
$l$). Note that, the sum of the polarization tensor for these two patterns is
$\displaystyle e_{b,ij}+e_{l,ij}=\sqrt{3}\delta_{ij}~{}.$ (34)
Since the detector tensor $D_{ij}$ is traceless, we identically have
$\displaystyle e_{b,ij}D_{ij}=-e_{l,ij}D_{ij}~{}.$ (35)
With the identity Eq. (35), the cross correlation between the scalar modes can
be evaluated as
$\displaystyle\braket{h_{A}(f)h_{B}^{*}(f)}|_{\rm scalar}$
$\displaystyle=D_{A,ij}D_{B,kl}\int
d\bm{\Omega}\left(e_{b,ij}e_{b,kl}\frac{I_{b}}{2}+e_{l,ij}e_{l,kl}\frac{I_{l}}{2}+e_{b,ij}e_{l,kl}\frac{C_{S}}{2}+e_{l,ij}e_{b,kl}\frac{C_{S}^{*}}{2}\right)e^{iy\bm{\Omega}\cdot\hat{\bm{d}}}$
(36) $\displaystyle=\frac{1}{2}D_{A,ij}D_{B,kl}\left(\int
d\bm{\Omega}\left(e_{b,ij}e_{b,kl}+e_{l,ij}e_{l,kl}\right)e^{iy\bm{\Omega}\cdot\hat{\bm{d}}}\right)\frac{I_{b}+I_{l}-C_{S}-C_{s}^{*}}{2}~{}.$
(37)
As a result, under the low frequency approximation, only the combination
$I_{S}$ in Eq. (24) appears for the cross correlation.
By contracting the tensors $\Gamma_{ijkl}^{I_{P}}$ and $\Gamma_{ijkl}^{W_{P}}$
with detector tensors $D_{A}^{ij}$ and $D_{B}^{ij}$, we obtain the formal
expression of the ORFs as
$\displaystyle\gamma^{I_{P}}_{AB}$ $\displaystyle(f)\equiv
D_{A}^{ij}D_{B}^{kl}\Gamma^{I_{P}}_{ijkl}~{},$ (38)
$\displaystyle\gamma^{W_{P}}_{AB}$ $\displaystyle(f)\equiv
D_{A}^{ij}D_{B}^{kl}\Gamma^{W_{P}}_{ijkl}~{}.$ (39)
Then the cross correlation (28) can be written as
$\displaystyle\begin{aligned}
C_{AB}(f)=\frac{4\pi}{5}&\left(\sum_{P=T,V,S}\gamma^{I_{P}}_{AB}(f)I_{P}(f)\right.\\\
&\left.+\sum_{P=T,V}\gamma^{W_{P}}_{AB}(f)W_{P}(f)\right)~{}.\end{aligned}$
(40)
The functions $\gamma^{I_{P}}_{AB}$ and $\gamma^{W_{P}}_{AB}$ clearly
characterize the correlated response of the detectors to the corresponding
background spectra. Following the classification of the spectra, we call
$\gamma^{I_{P}}_{AB}(P=T,V,S)$ by the parity even ORFs and
$\gamma^{W_{P}}_{AB}(P=T,V)$ by the parity odd ones.
From Eqs. (29) - (33), we can identify the symmetric properties of the tensors
$\Gamma_{ijkl}^{I_{P},W_{P}}$. From the definition of the polarization bases
(see Eq. (6)), the tensor $\Gamma_{ijkl}^{I_{P},W_{P}}$ are symmetric under
exchange of indices,
$\displaystyle\Gamma^{I_{P},W_{P}}_{ijkl}=\Gamma^{I_{P},W_{P}}_{jikl}=\Gamma^{I_{P},W_{P}}_{ijlk}$
(41)
Also, it is easy to confirm that the parity even ones ((29) - (31)) satisfy
$\displaystyle\Gamma^{I_{P}}_{ijkl}$ $\displaystyle=\Gamma^{I_{P}}_{klij}~{},$
(42)
while we have
$\displaystyle\Gamma^{W_{P}}_{ijkl}$ $\displaystyle=-\Gamma^{W_{P}}_{klij}~{}$
(43)
for the odd ones ((32) and (33)). In the next subsection (and appendix A), we
extensively use these properties for deriving analytic expressions of the
ORFs.
### III.2 Symmetries to Transformations
At this point, we briefly discuss responses of various quantities to the
three-dimensional parity transformation. As commented earlier, it interchanges
the right- and left-handed waves, keeping the scalar modes invariant. We have
the correspondences for the spectra
$\displaystyle I_{P}^{\prime}{}=I_{P},~{}~{}W_{P}^{\prime}{}=-W_{P}$ (44)
with the prime attached to the transformed quantities. Since the correlation
product is unchanged with the parity transformation, we also have
$\displaystyle C_{AB}^{\prime}{}(f)=C_{AB}(f),$ (45)
and resultantly obtain
$\displaystyle{\gamma_{AB}^{I_{P}}}^{\prime}{}(f)={\gamma_{AB}^{I_{P}}}(f),~{}~{}{\gamma_{AB}^{W_{P}}}^{\prime}{}(f)=-{\gamma_{AB}^{W_{P}}}(f).$
(46)
Meanwhile, we can easily confirm
$\displaystyle\bm{D}_{A}^{\prime}{}=\bm{D}_{A},~{}~{}\bm{D}_{B}^{\prime}{}=\bm{D}_{B}.$
(47)
Then, we have
$\displaystyle{\Gamma^{I_{P}}_{ijkl}}^{\prime}{}=\Gamma^{I_{P}}_{ijkl},~{}~{}{\Gamma^{W_{P}}_{ijkl}}^{\prime}{}=-\Gamma^{W_{P}}_{ijkl}.$
(48)
Next, we discuss a mirror transformation (reflection) with respect to a single
plane. In fact, the parity transformation is generated by the consecutive
operations of a mirror transformation and an associated spatial rotation (e.g.
reflection at the $yz$-plane and rotation around the $x$-axis by the angle
$180^{\circ}$). Since we are dealing with an isotropic background, a spatial
rotation plays no role in correlation analysis. Therefore, for a mirror
transformation at an arbitrary plane, we have the correspondences identical to
(44)-(46) (but not generally (47) and (48)).
### III.3 Construction of Parity Odd ORFs
Now we derive analytic expressions for the parity odd ORFs
$\gamma^{W_{T}}_{AB}$ and $\gamma^{W_{V}}_{AB}$. Our basic strategy is to
apply the irreducible decomposition on the rank-4 tensors
$\Gamma^{W_{P}}_{ijkl}$. This is a systematic extension of the approach
applied in Flanagan Flanagan (1993), but has not been used in the literature.
In appendix A, we discuss the parity even ORFs, following a similar procedure.
First, we specify the possible tensors which can be used for composing
$\gamma^{W_{T}}_{AB}$. As already mentioned, the unit vector $\hat{\bm{d}}$
should be the unique candidate for the vector. In addition, since the
polarization tensors transform under the special orthogonal group ${\rm
SO}(3)$, the tensors $\Gamma^{W_{P}}_{ijkl}$ should also transform under ${\rm
SO}(3)$. Correspondingly, in addition to $\hat{\bm{d}}$, we may use
$\delta_{ij}$ and $\epsilon_{ijk}$. Therefore, the basic building blocks of
the $\Gamma_{ijkl}$ must be the three tensors below
$\displaystyle\delta_{ij}~{},$ $\displaystyle M^{0}_{ij}$
$\displaystyle\equiv\hat{d}_{i}\hat{d}_{j}-\delta_{ij}/3~{},$
$\displaystyle\omega_{ij}$ $\displaystyle\equiv\epsilon_{ijk}\hat{d}_{k}~{}.$
(49)
Note that $M^{0}$ is traceless and symmetric, while $\omega$ is anti-
symmetric.
Following the general procedure for the irreducible decomposition of ${\rm
SO}(N)$ tensor Hamermesh (1989), we construct the tensorial bases for the
rank-4 tensors satisfying Eqs. (41) and (43). The relevant tensors should be
the following ones:
$\displaystyle\tilde{H}^{0}_{ijkl}=\frac{1}{2}\left(\delta_{ij}M^{0}_{kl}-M^{0}_{ij}\delta_{kl}\right)~{},$
(50)
$\displaystyle\tilde{K}^{0}_{ijkl}=\frac{1}{2\sqrt{10}}\left(\delta_{ik}\omega_{jl}+\delta_{il}\omega_{jk}+\delta_{jk}\omega_{il}+\delta_{jl}\omega_{ik}\right)~{},$
(51) $\displaystyle\begin{aligned}
\tilde{F}^{0}_{ijkl}=&\frac{1}{4}\sqrt{\frac{5}{2}}\left(\omega_{ik}M^{0}_{jl}+\omega_{il}M^{0}_{jk}+\omega_{jk}M^{0}_{il}+\omega_{jl}M^{0}_{ik}\right)\\\
&+\frac{1}{3}\tilde{K}^{0}_{ijkl}~{},\end{aligned}$ (52)
These tensors are orthonormal in the following sense
$\displaystyle\tilde{F}^{0}_{ijkl}\tilde{H}^{0}_{ijkl}=\tilde{F}^{0}_{ijkl}\tilde{K}^{0}_{ijkl}=\tilde{H}^{0}_{ijkl}\tilde{K}^{0}_{ijkl}=0~{},$
(53)
$\displaystyle\tilde{F}^{0}_{ijkl}\tilde{F}^{0}_{ijkl}=\tilde{H}^{0}_{ijkl}\tilde{H}^{0}_{ijkl}=\tilde{K}^{0}_{ijkl}\tilde{K}^{0}_{ijkl}=1~{}.$
(54)
Note that $\tilde{F}^{0}$ is traceless
$\displaystyle\tilde{F}^{0}_{iijk}=\tilde{F}^{0}_{ijik}=\dots=\tilde{F}^{0}_{jkii}=0~{},$
(55)
which is required by the irreducible decomposition.
Using the tensors (50),(51), and (52), we can expand $\Gamma^{W_{P}}$ as
$\displaystyle\Gamma^{W_{P}}_{ijkl}$
$\displaystyle=\tilde{\rho}^{P}_{\tilde{F}}\tilde{F}^{0}_{ijkl}+\tilde{\rho}^{P}_{\tilde{K}}\tilde{K}^{0}_{ijkl}+\tilde{\rho}^{P}_{\tilde{H}}\tilde{H}^{0}_{ijkl}~{}.$
(56)
The orthonormal nature of the basis allows us to obtain the expansion
coefficients by simply contracting the tensors with $\Gamma^{W_{P}}_{ijkl}$:
$\displaystyle\tilde{\rho}^{P}_{\tilde{F}}$
$\displaystyle=\tilde{F}^{0}_{ijkl}\Gamma^{W_{P}}_{ijkl}~{},$ (57)
$\displaystyle\tilde{\rho}^{P}_{\tilde{H}}$
$\displaystyle=\tilde{H}^{0}_{ijkl}\Gamma^{W_{P}}_{ijkl}~{},$ (58)
$\displaystyle\tilde{\rho}^{P}_{\tilde{K}}$
$\displaystyle=\tilde{K}^{0}_{ijkl}\Gamma^{W_{P}}_{ijkl}~{}.$ (59)
After some elementary calculations, we obtain the coefficients
$\displaystyle(\tilde{\rho}^{T}_{\tilde{F}},\tilde{\rho}^{T}_{\tilde{K}},\tilde{\rho}^{T}_{\tilde{H}})$
$\displaystyle=\sqrt{10}(j_{3}(y),2j_{1}(y),0)~{},$ (60)
$\displaystyle(\tilde{\rho}^{V}_{\tilde{F}},\tilde{\rho}^{V}_{\tilde{K}},\tilde{\rho}^{V}_{\tilde{H}})$
$\displaystyle=\sqrt{10}(-2j_{3}(y),j_{1}(y),0)~{},$ (61)
where $j_{n}(y)$ are the spherical Bessel functions. Notice that we
identically have $\rho^{P}_{\tilde{H}}=0$. This straightforwardly follows from
the oddness of the tensors $\Gamma^{W_{P}}_{ijkl}$ with respect to parity
transformation. We must have an odd power of $\hat{\bm{d}}$, in contrast to
$\tilde{H}^{0}_{ijkl}$.
Using the expressions presented so far, we have the analytic expressions of
$\gamma^{W_{T}}$ and $\gamma^{W_{V}}$ as
$\displaystyle\gamma^{W_{T}}_{AB}$
$\displaystyle=\sqrt{10}\left(D^{\tilde{F}}_{AB}j_{3}(y)+2D^{\tilde{K}}_{AB}j_{1}(y)\right)~{},$
(62) $\displaystyle\gamma^{W_{V}}_{AB}$
$\displaystyle=\sqrt{10}\left(-2D^{\tilde{F}}_{AB}j_{3}(y)+D^{\tilde{K}}_{AB}j_{1}(y)\right)~{}.$
(63)
Here, we defined
$\displaystyle D^{\tilde{F}}_{AB}$ $\displaystyle\equiv
D_{A,ij}D_{B,kl}\tilde{F}^{0}_{ijkl}~{},$ (64) $\displaystyle
D^{\tilde{K}}_{AB}$ $\displaystyle\equiv
D_{A,ij}D_{B,kl}\tilde{K}^{0}_{ijkl}~{}.$ (65)
We present the ready-to-use expressions for networks composed by two ground-
based detectors in appendix B. In Fig. 1, as typical examples, we show the
parity odd ORFs for the VIRGO-LIGO Hanford network.
Figure 1: The parity odd ORFs for the VIRGO-LIGO Hanford network. The black
solid and red dashed lines correspond to the tensor and vector modes,
respectively. The distance between two detectors is $d\sim 8.2\times
10^{3}{\rm km}$.
The asymptotic behaviors of the ORFs can be easily understood from Eqs. (62)
and (63). For the large frequency regime ($y=2\pi fd/c\gg 1$), the spherical
Bessel functions behave as
$\displaystyle j_{1}(y)\underset{y\to\infty}{\to}-\frac{\cos y}{y}~{},$ (66)
$\displaystyle j_{3}(y)\underset{y\to\infty}{\to}\frac{\cos y}{y}~{}.$ (67)
Then we have
$\displaystyle\gamma^{W_{T}}_{AB}\underset{y\to\infty}{\to}\sqrt{10}\left(D^{\tilde{F}}_{AB}-2D^{\tilde{K}}_{AB}\right)\frac{\cos
y}{y}~{},$ (68)
$\displaystyle\gamma^{W_{V}}_{AB}\underset{y\to\infty}{\to}\sqrt{10}\left(-2D^{\tilde{F}}_{AB}-D^{\tilde{K}}_{AB}\right)\frac{\cos
y}{y}~{}.$ (69)
Thus, they oscillate with the frequency interval $c/d$ and the envelope
$\propto 1/f$, as in Fig. 1.
In the small frequency regime ($y\ll 1$), the spherical Bessel functions can
be expanded as
$\displaystyle j_{1}(y)\underset{y\to 0}{\to}\frac{y}{3}~{},$ (70)
$\displaystyle j_{3}(y)\underset{y\to 0}{\to}\frac{y^{3}}{105}~{}.$ (71)
We then have
$\displaystyle\gamma^{W_{T}}_{AB}\underset{y\to
0}{\to}\frac{2\sqrt{10}}{3}D^{\tilde{K}}_{AB}\,y~{},$ (72)
$\displaystyle\gamma^{W_{V}}_{AB}\underset{y\to
0}{\to}\frac{\sqrt{10}}{3}D^{\tilde{K}}_{AB}\,y~{}.$ (73)
Therefore, the ORFs approach to zero in the small frequency regime as shown in
Fig. 1.
These asymptotic behaviors indicate the blindness of the coincident detectors
($d=0$ or equivalently $y=0$) to the parity odd components. We can understand
this from the oddness of the function $\gamma^{W_{P}}_{AB}$ with respect to
the parity transformation (essentially the same as the discussion on
$\rho^{P}_{\tilde{H}}=0$ above). In the next section, we discuss the responses
of the parity odd ORFs to a mirror transformation (reflection).
## IV Asymmetric networks
As shown in Eq. (38), the expectation value of the correlation product is a
linear combination of the even and odd parity spectra. Since the latter are
closely related to the parity violation process, we would like to detect them
without contamination by the even spectra. In this section, using a mirror
transformation, we discuss how to realize the desired network with
$\gamma_{AB}^{I_{p}}=0$ ($P=T,V$ and $S$). In the following, to simplify our
expressions, we omit the subscript $AB$ (labels for detectors) and put
$\gamma^{I_{P}}_{AB}=\gamma^{I_{P}}$ and $\gamma^{W_{P}}_{AB}=\gamma^{W_{P}}$.
### IV.1 General consideration
For the isolation of the odd spectra, our basic strategy here is to
geometrically identify the networks that have the correspondence
$\displaystyle{\gamma^{I_{P}}}^{\prime}{}=-{\gamma^{I_{P}}}$ (74)
with respect to a mirror transformation. Since we identically have
${\gamma^{I_{P}}}^{\prime}{}={\gamma^{I_{P}}}$ for an arbitrarily mirror
transformation (see Sec. III.2), we obtain ${\gamma^{I_{P}}}=0$ for a network
with Eq. (74).
As shown in Fig. 2, we take the $z$-axis parallel to the direction vector
$\hat{\bm{d}}$, and consider the mirror transformation at the $yz$-plane. As
in the case of $\hat{\bm{d}}$, The four rank tensors $\Gamma^{I_{P}}_{ijkl}$
are invariant with this transformation
${\Gamma^{I_{P}}_{ijkl}}^{\prime}{}=\Gamma^{I_{P}}_{ijkl}$, and we have
$\displaystyle{\gamma^{I_{P}}}^{\prime}{}={{\Gamma^{I_{P}}_{ijkl}}^{\prime}{}{D_{A}^{ij}}}^{\prime}{}{D_{B}^{kl}}^{\prime}{}=\Gamma^{I_{P}}_{ijkl}{D_{A}^{ij}}^{\prime}{}{D_{B}^{kl}}^{\prime}{}$
(75)
in comparison to the original one
${\gamma^{I_{P}}}=\Gamma^{I_{P}}_{ijkl}{D_{A}^{ij}}{D_{B}^{kl}}$. Therefore,
we can realize the desired condition
${\gamma^{I_{P}}}^{\prime}{}=-{\gamma^{I_{P}}}$ by using two detectors $A$ and
$B$ transformed as
$\displaystyle\bm{D}_{A}^{\prime}{}=-\bm{D}_{A},~{}~{}~{}\bm{D}_{B}^{\prime}{}=\bm{D}_{B}.$
(76)
Figure 2: The configuration of the detector network under consideration. We
fix the positions of the two detectors and examine their detector tensors with
respect to the reflection (mirror transformation) at the $yz$-plane.
Looking back at the arguments so far, one would consider that our networks
with Eq. (76) would be just a subset of the networks with the desired property
${\gamma^{I_{P}}}=0$. But, after various examinations, we deduced that our
requirements (76) actually cover the whole network geometries satisfying the
identity ${\gamma^{I_{P}}}=0$. Hereafter, we assume that this is really the
case and call our networks the asymmetric networks.
### IV.2 Detector Tensors
We now identify detector tensors which are transformed as Eq. (76) with
respect to the reflection at the $yz$-plane.
#### IV.2.1 flipped one
First, we examine the flipped one with $\bm{D}_{A}^{\prime}{}=-\bm{D}_{A}$.
Since a detector tensor is formally given by
$\bm{D}_{A}=({\bm{u}_{A}\otimes\bm{u}_{A}-\bm{v}_{A}\otimes\bm{v}_{A}})/{2}$,
we can simply compose the flipped one by using two vectors interchanged as
$\bm{u}_{A}^{\prime}{}=\bm{v}_{A}$ and $\bm{v}_{A}^{\prime}{}=\bm{u}_{A}$.
They are mutually mirrored images and parameterized as
$\displaystyle\begin{aligned}
\bm{u}_{A}&=\frac{1}{\sqrt{2}}(1,\sin\theta_{0},\cos\theta_{0})~{},\\\
\bm{v}_{A}&=\frac{1}{\sqrt{2}}(-1,\sin\theta_{0},\cos\theta_{0})~{}\end{aligned}$
(77)
with the angle $\theta_{0}$ between the bisecting vector and the $z$-axis.
(see Fig. 3)
Note that the detector tensor $\bm{D}_{A}$ is given as quadratures of unit
vectors, and we can multiply $-1$ to $\bm{u}_{A}$ and/ or $\bm{v}_{A}$ in Eq.
(77), keeping the relation $\bm{D}_{A}^{\prime}{}=-\bm{D}_{A}$. In this
manner, we can make totally $2\times 2$ equivalent pairs of unit vectors. We
call this reduplication the “multiplicity of vector signs”. Correspondingly,
the detector tensor with the angle $\theta_{0}=\alpha+\pi$ is essentially the
same as that with $\theta_{0}=\alpha$.
Figure 3: The two arm directions for the flipped detector tensor (see Eq.
(77)). The arms are mirror symmetric with respect to the $yz$-plane. We define
$\theta_{0}$ as the angle between their bisector and the $z$-axis.
#### IV.2.2 invariant ones
Figure 4: (Left) The configuration of the type-I invariant detector tensor
(see Eq. (79)). The vector $\bm{u}_{B}$ is on the $x$-axis and $\bm{v}_{B}$ is
on the $yz$-plane with the angle $\theta_{1}$ relative to the $y$-axis.
(Right) The configuration of the type-II invariant detector tensor (see Eq.
(80)). The two vectors are on the $yz$-plane with the bisecting angle
$\theta_{2}$.
Next we discuss the detector tensors with $\bm{D}_{B}^{\prime}{}=\bm{D}_{B}$.
We can compose it with the unit vectors transformed as
$\displaystyle\bm{u}_{B}^{\prime}{}$
$\displaystyle=\pm\bm{u}_{B},~{}~{}~{}\bm{v}_{B}^{\prime}{}=\pm\bm{v}_{B}.$
(78)
After all, we can find two independent types of solutions. The first one (type
I) is parameterized as
$\displaystyle\bm{u}_{B}$ $\displaystyle=(1,0,0)~{},$
$\displaystyle\bm{v}_{B}$ $\displaystyle=(0,\cos\theta_{1},\sin\theta_{1})~{}$
(79)
with the transformations $\bm{u}_{B}^{\prime}{}=\bm{u}_{B}$ and
$\bm{v}_{B}^{\prime}{}=-\bm{v}_{B}$. We still have the multiplicity of vector
signs, and the detector with the angle $\theta_{1}=\beta+\pi$ is essentially
the same as that with $\theta_{1}=\beta$.
The second one (type II) is parameterized as
$\displaystyle\begin{aligned}
\bm{u}_{B}&=(0,\cos\left(\theta_{2}-\frac{\pi}{4}\right),\sin\left(\theta_{2}-\frac{\pi}{4}\right))~{},\\\
\bm{v}_{B}&=(0,\cos\left(\theta_{2}+\frac{\pi}{4}\right),\sin\left(\theta_{2}+\frac{\pi}{4}\right))\end{aligned}$
(80)
with $\bm{u}_{B}^{\prime}{}=\bm{u}_{B}$ and
$\bm{v}_{B}^{\prime}{}=\bm{v}_{B}$. In this case, due to the“multiplicity of
vector signs”, the detector with $\theta_{2}=\gamma+\pi/2$ is essentially the
same as $\theta_{2}=\gamma$. We should notice that the phase offset $\pi/2$ is
different from $\pi$ associated with $\theta_{0}$ and $\theta_{1}$ in Eqs.
(77) and (79).
### IV.3 Parity Odd ORFs
Figure 5: (Left) The type-I network formed by combining one flipped detector
and one type-I invariant detector. This network is characterized by two angles
$\theta_{0}$ and $\theta_{1}$ (see Figs. 3 and 4 for their definitions).
(Right) The type-II network formed by combining one flipped detector and one
type-II invariant detector. This network is characterized by the angular
parameters $\theta_{0}$ and $\theta_{2}$ (see Figs. 3 and 4 for their
definitions).
As mentioned in Sec. IV.1, an asymmetric network is insensitive to the parity
even spectra and allows us to exclusively probe the parity odd spectra. Such a
network can be formed by combining one invariant detector and one flipped
detector, as shown in Eq. (76). Following the classification of the invariant
detector, we divide the asymmetric networks into the types I and II (see Fig.
5).
We now evaluate the parity odd ORFs $\gamma^{W_{P}}$ ($P=T$ and $V$) for the
asymmetric networks. We deal with the two types separately in the following
subsections. Based on the interest in realizing good sensitivities, we examine
the maximums of the absolute values $|\gamma^{W_{p}}|$. From our experience in
mathematical analysis, we expect that the maximum values will be obtained for
highly symmetric network geometries.
#### IV.3.1 type I network
We first derive the analytic expressions of the functions $\gamma^{W_{p}}$ for
the type I network. With Eqs. (77) and (79) for the orientations of the arms,
we obtain the detector tensors (28) as
$\displaystyle\bm{D}_{A}$
$\displaystyle=\frac{1}{2}\left(\begin{array}[]{ccc}0&\sin\theta_{0}&\cos\theta_{0}\\\
\sin\theta_{0}&0&0\\\ \cos\theta_{0}&0&0\end{array}\right)~{},$ (84)
$\displaystyle\bm{D}^{\rm I}_{B}$
$\displaystyle=\frac{1}{2}\left(\begin{array}[]{ccc}1&0&0\\\
0&-\cos^{2}\theta_{1}&-\frac{1}{2}\sin 2\theta_{1}\\\ 0&-\frac{1}{2}\sin
2\theta_{1}&-\sin^{2}\theta_{1}\end{array}\right)~{}.$ (88)
Then, using Eqs. (62) and (63), we have
$\displaystyle\begin{aligned} \gamma^{W_{T}}_{\rm
I}(y;\theta_{0},\theta_{1})=&-\frac{1}{8}(3+\cos
2\theta_{1})\sin\theta_{0}\left(4j_{1}-j_{3}\right)\\\
&{\color[rgb]{1,0,0}-}\frac{1}{2}\sin
2\theta_{1}\cos\theta_{0}(j_{1}+j_{3})~{},\end{aligned}$ (89)
$\displaystyle\begin{aligned} \gamma^{W_{V}}_{\rm
I}(y;\theta_{0},\theta_{1})=&-\frac{1}{4}(3+\cos
2\theta_{1})\sin\theta_{0}(j_{1}+j_{3})\\\
&{\color[rgb]{1,0,0}-}\frac{1}{4}\sin
2\theta_{1}\cos\theta_{0}\left(j_{1}-4j_{3}\right)~{}.\end{aligned}$ (90)
We comment on basic properties of these expressions. First, there exist the
periodicities $\theta_{0}\to\theta_{0}+2\pi$ and
$\theta_{1}\to\theta_{1}+\pi$. In addition, for the absolute values of these
functions, the periodicities reduce to $\theta_{0}\to\theta_{0}+\pi$ and
$\theta_{1}\to\theta_{1}+\pi$, as expected from the “multiplicity of vector
signs” pointed out in Sec. III.3.
Figure 6: The highly symmetric configurations of the type-I network resulting
in Eq. (91). All the five ORFs vanish for these networks. (Left) The
configuration with the angular parameters $(\theta_{0},\theta_{1})=(0,0)$. The
upper detector (red lines) is on the $xz$-plane and the lower detector (blue
lines) is on the $xy$-plane. (Right) The configuration with the angular
parameters $(\theta_{0},\theta_{1})=(0,\pi/2)$. Both upper detector (red
lines) and lower detector (blue lines) are on the $xz$-plane.
Secondly, the two ORFs identically become
$\displaystyle\gamma^{W_{V}}_{\rm I}=\gamma^{W_{T}}_{\rm I}=0$ (91)
at $(\theta_{0},\theta_{1})=(0,0)$ and $(0,\pi/2)$ (see Fig. 6 for the
corresponding configurations). In these highly symmetric configurations, the
network is parity even with respect to the reflection at the $xz$-plane. Then,
following an argument similar to Sec. IV.1, we can readily confirm Eq. (91)
(see also Seto and Taruya (2008) for an earlier discussion on the tensor
modes). We should notice that, the networks in Fig. 6 are still parity odd for
the reflection at the $yz$-plane and all of the five ORFs vanish in Eq. (40).
We can also find that the ORFs (89) and (90) are invariant under the following
transformation
$\displaystyle\begin{cases}\theta_{0}\to\pi-\theta_{0}~{},\\\
\theta_{1}\to\pi-\theta_{1}~{}.\end{cases}$ (92)
Geometrically, this corresponds to taking the reflection at the $xz$-plane and
subsequently interchanging two arms of the upper detector (detector A) in the
left panel in Fig. 5. The overall signs of the ORFs are changed twice and we
recover the original forms.
Figure 7: (Left) The contour plot of the function $F_{\rm
I}^{W_{T}}(\theta_{0},\theta_{1})$ defined in Eq. (93). The minimum value is 0
and the maximum value is $0.840$. (Right) The contour plot of the function
$F_{\rm I}^{W_{V}}(\theta_{0},\theta_{1})$ ranging from 0 to 0.520. Figure 8:
Highly symmetric network configuration with
$(\theta_{0},\theta_{1})=(\pi/2,0)$ corresponding to the maximums in Fig. 7.
Both upper detector (red lines) and lower detector (blue lines) are parallel
to the $xy$-plane. This network is parity odd for the reflections at the
$xz$\- and $yz$-planes.
Now we examine the network configurations that realize large absolute values
$|\gamma^{W_{P}}_{\rm I}(y,\theta_{0},\theta_{1})|$ for the two odd modes
$P=T$ and $V$. To begin with, for given angular variables
$(\theta_{0},\theta_{1})$ and an index $P$, we numerically identify the
parameters $y=y^{P}_{m,{\rm I}}(\theta_{0},\theta_{1})$ that maximize the
functions $|\gamma^{W_{P}}_{\rm I}(y,\theta_{0},\theta_{1})|$. We then define
the resultant maximum values by
$\displaystyle F_{\rm I}^{P}(\theta_{0},\theta_{1})\equiv|\gamma_{\rm
I}^{W_{P}}(y^{P}_{m,{\rm
I}}(\theta_{0},\theta_{1});\theta_{0},\theta_{1})|~{}.$ (93)
In Fig. 7, we show their contour plots. We can easily confirm the properties
mentioned earlier, such as the periodicities, the invariance under
transformation (92), and $\gamma^{W_{T}}_{\rm I}=\gamma^{W_{V}}_{\rm I}=0$ at
$(\theta_{0},\theta_{1})=(0,0)$ and $(0,\pi/2)$.
The global maximum values of the functions $F_{\rm I}^{P}$ are commonly at
$(\theta_{0},\theta_{1})=(\pi/2,0)$ with the combinations
$\displaystyle F_{\rm I}^{T}(\pi/2,0)\simeq$ $\displaystyle 0.840~{}$
$\displaystyle{\rm}~{}~{}y_{m,T}(\pi/2,0)$ $\displaystyle\simeq 2.000~{},$
(94) $\displaystyle F_{\rm I}^{V}(\pi/2,0)\simeq$ $\displaystyle 0.520~{}$
$\displaystyle{\rm}~{}~{}y_{m,V}(\pi/2,0)$ $\displaystyle\simeq 2.501~{}.$
(95)
In Fig. 8, we show the network configuration with
$(\theta_{0},\theta_{1})=(\pi/2,0)$. We can find that this network is parity
odd with respect to both the $xz$\- and $yz$-planes. This also supports our
naive expectation that sensitivity is maximized for a highly symmetric
configuration.
In comparison, for the even parity modes, the maximum values of the ORFs are
simply given by
$\gamma^{I_{T}}(y=0)=\gamma^{I_{V}}(y=0)=\gamma^{I_{S}}(y=0)=1$ for two co-
aligned detectors (with $\gamma^{W_{T}}(y)=\gamma^{W_{V}}(y)=0$).
#### IV.3.2 type II network
Next, we consider the type II network. The analysis here is parallel to the
previous subsubsection for the type I network. The geometrical difference
between the two types is the orientation of the invariant detector ($B$ in
Fig. 5). Its detector arms are given by Eq. (80) with the detector tensor
$\displaystyle\bm{D}^{\rm II}_{B}$
$\displaystyle=\frac{1}{2}\left(\begin{array}[]{ccc}0&0&0\\\ 0&\sin
2\theta_{2}&-\cos 2\theta_{2}\\\ 0&-\cos 2\theta_{2}&-\sin
2\theta_{2}\end{array}\right)~{}.$ (99)
Then we obtain the analytic expressions for the ORFs as
$\displaystyle\begin{aligned} \gamma^{W_{T}}_{\rm
II}=&{\color[rgb]{1,0,0}-}\frac{1}{4}\sin
2\theta_{2}\sin\theta_{0}\left(-4j_{1}+j_{3}\right)\\\ &-\cos
2\theta_{2}\cos\theta_{0}(j_{1}+j_{3})~{},\end{aligned}$ (100)
$\displaystyle\begin{aligned} \gamma^{{\color[rgb]{1,0,0}W_{V}}}_{\rm
II}=&{\color[rgb]{1,0,0}+}\frac{1}{2}\sin
2\theta_{2}\sin\theta_{0}(j_{1}+j_{3})\\\ &+\frac{1}{2}\cos
2\theta_{2}\cos\theta_{0}\left(-j_{1}+4j_{3}\right)~{}.\end{aligned}$ (101)
The basic properties of these functions are similar to those already mentioned
for the type I network. More specifically, we have the identical periodicities
$\theta_{0}\to\theta_{0}+2\pi~{}$ and $\theta_{2}\to\theta_{2}+\pi$. In
contrast, for their absolute values, the periods result in
$\theta_{0}\to\theta_{0}+\pi$ and $\theta_{2}\to\theta_{2}+\frac{\pi}{2}$ with
the factor 2 difference for the latter (reflecting the “multiplicity of vector
signs” noted in Sec. III.3).
Figure 9: The highly symmetric configurations of the type-II network resulting
in Eq. (102). All the five ORFs vanish for these networks. (Left) The
configuration with the angular parameters $(\theta_{0},\theta_{2})=(0,\pi/4)$.
The upper detector (red lines) is on the $xz$-plane and the lower detector
(blue lines) is on the $yz$-plane. (Right) The configuration with the angular
parameters $(\theta_{0},\theta_{2})=(\pi/2,\pi/2)$. The upper detector (red
lines) is parallel to the $xy$-plane and the lower detector (blue lines) is on
the $yz$-plane with bisector of the two arms on the $z$ axis.
In addition, we have the identities
$\displaystyle\gamma_{\rm II}^{W_{T}}=\gamma_{\rm II}^{W_{V}}=0~{},$ (102)
at $(\theta_{0},\theta_{2})=(0,\pi/4)$ and $(\pi/2,0)$ (see Fig. 9 for the
corresponding configurations), again reflecting the parity evenness with
respect to the reflection at the $xz$-plane.
In contrast to the invariance of the type I network under transformation (92),
the functions (100) and (101) change the overall signs under the simultaneous
transformations
$\displaystyle\begin{cases}\theta_{0}\to\pi-\theta_{0}~{},\\\
\theta_{2}\to\pi-\theta_{2}~{}.\end{cases}$ (103)
The geometrical interpretation is almost the same as the previous case, except
for the additional interchange of the two arms of the lower detector B in the
right panel of Fig. 5. This additional operation results in the extra minus
signs, compared to the case with Eq. (92).
Figure 10: (Left) The contour plot of the function $F_{\rm
II}^{W_{T}}(\theta_{0},\theta_{2})$ defined in Eq. (104). The minimum value is
0 and the maximum value is $0.520$. (Right) The contour plot of the function
$F_{\rm II}^{W_{V}}(\theta_{0},\theta_{2})$ ranging from 0 to 0.508.
Now we study the network configuration which maximizes the absolute values
$|\gamma^{W_{P}}_{\rm II}|$. Following the same strategy as before, we define
the two functions ($P=T$ and $V$)
$\displaystyle F_{\rm II}^{P}(\theta_{0},\theta_{2})\equiv|\gamma_{\rm
II}^{W_{P}}(y^{P}_{m,{\rm
II}}(\theta_{0},\theta_{2});\theta_{0},\theta_{2})|~{},$ (104)
where $y^{P}_{m,{\rm II}}(\theta_{0},\theta_{2})$ maximize
$\gamma^{W_{P}}_{\rm II}(y;\theta_{0},\theta_{2})$ for fixed
$(\theta_{0},\theta_{2})$. In Fig. 10, we show the contour plots of
$F^{T}_{\rm II}$ and $F^{V}_{\rm II}$. We can confirm the basic properties of
the ORFs mentioned earlier.
Figure 11: Highly symmetric network configuration with
$(\theta_{0},\theta_{2})=(0,0)$ corresponding to the maximums in Fig. 10. The
upper detector (red lines) is on the $xz$-plane and lower detector (blue
lines) is on the $yz$-plane with bisector of two arms on the $y$ axis. This
network is parity odd for the reflections at the $xz$\- and $yz$-planes.
The global maximums of the type II detectors are realized at
$(\theta_{0},\theta_{2})=(0,0)$ with
$\displaystyle F_{\rm II}^{T}(0,0)$ $\displaystyle\sim 0.520~{},$
$\displaystyle y_{m,{\rm II}}^{T}$ $\displaystyle\sim 2.501~{},$ (105)
$\displaystyle F_{\rm II}^{V}(0,0)$ $\displaystyle\sim 0.508~{},$
$\displaystyle y_{m,{\rm II}}^{V}$ $\displaystyle\sim 4.921~{}.$ (106)
Fig. 11 shows the corresponding configuration with
$(\theta_{0},\theta_{2})=(0,0)$. Again, this configuration is parity odd for
the reflections both at the $yz$\- and $xz$\- planes. However, the maximum
values are smaller than Eqs. (94) and (95).
### IV.4 Relation to the ground-based networks
Figure 12: The relative configuration of two ground based detectors A and B.
The two detectors are on the blue planes which are tangential to the Earth
sphere. The angle $\beta$ shows the separation of two detectors, measured from
the center of the Earth. The two angles $\sigma_{A}$ and $\sigma_{B}$ describe
the orientation of the bisectors of the two detector arms (dotted lines) in a
counterclockwise manner, relative to the great circle connecting the two
detectors. The angular parameters $\Delta$ and $\delta$ are defined by
$\Delta\equiv(\sigma_{A}+\sigma_{B})/2)$ and
$\delta\equiv(\sigma_{A}-\sigma_{B})/2$.
Until now, we have discussed the ORFs for highly symmetric configurations
purely from geometrical viewpoints. Here, for the odd parity ORFs, we point
out the connection of the type I network to a network composed of two ground
based detectors that are tangential to the Earth sphere.
As presented in appendix B, we have the expression for the latter as
$\displaystyle\gamma^{W_{P}}$ $\displaystyle=\Xi^{P}(y,\beta)\sin 4\Delta~{},$
$\displaystyle(P$ $\displaystyle=T,V)$ (107)
with the two angular parameters $\beta$ and $\Delta$ (see Fig. 12 for their
definitions). The first one $\beta$ is the angular separation between two
detectors measured from the center of the Earth. The second one $\Delta$ is
determined by the orientations of two detectors as
$\displaystyle\Delta=\frac{\sigma_{A}+\sigma_{B}}{2}~{}.$ (108)
By taking $|\sin 4\Delta|=1$ we can maximize
$|\gamma^{W_{P}}|=|\Xi^{P}(y,\beta)|$.
In fact, the function $|\Xi^{P}(y,\beta)|$ is identical to the odd parity ORFs
$|\gamma_{\rm I}^{W_{p}}|$ given in Eqs. (89) and (90) for the type I network,
after tuning its angular parameters $\theta_{0}$ and $\theta_{1}$. More
specifically, we impose the relation
$\displaystyle|\theta_{1}-\theta_{0}|=\pi/2~{},$ (109)
corresponding to the condition that two detectors A and B in Fig. 5 are
tangential to a sphere. Under the relation (109), the separation angle $\beta$
is given by
$\displaystyle\beta$
$\displaystyle=\begin{cases}2\theta_{0}~{},&(0<\theta_{0}<\frac{\pi}{2})~{},\\\
2\pi-2\theta_{0}~{},&(\frac{\pi}{2}<\theta_{0}<\pi)~{},\end{cases}$ (110)
and we obtain $|\Xi^{P}(y,\beta)|=|\gamma_{\rm
I}^{W_{p}}(y,\theta_{0},\theta_{1})|$ ($P=T,V$).
## V Summary and discussion
In this paper, we studied the correlation analysis for detecting various
polarization modes of a stationary and isotropic stochastic gravitational wave
background. We pointed out that, as long as the low frequency approximation is
valid, we can probe the five spectra $I_{T},I_{V},I_{S},W_{T},$ and $W_{V}$.
The three spectra $I_{P}(P=T,V,S)$ represent the intensities of the tensor,
vector, and scalar modes. While the remaining two spectra $W_{P}(P=T,V)$ show
the chiral asymmetries of the tensor and vector modes. Other correlations,
such as the tensor-vector pair start from the higher multipole components and
thus do not contribute to the monopole components.
When performing the correlation analysis, the ORFs play key roles and
characterize the correlated responses of detectors to the five spectra. In
this paper, we newly derived the function $\gamma^{W_{V}}$ for the parity odd
vector modes and completed all the ORFs required for generally analyzing
polarization states of an isotropic background. For its derivation, we applied
a systematic method, explicitly respecting the rotational symmetry (SO(3)) of
the system. We also paid special attention to the parity transformation of the
system. These help us to understand the symmetrical structure of the ORFs and
their building blocks.
Furthermore, we examine two detector networks with respect to reflection
transformations which are closely related to the parity transformation. For a
reflection, the ORFs have the same parity signatures as the corresponding
spectra. This property allows us to easily design detector networks that are
sensitive to either even or odd spectra of a background. Such networks are
particularly interesting for clearly isolating the two parity. In Fig. 5, we
illustrated the two types of network geometries that are insensitive to the
even ones.
Then we examined the odd ORFs $\gamma^{W_{P}}(P=T,V)$ specifically for the two
geometrical types. When we tune the networks to maximize the sensitivity, they
take a highly symmetric configuration which is parity odd simultaneously to
the two different planes. In contrast, for other highly symmetric
configurations with angular parameters
$(\theta_{0},\theta_{1})=(0,0),(0,\pi/2)$ and
$(\theta_{0},\theta_{2})=(0,\pi/4),(\pi/2,\pi/2)$ (see Figs. 6 and 9), we
identically have $\gamma^{I_{P}}=0$ $(P=T,V,S)$ and $\gamma^{W_{P}}=0$
$(P=T,V)$, and thus the detector networks become blind to all the five
monopole components.
In this paper, we have concentrated on the basic properties of the ORFs. Now
we comment on potential applications of our results. One of the immediate
studies would be the prospect for the ongoing ground-based detector network
including LIGO-Hanford, LIGO-Livingston Aasi et al. (2015), Virgo Acernese et
al. (2015), and KAGRA Aso et al. (2013). We need at least five pairs of
detectors to algebraically separate the five spectra Seto and Taruya (2008).
Using the four detectors listed above, we can make ${}_{4}C_{2}=6$ pairs in
total and can separate the five spectra. But their estimation errors would be
strongly correlated. By adding LIGO-India Bala et al. (2011) to the network,
we will have ${}_{5}C_{2}=10$ pairs of detectors, and the noise correlation
would be considerably reduced. Also, it might be interesting to examine third
generation detectors Amalberti et al. (2021).
Another application would be a case study for the space detectors, such as the
LISA-Taiji network Amaro-Seoane et al. (2017); Hu and Wu (2017); Wang and Han
(2021); Wang et al. (2021); Seto (2020a); Orlando et al. (2021); Omiya and
Seto (2020). However, because of the existing geometrical symmetry, we will
have only three independent data combinations and cannot separate the five
components completely Omiya and Seto (2020). If Tian-Qin Luo et al. (2016) is
additionally available, we can solve the degeneracy in principle. But, its
optimal frequency is higher than LISA and Taiji, and the overall performance
of the correlation analysis would be limited Seto (2020b).
Throughout this paper, we applied the low frequency approximation for
responses of individual detectors. This is an efficient approximation for most
observational situations, but we have the degeneracy between the two scalar
modes. In some cases, we need to carefully deal with the finiteness of the arm
length (see e.g. Seto (2007); Amalberti et al. (2021)). It might be
interesting to study the possibility of resolving the degeneracy.
###### Acknowledgements.
We are sincerely grateful to the referee for carefully reading the draft and
pointing out many errors in our expressions. This work is supported by JSPS
Kakenhi Grant-in-Aid for Scientific Research (Nos. 17H06358 and 19K03870).
## Appendix A Parity even Overlap reduction functions with orthogonal tensors
In this appendix, we derive the ORFs for the even parity spectra
($I_{T},I_{V},$ and $I_{S}$) with the method that we applied for the odd
parity ones (see Sec. III.3). The procedure for the irreducible decomposition
is almost the same. But, for parity even ones, we have the symmetry (42)
instead of Eq. (43). After some calculations, we find that the following five
tensors form the orthonormal basis for the decomposition:
$\displaystyle H_{ijkl}=\frac{1}{3}\delta_{ij}\delta_{kl}~{},$ (111)
$\displaystyle
K_{ijkl}=\frac{1}{2\sqrt{5}}\left(\delta_{ik}\delta_{jl}+\delta_{il}\delta_{jk}\right)-\frac{1}{\sqrt{5}}H_{ijkl}~{},$
(112) $\displaystyle
H^{0}_{ijkl}=\frac{1}{2}\left(\delta_{ij}M^{0}_{kl}+M^{0}_{ij}\delta_{kl}\right)~{},$
(113) $\displaystyle\begin{aligned}
K^{0}_{ijkl}=&\frac{3}{2\sqrt{14}}\left(\delta_{ik}M^{0}_{jl}+\delta_{il}M^{0}_{jk}+\delta_{jk}M^{0}_{il}+\delta_{jl}M^{0}_{ik}\right)\\\
&-\frac{4}{\sqrt{14}}H^{0}_{ijkl}~{},\end{aligned}$ (114) $\displaystyle
F^{0}_{ijkl}=\frac{1}{2}\sqrt{\frac{35}{2}}M^{0}_{ij}M^{0}_{kl}-\frac{\sqrt{5}}{3}K^{0}_{ijkl}-\frac{1}{3}\sqrt{\frac{7}{2}}K_{ijkl}~{}.$
(115)
Note that $F^{0}$ satisfies the traceless property
$\displaystyle F^{0}_{iijk}=F^{0}_{ijik}=\dots=F^{0}_{jkii}=0~{}.$ (116)
Using these basis tensors, the even parity functions (Eq. (29) - (31)) are
expanded as
$\displaystyle\Gamma^{I_{P}}_{ijkl}=$
$\displaystyle\rho^{P}_{F^{0}}F^{0}_{ijkl}+\rho^{P}_{H^{0}}H^{0}_{ijkl}$
$\displaystyle+\rho^{P}_{K^{0}}K^{0}_{ijkl}+\rho^{P}_{H}H_{ijkl}+\rho^{P}_{K}K_{ijkl}~{}.$
(117)
The orthonormality of the basis tensors allows us to obtain the coefficients
as
$\displaystyle\rho^{P}_{F^{0}}$
$\displaystyle=F^{0}_{ijkl}\Gamma^{I_{P}}_{ijkl}~{},$ (118)
$\displaystyle\rho^{P}_{H^{0}}$
$\displaystyle=H^{0}_{ijkl}\Gamma^{I_{P}}_{ijkl}~{},$ (119)
$\displaystyle\rho^{P}_{K^{0}}$
$\displaystyle=K^{0}_{ijkl}\Gamma^{I_{P}}_{ijkl}~{},$ (120)
$\displaystyle\rho^{P}_{H}$ $\displaystyle=H_{ijkl}\Gamma^{I_{P}}_{ijkl}~{},$
(121) $\displaystyle\rho^{P}_{K}$
$\displaystyle=K_{ijkl}\Gamma^{I_{P}}_{ijkl}~{}.$ (122)
After some elementary integral, we obtain
$\displaystyle(\rho^{T}_{F^{0}},\rho^{T}_{H^{0}},\rho^{T}_{K^{0}},\rho^{T}_{H},\rho^{T}_{K})$
$\displaystyle=2\sqrt{5}(\frac{1}{\sqrt{14}}j_{4},0,\sqrt{\frac{10}{7}}j_{2},0,j_{0})~{},$
(123)
$\displaystyle(\rho^{V}_{F^{0}},\rho^{V}_{H^{0}},\rho^{V}_{K^{0}},\rho^{V}_{H},\rho^{V}_{K})$
$\displaystyle=2\sqrt{5}(-2\sqrt{\frac{2}{7}}j_{4},0,-\sqrt{\frac{5}{14}}j_{2},0,j_{0})~{},$
(124)
$\displaystyle(\rho^{S}_{F^{0}},\rho^{S}_{H^{0}},\rho^{S}_{K^{0}},\rho^{S}_{H},\rho^{S}_{K})$
$\displaystyle=2\sqrt{5}(3\sqrt{\frac{2}{7}}j_{4},\frac{\sqrt{5}}{2}j_{2},-\sqrt{\frac{10}{7}}j_{2},5\frac{\sqrt{5}}{{\color[rgb]{1,0,0}4}}j_{0},j_{0})~{}.$
(125)
By contracting $\Gamma^{I_{P}}_{ijkl}$ with the detector tensors, we have the
explicit form of the ORF as
$\displaystyle\gamma^{I_{T}}_{AB}$
$\displaystyle=2\sqrt{5}\left(\frac{1}{\sqrt{14}}D^{F}_{AB}j_{4}(y){\color[rgb]{1,0,0}+}\sqrt{\frac{10}{7}}D^{K^{0}}_{AB}j_{2}(y)+D^{K}_{AB}j_{0}(y)\right)~{},$
(126) $\displaystyle\gamma^{I_{V}}_{AB}$
$\displaystyle=2\sqrt{5}\left(-2\sqrt{\frac{2}{7}}D^{F}_{AB}j_{4}(y)-\sqrt{\frac{5}{14}}D^{K^{0}}_{AB}j_{2}(y)+D^{K}_{AB}j_{0}(y)\right)~{},$
(127) $\displaystyle\gamma^{I_{S}}_{AB}$
$\displaystyle=2\sqrt{5}\left(3\sqrt{\frac{2}{7}}D^{F}_{AB}j_{4}(y)-\sqrt{\frac{10}{7}}D^{K^{0}}_{AB}j_{2}(y)+D^{K}_{AB}j_{0}(y)\right)~{}.$
(128)
Here, we defined
$\displaystyle D^{F}_{AB}$ $\displaystyle\equiv
D_{A,ij}D_{B,kl}F^{0}_{ijkl}~{},$ (129) $\displaystyle D^{K^{0}}_{AB}$
$\displaystyle\equiv D_{A,ij}D_{B,kl}K^{0}_{ijkl}~{},$ (130) $\displaystyle
D^{K}_{AB}$ $\displaystyle\equiv D_{A,ij}D_{B,kl}K_{ijkl}~{}.$ (131)
The coefficients $\rho^{S}_{2}$ and $\rho^{S}_{4}$ do not contribute to the
ORFs, because the contraction with $H_{ijkl}$ and $H^{0}_{ijkl}$ is
identically zero
$\displaystyle D_{A,ij}D_{B,kl}H_{ijkl}=0~{},$ (132) $\displaystyle
D_{A,ij}D_{B,kl}H^{0}_{ijkl}=0~{},$ (133)
which obey from the traceless property of the detector tensor.
## Appendix B Explicit formulae for ground-based detector network
In this section, we give the explicit formulae of the five ORFs
$\gamma^{I_{P}}$ and $\gamma^{W_{P}}$ for a network composed by two ground-
based detectors. A ground-based detector is virtually tangential to the
Earth’s surface that can be regarded as a sphere. Therefore, the relative
geometry of two arbitrary detectors is characterized by the three angles
$(\beta,\delta,\Delta)$ (see Fig. 1 and Eq. (21) of Seto and Taruya (2008) for
their definitions). After some algebra, for Eqs. (64)-(65) and (129)-(131), we
find
$\displaystyle
D^{\tilde{F}}_{AB}=-\frac{7+3\cos\beta}{8\sqrt{10}}\sin\left(\frac{\beta}{2}\right)\sin
4\Delta~{},$ (134) $\displaystyle
D^{\tilde{K}}_{AB}=\frac{1}{\sqrt{10}}\sin^{3}\left(\frac{\beta}{2}\right)\sin
4\Delta~{}.$ (135) $\displaystyle\begin{aligned}
D^{F}_{AB}=&\frac{3}{16\sqrt{70}}\cos^{4}\left(\frac{\beta}{2}\right)\cos
4\delta\\\ &-\frac{169+108\cos\beta+3\cos 2\beta}{128\sqrt{70}}\cos
4\Delta~{},\end{aligned}$ (136) $\displaystyle
D^{K^{0}}_{AB}=\frac{1}{2\sqrt{14}}\cos^{4}\left(\frac{\beta}{2}\right)\cos
4\delta+\frac{5+\cos\beta}{4\sqrt{14}}\sin^{2}\left(\frac{\beta}{2}\right)\cos
4\Delta~{},$ (137) $\displaystyle
D^{K}_{AB}=\frac{1}{2\sqrt{5}}\cos^{4}\left(\frac{\beta}{2}\right)\cos
4\delta-\frac{1}{2\sqrt{5}}\sin^{4}\left(\frac{\beta}{2}\right)\cos
4\Delta~{}.$ (138)
Substituting these coefficients to Eqs. (62), (63), (126), (127), and (128),
we obtain
$\displaystyle\gamma^{W_{P}}$ $\displaystyle=\Xi^{P}(y,\beta)\sin 4\Delta~{},$
$\displaystyle(P$ $\displaystyle=T,V)~{},$ (139) $\displaystyle\gamma^{I_{P}}$
$\displaystyle=\Theta_{\Delta}^{P}(y,\beta)\cos
4\Delta+\Theta_{\delta}^{P}(y,\beta)\cos 4\delta~{},$ $\displaystyle(P$
$\displaystyle=T,V,S)~{}.$ (140)
Here, the coefficients $\Xi^{P},\Theta_{\Delta}^{P},$ and
$\Theta_{\delta}^{P}$ are given by
$\displaystyle\Xi^{T}(y,\beta)$
$\displaystyle=\sin\left(\frac{\beta}{2}\right)\left((1-\cos\beta)j_{1}(y)-\frac{7+3\cos\beta}{8}j_{3}(y)\right)~{},$
(141) $\displaystyle\Xi^{V}(y,\beta)$
$\displaystyle=\frac{1}{2}\sin\left(\frac{\beta}{2}\right)\left((1-\cos\beta)j_{1}(y)+\frac{7+3\cos\beta}{2}j_{3}(y)\right)~{},$
(142) $\displaystyle\Theta^{T}_{\Delta}(y,\beta)$
$\displaystyle=-\sin^{4}\left(\frac{\beta}{2}\right)j_{0}(y)-\frac{5}{56}(-9+8\cos\beta+\cos
2\beta)j_{2}(y)-\frac{1}{896}(169+108\cos\beta+3\cos 2\beta)j_{4}(y)~{},$
(143) $\displaystyle\Theta^{V}_{\Delta}(y,\beta)$
$\displaystyle=-\sin^{4}\left(\frac{\beta}{2}\right)j_{0}(y)+\frac{5}{112}(-9+8\cos\beta+\cos
2\beta)j_{2}(y)+\frac{1}{224}(169+108\cos\beta+3\cos 2\beta)j_{4}(y)~{},$
(144) $\displaystyle\Theta^{S}_{\Delta}(y,\beta)$
$\displaystyle=-\sin^{4}\left(\frac{\beta}{2}\right)j_{0}(y)+\frac{5}{56}(-9+8\cos\beta+\cos
2\beta)j_{2}(y)-\frac{3}{448}(169+108\cos\beta+3\cos 2\beta)j_{4}(y)~{},$
(145) $\displaystyle\Theta^{T}_{\delta}(y,\beta)$
$\displaystyle=\cos^{4}\left(\frac{\beta}{2}\right)\left(j_{0}(y)+\frac{5}{14}j_{2}(y)+\frac{3}{112}j_{4}(y)\right)~{},$
(146) $\displaystyle\Theta^{V}_{\delta}(y,\beta)$
$\displaystyle=\cos^{4}\left(\frac{\beta}{2}\right)\left(j_{0}(y)-\frac{5}{14}j_{2}(y)-\frac{3}{28}j_{4}(y)\right)~{},$
(147) $\displaystyle\Theta^{S}_{\delta}(y,\beta)$
$\displaystyle=\cos^{4}\left(\frac{\beta}{2}\right)\left(j_{0}(y)-\frac{5}{7}j_{2}(y)+\frac{9}{56}j_{4}(y)\right)~{}.$
(148)
These expressions (except for the newly derived $\gamma^{W_{V}}$) are
essentially the same as those in the literature Flanagan (1993); Seto and
Taruya (2008); Nishizawa et al. (2009).
## References
* Starobinsky (1979) A. A. Starobinsky, JETP Lett. 30, 682 (1979).
* Easther et al. (2007) R. Easther, J. T. Giblin, and E. A. Lim, Phys. Rev. Lett. 99, 221301 (2007).
* Kamionkowski et al. (1994) M. Kamionkowski, A. Kosowsky, and M. S. Turner, Phys. Rev. D 49, 2837 (1994), eprint astro-ph/9310044.
* Caprini et al. (2008) C. Caprini, R. Durrer, and G. Servant, Phys. Rev. D 77, 124015 (2008), eprint 0711.2593.
* Maggiore (2000) M. Maggiore, Phys. Rept. 331, 283 (2000), eprint gr-qc/9909001.
* Romano and Cornish (2017) J. D. Romano and N. J. Cornish, Living Rev. Rel. 20, 2 (2017), eprint 1608.06889.
* Christensen (2019) N. Christensen, Rept. Prog. Phys. 82, 016903 (2019), eprint 1811.08797.
* Kuroyanagi et al. (2018) S. Kuroyanagi, T. Chiba, and T. Takahashi, JCAP 11, 038 (2018), eprint 1807.00786.
* Will (1993) C. Will, _Theory and experiment in gravitational physics_ (1993), ISBN 978-0-521-43973-2.
* Nishizawa et al. (2009) A. Nishizawa, A. Taruya, K. Hayama, S. Kawamura, and M.-a. Sakagami, Phys. Rev. D 79, 082002 (2009), eprint 0903.0528.
* Nishizawa et al. (2010) A. Nishizawa, A. Taruya, and S. Kawamura, Phys. Rev. D 81, 104043 (2010), eprint 0911.0525.
* Cornish et al. (2018) N. J. Cornish, L. O’Beirne, S. R. Taylor, and N. Yunes, Phys. Rev. Lett. 120, 181101 (2018), eprint 1712.07132.
* Abbott et al. (2019) B. Abbott et al. (LIGO Scientific, Virgo), Phys. Rev. D 100, 061101 (2019), eprint 1903.02886.
* Lue et al. (1999) A. Lue, L.-M. Wang, and M. Kamionkowski, Phys. Rev. Lett. 83, 1506 (1999), eprint astro-ph/9812088.
* Seto (2006) N. Seto, Phys. Rev. Lett. 97, 151101 (2006), eprint astro-ph/0609504.
* Kato and Soda (2016) R. Kato and J. Soda, Phys. Rev. D 93, 062003 (2016), eprint 1512.09139.
* Smith and Caldwell (2017) T. L. Smith and R. Caldwell, Phys. Rev. D 95, 044036 (2017), eprint 1609.05901.
* Domcke et al. (2020) V. Domcke, J. Garcia-Bellido, M. Peloso, M. Pieroni, A. Ricciardone, L. Sorbo, and G. Tasinato, JCAP 05, 028 (2020), eprint 1910.08052.
* Belgacem and Kamionkowski (2020) E. Belgacem and M. Kamionkowski, Phys. Rev. D 102, 023004 (2020), eprint 2004.05480.
* Alexander et al. (2006) S. H.-S. Alexander, M. E. Peskin, and M. M. Sheikh-Jabbari, Phys. Rev. Lett. 96, 081301 (2006), eprint hep-th/0403069.
* Satoh et al. (2008) M. Satoh, S. Kanno, and J. Soda, Phys. Rev. D 77, 023526 (2008), eprint 0706.3585.
* Adshead and Wyman (2012) P. Adshead and M. Wyman, Phys. Rev. Lett. 108, 261302 (2012), eprint 1202.2366.
* Kahniashvili et al. (2005) T. Kahniashvili, G. Gogoberidze, and B. Ratra, Phys. Rev. Lett. 95, 151301 (2005), eprint astro-ph/0505628.
* Ellis et al. (2020) J. Ellis, M. Fairbairn, M. Lewicki, V. Vaskonen, and A. Wickens, JCAP 10, 032 (2020), eprint 2005.05278.
* Christensen (1992) N. Christensen, Phys. Rev. D 46, 5250 (1992).
* Flanagan (1993) E. E. Flanagan, Phys. Rev. D 48, 2389 (1993), eprint astro-ph/9305029.
* Allen and Romano (1999) B. Allen and J. D. Romano, Phys. Rev. D 59, 102001 (1999), eprint gr-qc/9710117.
* Seto and Taruya (2008) N. Seto and A. Taruya, Phys. Rev. D 77, 103001 (2008), eprint 0801.4185.
* Abbott et al. (2017) B. Abbott et al. (LIGO Scientific, Virgo), Phys. Rev. Lett. 119, 161101 (2017), eprint 1710.05832.
* Omiya and Seto (2020) H. Omiya and N. Seto, Phys. Rev. D 102, 084053 (2020), eprint 2010.00771.
* Rybicki and Lightman (1979) G. B. Rybicki and A. P. Lightman, _Radiative processes in astrophysics_ (1979).
* Seto (2007) N. Seto, Phys. Rev. D 75, 061302 (2007), eprint astro-ph/0609633.
* Seto and Taruya (2007) N. Seto and A. Taruya, Phys. Rev. Lett. 99, 121101 (2007), eprint 0707.0535.
* Forward (1978) R. L. Forward, Phys. Rev. D 17, 379 (1978).
* Hamermesh (1989) M. Hamermesh, _Group Theory and Its Application to Physical Problems_ , Addison Wesley Series in Physics (Dover Publications, 1989), ISBN 9780486661810, URL https://books.google.co.jp/books?id=c0o9_wlCzgcC.
* Aasi et al. (2015) J. Aasi et al. (LIGO Scientific), Class. Quant. Grav. 32, 074001 (2015), eprint 1411.4547.
* Acernese et al. (2015) F. Acernese et al. (VIRGO), Class. Quant. Grav. 32, 024001 (2015), eprint 1408.3978.
* Aso et al. (2013) Y. Aso, Y. Michimura, K. Somiya, M. Ando, O. Miyakawa, T. Sekiguchi, D. Tatsumi, and H. Yamamoto (KAGRA), Phys. Rev. D 88, 043007 (2013), eprint 1306.6747.
* Bala et al. (2011) I. Bala, S. Tarun, U. CS, D. Sanjeev, R. Sendhil, and S. Anand (2011), URL https://dcc.ligo.org/LIGO-M1100296/public.
* Amalberti et al. (2021) L. Amalberti, N. Bartolo, and A. Ricciardone (2021), eprint 2105.13197.
* Amaro-Seoane et al. (2017) P. Amaro-Seoane et al. (LISA) (2017), eprint 1702.00786.
* Hu and Wu (2017) W.-R. Hu and Y.-L. Wu, Natl. Sci. Rev. 4, 685 (2017).
* Wang and Han (2021) G. Wang and W.-B. Han, Phys. Rev. D 103, 064021 (2021), eprint 2101.01991.
* Wang et al. (2021) G. Wang, W.-T. Ni, W.-B. Han, and P. Xu (2021), eprint 2105.00746.
* Seto (2020a) N. Seto, Phys. Rev. Lett. 125, 251101 (2020a), eprint 2009.02928.
* Orlando et al. (2021) G. Orlando, M. Pieroni, and A. Ricciardone, JCAP 03, 069 (2021), eprint 2011.07059.
* Luo et al. (2016) J. Luo et al. (TianQin), Class. Quant. Grav. 33, 035010 (2016), eprint 1512.02076.
* Seto (2020b) N. Seto, Phys. Rev. D 102, 123547 (2020b), eprint 2010.06877.
| arxiv-papers | 2021-07-26T07:29:52 | 2024-09-04T03:07:17.909868 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Hidetoshi Omiya, Naoki Seto",
"submitter": "Hidetoshi Omiya",
"url": "https://arxiv.org/abs/2107.12001"
} |
2107.12005 | # On the composition of integral operators acting in tempered Colombeau
algebras
Alexei Filinkov
School of Mathematical Sciences
University of Adelaide
Adelaide SA 5005, Australia
[email protected] Ian G. Fuss
School of Electrical and Electronic Engineering
University of Adelaide
Adelaide SA 5005, Australia
[email protected]
###### Abstract
We show that the generalised composition of generalised integral operators is
well defined on the space $\mathcal{G}_{\tau}$ Colombeau algebras of tempered
generalised functions.
Keywords: generalised integral operators, Colombeau tempered generalised
functions, Colombeau tempered generalised ultradistributions
## 1 Introduction
The extension of the classes of differential and integral equations that can
be rigorously set and solved is seminal to current mathematics [9] and vital
to its application in diverse domains [13]. In this paper we continue the
study of the field of generalised integral operators that was commenced in the
context of Sobolev and Schwartz spaces of generalised functions [19, 21],
ultradistributions [10, 12] and continued recently within the spaces of
Colombeau algebras of generalised functions [2, 3, 5, 6, 15].
In part the motivation for the following analysis arises from a requirement in
physics to be able to compose generalised integral operators111For a quick
understanding of the need to generalise operator composition for quantum
theory see section A.1 of Appendix A of Alexander Stottmeister’s thesis _On
the Embedding of Quantum Field Theory on Curved Spacetimes into Loop Quantum
Gravity_ [16] [8, 17] and the fact that it is not possible to compose
generalised integral operators that act within the space of Schwartz
distributions [18]. It has been shown that such compositions exist in the
Colombeau algebras of compactly supported generalized functions [6]. In this
paper we demonstrate via an extension of the Schwartz kernel theorem to the
space of bounded linear operators
$\mathcal{L}(\mathcal{G}_{\tau},\mathcal{G}_{\tau})$ on tempered Colombeau
algebras that compositions of generalised integral operators are well defined
on the space $\mathcal{G}_{\tau}$. More than this we use Hermite function
expansions of ultradistributions to demonstrate that a countably infinite
number of such compositions is well defined, hence we are able to show that
compositions of exponentiated forms of these operators exist in the space
$\mathcal{G}_{\tau_{R}}$ of Colombeau tempered ultradistributions.
## 2 Mapping from rapidly decreasing to tempered Colombeau algebras
It has been shown that operators $A$
$A:\mathcal{G}_{S}\rightarrow\mathcal{G}_{\tau}$
that are defined as
$A\phi=(A_{\varepsilon})_{\varepsilon}(\phi_{\varepsilon})_{\varepsilon}=\bigg{(}\int_{\mathbb{R}^{n}}K_{\varepsilon}(x,y)\phi_{\varepsilon}(y)dy\bigg{)}_{\varepsilon}\in\mathcal{G}_{\tau}$
(1)
where $\phi\in\mathcal{G}_{S}$ a Colombeau algebra of rapidly decreasing
generalised functions, $\phi_{\varepsilon}\in S(\Omega)$ the Schwartz space of
rapidly decreasing smooth functions, and $\mathcal{G}_{\tau}$ is a Colombeau
algebra of tempered generalised functions, are bounded linear operators:
$A\in\mathcal{L}(\mathcal{G}_{S},\mathcal{G}_{\tau})$ [6]. Rigorous
definitions of these spaces are given below.
### 2.1 Simplified Colombeau algebras
#### Colombeau algebra of rapidly decreasing generalised functions
Let $\Omega$ be an open subset of $\mathbb{R}^{d}$ where $d\in\mathbb{N}_{+}$.
Consider a smooth function $f\in C^{\infty}(\Omega)$ and denote
$\mu_{q,l}(f):=\sup_{x\in\Omega,\,|\alpha|\leq
l}\big{(}1+|x|\big{)}^{q}\,\big{|}\partial^{\alpha}f(x)\big{|}\,,\quad\mathrm{where}\
q\in\mathbb{Z}\ \mathrm{and}\ l\in\mathbb{N}\,.$
The set
$\displaystyle\mathcal{E}_{\mathcal{S}}(\Omega):=\Big{\\{}(f_{\varepsilon})_{\varepsilon}\in\mathcal{S}(\Omega)^{(0,1]}\qquad\mathrm{such\
that}$ $\displaystyle\qquad\qquad\forall q\,,l\in\mathbb{N}\ \exists
n\in\mathbb{N}\,:\ \mu_{q,l}(f_{\varepsilon})=O(\varepsilon^{-n})\
\mathrm{as}\ \varepsilon\to 0\Big{\\}}$
is a sub-algebra of $\mathcal{S}(\Omega)^{(0,1]}$, where $\mathcal{S}(\Omega)$
is the Schwartz space of rapidly decreasing functions and
$\mathcal{S}(\Omega)^{(0,1]}=\big{\\{}(f_{\varepsilon})_{\varepsilon}\
\mathrm{such\ that}\ \forall\varepsilon\in(0,1]\
f_{\varepsilon}\in\mathcal{S}(\Omega)\big{\\}}$
is the set of nets. The set
$\displaystyle\mathcal{N}_{\mathcal{S}}(\Omega):=\Big{\\{}(f_{\varepsilon})_{\varepsilon}\in\mathcal{S}(\Omega)^{(0,1]}\qquad\mathrm{such\
that}$ $\displaystyle\qquad\qquad\forall q\,,l\in\mathbb{N}\ \forall
p\in\mathbb{N}\,:\ \mu_{q,l}(f_{\varepsilon})=O(\varepsilon^{p})\ \mathrm{as}\
\varepsilon\to 0\Big{\\}}$
is an ideal in $\mathcal{E}_{\mathcal{S}}(\Omega)$. The factor-algebra
$\mathcal{G}_{\mathcal{S}}(\Omega):=\mathcal{E}_{\mathcal{S}}(\Omega)/\mathcal{N}_{\mathcal{S}}(\Omega)$
is referred to as the _Colombeau algebra of rapidly decreasing generalised
functions_ (see [6] and references therein).
#### Colombeau algebras of tempered generalised functions
Similarly, the factor-algebra
$\mathcal{G}_{\tau}(\Omega):=\mathcal{E}_{\tau}(\Omega)/\mathcal{N}_{\tau}(\Omega)$
is referred to as the _Colombeau algebra of tempered generalised functions_
[4]. Here
$\displaystyle\mathcal{E}_{\tau}(\Omega):=\Big{\\{}(f_{\varepsilon})_{\varepsilon}\in\mathcal{O}_{M}(\Omega)^{(0,1]}\qquad\mathrm{such\
that}$ $\displaystyle\qquad\qquad\forall l\in\mathbb{N}\ \exists
q,\,n\in\mathbb{N}\,:\ \mu_{-q,l}(f_{\varepsilon})=O(\varepsilon^{-n})\
\mathrm{as}\ \varepsilon\to 0\Big{\\}}$
is a sub-algebra of $\mathcal{O}(\Omega)^{(0,1]}$, where
$\mathcal{O}_{M}(\Omega):=\Big{\\{}f\in C^{\infty}(\Omega)\ \mathrm{such\
that}\ \forall l\in\mathbb{N}\ \exists q\in\mathbb{N}\,:\
\mu_{-q,l}(f_{\varepsilon})<\infty\Big{\\}}$
is the algebra of smooth functions with slow growth (also known as the algebra
of multiplicators); and
$\displaystyle\mathcal{N}_{\tau}(\Omega):=\Big{\\{}(f_{\varepsilon})_{\varepsilon}\in\mathcal{O}_{M}(\Omega)^{(0,1]}\qquad\mathrm{such\
that}$ $\displaystyle\qquad\qquad\forall l\in\mathbb{N}\ \exists
q\in\mathbb{N}\ \forall p\in\mathbb{N}\,:\
\mu_{-q,l}(f_{\varepsilon})=O(\varepsilon^{p})\ \mathrm{as}\ \varepsilon\to
0\Big{\\}}$
is an ideal in $\mathcal{E}_{\tau}(\Omega)$.
#### Colombeau algebras of tempered generalised ultradistributions
Following [14] we define two types of Colombeau algebras of tempered
generalised ultradistributions that correspond with the sets of generalised
ultradistributions of Roumieu and Beurling type.
###### Definition 1
The factor-algebra
$\mathcal{G}_{\tau_{R}}(\Omega):=\mathcal{E}_{\tau_{R}}(\Omega)/\mathcal{N}_{\tau_{R}}(\Omega)$
is defined as the Colombeau algebra of tempered generalised ultradistributions
of Roumieu type. Here
$\displaystyle\mathcal{E}_{\tau_{R}}(\Omega)=\mathcal{E}_{\mathrm{exp}}^{\\{M_{p},N_{p}\\}}(\Omega):=\Big{\\{}(f_{\varepsilon})_{\varepsilon}\in\mathcal{O}^{\\{M_{p}\\}}_{\mathrm{exp}}(\Omega)^{(0,1]}\qquad\mathrm{such\
that}$ $\displaystyle\qquad\qquad\exists h,\,k>0\,:\
\nu_{h,M_{p}}(f_{\varepsilon})=O(e^{N^{\ast}(k/\varepsilon)})\ \mathrm{as}\
\varepsilon\to 0\Big{\\}}\,,$
is the set of generalised ultradistributions of Roumieu type, with
$\mathcal{O}^{\\{M_{p}\\}}_{\mathrm{exp}}(\Omega):=\Big{\\{}f\in
C^{\infty}(\Omega)\ \mathrm{such\ that}\ \exists h>0\,:\
\nu_{h,M_{p}}(f_{\varepsilon})<\infty\Big{\\}}$
the Roumieu algebra of smooth functions of exponential growth; and
$\displaystyle\mathcal{N}_{\tau_{R}}(\Omega)=\mathcal{N}_{\mathrm{exp}}^{\\{M_{p},N_{p}\\}}(\Omega):=\Big{\\{}(f_{\varepsilon})_{\varepsilon}\in\mathcal{O}^{\\{M_{p}\\}}_{\mathrm{exp}}(\Omega)^{(0,1]}\qquad\mathrm{such\
that}$ $\displaystyle\qquad\qquad\exists h>0,\,\forall k>0\,:\
\nu_{h,M_{p}}(f_{\varepsilon})=O(e^{-N^{\ast}(k/\varepsilon)})\ \mathrm{as}\
\varepsilon\to 0\Big{\\}},$
is an ideal in $\mathcal{E}_{\tau_{R}}(\Omega)$.
Where as is customary in the theory of ultradistributions [10], we denote
$M_{p}$ a sequence of positive numbers such that $M_{0}=1$ and
(M.1)
$M_{p}^{2}\leq M_{p-1}M_{p-1}$ for any $p\in\mathbb{N}_{+}$;
(M.2)
$M_{p}\leq c\,H^{p}\,M_{q}M_{p-q}$ for any $p\in\mathbb{N}_{0}\,,q\leq p$ and
some $c,H\geq 1$;
(M.3)
$\sum_{p=1}^{\infty}M_{p-1}/M_{p}<\infty$.
The sequence $M_{p}^{*}:=M_{p}/p!$ with $M_{0}^{*}=1$, the associated function
$M(\rho):=\sup_{p\in\mathbb{N}_{0}}\ln\frac{\rho^{p}}{M_{p}}\,,\quad\rho>0$
and the growth function
$M^{*}(\rho):=\sup_{p\in\mathbb{N}_{0}}\ln\frac{\rho^{p}}{M^{*}_{p}}\,,\quad\rho>0\,.$
For a smooth function $f\in C^{\infty}(\Omega)$ and we denote
$\nu_{h,M_{p}}(f):=\sup_{x\in\Omega,\,\alpha,\beta\in\mathbb{N}_{0}^{d}}\frac{h^{|\alpha|+|\beta|}\big{|}x^{\beta}\partial^{\alpha}f(x)\big{|}}{M_{|\alpha|}\,M_{|\beta|}}\,,\quad\mathrm{where}\
h>0\,.$
###### Definition 2
The factor-algebra
$\mathcal{G}_{\tau_{B}}(\Omega):=\mathcal{E}_{\tau_{B}}(\Omega)/\mathcal{N}_{\tau_{B}}(\Omega)$
is defined as the Colombeau algebra of tempered generalised ultradistributions
of Beurling type. Here
$\displaystyle\mathcal{E}_{\tau_{B}}(\Omega)=\mathcal{E}_{\mathrm{exp}}^{(M_{p},N_{p})}(\Omega):=\Big{\\{}(f_{\varepsilon})_{\varepsilon}\in\mathcal{O}^{(M_{p})}_{\mathrm{exp}}(\Omega)^{(0,1]}\qquad\mathrm{such\
that}$ $\displaystyle\qquad\qquad\forall h>0,\,\exists k>0\,:\
\nu_{h,M_{p}}(f_{\varepsilon})=O(e^{N^{\ast}(k/\varepsilon)})\ \mathrm{as}\
\varepsilon\to 0\Big{\\}},$
is the set of generalised ultradistributions of Beurling type, with
$\mathcal{O}^{(M_{p})}_{\mathrm{exp}}(\Omega):=\Big{\\{}f\in
C^{\infty}(\Omega)\ \mathrm{such\ that}\ \forall h>0\,:\
\nu_{h,M_{p}}(f_{\varepsilon})<\infty\Big{\\}}.$
the Beurling algebra of smooth functions of exponential growth; and
$\displaystyle\mathcal{N}_{\tau_{B}}(\Omega)=\mathcal{N}_{\mathrm{exp}}^{(M_{p},N_{p})}(\Omega):=\Big{\\{}(f_{\varepsilon})_{\varepsilon}\in\mathcal{O}^{(M_{p})}_{\mathrm{exp}}(\Omega)^{(0,1]}\qquad\mathrm{such\
that}$ $\displaystyle\qquad\qquad\forall h,\,k>0\,:\
\nu_{h,M_{p}}(f_{\varepsilon})=O(e^{-N^{\ast}(k/\varepsilon)})\ \mathrm{as}\
\varepsilon\to 0\Big{\\}},$
is an ideal in $\mathcal{E}_{\tau_{B}}(\Omega)$.
#### Generalised constants
We will also use the factor-ring of _generalised constants_ :
$\bar{\mathbb{K}}:=\mathcal{E}_{M}(\mathbb{K})/\mathcal{N}(\mathbb{K})\,,$
for $\mathbb{K}=\mathbb{C},\mathbb{R}\ \mathrm{or}\ \mathbb{R_{+}}$, where
$\mathcal{E}_{M}(\mathbb{K}):=\Big{\\{}(C_{\varepsilon})_{\varepsilon}\in\mathbb{K}^{(0,1]}\
\mathrm{such\ that}\ \exists n\in\mathbb{N}\ :\
|C_{\varepsilon}|=O(\varepsilon^{-n})\ \mathrm{as}\ \varepsilon\to 0\Big{\\}}$
and
$\mathcal{N}(\mathbb{K}):=\Big{\\{}(C_{\varepsilon})_{\varepsilon}\in\mathbb{K}^{(0,1]}\
\mathrm{such\ that}\ \forall p\in\mathbb{N}\ :\
|C_{\varepsilon}|=O(\varepsilon^{p})\ \mathrm{as}\ \varepsilon\to 0\Big{\\}}$
### 2.2 Inclusions
Note that we have the following inclusions:
$\mathcal{G}_{\tau}(\Omega)\subset\mathcal{G}_{\tau_{R}}(\Omega)\subset\mathcal{G}_{\tau_{B}}(\Omega)\,.$
We also note the inclusions
$S_{\tau_{R}}^{\prime}(\Omega)\subset\mathcal{G}_{\tau_{R}}(\Omega)\quad\mathrm{and}\quad
S_{\tau_{B}}^{\prime}(\Omega)\subset\mathcal{G}_{\tau_{B}}(\Omega)\,,$
where we denote $S_{\tau_{R}}^{\prime}$ the space of ultradistributions of
Roumieu type and $S_{\tau_{B}}^{\prime}$ the space of ultradistributions of
Beurling type. Indeed, for $\varphi\in S_{\tau_{R}}(\Omega)$ and $f\in
S^{\prime}_{\tau_{R}}(\Omega)$ we have [11, 20]
$\varphi=\sum_{n}a_{n}h_{n}\quad\mathrm{and}\quad f=\sum_{n}b_{n}h_{n}\,$
where $h_{n}$ are Hermite functions, which form an orthonormal basis of
$L^{2}(\mathbb{R}^{d})$ [1] and Hermite coefficients $a_{n}$ and $b_{n}$
satisfy estimates
$|a_{n}|\leq e^{-M(\sqrt{n}h)}\quad\mathrm{and}\quad|b_{n}|\leq
e^{M(\sqrt{n}h)}\,.$
Define
$f_{\varepsilon}=\sum_{n}e^{-\varepsilon
M^{2}(\sqrt{n}h)}b_{n}h_{n}\equiv\sum_{n}f^{\varepsilon}_{n}h_{n}\,,$
where
$|f^{\varepsilon}_{n}|=|e^{-\varepsilon M^{2}(\sqrt{n}h)}b_{n}|\leq
C_{\varepsilon}\,e^{-M(\sqrt{n}h)}$
and therefore $f_{\varepsilon}\in S_{\tau_{R}}(\Omega)$ for each
$\varepsilon>0$. We observe that
$(f_{\varepsilon})_{\varepsilon}=\Big{(}\sum_{n}f^{\varepsilon}_{n}h_{n}\Big{)}_{\varepsilon}\in\mathcal{G}_{\tau_{R}}(\Omega)\,,$
since
$\forall n,\ \varepsilon\qquad|f^{\varepsilon}_{n}|\leq e^{M(\sqrt{n}h)}\,.$
## 3 Mapping between tempered Colombeau algebras
Since generalised integral operators of form (1)
$A\phi=(A_{\varepsilon})_{\varepsilon}(\phi_{\varepsilon})_{\varepsilon}=\bigg{(}\int_{\mathbb{R}^{n}}K_{\varepsilon}(x,y)\phi_{\varepsilon}(y)dy\bigg{)}_{\varepsilon}\in\mathcal{G}_{\tau}$
are defined as bounded linear operators from $\mathcal{G}_{S}$ to
$\mathcal{G}_{\tau}$, in order to compose such operators we demonstrate that
their extensions
$A:\mathcal{G}_{\tau}\rightarrow\mathcal{G}_{\tau}\,$
can be well-defined. Such maps can be represented by nets
$(A_{\varepsilon})_{\varepsilon}$ of linear continuous maps
$A=(A_{\varepsilon})_{\varepsilon}\in\mathcal{L}(\mathcal{O}_{M},\mathcal{O}_{M})^{(0,1]}\,,$
where these nets are defined to be of moderate growth if
$\forall\ell\in\mathbb{N}\ \exists\
(C_{\varepsilon})_{\varepsilon}\in\mathcal{E}_{M}(\mathbb{R}_{+}),\ \exists\
p,q,\ell^{\prime}\in\mathbb{N}$
such that
$\forall f\in\mathcal{O}_{M}\ \mu_{-p,\ell}(A_{\varepsilon}f)\leqslant
C_{\varepsilon}\ \mu_{-q,\ell^{\prime}}(f)\,.$
We then note that the net $(\phi_{\varepsilon})_{\varepsilon}$ where
$\phi_{\varepsilon}\in\mathcal{O}_{M}$ has an associated net
$\phi^{\gamma}_{\varepsilon}:=\phi_{\varepsilon}e^{-\gamma|x|^{2}}\in S$
if $\gamma\in\mathbb{R}_{+}$ with
$\lim_{\gamma\rightarrow 0}\phi^{\gamma}_{\varepsilon}=\phi_{\varepsilon}\in
S^{\prime}\,.$
In considering the nature of this limit it is helpful to note that
$\mathcal{G}_{\tau}^{\infty}\cap S^{\prime}=\mathcal{O}_{M}$ where
$\mathcal{G}_{\tau}^{\infty}$ is the subspace of of regular elements of
$\mathcal{G}_{\tau}$ [7] and that the closure $\bar{S}=\mathcal{O}_{M}$ with
convergence in $S^{\prime}$. We then define
$A\phi=(A_{\varepsilon})_{\varepsilon}(\phi_{\varepsilon}^{\gamma})_{\varepsilon}|_{\gamma=\varepsilon}=\bigg{(}\int_{\mathbb{R}^{n}}K_{\varepsilon}(x,y)\phi_{\varepsilon}^{\gamma}(y)dy\bigg{)}_{\varepsilon}\bigg{|}_{\gamma=\varepsilon}\in\mathcal{E}_{\tau}$
where we have used a double regularisation but for simplicity defined
$\gamma=\varepsilon$. For any $\alpha$ there exists $q_{1},q_{2}$ and
$q_{3}\in\mathbb{N}$ such that
$|\partial^{\alpha}\,A_{\varepsilon}\phi_{\varepsilon}^{\gamma}|\leqslant
C(1+|x|)^{q_{1}}\varepsilon^{-q_{2}}\gamma^{-q_{3}}|_{\gamma=\varepsilon}=C(1+|x|)^{q_{1}}\varepsilon^{-q}$
where $q=q_{2}+q_{3}$, therefore
$A_{\varepsilon}\in\mathcal{L}(\mathcal{O}_{M},\mathcal{O}_{M})$.
We note that for any $\alpha$ there exist $q_{1},q_{2}\in\mathbb{N}$ such that
$\displaystyle|\partial_{x}^{\alpha}\,A_{\varepsilon}f_{\varepsilon}|=\big{|}\partial_{x}^{\alpha}\,A_{\varepsilon}(\phi_{\varepsilon}e^{-\varepsilon|y|^{2}})\big{|}$
$\displaystyle\leq C\
\int_{\mathbb{R}^{n}}(1+|x|)^{q_{1}}\,(1+|y|)^{q_{1}}|f_{\varepsilon}(y)|\,dy$
$\displaystyle\leq C\
(1+|x|)^{q_{1}}\,\int_{\mathbb{R}^{n}}(1+|y|)^{q_{1}}|\phi_{\varepsilon}(y)e^{-\varepsilon|y|^{2}}(y)|\,dy$
$\displaystyle\leq C\
(1+|x|)^{q_{1}}\,\sup_{y}\Big{(}(1+|y|)^{-q_{2}}|\phi_{\varepsilon}(y)|\Big{)}\,\int_{\mathbb{R}^{n}}(1+|y|)^{q_{1}+q_{2}}e^{-\varepsilon|y|^{2}}(y)|\,dy$
$\displaystyle\leq C\
(1+|x|)^{q_{1}}\,\mu_{-q_{2},0}(\phi_{\varepsilon})\,\varepsilon^{-(q_{1}+q_{2})/2}\,,$
therefore for any $\alpha$
$(1+|x|)^{-q_{1}}\,\big{|}\partial_{x}^{\alpha}\,A_{\varepsilon}(\phi_{\varepsilon}e^{-\varepsilon|y|^{2}})\big{|}\leq
C\,\varepsilon^{-q}\,\mu_{-q_{2},0}(\phi_{\varepsilon})$
and thus for any $l$ there exist $p$ and $q^{\prime}$ such that
$\mu_{-p,l}\big{(}A_{\varepsilon}(\phi_{\varepsilon}e^{-\varepsilon|\cdot|^{2}})\big{)}\leq
C\,\varepsilon^{-q}\,\mu_{-q^{\prime},0}(\phi_{\varepsilon})$
Now for any $\phi=(\phi_{\varepsilon})_{\varepsilon}\in\mathcal{G}_{\tau}$ we
define
$\displaystyle A\phi$ $\displaystyle:=$
$\displaystyle\Big{(}A_{\varepsilon}(\phi_{\varepsilon}e^{-\varepsilon|\cdot|^{2}})\Big{)}_{\varepsilon}+\mathcal{N}_{\tau}$
$\displaystyle=$
$\displaystyle\bigg{(}\int_{\mathbb{R}^{n}}K_{\varepsilon}(x,y)\phi_{\varepsilon}(y)e^{-\varepsilon|y|^{2}}dy\bigg{)}_{\varepsilon}+\mathcal{N}_{\tau}$
and we have that
$A\in\mathcal{L}(\mathcal{G}_{\tau},\mathcal{G}_{\tau})\,.$
## 4 Composition of generalised integral operators on tempered Colombeau
algebras
###### Theorem 1
Let generalised integral operators $A_{1}\,,A_{2}$ be defined by formula (3),
so that $A_{1}\,,A_{2}\in\mathcal{L}(\mathcal{G}_{\tau},\mathcal{G}_{\tau})$.
Their composition $A_{2}\circ
A_{1}\in\mathcal{L}(\mathcal{G}_{\tau},\mathcal{G}_{\tau})$ is a generalised
integral operator with the kernel
$K_{\varepsilon}(x,y)=\int_{\mathbb{R}^{n}}K^{2}_{\varepsilon}(x,z)K^{1}_{\varepsilon}(z,y)e^{-\varepsilon|z|^{2}}dz\in\mathcal{G}_{\tau}(\mathbb{R}^{2n})\,.$
Proof For any $\alpha,\beta$ there exist $q_{1},q_{2}\in\mathbb{N}$ such that
$\displaystyle|\partial_{x}^{\alpha}\partial_{y}^{\beta}\,K_{\varepsilon}(x,y)|$
$\displaystyle=$
$\displaystyle\big{|}\partial_{x}^{\alpha}\partial_{y}^{\beta}\,\int_{\mathbb{R}^{n}}K^{2}_{\varepsilon}(x,z)K^{1}_{\varepsilon}(z,y)e^{-\varepsilon|z|^{2}}dz\big{|}$
(3) $\displaystyle\leq C\
(1+|x|)^{q_{1}}\,(1+|y|)^{q_{2}}\,\int_{\mathbb{R}^{n}}(1+|z|)^{q_{1}+q_{2}}e^{-\varepsilon|z|^{2}}\,dz$
$\displaystyle\leq C\
(1+|x|)^{q_{1}}\,(1+|y|)^{q_{2}}\,\varepsilon^{-(q_{1}+q_{2})/2}\,,$
therefore $K_{\varepsilon}\in\mathcal{G}_{\tau}(\mathbb{R}^{2n})$.
Furthermore
$\displaystyle\big{(}A_{2}\circ A_{1}\big{)}\phi$ $\displaystyle=$
$\displaystyle\int_{\mathbb{R}^{n}}K_{\varepsilon}(x,y)\phi_{\varepsilon}(y)e^{-\varepsilon|y|^{2}}dy$
$\displaystyle=$
$\displaystyle\int_{\mathbb{R}^{n}}\Bigg{[}\int_{\mathbb{R}^{n}}K^{2}_{\varepsilon}(x,z)K^{1}_{\varepsilon}(z,y)e^{-\varepsilon|z|^{2}}dz\Bigg{]}\phi_{\varepsilon}(y)e^{-\varepsilon|y|^{2}}dy$
$\displaystyle=$
$\displaystyle\int_{\mathbb{R}^{n}}K^{2}_{\varepsilon}(x,z)\Bigg{[}\int_{\mathbb{R}^{n}}K^{1}_{\varepsilon}(z,y)\phi_{\varepsilon}(y)e^{-\varepsilon|y|^{2}}dy\Bigg{]}e^{-\varepsilon|z|^{2}}dz$
$\displaystyle=$ $\displaystyle A_{2}\big{(}A_{1}\phi\big{)}\,.$
Estimate (3) implies the following extension.
###### Corollary 1
Let generalised integral operator
$A\in\mathcal{L}(\mathcal{G}_{\tau},\mathcal{G}_{\tau})$ be defined by formula
(3). Then $A^{k}$ is well-defined in
$\mathcal{L}(\mathcal{G}_{\tau},\mathcal{G}_{\tau})$ for any $k$ and the
operator
$e^{A}:=I+\sum_{k=1}^{\infty}A^{k}/k!$
is well-defined in
$\mathcal{L}(\mathcal{G}_{\tau_{R}},\mathcal{G}_{\tau_{R}})$.
## References
* [1] G. Arfken, H. Weber, F.E. Harris, Mathematical Methods for Physicists, seventh ed., Academic Press, Cambridge, Massachusetts, 2001.
* [2] S. Bernard, J.-F. Colombeau, A. Delcroix, Generalized integral operators and applications. Math. Proc. Cambridge Philos. Soc. 141 (2006) 521-–546.
* [3] S. Bernard, J.-F. Colombeau, A. Delcroix, Composition and exponential of compactly supported generalized integral operators, Integral Transforms Spec. Funct. 17 (2006) 93–99.
* [4] J.-F. Colombeau, Elementary Introduction to New Generalized Functions, North-Holland, Amsterdam, 1985.
* [5] A. Delcroix, Generalized integral operators and Schwartz kernel type theorems, J. Math. Anal. Appl. 306 (2005) 481-–501.
* [6] A. Delcroix, Kernel theorems in spaces of tempered generalized functions. Math. Proc. Cambridge Philos. Soc. 142 (2007) 557-–572.
* [7] A. Delcroix, A new approach to temperate generalized Colombeau functions. Publ. Inst. Math. (Beograd) (N.S.) 84(98) (2008) 109-–121.
* [8] G.B. Folland, Harmonic Analysis in Phase Space, Princeton University Press, Princeton, 1989.
* [9] M. Grosser, M.Kunzinger, M. Oberguggenberger, R. Steinbauer, Geometric Theory of Generalized Functions with Applications to General Relativity, Kluwer Academic Publishers, Dordrecht, 2001.
* [10] H. Komatsu, Ultradistributions, I: structure theorems and a characterization, J. Fac. Sci. Univ. Tokyo, Sect. IA Math. 20 (1973) 25–-105.
* [11] Z. Lozanov-Crvenkovi$\mathrm{\acute{c}}$, D. Peri$\mathrm{\breve{s}}$i$\mathrm{\acute{c}}$, Hermite expansions of elements of Gelfand Shilov spaces in quasianalytic and non quasianalytic case. Novi Sad J. Math. 37 (2007) 129–-147.
* [12] Z. Lozanov-Crvenkovi$\mathrm{\acute{c}}$, D. Peri$\mathrm{\breve{s}}$i$\mathrm{\acute{c}}$, Kernel theorems for the spaces of tempered ultradistributions. Integral Transforms Spec. Funct. 18 (2007) 699–-713.
* [13] H. Deguchi, M. Oberguggenberger, Propagation of singularities for generalized solutions to wave equations with discontinuous coefficients. SIAM J. Math. Analysis 48 (2016) 397–442.
* [14] S. Pilipovic, D. Scarpalezos, Colombeau generalized ultradistributions, Math. Proc. Cambridge Philos. Soc., 130 (2001) 541–553.
* [15] D. Scarpalézos, Colombeau’s generalized functions: topological structures; microlocal properties. A simplified point of view. II. Publ. Inst. Math. (Beograd) (N.S.) 76(90) (2004), 111-–125.
* [16] A. Stottmeister, On the embedding of quantum field theory on curved spacetimes into loop quantum gravity, 2015. Available from INIS: http://inis.iaea.org/search/search.aspx?orig$\\_$q=RN:47088807
* [17] A. Stottmeister, T. Thiemann, Coherent states, quantum gravity, and the Born-Oppenheimer approximation. III.: Applications to loop quantum gravity, J. Math. Phys. 57 (2016) 083509, https://doi.org/10.1063/1.4960823.
* [18] L. Schwartz, Sur l’impossibilite de la multiplications des distributions C. R. Acad. Sci. Paris, 239 (1954) 847–848.
* [19] F. Treves, Topological vector spaces, distributions and kernels. New York, Academic Press, 1967.
* [20] D. Vu$\mathrm{\breve{c}}$kovi$\mathrm{\acute{c}}$, J. Vindas, Eigenfunction expansions of ultradifferentiable functions and ultradistributions in $\mathbb{R}^{n}$, J. Pseudo-Differ. Oper. Appl. 7 (2016) 519–531.
* [21] V.S. Vladimirov, Methods of the Theory of Generalized Functions, CRC Press, London, 2002.
| arxiv-papers | 2021-07-26T07:45:53 | 2024-09-04T03:07:17.924855 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Alexei Filinkov and Ian Fuss",
"submitter": "Alexei Filinkov",
"url": "https://arxiv.org/abs/2107.12005"
} |
2107.12006 | # Thermodynamics and SARS-CoV-2: neurological effects in post-Covid 19
syndrome
Umberto Lucia 1,a, Giulia Grisolia 1,b & Thomas S. Deisboeck 2,c
1 Dipartimento Energia “Galileo Ferraris”,
Corso Duca degli Abruzzi 24, 10129 Torino, Italy
2 Department of Radiology,
Harvard-MIT Martinos Center for Biomedical Imaging,
Massachusetts General Hospital and
Harvard Medical School, Charlestown, MA 02129, USA
a [email protected]
b [email protected]
c [email protected]
###### Abstract
There is increasing evidence that infection with SARS-CoV-2 can cause a
spectrum of neurological symptoms. In this paper, we develop a theoretical
concept underlying such neurological COVID-19 consequences by employing a non-
equilibrium thermodynamic approach that allows linking the neuronal electric
potential with a virus-induced pH variation. Our theoretical findings support
further experimental work on therapeutically correcting electrolyte
imbalances, such as Na+ and K+, to attenuate the neurological effects of SARS-
CoV-2.
Keyword: Irreversible thermodynamics; Membrane potential; Neurological
effects; Post-Covid Syndrome; SARS-CoV-2.
## 1 Introduction
Infection with the new severe acute respiratory syndrome coronavirus 2, i.e.,
SARS-CoV-2, can lead to a variety of clinical symptoms ranging from
respiratory and circulatory effects to neurological ones; some of these
symptoms require immediate therapeutic intervention to try to stabilize
vulnerable patients, while others are feared to potentially cause long term
morbidity in some. (Gu et al., 2020).
In this theoretical paper, we focus our analysis on the neurological
consequences of SARS-CoV-2. Since the virus has seldom been found in the
cerebrospinal fluid of the patients, the damage caused by the anti-virus
immune response-mediated damage seems to be the culprit (Solomon, 2021).
Severe neurological manifestations, albeit rare by comparison, run the gamut
from Guillain-Barre (demyelinating polyneuritis) syndrome, and ischemic stroke
(Berlit et al., 2020; Kandimalla et al., 2020) to encephalitis (Ellul et al.,
2020) while milder symptoms include temporary memory loss, altered mental
state or ‘brain fog’ as well as olfactory and gustatory dysfunctions (Agyeman
et al., 2020). In fact, partial or complete loss of smell, dysosmia or
anosmia, as well as dysgeusia (loss of taste) are common symptoms during a
SARS-CoV-2 infection, even in the absence of any other symptoms (Solomon,
2021; Kanberg et al., 2020a, b; Matschke et al., 2020a; Paniz-Mondolfi et al.,
2020; Meinhardt et al., 2021); as to the loss of smell, the virus seems to
infect the olfactory epithelium, rather than the sensory neurons themselves
(Kanberg et al., 2020a, b; Matschke et al., 2020a; Paniz-Mondolfi et al.,
2020; Meinhardt et al., 2021). This notwithstanding, in autopsy studies of
patients dying of COVID viral RNA transcripts were found in brain tissue and
viral proteins in the endothelial cells within the olfactory bulb (Song et
al., 2021); in another study, inflammatory changes appear particularly
extensive in the brainstem in proximity to cranial nerve origins (Matschke et
al., 2020b).
At present, the lasting consequences of this neuro-invasion are not fully
understood, neither is the optimal therapeutic strategy as, for instance,
targeting an over-active immune response with corticosteroids would be
potentially dangerous in the presence of virus (Marshall, 2020). In this
paper, we therefore develop a non-equilibrium thermodynamic analysis of this
mechanism, in order to suggest a possible new viewpoint that may hold promise
for designing future therapies.
## 2 Materials and Methods
Protein phosphorylation is a fundamental biochemical mechanism regulating of
the cell functions, due to its ability to activate and deactivate some enzymes
and receptors (Rudolph et al., 2006; Strong, 2002). In this context the
relation with kinases is of particular interest because kinases are related to
cellular transduction signalling (Ardito et al., 2017).
Ions actively cross the cell membrane against its electrochemical potential by
deriving the required energy from the hydrolysis of ATP, where the H+-ATPase
plays a fundamental role; this is related to the movement of positive charges
into the cell, by generating large membrane voltage (inside negative and
outside positive) and a pH gradient (Nakanishi-Matsui et al., 2010; Stevens &
Forgac, 1997; Tuszynski & Kurzynski, 2003):
$\text{ATP}+\text{H}_{2}\text{O}\rightarrow\text{ADP}+\text{P}$ (1)
$\text{H}^{+}_{out}\rightarrow\text{H}^{+}_{in}$ (2)
with a subsequent variation of the pH because (Ashrafuzzaman & Tuszynski,
2013):
$\Delta\text{pH}=\frac{F}{2.3RT}\,\big{(}\Delta\phi_{m}-\Delta
G_{\text{H}^{+}}\big{)}$ (3)
where $G$ depicts the Gibbs potential. The phosphorylation potential,
$\Delta\bar{g}_{p}$ [kJ mol-1], is well known and described by the following
equation (Tuszynski & Kurzynski, 2003; Grabe et al., 2000; Lucia, 2015b, a;
Lucia & Grisolia, 2018a; Lucia et al., 2014, 2018; Lucia & Grisolia, 2017;
Lucia et al., 2017, 2016; Lucia & Grisolia, 2018b):
$\Delta\bar{g}_{p}=-nF\Delta\phi$ (4)
where $n$ is the number of moles of ions per ATP synthesized, $F=96.485\times
10^{3}$ A s mol-1 is the Faraday constant, and $\Delta\phi$ stands for the
membrane potential.
The movement of the ions can be analysed by introducing the Onsager general
phenomenological relationships as they pertain to both the electrochemical
potential and the heat flux (Yourgrau et al., 1982; Callen, 1960; Lucia &
Grisolia, 2020a, b, c; Goupil, 2011):
$\left\\{\begin{array}[]{l}\mathbf{J}_{e}=-L_{11}\,\dfrac{\nabla\mu_{e}}{T}-L_{12}\,\dfrac{\nabla
T}{T^{2}}\\\ \\\
\mathbf{J}_{Q}=-L_{21}\,\dfrac{\nabla\mu_{e}}{T}-L_{22}\,\dfrac{\nabla
T}{T^{2}}\end{array}\right.$ (5)
where $\textbf{J}_{e}$ is the current density [A m-2], $\textbf{J}_{Q}$
denotes the heat flux [W m-2], $\mu_{e}=\mu+ze\phi$ is the electrochemical
potential [J mol-1], with $\mu$ the chemical potential [J mol-1], $ze$ the
electric charge [A s mol-1], and $\phi$ the membrane potential [V],
respectively; $T$ is the living cell temperature and $L_{ij}$ represent the
phenomenological coefficients, such that (Katchalsky & Currant, 1965)
$L_{12}(\mathbf{B})=L_{21}(-\mathbf{B})$ (Onsager-Casimir relation (Degroot &
Mazur, 1962)), and $L_{11}\geq 0$ and $L_{22}\geq 0$, and (Katchalsky &
Currant, 1965) $L_{11}L_{22}-L_{12}L_{21}>0$.
The result consists of a model of the life cycle based on two related
processes (Lucia & Grisolia, 2020a, b):
* •
A continuous energy generation (metabolism), due to ion fluxes: The ion and
metabolite fluxes can be described by imposing $\mathbf{J}_{e}\neq\mathbf{0}$
and $\mathbf{J}_{Q}=\mathbf{0}$;
* •
A continuous heat flux from the cell to its microenvironment: The heat
exchange towards the environment can be described by imposing
$\mathbf{J}_{e}=\mathbf{0}$ and $\mathbf{J}_{Q}\neq\mathbf{0}$.
In this way, we can split the life cycle into two thermodynamic processes, as
it is usually done in irreversible thermodynamics for any complex process
(Callen, 1960).
Now, if ion and metabolite fluxes occur, $\mathbf{J}_{e}\neq\mathbf{0}$ and
$\mathbf{J}_{Q}=\mathbf{0}$, it follows (Callen, 1960; Yourgrau et al., 1982;
Lucia & Grisolia, 2020a)
$\frac{d\mu_{e}}{dT}=-\frac{L_{21}}{L_{11}}\,\frac{1}{T}$ (6)
with a related heat flux (Callen, 1960; Yourgrau et al., 1982):
$\frac{du}{dt}=-\nabla\cdot\mathbf{J}_{Q}$ (7)
where $u$ is the internal energy density [W m-3].
Living cells exchange heat power towards their environment by convection, and
so, we can write (Lucia & Grisolia, 2020d)
$\frac{du}{dt}\,dV=\delta\dot{Q}=-\alpha\,(T-T_{0})\,dA$ (8)
where $\alpha\approx 0.023Re^{0.8}Pr^{0.35}\lambda/\langle R\rangle$ is the
coefficient of convection, $A$ the area of the external surface of the cell
membrane, $V$ is the cell volume, $T$ depicts the mean temperature of the
external surface of the cell’s membrane, and $T_{0}$ is the temperature of the
cell environment.
So, considering Equations (7) and (8), and the Divergence Theorem (Apostol,
1969), the heat flux can be written as:
$J_{Q}=\alpha\,(T-T_{0})$ (9)
and the related power flux yields:
$\dot{Q}=\int_{A}\mathbf{J}_{Q}\cdot\hat{\mathbf{n}}dA=\alpha\,(T-T_{0})A$
(10)
Furthermore, considering Equation (5), together with the second hypothesis of
our modelling ($\mathbf{J}_{e}=\mathbf{0}$, $\mathbf{J}_{Q}\neq\mathbf{0}$),
it follows (Lucia & Grisolia, 2020a):
$\frac{d\mu_{e}}{d\ell}=\frac{T\,J_{Q}}{\Bigg{(}L_{22}\frac{L_{11}}{L_{12}}-L_{21}\Bigg{)}}=-\frac{\alpha\,T(T-T_{0})}{\Bigg{(}L_{22}\frac{L_{11}}{L_{12}}-L_{21}\Bigg{)}}$
(11)
where $\ell$ is the length of a cell membrane and $|\nabla\mu_{e}|\approx
d\mu_{e}/d\ell$. This relation is the link between the cell membrane’s
electric potential and the temperature of the cell itself.
Equations (9) and (11) allow us to obtain:
$J_{Q}=\alpha\,(T-T_{0})=-\frac{1}{T}\Bigg{(}L_{22}\frac{L_{11}}{L_{12}}-L_{21}\Bigg{)}\,\frac{d\mu_{e}}{d\ell}$
(12)
where:
$\Bigg{(}L_{22}-L_{21}\frac{L_{12}}{L_{11}}\Bigg{)}=K_{J}T^{2}$ (13)
with $K_{J}$ being the Thomson coefficient. Consequently, it follows:
$\frac{\partial\mu_{e}}{\partial\ell}=\frac{\partial\mu_{e}}{\partial
T}\,\frac{\alpha}{K_{J}}\big{(}T_{surf}-T_{0}\big{)}$ (14)
from which, taking into account that $\mu_{e}=\mu+ze\phi$, becomes:
$\frac{\partial\mu}{\partial\ell}=-ze\frac{d\phi}{d\ell}+\frac{\partial\mu_{e}}{\partial
T}\,\frac{\alpha}{K_{J}}\big{(}T_{surf}-T_{0}\big{)}$ (15)
Now, considering Equation (3) we can obtain:
$\frac{\partial\mu_{e}}{\partial
T}=\frac{K_{J}}{\alpha}\frac{F+ze}{T_{surf}-T_{0}}\,\frac{d\phi}{d\ell}-\frac{K_{J}}{\alpha}\frac{2.3R\,T_{0}}{T_{surf}-T_{0}}\,\frac{d\text{pH}}{d\ell}$
(16)
which links the electrochemical potential to the pH.
In order to understand the effect of SARS-CoV-2 on the brain, we use a simple
model of information coding, introduced previously in our thermodynamic
analysis of Alzheimer’s disease (Lucia et al., 2020b).
Specifically, a brain cell requires a Na+-inflow, and a countering flow of
K+-outflow to develop the functionality of processing signals (Bustamante et
al., 2004). During this function, the consumption of one molecule of ATP
(adenosine triphosphate) requires that the membrane pump extrudes 3 Na+-ions
and imports 1 K+-ion. At the stationary state, a neuron maintains its pump
current:
$I_{p}=\frac{\Delta\phi_{\text{Na}^{+}}-\Delta\phi_{m}}{R_{\text{Na}^{+}}}+\frac{\Delta\phi_{\text{K}^{+}}-\Delta\phi_{m}}{R_{\text{K}^{+}}}$
(17)
where $R_{i}$ ($i=$ [Na+] or [K+]) stands for the electric resistance of the
ion considered during its current flux through the membrane, and
$\Delta\phi_{m}$ is the membrane electric potential variation,
$\Delta\phi_{\text{Na}^{+}}$ is the electric potential variation due to the
Na+-flux (Attwell & Laughlin, 2001; Goldman, 1943; Ashrafuzzaman & Tuszynski,
2013):
$\Delta\phi_{\text{Na}^{+}}=-\frac{RT}{F}\,\ln\Bigg{(}\frac{[\text{Na}^{+}]_{f}}{[\text{Na}^{+}]_{i}}\Bigg{)}$
(18)
$\Delta\phi_{\text{K}^{+}}$ is the electric potential variation due to the
K+-flux (Attwell & Laughlin, 2001; Goldman, 1943; Ashrafuzzaman & Tuszynski,
2013):
$\Delta\phi_{\text{K}^{+}}=-\frac{RT}{F}\,\ln\Bigg{(}\frac{[\text{K}^{+}]_{f}}{[\text{K}^{+}]_{i}}\Bigg{)}$
(19)
where $R=8314$ J mol-1 K-1 denotes the constant of the ideal gasses,
$F=96,485$ C mol-1 is the Faraday constant, $f$ and $i$ means final and
initial respectively, and they are referred to the initial and finale state of
the neuronal signalling process, and $T$ is the temperature, and
$R_{in}=\frac{1}{\dfrac{1}{R_{\text{Na}^{+}}}+\dfrac{1}{R_{\text{K}^{+}}}}$
(20)
under the biochemical constraint:
$\frac{d[\text{Na}^{+}]}{dt}=-\frac{d[\text{K}^{+}]}{dt}$ (21)
where [A] is the concentration of the A-ion (Na+/K+). In order to maintain a
normal membrane potential of around (Attwell & Laughlin, 2001) -70 mV a neuron
($R_{in}=$ 200 M$\Omega$ of input resistance) requires an influx of around
$1.02\times 10^{9}$ Na+-K+ ions s-1 ($\Delta\phi_{Na^{+}}=-50$ mV and
$\Delta\phi_{K^{+}}=-100$ mV) which necessitates $3.42\times 10^{8}$
hydrolysed ATP molecules s-1 (Attwell & Laughlin, 2001), consumed at a rate of
$I_{p}/F$: it generates a pump current $I_{p}$ of $1.63\times 10^{-10}$ A.
Next, considering Equations (21), (18) and (19) it follows that (19) becomes:
$\displaystyle\frac{\partial\mu_{e,\text{Na}^{+}}}{\partial
T}=-\frac{K_{J}RT_{0}}{F\alpha}\frac{F+ze}{T_{surf}-T_{0}}\,\frac{1}{[\text{Na}^{+}]}\,\frac{d[\text{Na}^{+}]}{d\ell}-\frac{K_{J}}{\alpha}\frac{2.3R\,T_{0}}{T_{surf}-T_{0}}\,\frac{d\text{pH}}{d\ell}$
(22) $\displaystyle\frac{\partial\mu_{e,\text{K}^{+}}}{\partial
T}=-\frac{K_{J}RT_{0}}{F\alpha}\frac{F+ze}{T_{surf}-T_{0}}\,\frac{1}{[\text{K}^{+}]}\,\frac{d[\text{K}^{+}]}{d\ell}-\frac{K_{J}}{\alpha}\frac{2.3R\,T_{0}}{T_{surf}-T_{0}}\,\frac{d\text{pH}}{d\ell}$
which points out that, in order to maintain a normal chemical potential the
cell, or neuron in this case, must actively change its concentration of ions
if a change in the pH occurs as a result of the viral infection.
As a consequence of the previous steps, a density entropy rate due to
irreversibility (dissipation function (Yourgrau et al., 1982)) is generated
(Lucia & Grisolia, 2017):
$\sigma=-\frac{1}{T_{0}}\,\sum_{i=1}^{N}\mathbf{J}_{i}\cdot\nabla\mu_{i}\geq
0$ (23)
where $T_{0}$ represents the environmental temperature,
$\sum_{i=1}^{N}\mu_{i}\,\mathbf{J}_{i}$ is the contribution of the inflows and
outflows, and $\mu$ denotes the chemical potential. So, using the previous
relations we obtain:
$\displaystyle\sigma$
$\displaystyle\approx-\frac{R\,J_{\text{Na${}^{+}$}}\,(ze)_{\text{Na${}^{+}$}}}{F\ell}\,\ln\Bigg{(}\frac{[\text{Na}^{+}]_{f}}{[\text{Na}^{+}]_{i}}\Bigg{)}+\frac{J_{\text{Na${}^{+}$}}}{T_{0}}\,\frac{\partial\mu_{e,\text{Na${}^{+}$}}}{\partial
T}\,\frac{\alpha}{K_{J}}\big{(}T_{surf}-T_{0}\big{)}+$ (24)
$\displaystyle-\frac{R\,J_{\text{K${}^{+}$}}\,(ze)_{\text{K${}^{+}$}}}{F\ell}\,\ln\Bigg{(}\frac{[\text{K}^{+}]_{f}}{[\text{K}^{+}]_{i}}\Bigg{)}+\frac{J_{\text{K${}^{+}$}}}{T_{0}}\,\frac{\partial\mu_{e,\text{K${}^{+}$}}}{\partial
T}\,\frac{\alpha}{K_{J}}\big{(}T_{surf}-T_{0}\big{)}$
Starting from this last equation, and considering the previous condition of
non-negative entropy density (Katchalsky & Currant, 1965), we arrive at the
following condition:
$\displaystyle\frac{R\,J_{\text{Na${}^{+}$}}\,(ze)_{\text{Na${}^{+}$}}}{F\ell}$
$\displaystyle\ln\Bigg{(}\frac{[\text{Na}^{+}]_{f}}{[\text{Na}^{+}]_{i}}\Bigg{)}-\frac{J_{\text{Na${}^{+}$}}}{T_{0}}\,\frac{\partial\mu_{e,\text{Na${}^{+}$}}}{\partial
T}\,\frac{\alpha}{K_{J}}\big{(}T_{surf}-T_{0}\big{)}\leq$ (25)
$\displaystyle-\frac{R\,J_{\text{K${}^{+}$}}\,(ze)_{\text{K${}^{+}$}}}{F\ell}\,\ln\Bigg{(}\frac{[\text{K}^{+}]_{f}}{[\text{K}^{+}]_{i}}\Bigg{)}+\frac{J_{\text{K${}^{+}$}}}{T_{0}}\,\frac{\partial\mu_{e,\text{K${}^{+}$}}}{\partial
T}\,\frac{\alpha}{K_{J}}\big{(}T_{surf}-T_{0}\big{)}$
which suggests that to maintain stability of the neuronal cell system the
effect of sodium fluxes is less pronounced than that of potassium.
## 3 Results
Our conjecture yielded Equations (3), (15) and (17). These equations highlight
the link between the neuronal signalling process and the neurons’ membrane
transport.
Specifically, Equation (3) states that a change in pH determines a related
variation in membrane potential and in proton flux, related to Gibbs energy
and chemical potential (Equation (15)). As such, a variation in the neuronal
ion current pump occurs, with the consequence of modifying the membrane
potential related to Na+ and K+. In turn, this change determines a modulation
in the concentrations of these chemical species with a symmetry breaking in
the stationary condition for the neurons. Consequently, the signalling process
changes which may offer an explanation for the neurological consequences of
infection with SARS-CoV-2. Indeed, we proved (Lucia et al., 2020a) that SARS-
CoV-2 leads to changes in pH-homeostasis due to modifications of H+-fluxes.
Taken together, this suggests that a promising therapeutic strategy would seek
to control the neurons’ membrane potential through manipulation of the ions
responsible for it.
## 4 Discussion and Conclusions
In patients COVID-19 is characterized by a wide variety of symptoms, some of
them neurological with at times very significant morbidity (Marshall, 2020).
To shed more light onto this, we have developed our non-equilibrium
thermodynamics approach that focuses on the effect of SARS-CoV-2-induced pH
variation on the ion and thermal fluxes across the cell membrane. As
emphasized in Eq. 16 and 22, this ‘pH-ion flux’ link can potentially support
the development of new therapeutic strategies to combat SARS-CoV-2’s neuronal
effects.
Electrolyte imbalances are common in Covid-19 patients, including hypokalemia
which has been found to be an independent predictor of the requirement for
mechanical ventilation (Moreno-P et al., 2020) and which may predispose to
cardiac complications and necessitate potassium supplement therapy (Chen et
al., 2020), and hypocalcemia (Zhou et al., 2020) which can lead to muscle
twitches and tremors. Furthermore, hyponatremia i.e. a serum sodium [Na+]
level of below 135 mmol L-1 can cause serious central nervous system symptoms
ranging from headaches, lethargy, and cramps to seizures, coma, and
respiratory arrest (Giuliani & Peri, 2014). Interestingly, hyponatremia has
indeed been noted as an early sign in Covid-19 infections (Gheorghe et al.,
2021). Clinical management of this common, multifactorial sodium imbalance in
Covid-19 patients depends on the exact etiology and therefore can involve
electrolyte replacement therapy in patients suffering from hyponatremia
primarily due to fluid losses or infusion of hypertonic saline in cases of
insufficient antidiuretic hormone secretion, seen as a consequence of the
systemic inflammation triggered by the virus (Gheorghe et al., 2021). It is
intriguing in this context that a recent article, available so far only as
preprint, describes in vitro experiments where hypertonic saline (1.5% NaCl)
inhibits SARS-Cov-2 replication completely, presumed to be achieved by cell
plasma membrane depolarization and intracellular energy deprivation (Machado
et al., 2020). If these experimental findings can be confirmed, our
theoretical conjecture supports advancing this concept from in vitro to in
vivo studies, in an effort to gain insights if hypertonic saline could help
mitigate SARS-CoV-2 effects on the central nervous system. Finally, in light
of these very recent experimental findings on sodium, Eq. 25 may deserve
attention as it indicates that the impact of correcting potassium levels may
be even more pronounced. Still, it must be noted that in clinics addressing
electrolyte imbalances is highly non-trivial and extensive, experimental work
would be required to properly assess risk vs. benefit of serum adjustments of
Na+ and/or K+.
In summary, our thermodynamics approach conceptualizes that SARS-CoV-2-induced
pH fluctuations trigger ion-flux changes across the neuron cell membrane which
in turn alters signalling throughout the system. Cautiously extrapolated, this
may explain some of the neurological symptoms seen in COVID patients and it
supports further research in therapeutically addressing electrolyte
imbalances.
## Authors contributions
Conceptualization, U.L and T.S.D.; methodology, U.L., G.G. and T.S.D.;
software, G.G.; validation, U.L., T.S.D. and G.G.; formal analysis, U.L.;
investigation, G.G.; resources, U.L.; data curation, G.G.; writing-original
draft preparation, U.L., G.G. and T.S.D.; writing—review and editing, U.L.,
G.G. and T.S.D.; visualization, G.G.; supervision, U.L., T.S.D.; project
administration, U.L.; funding acquisition, U.L. All authors have read and
agreed to the published version of the manuscript.
## References
* Agyeman et al. (2020) Agyeman, A. A., Chin, K. L., Landersdorfer, C. B., Liew, D., , & Ofori-Asenso, R. (2020). Smell and taste dysfunction in patients withcovid-19: A systematic reviewand meta-analysis. Mayo Clinic Proceedings, 95, 1621–1631.
* Apostol (1969) Apostol, T. S. (1969). Calculus. Volume 2: Multi-variable calculus and linear algebra with applications to differential equations and probability. Hoboken: Wiley.
* Ardito et al. (2017) Ardito, F., Giuliani, M., Perrone, D., Troiano, G., & Muzio, L. L. (2017). The crucial role of protein phosphorylation in cell signaling and its use as targeted therapy. International Journal of Molecular Medicine, 40, 271–280.
* Ashrafuzzaman & Tuszynski (2013) Ashrafuzzaman, M., & Tuszynski, J. (2013). Membrane Biophysics. Berlin: Springer.
* Attwell & Laughlin (2001) Attwell, D., & Laughlin, S. B. (2001). An energy budget for signaling in the grey matter of the brain. Journal of Cerebral Blood Flow and Metabolism, 21, 1133–1145.
* Berlit et al. (2020) Berlit, P., Bösel, J., Gahn, G., Isenmann, S., Meuth, S. G., Nolte, C. H., Pawlitzki, M., Rosenow, F., Schoser, B., Thomalla, G., & Hummel, T. (2020). “neurological manifestations of covid-19” - guideline of the german society of neurology. Neurological Research and Practice, 2, 51.
* Bustamante et al. (2004) Bustamante, C., Chemla, Y. R., Forde, N. R., & Izhaky, D. (2004). Mechanical processes in biochemistry. Annual Review of Biochemistry, 73, 705–748.
* Callen (1960) Callen, H. B. (1960). Thermodynamics. New York: Wiley.
* Chen et al. (2020) Chen, D., Li, X., Song, Q., Hu, C., Su, F., Dai, J., Ye, Y., Huang, J., & Zhang, X. (2020). Assessment of hypokalemia and clinical characteristics in patients with coronavirus disease 2019 in wenzhou, china. JAMA Network Open, 3(6), e2011122–e2011122.
* Degroot & Mazur (1962) Degroot, S. R., & Mazur, P. (1962). Non-Equilibrium Thermodynamics. Amsterdam: North-Holland Publishing Company.
* Ellul et al. (2020) Ellul, M. A., Benjamin, L., Singh, B., Lant, S., Michael, B. D., Easton, A., Kneen, R., Defres, S., Sejvar, J., & Solomon, T. (2020). Neurological associations of covid-19. The Lancet Neurology, (pp. 767–783).
* Gheorghe et al. (2021) Gheorghe, G., Ilie, M., Bungau, S., Stoian, A. M. P., Bacalbasa, N., & Diaconu, C. C. (2021). Is there a relationship between covid-19 and hyponatremia? Medicina, 57, 55.
* Giuliani & Peri (2014) Giuliani, C., & Peri, A. (2014). Effects of hyponatremia on the brain. Journal of Clinical Medicine, 3, 1163–1177.
* Goldman (1943) Goldman, D. E. (1943). Potential impedance, and rectification in membranes. Journal of General Physiology, 27, 37–60.
* Goupil (2011) Goupil, C. (2011). Thermodynamics of thermoelectricity. In T. Mizutani (Ed.) Thermodynamics. Shanghai: IntechOpen.
* Grabe et al. (2000) Grabe, M., Wang, H., & Oster, G. (2000). The mechanochemistry of v-atpase proton pumps. Biophysical Journal, 78, 2798–2813.
* Gu et al. (2020) Gu, J., Han, B., & Wang, J. (2020). Covid-19: Gastrointestinal manifestations and potential fecal–oral transmission. Gastroenterology, March 3, in press.
* Kanberg et al. (2020a) Kanberg, N., Ashton, N. J., Andersson, L.-M., Yilmaz, A., Lindh, M., Nilsson, S., Price, R. W., Blennow, K., Zetterberg, H., & Gisslén, M. (2020a). Neurochemical evidence of astrocytic and neuronal injury commonly found in covid-19. Neurology, 95.
* Kanberg et al. (2020b) Kanberg, N., Ashton, N. J., Andersson, L.-M., Yilmaz, A., Lindh, M., Nilsson, S., Price, R. W., Blennow, K., Zetterberg, H., & Gisslén, M. (2020b). Neurochemical evidence of astrocytic and neuronal injury commonly found in covid-19. Neurology, 95.
* Kandimalla et al. (2020) Kandimalla, R., John, A., Abburi, C., Vallamkondu, J., & Reddy, P. H. (2020). Current status of multiple drug molecules, and vaccines: An update in sars-cov-2 therapeutics. Molecular Neurobiology, 15 July 2020, 1481–1517.
* Katchalsky & Currant (1965) Katchalsky, A., & Currant, P. F. (1965). Nonequilibrium Thermodynamics in Biophysics. Boston: Harvard University Press.
* Lucia (2015a) Lucia, U. (2015a). Bioengineering thermodynamics: An engineering science for thermodynamics of biosystems. International Journal of Thermodynamics, 18, 254–265.
* Lucia (2015b) Lucia, U. (2015b). Bioengineering thermodynamics of biological cells. Theoretical Biology and Medical Modelling, 12, 29\.
* Lucia & Grisolia (2017) Lucia, U., & Grisolia, G. (2017). Second law efficiency for living cells. Frontiers in Bioscience, 9, 270–275.
* Lucia & Grisolia (2018a) Lucia, U., & Grisolia, G. (2018a). Constructal law and ion transfer in normal and cancer cells. Proceedings of the Romanian Academy Series A, 19, 213–218.
* Lucia & Grisolia (2018b) Lucia, U., & Grisolia, G. (2018b). Cyanobacteria and microalgae: Thermoeconomic considerations in biofuel production. Energies, 11, 156.
* Lucia & Grisolia (2020a) Lucia, U., & Grisolia, G. (2020a). How life works — a continuous seebeck-peltier transition in cell membrane? Entropy, 22, 960.
* Lucia & Grisolia (2020b) Lucia, U., & Grisolia, G. (2020b). Non-equilibrium thermodynamic approach to ca2+-fluxes in cancer. Applied Sciences, 10, 6737.
* Lucia & Grisolia (2020c) Lucia, U., & Grisolia, G. (2020c). Thermal physics and glaucoma: From thermodynamic to biophysical considerations to design future therapies. Applied Sciences, accepted, in printing.
* Lucia & Grisolia (2020d) Lucia, U., & Grisolia, G. (2020d). Thermal resonance and cell behavior. Entropy, 22, 774.
* Lucia et al. (2017) Lucia, U., Grisolia, G., & Astori, M. R. (2017). Constructal law analysis of Cl- transport in eyes aqueous humor. Scientific Reports, 7, 6856.
* Lucia et al. (2020a) Lucia, U., Grisolia, G., & Deisboeck, T. S. (2020a). Seebeck-like effect in SARS-CoV-2 bio-thermodynamics. Atti della Accademia Peloritana dei Pericolanti, 98, A6.
* Lucia et al. (2020b) Lucia, U., Grisolia, G., & Deisboek, T. S. (2020b). Alzheimer’s disease: A thermodynamic perspective. Applied Sciences, 10, 7562.
* Lucia et al. (2016) Lucia, U., Grisolia, G., Dolcino, D., Astori, M. R., Massa, E., & Ponzetto, A. (2016). Constructal approach to bio-engineering: The ocular anterior chamber temperature. Scientific Reports, 6, 31099.
* Lucia et al. (2018) Lucia, U., Grisolia, G., Ponzetto, A., & Deisboeck, T. S. (2018). Thermodynamic considerations on the role of heat and mass transfer in biochemical causes of carcinogenesis. Physica A, 490, 1164–1170.
* Lucia et al. (2014) Lucia, U., Ponzetto, A., & Deisboeck, T. S. (2014). A thermo-physical analysis of the proton pump vacuolar-ATPase: The constructal approach. Scientific Reports, 4, 1.
* Machado et al. (2020) Machado, R. R. G., Glaser, T., Araujo, D. B., Petiz, L. L., Oliveira, D. B. L., Durigon, G. S., Leal, A. L., Pinho, J. R. R., Ferreira, L. C. S., Ulrich, H., Durigon, E. L., & Guzzo, C. R. (2020). Hypertonic saline solution inhibits sars-cov-2 in vitro assay. bioRxiv.
URL https://www.biorxiv.org/content/10.1101/2020.08.11.244996v3
* Marshall (2020) Marshall, M. (2020). How covid-19 can damage the brain. Nature, 585, 342–343.
* Matschke et al. (2020a) Matschke, J., Lütgehetmann, M., Hagel, C., Sperhake, J. P., Schröder, A. S., Edler, C., Mushumba, H., Fitzek, A., Allweiss, L., Dandri, M., Dottermusch, M., Heinemann, A., Pfefferle, S., Schwabenland, M., Magruder, D. S., Bonn, S., Prinz, M., Gerloff, C., Püschel, K., Krasemann, S., Aepfelbacher, M., & Glatzel, M. (2020a). Neuropathology of patients with covid-19 in germany: a post- mortem case series. The Lancet Neurology, 19.
* Matschke et al. (2020b) Matschke, J., Lütgehetmann, M., Hagel, C., Sperhake, J. P., Schröder, A. S., Edler, C., Mushumba, H., Fitzek, A., Allweiss, L., Dandri, M., Dottermusch, M., Heinemann, A., Pfefferle, S., Schwabenland, M., Magruder, D. S., Bonn, S., Prinz, M., Gerloff, C., Püschel, K., Krasemann, S., Aepfelbacher, M., & Glatzel, M. (2020b). Neuropathology of patients with covid-19 in germany: a post-mortem case series. The Lancet Neurology, 19, 919––929.
* Meinhardt et al. (2021) Meinhardt, J., Radke, J., Dittmayer, C., Franz, J., Thomas, C., Mothes, R., Laue, M., Schneider, J., Brünink, S., Greuel, S., Lehmann, M., Hassan, O., Aschman, T., Schumann, E., Chua, R. L., Conrad, C., Eils, R., Stenzel, W., Windgassen, M., Rößler, L., Goebel, H.-H., Gelderblom, H. R., Martin, H., Nitsche, A., Schulz-Schaeffer, W. J., Hakroush, S., Winkler, M. S., Tampe, B., Scheibe, F., Körtvélyessy, P., Reinhold, D., Siegmund, B., Kühl, A. A., Elezkurtaj, S., Horst, D., Oesterhelweg, L., Tsokos, M., Ingold-Heppner, B., Stadelmann, C., Drosten, C., Corman, V. M., Radbruch, H., & Heppner, F. L. (2021). Olfactory transmucosal sars-cov-2 invasion as a port of central nervous system entry in individuals with covid-19. Nature Nauroscience, 24.
* Moreno-P et al. (2020) Moreno-P, O., Leon-Ramirez, J.-M., Fuertes-Kenneally, L., Andres, M. P. M., Garcia-Navarro, M., Ruiz-Torregrosa, P., Boix, V., Gil, J., & Merino, E. (2020). Hypokalemia as a sensitive biomarker of disease severity and the requirement for invasive mechanical ventilation requirement in covid-19 pneumonia: A case series of 306 mediterranean patients. International Journal of Infectious Diseases, 100, 449–454.
* Nakanishi-Matsui et al. (2010) Nakanishi-Matsui, M., Sekiya, M., & Futai, R. K. N. M. (2010). The mechanism of rotating proton pumping atpases. Biochimica et Biophysica Acta - Bioenergetics, 1797, 1343–1352.
* Paniz-Mondolfi et al. (2020) Paniz-Mondolfi, A., Bryce, C., Grimes, Z., Gordon, R. E., Reidy, J., Lednicky, J., Sordillo, E. M., & Fowkes, M. (2020). Central nervous system involvement by severe acute respiratory syndrome coronavirus-2 (sars-cov-2). Journal of Medical Virology, 92.
* Rudolph et al. (2006) Rudolph, M. G., Stanfield, R. L., & Wilson, I. A. (2006). How TCRs bind MHCs, peptides, and coreceptors. Annual Review of Immunology, 24, 419–466.
* Solomon (2021) Solomon, T. (2021). Neurological infection with sars- cov-2 – the story so far. Nature Review Neurology.
* Song et al. (2021) Song, E., Zhang, C., Israelow, B., Lu-Culligan, A., Prado, A. V., Skriabine, S., Lu, P., Weizman, O.-E., Liu, F., Dai, Y., Szigeti-Buck, K., Yasumoto, Y., Wang, G., Castaldi, C., Heltke, J., Ng, E., Wheeler, J., Alfajaro, M. M., Levavasseur, E., Fontes, B., Ravindra, N. G., Dijk, D. V., Mane, S., Gunel, M., Ring, A., Kazmi, S. A. J., Zhang, K., Wilen, C. B., Horvath, T. L., Plu, I., Haik, S., Thomas, J.-L., Louvi, A., Farhadian, S. F., Huttner, A., Seilhean, D., Renier, N., Bilguvar, K., & Iwasaki, A. (2021). Neuroinvasion of sars-cov-2 in human andmouse brain. Journal of Experimental Medicine, 218, e2020213.
* Stevens & Forgac (1997) Stevens, T. H., & Forgac, M. (1997). Structure, function and regulation of the vacuolar (H+)-atpase. Annual Review of Cell and Developmental Biology, 13, 779–808.
* Strong (2002) Strong, R. K. (2002). Asymmetric ligand recognition by the activating natural killer cell receptor NKG2D, a symmetric homodimer. Molecular Immunology, 38, 1029–1037.
* Tuszynski & Kurzynski (2003) Tuszynski, J. A., & Kurzynski, M. (2003). Introduction to Molecular Biophysics. Boca Raton: CRC Press.
* Yourgrau et al. (1982) Yourgrau, W., van der Merwe, A., & Raw, G. (1982). Treatise on Irreversible and Statistical Thermophysics. New York: Dover.
* Zhou et al. (2020) Zhou, X., Chen, D., Wang, L., Zhao, Y., Wei, L., Chen, Z., & Yang, B. (2020). Low serum calcium: a new, important indicator of covid-19 patients from mild/moderate to severe/critical. Bioscience Reports, 40, BSR20202690.
| arxiv-papers | 2021-07-26T07:49:15 | 2024-09-04T03:07:17.935026 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Umberto Lucia, Giulia Grisolia, Thomas S. Deisboeck",
"submitter": "Umberto Lucia Prof.",
"url": "https://arxiv.org/abs/2107.12006"
} |
2107.12007 | # Endless Fun in high dimensions - A Quantum Card Game
Lea Kopf Tampere University, Photonics Laboratory, Physics Unit, Tampere,
FI-33720, Finland Markus Hiekkamäki Shashi Prabhakar Quantum Science and
Technology Laboratory, Physical Research Laboratory, Ahmedabad, India 380009
Robert Fickler
###### Abstract
Quantum technologies, i.e., technologies benefiting from the features of
quantum physics such as objective randomness, superposition, and entanglement,
have enabled an entirely different way of distributing and processing
information. The enormous progress over the last decades has also led to an
urgent need for young professionals and new educational programs. Here, we
present a strategic card game in which the building blocks of a quantum
computer can be experienced. While playing, participants start with the lowest
quantum state, play cards to “program” a quantum computer, and aim to achieve
the highest possible quantum state. Thereby they experience quantum features
such as superposition, interference, and entanglement. By also including high-
dimensional quantum states, i.e., systems that can take more than two possible
values, and by developing different multi-player modes, the game can help the
players to understand complex quantum state operations and can also be used as
an introduction to quantum computational tasks for students. As such, it can
also be used in a classroom environment to increase the conceptual
understanding, interest, and motivation of a student. Therefore, the presented
game contributes to the ongoing efforts on gamifying quantum physics education
with a particular focus on the counter-intuitive features which quantum
computing is based on.
## 1 Introduction
Quantum physics is considered one of the most successful branches in physics
that humans have conceived so far. At the very heart of quantum physics are
principles such as objective randomness, interference, superposition, and
entanglement. These concepts are difficult to grasp as they often contradict
our intuition, which is based on everyday experiences and a classical
understanding of the world. It is these counter-intuitive features that have
enabled novel technologies which would not be possible in a classical setting.
The current technological thrive is often termed as the second quantum
revolution [1], since it differs significantly on the fundamental and
applicational level from the already established technologies based on quantum
physics. One of the most prominent examples of quantum technology is a quantum
computer. Compared to their classical counterpart, quantum computers promise a
speed-up of certain tasks, outperforming all modern computers and enabling
computational algorithms that are impossible in a classical setting. Recent
progress has led to the first large-scale quantum computational system that
outperforms all classical computers in a specific computational task [2, 3].
In this article, we present a card game that uses gamification strategies to
provide a fun and engaging introduction to the concepts of quantum computing.
The game, _Endless Fun in high dimension_ , is designed to be a low threshold
introduction to quantum computing and encourage people to look into the
fundamentals of quantum physics. The game implements basic quantum mechanical
concepts such as quantum logic operations, superposition, and entanglement.
While playing cards which correspond to quantum operations, the participants
are manipulating the high-dimensional quantum state of a quantum computer with
the aim of achieving the highest value of their own quantum state. The final
result is evaluated using an included computer program. We note that although
current quantum computers work with two-level quantum states, the presented
card game goes a step further by also including the programming of high-
dimensional quantum system. While such states are considered promising
candidates for next generation quantum computers and other technologies [4, 5,
6], they are also beneficial in the presented gaming setting as they increase
the complexity of the game play and as such enable longer lasting (maybe
endless) fun.
## 2 Background
As deeper understanding of a new concept often starts with an initial
intuitive grasp of the effects, a gameful approach to complex topics, e.g.,
quantum physics and quantum information, has been the focus of various
gamification efforts [7, 8]. They offer an entertaining way to loosen up the
atmosphere in a course, to enhance understanding, and to promote academic
findings [9]. Education in quantum physics and, in particular, modern quantum
information science can benefit from ideas developed through gamification
methods.
### 2.1 Gamification in quantum physics education
A popular approach to quantum physics education is to demonstrate various
quantum effects in computer simulations, e.g., videos that visualize quantum
effects [10], or to get an hand-on experience when using adjustable
experimental setups [11]. Quantum games, which cover similar effects, are
focusing more on gamification methods, such as the online computer game
_Particle in a Box_ [12], or “quantized” adaptations of well-known games:
_Quantum TiqTaqToe_ [13, 14], _Quantum Chess_ [15, 16], _Quantum Minigolf_
[17], as well as the quantum version of Minecraft _qCraft_ [18]. An extensive
overview over the increasing number of quantum games with a focus on quantum
computer games can be found in [19]. In addition to online games, there are
also educational board games, e.g., _Entanglion_ by IBM [20]. The idea of
gamification in quantum sciences has also been the center of focus in various
quantum game jams [21], in which instructive and entertaining games have been
developed. Many quantum physicists hope that these games could be more than
just tools for learning, as expressed by John Preskill who states that
“[p]erhaps kids who grow up playing quantum games will acquire a visceral
understanding of quantum phenomena that our generation lacks” [22].
### 2.2 Related work - _Q $\ket{\text{Cards}}$_
At the Quantum Wheel game jam in Helsinki in 2019 [21], the quantum card game
_Q $\ket{\text{Cards}}$_ was developed and introduced [23]. The game presented
here builds upon and extends _Q $\ket{\text{Cards}}$_. The gameplay is very
similar and only varies in minor details. However, in contrast to _Q
$\ket{\text{Cards}}$_, _Endless Fun_ aims at building a high-dimensional
quantum computer, i.e., a quantum computer operating on states with three
possible values instead of two. Although current quantum computers exclusively
use binary-valued quantum systems to encode bit-valued quantum information,
the increase in possible outcomes when using high-dimensional quantum systems
further enhances the complexity of the game and offers more options to adjust
the difficulty. Thus, we anticipate a longer-lasting interest in playing the
game. Furthermore, the game includes a cooperative and a single-player game
mode in which different learning objectives are addressed. To allow a simple
determination of the game’s outcome, Python codes based on the mathematical
framework needed in higher dimensions were exclusively developed for the card
game.
## 3 Endless Fun in high dimensions
_Endless Fun_ is a strategic multi-player card game that introduces the
players to quantum computational logic gates. By playing quantum gates, the
players aim to increase their quantum state value and decrease the quantum
state values of the other players. The final quantum state values are
calculated by an evaluation software. Comparing the expected outcome to the
mathematically correct outcome, provided by the software after a card is
played, allows one to reflect on misunderstandings and retrace the effects of
each operation. The main goal of this game is to provide a platform to
practice and engage with quantum logic operations while providing varying
difficulty levels. The detailed instruction manual, the Python-based
evaluation software, the cards, and the riddles of the single-player game mode
are provided as supplementary material and in an online repository [24].
### 3.1 Game concept
In classical computation, information is saved in bit values, which can either
be 0 or 1. Analog to classical computing, binary or 2-dimensional (2d) quantum
computers encode information into two-level quantum systems, so-called quantum
bits or _qubits_. Similar to classical bits, qubits can take values of 0 or 1.
However, the quantum nature also allows for superpositions thereof, i.e.
loosely speaking being in both states at the same time. To make this
difference also visually clear, qubit states are commonly written in the
abstract bra-ket-notation introduced by Dirac, i.e., $\ket{0}$ or $\ket{1}$.
In this notation, any superposition is written as a sum over both possible
states, e.g., $\frac{1}{\sqrt{2}}(\ket{0}+\ket{1})$, which means that any
measurement can result in either outcome, 0 or 1, with equal probability. Note
that the prefactor $\frac{1}{\sqrt{2}}$ is a measure for the probability
amplitude, which is the square root of the probability [25]. High-dimensional
quantum states, often called _qudits_ , go a step further in complexity, as
they do not only allow two but _d_ possible outcomes. The general goal of the
game is to perform quantum state operations that are building blocks to
program a quantum computer by playing the cards in such a way that the
player’s own qudit value is as high as possible and the opponent’s values as
low as possible. An example game-play is shown in Fig. 1. The game can also be
played in a cooperative mode or single-player game mode. In the cooperative
mode, the goal is not to win against the other players but to reach the
highest possible values summed up over all qudits as a group. In the single-
player mode, the player can solve six ready-made riddles which guide the
player to discover specific quantum effects. The riddles have different levels
of difficulty, starting with easy ones that help the player learn about
quantum interference effects. The difficulty is then gradually increased, with
more quantum effects being gradually introduced. In addition, the significance
of each quantum effect in quantum computing is briefly discussed along with
the solution of the riddle, such that students can put the learned quantum
operation in a better context. Instructors can extend this set with their own
riddles. For a detailed description, see the rules of the game in the
instruction manual.
Figure 1: A possible second-round with 3-dimensional qudits and four players.
Player 1 starts with the qudit value of $\ket{0}$ obtained in the first round.
Player 2, 3, and 4, start with their qudit values of $\ket{2}$, $\ket{1}$, and
$\ket{0}$, respectively. The software on the right evaluates the winning state
as $\ket{2,1,1,1}$, where the position of qudit values corresponds to the
players. For a better understanding of the underlying mechanisms, the
generated end state is also displayed on the bottom of the window, before it
was measured. The other possible outcomes can be found by inspecting the
overall state. Here the states $\ket{2,1,2,2}$ and $\ket{2,1,0,0}$ could also
have been obtained with the same probability.
### 3.2 Quantum operations in 2d and 3d
The game can be played with either 2- or 3-dimensional quantum logic
operations. In 2d, only the qubit values $\ket{0}$ and $\ket{1}$ are
available, in 3d an additionally qudit value $\ket{2}$ is available. The
choice of dimension also affects the number of quantum operations. In 2d, for
example, only one X-gate is defined, whereas in 3d, two X-gates with different
behaviors exist. To increase possible winning strategies the game also
includes cards, which are not corresponding to quantum operations, e.g. a
steal card that allows a player to steal a card from another player. The
instruction manual gives an overview of all playable operations and the
detailed truth tables for all quantum gates. A good winning strategy is to
keep track on the evolution of the state.
To not overwhelm the players that do not have a solid background in quantum
information, it is recommended to start the game in the Easy version. In this
simplified 2d-version, the beginners can familiarize themselves with the rules
and basic quantum logic operations without the phase properties of the
operations. The game is more complex in the standard 2d version, in which
cards are added that modulate the phase of single states which allows the
players to control quantum interference. Finally, the 3d version is played
with three-dimensional qudits, thus it includes the most complex quantum
states and the gameplay reaches its maximal difficulty. More details on the
exact set of cards used in each version can be found in the manual.
### 3.3 Quantum effects
In the game, three quantum effects can be investigated:
* •
Quantum superpositions, which demonstrate the probabilistic nature of quantum
measurements.
* •
Quantum interference, which demonstrates the effect of phases on measurement
outcomes.
* •
Quantum entanglement, which leads to strong correlations between the
measurement outcomes of different quantum systems.
In the following, we give simple examples how the three effects can be
observed in the card game. For simplicity, we explain the effect in detail
with qubits, however, the high-dimensional counterparts follow in an analogous
manner.
#### Quantum superposition
A Hadamard gate acting on the quantum states $\ket{0}$ or $\ket{1}$ generates
a superposition of both states, as shown in Fig. 2 a). By playing a Hadamard
gate on a qubit, the player’s value is, loosely speaking, in $\ket{0}$, and
$\ket{1}$ at the same time. Only when observing the state in a measurement, it
takes on the value of $\ket{0}$, or $\ket{1}$, such that it can be found in
either state with the same probability. In the game, the software “collapses”
the state by simulating a measurement and gives the random outcome with the
correct quantum probability. This probability adds an element of luck to the
gameplay, especially if only a small number of measurements is used in the
evaluation program to obtain the final state. Additionally, the superpositions
can be used as a strategic element. For example, if one of the players is
leading the round, the others can set this player into a superposition,
reducing their changes of winning. When the game is played in the 3d version,
similar Hadamard operations can be performed, however, with the superposition
having three possible outcomes. Note that the variety of Hadamard gates only
differ from each other in phase (see manual for more details), which only
become important when considering interference effects.
#### Interference effects
The phase of a quantum state is a physical property which does not have a
direct effect on its qubit values. However, as it affects the outcome of
quantum interference it can indirectly be used to change the qubit value of a
state. When playing the game, it is possible to learn how to control
interference through phase manipulations. Controlling phase is an important
underlying working principle of quantum computations and almost always the
reason behind its quantum advantage. In a simple example shown in Fig. 2 b),
we assume that we have a qubit $\ket{0}$ on which we play two H1-Hadamard
operations. In this process the second Hadamard allows interference to occur,
resulting in the state $\ket{0}$. If we add a Z-gate before or after the two
H1-gates, we get $-\ket{0}$, which is still the $\ket{0}$-state but with a
(global) phase factor that is not relevant for the outcome of the game when
the state is measured. If however, we first play the H1 gate, then the Z-gate,
and then the second H1-gate, the resulting state is $\ket{1}$. A quick look at
the state evolution shows that after the first card we obtained the
superposition state $\frac{1}{\sqrt{2}}(\ket{0}+\ket{1})$. The Z-gate then
changes the phase between the two terms, i.e. changing the state to
$\frac{1}{\sqrt{2}}(\ket{0}-\ket{1})$, which leads the final state $\ket{1}$,
when another H1-gate is applied. Thus, with phase we can manipulate the
evolution of a superposition to obtain a desired state, thereby controlling
the probability of measuring it, which is also known as quantum interference.
The phase gates can thus be used to control the state, and the measurement
outcome, through quantum interference. Interference effects can also be
observed when the game is played in 3d, where the increased complexity of the
states allows a larger variety of different phase manipulations and
interference effects. In this game, the Y, Z, and Hadamard cards can be used
to change the phase of a quantum state. Interference effects can be explored
in a guided manner in the two easy riddles of the single-player game mode.
#### Quantum entanglement
Entanglement is another fundamental feature of quantum mechanics. Quantum
entanglement correlates the value of one qubit with the value of another
qubit. Counter-intuitively, the correlation of entangled qubits still exist in
multiple states simultaneously. Hence, when an entangled state is measured,
the outcome of entangled players will be random due to being in a
superposition, but still perfectly correlated. By using quantum entanglement
in the game, you can for example ensure that a certain opponent does not get
more points than you. A plethora of other possible strategies open up when
considering tuning the correlation through other gates, e.g. phase gates.
In a quantum computation process and, thus, in the game, entanglement is
generated by playing a Hadamard-gate and consecutively a CX-gate on one qubit.
As an example, let’s assume players 1 and 2 both have a qubit value of
$\ket{0}$, as displayed in Fig. 2 c). A Hadamard-card is played on qubit 1,
generating a superposition, i.e., the two-qubit state becomes
$\frac{1}{\sqrt{2}}(\ket{0,0}+\ket{1,0})$. If we then play a CX-gate
(controlled by qubit 1 while targeting qubit 2), the resulting state is
$\frac{1}{\sqrt{2}}(\ket{0,0}+\ket{1,1})$. This means, that both players’
states will randomly have either the value 0, or 1 after a measurement is
performed. However, due to entanglement, both qubits will always end up with
the same random value. The same entangling operation also works in 3d. In the
single-player mode, the player is guided through instructive examples of
entanglement in three different riddles with varying difficulty.
Figure 2: Generating superpositions, interference, and entanglement with
qubits. The evolution of the quantum states is shown beneath the played
quantum operation. a) A quantum operation is generating a qubit superposition
of the state of player 1. Player 1’s starting state $\ket{0}$ turns into
$\frac{1}{\sqrt{2}}(\ket{1}+\ket{0})$. b) Quantum interference can be
controlled by phase. Player 1’s value after the logic operations is $\ket{1}$,
Player 2’s is $\ket{0}$. c) Entangling the qubits of players 1 and 2. The
starting state $\ket{0,0}$ is transformed to the entangled state
$\frac{1}{\sqrt{2}}(\ket{1,1}+\ket{0,0})$. The qubit values of player 1 and 2
could be any one of the two possible states, but the values of the two players
will always match perfectly.
### 3.4 _Endless Fun_ in higher education
In the authors’ experience, people who have not encountered quantum mechanics
in their studies are generally reluctant to approach quantum computation,
since it is perceived to be a highly sophisticated and demanding topic. To
encourage active participation and get past feelings of reluctance when
dealing with the topic, the _Endless Fun_ card game offers an easy approach to
forming a conceptual understanding of the topic. By comparing the expected
state when cards are played with the evolved states, displayed in the provided
computer code, will increase the understanding of the complex quantum
operations without the necessity to fully understand the underlying
mathematical framework. For more experienced players, the game offers an
environment to apply and extend their knowledge and reflect on
misunderstandings. In the frame of quantum information and computation
courses, playing the game can have additional advantages. It adds diversity to
classical teaching methods, prevents boredom, and motivates students through
positive feedback [26]. Furthermore, it gives room to experience, explore, and
practice the complex concepts of quantum effects.
First trials with graduate and undergraduate students have shown good
indications of the educational value of the game. Already after a couple of
trial games with voluntary physics students, the understanding of quantum
operations have considerably improved. The students not only understood how
the states were evolving but they also conceived and tested better strategies
to achieve the highest possible qudit values to win the game. The
predominantly positive feedback shows promise for enhanced student involvement
in future quantum information courses. An enthusiastic student, for example,
stated that he “learned about quantum logic in an engaging, fun way.” However,
we note that a thorough study to evaluate the educational effectiveness of the
game would be needed.
## 4 Limitations and future improvements
The current version of the game describes some fundamental quantum mechanical
effects, where it focuses highly on quantum computation. Other interesting
quantum mechanical effects, such as a continuous wave-like probability
distribution beyond the equally-weighted superposition of qudit states, are
beyond the scope of this game.
Although the first trial games have given positive feedback overall, the game
can be further improved. An additional operation that could be introduced to
the game is a state measurement operation which measures the state of one or
more qudits, individually, at any point in the quantum circuit. This mechanic
would add an extra layer of complexity and would allow the game to introduce
simple quantum algorithms, such as superdense coding, quantum teleportation,
or entanglement swapping.
## 5 Conclusion
Teaching quantum mechanical concepts is a challenging task, not only because
students usually have an obstructive perception of quantum mechanics, but also
because they often lack an intuitive comprehension. To loosen up the
atmosphere and promote student engagement, methods from gamification can be
applied. The presented strategic card game _Endless Fun in high dimensions_
offers multiple game modes with which learning new quantum computational
concepts is facilitated and diversified. Additionally, the underlying
fundamental quantum features, namely superpositions, interference, and
entanglement, can be experienced and understood in a quantum computing
setting. First trial games with students have shown the educational
effectiveness, and potential the game has for supporting conventional teaching
methods. Together with the evaluation software, the card game is a powerful
tool which is not only suitable for players with background knowledge but also
for introducing players to quantum operations in an easy-going way. Thus, it
can also be used for outreach purposes where interested laymen can experience
fundamental quantum physical features and the functioning of a quantum
computer.
###### Acknowledgements.
The authors thank Stephen Plachta, Matias Eriksson, Subhajit Bej, Marco
Ornigotti, Mona Pulst, and Rupa Kiran for feedback on the game, valuable
suggestions, and design support. The authors furthermore thank Ilkka Kylänpää
for help in software-related questions. The authors acknowledge the inventors
of the card game _Q $\ket{\text{Cards}}$_: Oskari Kerppo, Jorden Senior,
Sabrina Maniscallo, Guillermo Garcia-Perez, Samuli Jääskeläinen, Sylvia
Smatanova, Krista Erkkilä, and Elie Abraham. All authors acknowledge financial
support from the Academy of Finland through the Competitive Funding to
Strengthen University Research Profiles (decision 301820) and the Photonics
Research and Innovation Flagship (PREIN - decision 320165). LK acknowledges
support from the Vilho, Yrjö and Kalle Väisälä Foundation of the Finnish
Academy of Science and Letters. MH acknowledges support from from the Doctoral
School of Tampere University and the Magnus Ehrnrooth foundation through its
graduate student scholarship. RF acknowledges support from the Academy of
Finland through the Academy Research Fellowship (decision 332399).
## Conflict of Interest Disclosure
The authors have no conflicts to disclose.
## References
* [1] Jonathan P Dowling and Gerard J Milburn. Quantum technology: the second quantum revolution. Philosophical Transactions of the Royal Society of London. Series A: Mathematical, Physical and Engineering Sciences, 361(1809):1655–1674, 2003.
* [2] Frank Arute, Kunal Arya, Ryan Babbush, Dave Bacon, Joseph C Bardin, Rami Barends, Rupak Biswas, Sergio Boixo, Fernando GSL Brandao, David A Buell, et al. Quantum supremacy using a programmable superconducting processor. Nature, 574(7779):505–510, 2019.
* [3] Han-Sen Zhong, Hui Wang, Yu-Hao Deng, Ming-Cheng Chen, Li-Chao Peng, Yi-Han Luo, Jian Qin, Dian Wu, Xing Ding, Yi Hu, et al. Quantum computational advantage using photons. Science, 370(6523):1460–1463, 2020. doi:10.1126/science.abe8770.
* [4] Daniele Cozzolino, Beatrice Da Lio, Davide Bacco, and Leif Katsuo Oxenløwe. High-dimensional quantum communication: benefits, progress, and future challenges. Advanced Quantum Technologies, 2(12):1900038, 2019.
* [5] Yuchen Wang, Zixuan Hu, Barry C Sanders, and Sabre Kais. Qudits and high-dimensional quantum computing. Frontiers in Physics, 8:479, 2020.
* [6] Manuel Erhard, Mario Krenn, and Anton Zeilinger. Advances in high-dimensional quantum entanglement. Nature Reviews Physics, 2(7):365–381, 2020.
* [7] Sebastian Deterding, Dan Dixon, Rilla Khaled, and Lennart Nacke. From game design elements to gamefulness: defining" gamification". In Proceedings of the 15th international academic MindTrek conference: Envisioning future media environments, pages 9–15, 2011.
* [8] Juho Hamari, David J Shernoff, Elizabeth Rowe, Brianno Coller, Jodi Asbell-Clarke, and Teon Edwards. Challenging games help students learn: An empirical study on engagement, flow and immersion in game-based learning. Computers in human behavior, 54:170–179, 2016.
* [9] Ming-Shiou Kuo and Tsung-Yen Chuang. How gamification motivates visits and engagement for online academic dissemination–an empirical study. Computers in Human Behavior, 55:16–27, 2016.
* [10] Bernd Thaller. Advanced visual quantum mechanics. Springer Science & Business Media, 2005. doi:10.1007/b138654.
* [11] qutools GmbH. Quantenkoffer: a quantum physics science kit. https://www.qutools.com/quantenkoffer_science-kit/, 2020.
* [12] Aditya Anupam, Ridhima Gupta, Azad Naeemi, and Nassim JafariNaimi. Particle in a box: An experiential environment for learning introductory quantum mechanics. IEEE Transactions on Education, 61(1):29–37, 2017. doi:10.1109/TE.2017.2727442.
* [13] Allan Goff, Dale Lehmann, and Joel Siegel. Quantum tic-tac-toe, spooky-coins & magic-envelopes, as metaphors for relativistic quantum physics. In 38th AIAA/ASME/SAE/ASEE Joint Propulsion Conference & Exhibit, page 3763, 2002.
* [14] Evert van Nieuwenburg. Quantum TiqTaqToe. https://quantumtictactoe.com/, 2019.
* [15] QuantumRealmGames. Quantum chess. https://quantumchess.net/, 2020.
* [16] Christopher Cantwell. Quantum chess developing a mathematical framework and design methodology for creating quantum games. arXiv preprint arXiv:1906.05836, 2019.
* [17] Reinhard Friedemann. Quantum minigolf. http://quantumminigolf.sourceforge.net/index.html, 2011.
* [18] Daniel Ratcliffe. Qcraft. https://sites.google.com/a/elinemedia.com/qcraft/wiki/qcraft/blocks-and-items#TOC-Quantum-Ore, 2013\.
* [19] Laura Piispanen, Marcel Pfaffhauser, Annakaisa Kultima, and James R. Wootton. Defining quantum games, 2022. URL: https://arxiv.org/abs/2206.00089, doi:10.48550/ARXIV.2206.00089.
* [20] Maryam Ashoori, D. Weisz, Justin, Aaron Cox, L. Kenney, Michael, M. Gambetta, Jay, M. Chow, Jerry, and S. Bishop, Lev. Entanglion - open source quantum board game. https://entanglion.github.io/, 2018.
* [21] Annakaisa Kultima and Sabrina Maniscalco. Quantum wheel - quantum game jam. http://www.finnishgamejam.com/quantumwheel/, 2019.
* [22] John Preskill. Quantum computing in the NISQ era and beyond. Quantum, 2:79, 2018.
* [23] Oskari Kerppo, Jorden Senior, Sabrina Maniscallo, Guillermo Garcia-Perez, Samuli Jääskeläinen, Sylvia Smatanova, Krista Erkkilä, and Elie Abraham. Q$|$cards$>$. https://zhamul.itch.io/qcards, 2019.
* [24] Lea Kopf, Markus Hiekkamäki, Shashi Prabhakar, and Robert Fickler. Endless fun in high dimensions – a card game to program a high-dimensional quantum computer, 2020. doi:10.5281/zenodo.6553606.
* [25] Jürgen Audretsch. Entangled systems: new directions in quantum physics. John Wiley & Sons, 2008.
* [26] Dimitrios Vlachopoulos and Agoritsa Makri. The effect of games and simulations on higher education: a systematic literature review. International Journal of Educational Technology in Higher Education, 14:22, 07 2017. doi:10.1186/s41239-017-0062-1.
See pages - of Manual.pdf See pages - of Cards.pdf See pages - of Riddles.pdf
| arxiv-papers | 2021-07-26T07:52:13 | 2024-09-04T03:07:17.946142 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Lea Kopf, Markus Hiekkam\\\"aki, Shashi Prabhakar, Robert Fickler",
"submitter": "Lea Kopf",
"url": "https://arxiv.org/abs/2107.12007"
} |
2107.12009 | # Weakly Supervised Attention Model for RV Strain Classification from
volumetric CTPA Scans
Noa Cahan Department of Biomedical Engineering, Tel-Aviv University, Tel-
Aviv, Israel Edith M. Marom Department of Diagnostic Imaging, Sheba Medical
Center, Ramat Gan, Israel affiliated with the Tel Aviv University, Tel Aviv,
Israel Shelly Soffer Department of Diagnostic Imaging, Sheba Medical Center,
Ramat Gan, Israel affiliated with the Tel Aviv University, Tel Aviv, Israel
Yiftach Barash Department of Diagnostic Imaging, Sheba Medical Center, Ramat
Gan, Israel affiliated with the Tel Aviv University, Tel Aviv, Israel Eli
Konen Department of Diagnostic Imaging, Sheba Medical Center, Ramat Gan,
Israel affiliated with the Tel Aviv University, Tel Aviv, Israel Eyal Klang
Department of Diagnostic Imaging, Sheba Medical Center, Ramat Gan, Israel
affiliated with the Tel Aviv University, Tel Aviv, Israel Hayit Greenspan
Department of Biomedical Engineering, Tel-Aviv University, Tel-Aviv, Israel
###### Abstract
Pulmonary embolism (PE) is a life-threatening condition, often without warning
signs or symptoms. Early diagnosis and accurate risk stratification are
critical for decreasing mortality rates. High-risk PE relies on the presence
of right ventricular (RV) dysfunction resulting from acute pressure overload.
PE severity classification and specifically, high-risk PE diagnosis are
crucial for appropriate therapy. Computed tomography pulmonary angiography
(CTPA) is the golden standard in the diagnostic workup of suspected PE.
Therefore, it can link between diagnosis and risk stratification strategies.
In this work, we address the problem of RV strain classification from 3D CTPA
scans. We retrieved data of consecutive patients who underwent CTPA and were
diagnosed with PE. We extracted a single binary label of “RV strain biomarker”
from the CTPA scan report. This label was used as a weak label for
classification. Our solution applies a 3D DenseNet network architecture,
further improved by integrating residual attention blocks into the network’s
layers.
This model achieved an area under the receiver operating characteristic curve
(AUC) of 0.88 for classifying RV strain. For Youden’s index, the model showed
a sensitivity of 87% and specificity of 83.7%. Our solution outperforms state-
of-the-art 3D CNN networks. The proposed design allows for a fully automated
network that can be trained easily in an end-to-end manner without requiring
computationally intensive and time-consuming preprocessing or strenuous
labeling of the data. This current solution demonstrates that a small dataset
of readily available unmarked CTPAs can be used for effective RV strain
classification. To our knowledge, this is the first work that attempts to
solve the problem of RV strain classification from CTPA scans and this is the
first work where medical images are used in such an architecture. Our
generalized self-attention blocks can be incorporated into various existing
classification architectures making this a general methodology that can be
applied to 3D medical datasets.
###### keywords:
Pulmonary Embolism, CTPA, Lung, Right ventricular dysfunction, deep learning,
Attention
## 1 INTRODUCTION
PE refers to obstruction of pulmonary arteries by blood clots. Among all
cardiovascular diseases, PE is the third most common cause of death, after
coronary heart disease and stroke. It accounts for approximately 100,000
deaths per year in the United States alone [1]. The clinical presentation of
PE is variable and often nonspecific, making the diagnosis challenging [2].
Thus, rapid diagnosis and accurate risk stratification are of paramount
importance. High-risk PE is caused by RV dysfunction from acute pressure
overload. It is predictive of in-hospital mortality and identifying the need
for more aggressive therapy. RV enlargement can be detected via CTPA, which
also provides the diagnosis of PE. Therefore, CTPA can link between diagnosis
and risk stratification [3, 4].
A major limitation of imaging in PE diagnosis and in assessing treatment
response is the lack of robust quantitative assessment. Measurements are
currently done manually, which is laborious and involves inter-reader
variation. Computerized analysis can support the clinical workflow by enabling
quantitative, reproducible evaluation.
Deep learning applications have shown success in various medical imaging tasks
such as Chest x-ray classification [5], pancreas segmentation [6] and anomaly
segmentation in brain MRI [7]. Our task of automated risk stratification of PE
still poses a challenge for researchers. The variability PE appearance, and
lack of public datasets, make PE distinction difficult [8, 9, 10]. Most
existing works on PE focus on the detection task. Computer-aided detection
(CAD) systems have been suggested to detect the presence of PE. Most used
convolutional neural networks (CNNs) for classifying PE candidates that are
first extracted from an entire CTPA volume based on voxel-level features using
traditional feature engineering methodologies. While partially successful, a
few major limitations include the need for manual feature engineering, complex
preprocessing, a high number of false positives, and an uncertainty degree in
generalization [11, 12, 13, 14, 15]. A more recent solution from Yang et
al.[16] presented a cascaded two-stage CNN achieving a high sensitivity of
75%. However, the model requires the division of the CTPA into small cubes
rather than processing the entire CTPA scan. This approach still involves some
preprocessing and suffers from a lack of an “end-to end” solution required for
a clinical application. PENet [17] is a 3D CNN that aims to detect the PE in a
series of slices from a CTPA study and currently achieves state-of-the-art
performance for PE detection. It processes each slice using a 2D CNN and then
uses a 3D CNN to aggregate information from many consecutive slices. This
solution requires the labeling of each of the CTPA scan slices individually
for the training process. The latest publication from Shi et al.[18], improves
AUC accuracy compared to PENet by adding supervised attention maps that use
pixel-level annotations for a small set of data. Other works monitor RV motion
or enlargement in MRI scans [19, 20, 21], or predict pulmonary hypertension in
CTPA scans [22]. In all the papers presented above, the methods are either
fully supervised where the datasets contain full segmentation maps or are
labeled on a 2D slice-level and require heavy preprocessing of the data.
A frequent problem when applying deep learning methods to medical images is
the lack of labeled data. Manual labeling of images is an expensive and time-
consuming process. This issue motivates approaches beyond traditional
supervised learning by incorporating other data and/or labels that might be
available. These approaches include semi-supervised, weakly-supervised,
multiple instances, and transfer learning [23]. In the current study, instead
of relying on manually produced pixel-level annotations, a single patient-
level label is extracted from radiology reports. This distinguishes our design
as a fully automated network that can be trained in an end-to-end manner
without requiring preprocessing or exhausting labeling of the data.
We developed a weakly supervised deep learning algorithm, with an emphasis on
a novel attention mechanism, to automatically classify RV strain from 3D CTPA
scans. We demonstrate the implementation of attention modules in various 3D
residual and densely connected network architectures baselines. We compare our
model to state-of-the-art 3D CNN classification models. The results show that
attention consistently improves prediction accuracy across different models.
Also, our model performance surpasses all compared classification networks.
The main contributions of this paper can be summarized in the following three
aspects:
1. 1.
RV strain classification from volumetric CTPA scans. To the best of our
knowledge, there is no prior deep learning-based solution for fully automated
classification of RV strain (or PE severity assessment) using contrast-
enhanced chest CT scans.
2. 2.
Novel 3D DenseNet with residual attention blocks. Our method focuses on
integrating deep residual attention blocks into a 3D dense connection block-
based model. We present two models that share the same backbone but differ in
the attention-block integration.
3. 3.
Weakly supervised end-to-end solution. The dataset we use is annotated by a
single scan-level label for the whole 3D scan, with no additional markings or
even any slice-level annotation, making this method exceptionally weakly
supervised. The proposed design allows for a fully automated network in which
the 3D volumetric scans are fed to the network as a whole with only minimal
preprocessing, thereby, can be trained easily in an end-to-end manner.
## 2 METHODOLOGY
We propose and compare two possible 3D DenseNet network architectures that we
combine with residual attention modules for a novel solution to the analysis
of RV strain from 3D CTPA images.
### 2.1 3D DenseNet with Residual Attention Blocks Architecture
The network’s backbone is a 3D DenseNet architecture [24], further improved by
residual attention blocks. The 3D DenseNet Attention model is inspired by the
residual attention network for image classification [25] and hand gesture
recognition videos [26]. In these works, attention modules were integrated
into the deep residual network’s layers to generate attention-aware features.
Our solution generalizes this design from 2D to 3D and uses a baseline of
densely connected blocks rather than residual ones. We propose soft attention,
image-grid based gating that allows attention coefficients to center on local
regions. Four 3D residual attention blocks are incorporated into the network.
Each attention block captures different types of features extracted from a
different layer in the baseline network.
We present two architectures constructed from the same baseline but differ in
how the attention blocks are integrated. In the first architecture – Multi-
Layer Attention Network (MLANet), we integrate the blocks in a more common
practice as first introduced in [27]. This is a multi-layer feature extraction
approach. The baseline network is used as a discriminant feature extractor,
and several attention blocks are applied on extracted features from different
layers throughout the network to create attended feature vectors at different
scales. The attended feature vectors are flattened via channel-wise global
average pooling and combined for the final prediction. As the network is
constrained to classify based on the aggregated vector, it is forced to
extract the most salient features for each class. The attention blocks are
generated from extracted features from different layers in the baseline
network. Therefore, it preserves local information and spatial context or
attended features in both coarse and fine scales. The network architecture’s
block diagram is presented in Fig 1.
---
Figure 1: MLANet: 3D DenseNet Multi-layer attention model block diagram
For the second network – Stacked Attention Network (SANet), we incorporate the
residual attention blocks between the network’s layers in a stacked manner.
Fig 2 shows the block diagram of the developed system. In this approach, the
blocks are added as an integrated part of the network and not as a separate
branch of predictions, as in the previous architecture. Due to this fact and
the differentiability of the attention blocks, this network can be easily
trained in an end-to-end manner. This network adaptively changes attention as
the feature changes and layers go deeper. Each attention block learns and
captures different types of features (will be presented in Sec. 3.3). Thus,
wrong attention predicted by one block can be masked by the other attention
blocks. This makes a multiple block network of this sort quite robust to the
attention prediction, as will be shown in Sec. 3.5. The two approaches are
compared in Sec. 3.1.
---
Figure 2: SANet: 3D DenseNet with stacked attention model block diagram
### 2.2 3D Residual Attention Block
The 3D attention block is comprised of two units: mask and trunk. The trunk
branch is constructed from ResNet residual block units to perform feature
processing. The mask is designed of soft attention structure[28] that creates
a 3D attention coefficients mask from the 3D features generated by the trunk.
Thus, the mask behaves as a feature selector. The output of the attention
module $A(x)$ for input $x$, which gives us the weighted feature structure, is
created by the element-wise multiplication of trunk feature-maps $T(x)$ and
attention coefficients created by the mask layer $M(x)$, as defined in
equation 2.2:
$A_{i,d,c}(x)=M_{i,d,c}(x)*T_{i,d,c}(x)$
Here: $i\in\\{1,...,H*W\\}$ ranges over all spatial positions, $H$ and $W$ are
the height and width of the scan, $d\in\\{1,...,D\\}$ is the slice index, and
$c\in\\{1,...,C\\}$ is the index of the channel. The entire attention
structure can be trained end-to-end. During back-propagation, the attention
mask serves as a gradient update filter due to its property of
differentiability. In the soft mask branch, the gradient of the mask for the
input features is shown in equation (2.2).
$\frac{\partial{M(\theta,x)T(\phi,x)}}{\partial\phi}=M(\theta,x)\frac{\partial
T(\phi,x)}{\partial\phi}$
Where $x$ is the input, $\phi$ are trunk layer parameter, and $\theta$ are
mask layer parameters. The partial derivative of the trunk features $T$ is
multiplied by a factor of the mask $M$. Therefore, if the trunk features are
not correct the mask can prevent the features from updating the parameters.
In our presented networks, we stack multiple attention blocks. This is
designed for several reasons: First, RV strain classification from volumetric
CTPA is a challenging task considering the number of features the network has
to learn for a single scan as compared to a single image; The multiple
attention network mitigates this problem by learning multiple masks. Second,
the use of multiple attention blocks makes the network more robust. It can
capture different attention types, focus on different features at each
attention block, and correct a wrong prediction made from one block by other
blocks.
For attention module stacking in SANet architecture, we need to use attention
residual learning [25], rather than a naive multiplication as in equation
(2.2), as described in equation (2.2):
$A_{i,d,c}(x)=(1+M_{i,d,c}(x))*T_{i,d,c}(x)$
Here, similar to ideas in residual learning, adding “1” to the generated mask
$M(x)$ preserves the identity function of the residual network, as the trunk
$T(x)$ is not multiplied with the zeros from the mask $M(x)$. This allows us
to stack and integrate multiple attention modules without the obvious
performance drop of the straightforward multiplication.
The mask is structured from a fully convolutional autoencoder design that
resembles the popular U-Net [29] used for image segmentation tasks. However,
the difference between this structure and the U-Net is that our mask branch
aims to improve trunk branch features rather than solve a complex problem
directly. This structure creates a mask that acts as a filter to the trunk
layer features. The mask branch contains an encoder which collects global
information and a decoder part that combines global information with original
feature maps. 3D max-pooling is applied several times for down-sampling after
a small number of residual units. After reaching the lowest spatial
resolution, the global information is then expanded by a symmetrical
architecture. 3D interpolation layers up samples the output after some
residual units. The number of 3D interpolation layers is the same as 3D max-
pooling layers so that the output of the mask layer will have the same
dimensions as the output from the trunk layer. Finally, a sigmoid layer
normalizes the output range to [0, 1] after two consecutive 1 x 1 x 1
convolution layers. Skip connections are also added, connecting the encoder
and decoder structures, to get the feature information from various scale
levels.
We add four attention blocks after each layer in the network. The number of
downsampling (and corresponding upsampling) layers performed in each attention
block is reduced as we go deeper into the network. The lowest spatial
resolution is shared. For example, the attention block added after the first
layer has four 3D max-pooling layers, whereas the block added after the second
layer has only three layers, and so on. The full module for the second
attention block is illustrated in Fig 3.
---
Figure 3: Our Proposed attention block architecture
### 2.3 Dataset and Annotations
We apply our suggested models to the specific challenging problem of RV strain
classification from 3D CTPA scans. An institutional review board (IRB)
approval was granted to this retrospective study. The IRB committee waived
informed consent. We retrieved data of consecutive patients diagnosed with PE
in our emergency department (ED). All the patients underwent CTPA between
1/2012 to 12/2018. All the scans were interpreted by board-certified
radiologists. We used the CTPA scan report description to extract a single
label of “RV strain biomarker” and label the scans as either RV strain
positive or RV strain negative. A scan was marked positive if the radiologist
specifically indicated that RV strain was present. Selecting one high-level
(or series-based) label for the whole 3D scan, with no additional markings or
segmentation maps, enforces this computer-aided diagnosis (CAD) task to a
weakly supervised solution and the processing of the scans in a 3D manner. Our
dataset included 363 CTPAs, 86 of whom (23.91%) were labeled with RV strain.
The model was trained and validated on years 2012-2017 data and tested on
held-out year 2018 data. Table 1 describes the dataset partitioning.
Table 1: Dataset specifications
Group | Number of Images | %
---|---|---
Total (years 2012-2018) | 363 | 100
Train (years 2012-2017) | 248 | 68.3
Validation (years 2012-2017) | 44 | 12.2
Test (year 2018) | 71 | 19.5
Number of positives | 86 | 23.9
### 2.4 Evaluation methods
The model’s performance evaluation on the test set included AUC, sensitivity
(also known as recall), specificity, accuracy, positive predictive value (PPV,
also known as precision), and negative predictive value (NPV). The predicted
probability threshold for returning a positive finding was determined by
Youden’s index [30], which finds the model’s optimal joined sensitivity and
specificity. To measure the variability in these estimates, we calculated 95%
DeLong CIs [31] for the AUC of the model.
### 2.5 Image Preprocessing
Contrast-enhanced chest CT series were used as the dataset. Scans were
extracted from Digital Imaging and Communications in Medicine (DICOM) format.
Each extracted slice was scaled to 128 x 128 pixels. The entire series of 128
slices was saved as a 128 x 128 x 128 array. The scans are fed to the network
in a 3D manner (as a whole), which takes advantage of the scan’s contextual
context and allows for easy end-to-end training. An important task is to focus
attention to a volume of interest (VOI) that includes the heart and lung
regions to improve the developed system’s performance. This enables us to
choose a smaller volume to be fed into our network, which is especially
important due to the computational costs of 3D processing. We chose the VOI
according to the lung area. The lungs can be located in a chest CT image by
using Hounsfield unit (HU) values. The heart area is roughly the area between
the lungs.
### 2.6 Training and Inference
To evaluate the compared networks fairly, we used the same experimental
conditions. We used a batch size of 4 and a constant learning rate of 5e-3.
Furthermore, we used Adam optimizer, weight decay of 1e-5, and binary cross-
entropy loss $L_{bce}$:
$L_{bce}=Y\log{Y_{pred}}+(1-Y)\log{(1-Y_{pred})}$
Where $Y_{pred}\in(0.0,1.0)$ represents the the predicted probability, and
$Y\in(0,1)$ is the binary ground truth label. We used data augmentations of
flip and rotation. Our code is implemented in Pytorch. The network was trained
on an NVIDIA 1080Ti GPU.
## 3 EXPERIMENTS AND RESULTS
### 3.1 Model Performance
We evaluated the performance of SANet and MLANet. The comparison between the
two model architectures is described in Table 2. As can be seen from the
results, adding our self-attention blocks improves performance compared to
both approaches’ baseline network. In addition, the stacked attention block
solution - SANet, outperforms MLANet for all baseline networks. For both
models, the DenseNet121-3D baseline attains the best results. SANet with the
baseline of 3D-DenseNet-121 achieved the best performance, with an AUC of 0.88
(95% CI: 0.8-0.94) for classifying RV strain. 84.7% of the test cases are
correctly predicted according to the report label. Using Youden’s index, the
model showed a sensitivity of 87% and specificity of 83.7% for predicting RV
strain. The solution’s precision was 71.4%, and NPV was 93.2%. The SANet
DenseNet121-3D baseline outperforms the next best SANet model with a margin of
0.045 AUC and the MLANet DenseNet backbone with a gain of 0.064 AUC.
Table 2: Comparison between SANet and MLANet performance with 95% confidence
interval
Backbone | AUC | Accuracy | Specificity | Sensitivity | PPV | NPV
---|---|---|---|---|---|---
SANet
ResNeXt3D-101 | $0.788$ [$0.69$-$0.88$] | $0.68$ | $0.592$ | $0.869$ | $0.5$ | $0.906$
DenseNet3D-121 | 0.877 [0.81-0.95] | 0.847 | 0.837 | 0.87 | 0.714 | 0.932
ResNet3D-50 (basic block) | $0.803$ [$0.71$-$0.9$] | $0.75$ | $0.735$ | $0.783$ | $0.581$ | $0.878$
ResNet3D-50 (residual block) | $0.832$ [$0.75$-$0.91$] | $0.778$ | $0.735$ | $0.87$ | $0.606$ | $0.923$
MLANet
ResNeXt3D-101 | $0.76$ [$0.66$-$0.86$] | $0.75$ | $0.714$ | $0.826$ | $0.576$ | $0.897$
DenseNet3D-121 | 0.813 [0.71-0.9] | 0.764 | 0.735 | 0.826 | 0.594 | 0.9
ResNet3D-50 (basic block) | $0.782$ [$0.68$-$0.87$] | $0.694$ | $0.633$ | $0.826$ | $0.514$ | $0.633$
ResNet3D-50 (residual block) | $0.777$ [$0.66$-$0.88$] | $0.75$ | $0.735$ | $0.783$ | $0.581$ | $0.878$
### 3.2 Interpretation of the Model Prediction
In order to obtain model interpretability, we identified locations and slices
in the scan that contributed most to the classification using 3D gradient-
weighted class activation mapping (Grad-CAMs) [32]. We expanded the
implementation from a 2D slice-based tool to a 3D one. Fig 4 shows the heatmap
visualization results obtained from our network. The brightest areas of the
heatmap are regions that influence the model prediction the most. We note that
the attention gate focuses on the heart area rather than other scan regions,
as expected.
---
Figure 4: Heatmap visualization results of correctly classified examples:
Pairs of 2D slices taken from 3D CTA scans and their corresponding heatmap
results. High activations (red, yellow and green) indicate the areas on which
our trained CNN picked up as main features for classification. The presented
results were obtained from aggregating features from all layers and combining
them via multiplication and normalization.
### 3.3 Attention Contribution
Table 3 examines the result of adding our proposed attention blocks to common
baseline architectures in a stacked approach. As shown in the table, adding
our proposed attention blocks consistently improves performance with a maximum
gain of 0.075 in AUC. All improvements are statistically significant except
for the ResNet3D-50 (residual block) baseline. Our proposed solution is
constructed using a backbone of densely connected blocks, as in the
DenseNet3D-121 baseline, which achieves the best results. It outperforms all
other models with a margin of 0.045 AUC.
Table 3: Comparing the AUC score (with 95% confidence interval) effect of
adding stacked residual attention modules (SANet) to different baseline
architectures
Network | baseline | with attention | P-value
---|---|---|---
ResNext3D-101 [33] | $0.713$ [$0.61$-$0.81$] | $0.788$ [$0.69$-$0.88$] | p < $0.001$
DenseNet3D-121 [34] | $0.805$ [$0.7$-$0.89$] | 0.877 [0.81-0.95] | p < $0.005$
ResNet3D-50 (basic block) [35] | $0.765$ [$0.66$-$0.87$] | $0.803$ [$0.71$-$0.9$] | p < $0.01$
ResNet3D-50 (residual block) | $0.809$ [$0.7$-$0.89$] | $0.832$ [$0.75$-$0.91$] | p not significant
Fig 5 visualizes the comparison between DenseNet3D-121 and SANet. The figure
visualizes the Grad-Cam maps extracted from different layers. The areas on
which the networks center on different layers can be seen, as well as the
attention blocks contribution as it shifts the network’s focus to the heart
area.
---
Figure 5: The visual comparisons of scan slices Grad-Cam visualization
examples of multi-level maps from DenseNet3D-121 (rows 1 and 3) and proposed
attentive maps from SANet (rows 2 and 4). (A) and (B) are two CTA scan slice
images; (C)-(F) show the output Grad-Cam maps from layer 1 (shallow layer) to
layer 4 (deep layer) of the networks. We can observe that directly applying
multi-level features without attention blocks may suffer from poor
localization. In contrast, our proposed attentive maps are more powerful for
the better representation of the heart area in the scan.
### 3.4 Comparison with state-of-the-art 3D CNN models
We compare SANet to several common 3D CNN architectures as detailed in Table
4. This includes the current state-of-the-art model architecture for
Kinetics-600 dataset [36], ResNeXt3D-101, and memory-efficient DenseNet3D-121,
and the classic ResNet3D-50 in both its basic and residual block version. In
addition, we compare our network to two promising recently published 3D
classification networks as well: Med3D [37], a ResNet3D-50 network-based that
is pretrained using many medical image datasets and uses transfer learning to
create a robust network for medical domain 3D classification tasks and Models
Genesis [38], a U-Net architecture based solution that is pretrained using a
pipeline of self-supervision tasks. Our model outperforms all compared models
with a wide margin of 0.068 in AUC. P-Value with compare to SANet shows that
all results are statistically significant.
Table 4: Comparison with state-of-the-art 3D CNN models
Model Architecture | AUC | Accuracy | Specificity | Sensitivity | PPV | NPV | P-Value
---|---|---|---|---|---|---|---
ResNet3D-50 (basic block) | $0.765$ [$0.66$-$0.87$] | $0.722$ | $0.694$ | $0.783$ | $0.545$ | $0.872$ | p < $0.005$
ResNet3D-50 (residual block) | $0.809$ [$0.7$-$0.89$] | $0.778$ | $0.837$ | $0.652$ | $0.652$ | $0.837$ | p < $0.05$
ResNeXt3D-101 | $0.713$ [$0.61$-$0.81$] | $0.681$ | $0.673$ | $0.696$ | $0.5$ | $0.825$ | p < $0.001$
DenseNet3D-121 | $0.805$ [$0.7$-$0.89$] | $0.792$ | $0.796$ | $0.783$ | $0.643$ | $0.886$ | p < $0.05$
Models Genesis | $0.796$ [$0.69$-$0.89$] | $0.681$ | $0.592$ | $0.87$ | $0.5$ | $0.906$ | p < $0.03$
Med3D | $0.801$ [$0.7$-$0.89$] | $0.75$ | $0.714$ | $0.826$ | $0.576$ | $0.897$ | p < $0.05$
SANet | 0.877 [0.81-0.95] | 0.847 | 0.837 | 0.87 | 0.714 | 0.932 | –
In Fig 6 the different AUC scores are displayed, allowing visual comparison.
---
Figure 6: ROC comparison with state-of-the-art 3D CNN models
### 3.5 Ablation Study On Multiple Attention Blocks
To further analyze the system, we explore altering the number of attention
blocks fused into our model. This enables a comparison to the baseline network
version which has the same structure but has zero attention blocks. Table 5
presents the results of this experiment. The entries in the table specify the
best performance for each number of added attention blocks, where the number
in the brackets list the exact blocks that where added: Adding the described
attention blocks shows improvement in result metrics. It is evident that four
attention blocks perform better than the networks having one or two blocks.
This result follows intuition and can be explained by the fact that multiple
attention blocks tend to obtain the correct attention and can even compensate
over the wrong feature capture one of the attention blocks. Although networks
with three and four blocks attain almost the same AUC, all other metrics are
improved when adding a fourth block. In addition, when integrating an
attention block after the first layer improves the AUC most. Looking at the
results, it is only expected that we add more blocks until saturation or
degradation in performance. Yet, we are limited by our baseline’s depth,
DenseNet3D-121, which includes only four basic units.
Table 5: Residual attention model comparison with different number of stacked
attention blocks (SANet)
SANet Architecture | AUC | Accuracy | Specificity | Sensitivity | PPV | NPV
---|---|---|---|---|---|---
DenseNet121-3D | $0.805$ | $0.792$ | $0.796$ | $0.783$ | $0.643$ | $0.886$
DenseNet121-3D with 1 Attention Blocks [1] | $0.831$ | $0.792$ | $0.816$ | $0.739$ | $0.654$ | $0.87$
DenseNet121-3D with 2 Attention Blocks [1,2] | $0.86$ | $0.778$ | $0.735$ | $0.87$ | $0.606$ | $0.923$
DenseNet121-3D with 3 Attention Blocks [1,2,4] | $0.868$ | $0.833$ | $0.816$ | $0.87$ | $0.69$ | $0.93$
SANet | 0.877 | 0.847 | 0.837 | 0.87 | 0.714 | 0.932
* 1
The numbers in the brackets indicate the attention blocks that were added to
the DenseNet3D-121 baseline. For example: [1,3] means that two attention
blocks were added after the first and third layers.
## 4 CONCLUSIONS
In this work, we proposed generalized self-attention blocks that can be
incorporated into existing classification architectures. The use of residual
networks allows increasing the network’s depth while keeping it stable for
training, improving performance significantly [35]. Dense connectivity ensures
maximum information paths between layers by connecting all layers [34]. The
output features of all convolution layers in the dense blocks are concatenated
along the channel axis. Attention blocks automatically learn to focus on
target structures without additional supervision. They do not introduce
significant computational overhead and do not require a large number of model
parameters, as in the case of multi-model or cascaded frameworks. In return,
the proposed attention blocks improve the model’s sensitivity and accuracy for
label predictions by suppressing feature activations in irrelevant regions. In
this way, the necessity of using an additional external organ localization
model can be eliminated while maintaining the high prediction accuracy. All of
these motivated us to use these mechanisms for our proposed network.
We present two network variations that utilize our proposed blocks to classify
RV strain on CTPA scans: MLANet - The multi-layer attention block architecture
in which the attention blocks are aggregated from different layers of the
network for prediction and SANet - the stacked attention block architecture
where the attention block is incorporated into the network as additional
network layers. Our results show that attention consistently improves
performance throughout multiple baseline architectures. The stacked attention
block model with a baseline of 3D-DenseNet-121 outperforms the multi-layer
architecture with an additional AUC margin of 0.064. This network also
outperforms current state-of-the-art 3D CNN models: ResNeXt3D-101, ResNet3D-50
and DenseNet3D-121 for the same task. We conclude that the automatic deep
learning solution can classify RV strain effectively from 3D CTPA scans.
We selected a single binary label from the radiologist report. This means that
readings were done in an acute scenario rather than a prospective research
environment. On the positive side, if our system was able to cope with the
provided settings it may provide a more robust solution that can be
translatable in real-world settings.
Beyond RV strain’s specific task, this approach is also applicable to other
abnormality classification problems with minimal preprocessing and a single
binary scan-level label of the 3D volumetric data. We plan to test these
generalization abilities in our future work and specifically use the system
proposed here for the broader PE risk stratification task. Such tools may
support improved healthcare. For example, improving the ability to direct
preventative and health surveillance resources. To the best of our knowledge,
there is no prior deep learning-based solution for fully automated
classification of RV strain using CTPA, and this is the first work where
medical images are used in such an architecture.
###### Acknowledgements.
The research in this publication was supported by the Israeli Science
Foundation (ISF) under Grant number 20/2629.
## References
* [1] Horlander, K. T., Mannino, D. M., and Leeper, K. V., “Pulmonary embolism mortality in the united states, 1979-1998: an analysis using multiple-cause mortality data.,” Arch Intern Med 163, 1711–1717 (Jul 2003).
* [2] Thompson B.T, K. C., “Overview of acute pulmonary embolism in adults,” UpToDate (2018).
* [3] Konstantinides, S., “Pulmonary embolism: impact of right ventricular dysfunction.,” Curr Opin Cardiol 20, 496–501 (Nov 2005).
* [4] Lualdi JC, G. S., “Right ventricular dysfunction after acute pulmonary embolism: pathophysiologic factors, detection, and therapeutic implications.,” Am Heart J 130, 1276–1282 (1995).
* [5] Rajpurkar, P., Irvin, J., Zhu, K., Yang, B., Mehta, H., Duan, T., Ding, D. Y., Bagul, A., Langlotz, C., Shpanskaya, K. S., Lungren, M. P., and Ng, A. Y., “Chexnet: Radiologist-level pneumonia detection on chest x-rays with deep learning,” CoRR abs/1711.05225 (2017).
* [6] Oktay, O., Schlemper, J., Folgoc, L. L., Lee, M., Heinrich, M., Misawa, K., Mori, K., McDonagh, S., Hammerla, N. Y., Kainz, B., Glocker, B., and Rueckert, D., “Attention u-net: Learning where to look for the pancreas,” (2018).
* [7] Baur, C., Wiestler, B., Albarqouni, S., and Navab, N., “Deep autoencoding models for unsupervised anomaly segmentation in brain mr images,” Lecture Notes in Computer Science , 161–169 (2019).
* [8] Masoudi, M., Pourreza, H.-R., Saadatmand-Tarzjan, M., Eftekhari, N., Zargar, F. S., and Rad, M. P., “A new dataset of computed-tomography angiography images for computer-aided detection of pulmonary embolism.,” Sci Data 5, 180180 (Sep 2018).
* [9] Masoudi, M., Pourreza, H., Tarzjan, M. S., Zargar, F. S., Rad, M. P., and Eftekhari, N., “A dataset for computer-aided detection of pulmonary embolism in cta images,” (2017).
* [10] Serrano, G. G., “Cad-pe,” (2019).
* [11] Liang, J. and Bi, J., “Computer aided detection of pulmonary embolism with tobogganing and mutiple instance classification in ct pulmonary angiography,” in [Information Processing in Medical Imaging ], Karssemeijer, N. and Lelieveldt, B., eds., 630–641, Springer Berlin Heidelberg, Berlin, Heidelberg (2007).
* [12] Özkan, H., Osman, O., Şahin, S., and Boz, A. F., “A novel method for pulmonary embolism detection in cta images.,” Comput Methods Programs Biomed 113, 757–766 (Mar 2014).
* [13] Park, S. C., Chapman, B. E., and Zheng, B., “A multistage approach to improve performance of computer-aided detection of pulmonary embolisms depicted on ct images: Preliminary investigation,” IEEE Transactions on Biomedical Engineering 58(6), 1519–1527 (2011).
* [14] Wang, X., Song, X., Chapman, B. E., and Zheng, B., “Improving performance of computer-aided detection of pulmonary embolisms by incorporating a new pulmonary vascular-tree segmentation algorithm,” in [Medical Imaging 2012: Computer-Aided Diagnosis ], Society of Photo-Optical Instrumentation Engineers (SPIE) Conference Series 8315, 83152U (Mar. 2012).
* [15] Tajbakhsh, N., Gotway, M. B., and Liang, J., “Computer-aided pulmonary embolism detection using a novel vessel-aligned multi-planar image representation and convolutional neural networks,” in [Medical Image Computing and Computer-Assisted Intervention – MICCAI 2015 ], Navab, N., Hornegger, J., Wells, W. M., and Frangi, A., eds., 62–69, Springer International Publishing, Cham (2015).
* [16] Yang, X., Lin, Y., Su, J., Wang, X., Li, X., Lin, J., and Cheng, K., “A two-stage convolutional neural network for pulmonary embolism detection from ctpa images,” IEEE Access 7, 84849–84857 (2019).
* [17] Huang, S.-C., Kothari, T., Banerjee, I., Chute, C., Ball, R. L., Borus, N., Huang, A., Patel, B. N., Rajpurkar, P., Irvin, J., Dunnmon, J., Bledsoe, J., Shpanskaya, K., Dhaliwal, A., Zamanian, R., Ng, A. Y., and Lungren, M. P., “Penet—a scalable deep-learning model for automated diagnosis of pulmonary embolism using volumetric ct imaging,” npj Digital Medicine 3(1), 61 (2020).
* [18] Shi, L., Rajan, D., Abedin, S., Beymer, D., and Dehghan, E., “Automatic diagnosis of pulmonary embolism using an attention-guided framework: A large-scale study,” in [Medical Imaging with Deep Learning ], (2020).
* [19] Puyol Anton, E., Ruijsink, B., Bai, W., Langet, H., De Craene, M., Schnabel, J., Piro, P., King, A., and Sinclair, M., “Fully automated myocardial strain estimation from cine mri using convolutional neural networks,” 1139–1143 (04 2018).
* [20] Bai, W., Sinclair, M., Tarroni, G., Oktay, O., Rajchl, M., Vaillant, G., Lee, A., Aung, N., Lukaschuk, E., Sanghvi, M., Zemrak, F., Fung, K., Paiva, J., Carapella, V., Kim, Y., Suzuki, H., Kainz, B., Matthews, P., Petersen, S., and Rueckert, D., “Automated cardiovascular magnetic resonance image analysis with fully convolutional networks,” Journal of Cardiovascular Magnetic Resonance 20 (12 2018).
* [21] Bernardino, G., Hodzic, A., Langet, H., LeGallois, D., Craene, M. D., Ballester, M. A. G., Saloux, E., and Bijnens, B., “Volumetric parcellation of the right ventricle for regional geometric and functional assessment,” (2020).
* [22] Chettrit, D., Amitai, O. B., Tamir, I., Bar, A., and Elnekave, E., “PHT-bot: a deep learning based system for automatic risk stratification of COPD patients based upon signs of pulmonary hypertension,” in [Medical Imaging 2019: Computer-Aided Diagnosis ], Mori, K. and Hahn, H. K., eds., 10950, 171 – 178, International Society for Optics and Photonics, SPIE (2019).
* [23] Cheplygina, V., de Bruijne, M., and Pluim, J. P. W., “Not-so-supervised: a survey of semi-supervised, multi-instance, and transfer learning in medical image analysis,” CoRR abs/1804.06353 (2018).
* [24] Hara, K., Kataoka, H., and Satoh, Y., “Can spatiotemporal 3d cnns retrace the history of 2d cnns and imagenet?,” in [2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition ], 6546–6555 (2018).
* [25] Wang, F., Jiang, M., Qian, C., Yang, S., Li, C., Zhang, H., Wang, X., and Tang, X., “Residual attention network for image classification,” in [2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR) ], 6450–6458 (2017).
* [26] Dhingra, N. and Kunz, A., “Res3atn – deep 3d residual attention network for hand gesture recognition in videos,” in [2019 International Conference on 3D Vision (3DV) ], 491 – 501, IEEE (2019-09). International Conference on 3D Vision (3DV 2019); Conference Location: Quebec City, Canada; Conference Date: September 16-19, 2019; Conference lecture held on September 16, 2019.
* [27] Jetley, S., Lord, N. A., Lee, N., and Torr, P., “Learn to pay attention,” in [International Conference on Learning Representations ], (2018).
* [28] Jaderberg, M., Simonyan, K., Zisserman, A., and kavukcuoglu, k., “Spatial transformer networks,” in [Advances in Neural Information Processing Systems 28 ], Cortes, C., Lawrence, N. D., Lee, D. D., Sugiyama, M., and Garnett, R., eds., 2017–2025, Curran Associates, Inc. (2015).
* [29] Ronneberger, O., Fischer, P., and Brox, T., “U-net: Convolutional networks for biomedical image segmentation,” LNCS 9351, 234–241 (10 2015).
* [30] YOUDEN, W. J., “Index for rating diagnostic tests.,” Cancer 3, 32–35 (Jan 1950).
* [31] DeLong, E. R., DeLong, D. M., and Clarke-Pearson, D. L., “Comparing the areas under two or more correlated receiver operating characteristic curves: a nonparametric approach.,” Biometrics 44, 837–845 (Sep 1988).
* [32] Selvaraju, R. R., Cogswell, M., Das, A., Vedantam, R., Parikh, D., and Batra, D., “Grad-cam: Visual explanations from deep networks via gradient-based localization,” International Journal of Computer Vision 128, 336–359 (Oct 2019).
* [33] Xie, S., Girshick, R. B., Dollár, P., Tu, Z., and He, K., “Aggregated residual transformations for deep neural networks,” 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR) , 5987–5995 (2017).
* [34] Huang, G., Liu, Z., van der Maaten, L., and Weinberger, K. Q., “Densely connected convolutional networks,” (2018).
* [35] He, K., Zhang, X., Ren, S., and Sun, J., “Deep residual learning for image recognition,” CoRR abs/1512.03385 (2015).
* [36] Carreira, J., Noland, E., Banki-Horvath, A., Hillier, C., and Zisserman, A., “A short note about kinetics-600,” (2018).
* [37] Chen, S., Ma, K., and Zheng, Y., “Med3d: Transfer learning for 3d medical image analysis,” CoRR abs/1904.00625 (2019).
* [38] Zhou, Z., Sodha, V., Siddiquee, M. M. R., Feng, R., Tajbakhsh, N., Gotway, M. B., and Liang, J., “Models genesis: Generic autodidactic models for 3d medical image analysis,” (2019).
| arxiv-papers | 2021-07-26T07:57:31 | 2024-09-04T03:07:17.964220 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Noa Cahan, Edith M. Marom, Shelly Soffer, Yiftach Barash, Eli Konen,\n Eyal Klang and Hayit Greenspan",
"submitter": "Noa Cahan",
"url": "https://arxiv.org/abs/2107.12009"
} |
2107.12011 | # From robust tests to Bayes-like posterior distributions
Yannick BARAUD Department of Mathematics, University of Luxembourg Maison du
nombre 6 avenue de la Fonte L-4364 Esch-sur-Alzette Grand Duchy of Luxembourg
[email protected]
(Date: August 27, 2024)
###### Abstract.
In the Bayes paradigm and for a given loss function, we propose the
construction of a new type of posterior distributions, that extends the
classical Bayes one, for estimating the law of an $n$-sample. The loss
functions we have in mind are based on the total variation and Hellinger
distances as well as some ${\mathbb{L}}_{j}$-ones. We prove that, with a
probability close to one, this new posterior distribution concentrates its
mass in a neighbourhood of the law of the data, for the chosen loss function,
provided that this law belongs to the support of the prior or, at least, lies
close enough to it. We therefore establish that the new posterior distribution
enjoys some robustness properties with respect to a possible misspecification
of the prior, or more precisely, its support. For the total variation and
squared Hellinger losses, we also show that the posterior distribution keeps
its concentration properties when the data are only independent, hence not
necessarily i.i.d., provided that most of their marginals or the average of
these are close enough to some probability distribution around which the prior
puts enough mass. The posterior distribution is therefore also stable with
respect to the equidistribution assumption. We illustrate these results by
several applications. We consider the problems of estimating a location
parameter or both the location and the scale of a density in a nonparametric
framework. Finally, we also tackle the problem of estimating a density, with
the squared Hellinger loss, in a high-dimensional parametric model under some
sparsity conditions. The results established in this paper are non-asymptotic
and provide, as much as possible, explicit constants.
###### Key words and phrases:
Bayes procedure – Gibbs estimator – Posterior distribution – Robustness –
Hellinger distance – Total variation distance.
###### 1991 Mathematics Subject Classification:
Primary 62G05, 62G35, 62F35, 62F15
This project has received funding from the European Union’s Horizon 2020
research and innovation programme under grant agreement No 811017
## 1\. Introduction
Observe $n$ i.i.d. random variables $X_{1},\ldots,X_{n}$ with values in a
measurable space $(E,{\mathcal{E}})$ and assume that their common distribution
$P^{\star}$ belongs to a family ${\mathscr{M}}$ of candidate probabilities, or
at least lies close enough to it in a suitable sense. We consider the problem
of estimating $P^{\star}$ from the observation of
${\boldsymbol{X}}=(X_{1},\ldots,X_{n})$ and we evaluate the performance of an
estimator with values in ${\mathscr{M}}$ by means of a given loss function
$\ell:{\mathscr{P}}\times{\mathscr{M}}\to{\mathbb{R}}_{+}$ where
${\mathscr{P}}$ denotes a convex set of probabilities containing $P^{\star}$.
The loss functions we have in mind are based on the Hellinger or the total
variation distances between distributions or the ${\mathbb{L}}_{j}$-ones
between their densities (with respect to some reference measure). We recall
that the total variation distance $\left\|{P-Q}\right\|$ and the squared
Hellinger one $h^{2}(P,Q)$ between two probabilities $P$ and $Q$ on
$(E,{\mathcal{E}})$ are respectively given by the formulas
(1)
$\left\|{P-Q}\right\|=\frac{1}{2}\int_{E}\left|{\frac{dP}{d\mu}-\frac{dQ}{d\mu}}\right|d\mu$
and
(2)
$h^{2}(P,Q)=\frac{1}{2}\int_{E}\left({\sqrt{\frac{dP}{d\mu}}-\sqrt{\frac{dQ}{d\mu}}}\right)^{2}d\mu$
where $\mu$ dominates both $P$ and $Q$, the result being independent of the
choice of $\mu$.
Our approach to solve this estimation problem has a Bayesian flavour since we
endow ${\mathscr{M}}$ with a $\sigma$-algebra ${\mathcal{A}}$ and a
probability measure $\pi$ on $({\mathscr{M}},{\mathcal{A}})$ that plays the
same role as the prior in the Bayes paradigm. Our aim is to design a posterior
distribution $\widehat{\pi}_{{\boldsymbol{X}}}$, solely based on
${\boldsymbol{X}}$ and the choice of $\ell$, that concentrates its mass, with
a probability close to one, on an $\ell$-ball, i.e. a set of the form
${\mathscr{B}}(P^{\star},r)=\left\\{{P\in{\mathscr{M}},\;\ell(P^{\star},P)\leqslant
r}\right\\}\quad\text{with}\quad r>0.$
This means that with a probability close to 1, a point $\widehat{P}$ which is
randomly drawn according to our (random) distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ is likely to estimate $P^{\star}$ with an
accuracy (with respect to the chosen loss $\ell$) not larger than $r$. Our
objective is to design $\widehat{\pi}_{{\boldsymbol{X}}}$ in such a way that
this concentration property holds for small values of $r$ and under mild
assumptions on $P^{\star}$ and ${\mathscr{M}}$. In particular, we do not want
to assume that $P^{\star}$ belongs to ${\mathscr{M}}$. Instead, we want that
our posterior distribution $\widehat{\pi}_{{\boldsymbol{X}}}$ enjoys some
stability property: if the concentration property we look for is satisfied
when the data $X_{1},\ldots,X_{n}$ are drawn according to a distribution
$P^{\star}$, that typically belongs to our model ${\mathscr{M}}$, we want that
this property be also satisfied when the distribution of $X_{1},\ldots,X_{n}$
is close enough to $P^{\star}$ (for the chosen loss $\ell$). Of special
interest is the choice of $\ell$ given by the total variation or the Hellinger
distances since, as we shall see, the stability of our posterior distribution
for such losses automatically leads to estimators
$\widehat{P}\sim\widehat{\pi}_{{\boldsymbol{X}}}$ that are naturally robust to
model specification, outliers and contamination.
In the literature, many authors have studied the concentration properties of
the classical Bayes posterior distribution on Hellinger balls. We refer to the
pioneer papers by van der Vaart and his co-authors — see for example Ghosal,
Ghosh and van der Vaart (2000). They show that the concentration property
holds as $n$ tends to infinity provided that the prior $\pi$ puts enough mass
on sets of the form
${\mathcal{K}}(P^{\star},{\varepsilon})=\\{P\in{\mathscr{M}},\;K(P^{\star},P)<{\varepsilon}\\}$
where ${\varepsilon}$ is a positive number and $K(P^{\star},P)$ the Kullback-
Leibler divergence between $P^{\star}$ and $P$. This assumption may, however,
be quite restrictive even in the favorable situation where $P^{\star}$ belongs
to the model ${\mathscr{M}}$, since such sets can be empty and the condition
therefore unsatisfied when the probabilities in ${\mathscr{M}}$ are not
equivalent. This is for example the case when ${\mathscr{M}}$ is the set of
all uniform distributions $P_{\theta}$ on $[\theta-1/2,\theta+1/2]$, with
$\theta\in{\mathbb{R}}$, although the problem of estimating
$P^{\star}\in{\mathscr{M}}$ in this setting is quite easy, even in the
Bayesian paradigm. The assumption appears even more restrictive when the
probability $P^{\star}$ does not belong to ${\mathscr{M}}$, hence when the
model is mispecified. When the probabilities in ${\mathscr{M}}$ are equivalent
and the condition satisfied for an element $\overline{P}$ in the model
${\mathscr{M}}$, it no longer holds true for
$P^{\star}=(1-10^{-10})\overline{P}+10^{-10}R$ when $\overline{P}$ does not
dominate the distribution $R$. This means that the condition may be satisfied
for one distribution and not for another even though both distributions are so
close that they are statistically indistinguishable from any $n$-sample of
realistic size.
Unfortunately, strong conditions are necessary to establish the concentration
properties of the classical Bayes posterior distribution. It is well-known
that the instability we have described is inherent of this approach and that
Bayes estimators are not robust in general. Examples illustrating the poor
performance of the Bayes posterior distribution under a misspecification of
the model are given in Jiang and Tanner (2008) and Baraud and Birgé (2020) for
example. This weakness is due to the fact that the posterior distribution is
based on the log-likelihood function which can be unstable when all the data
are not exactly drawn as the model expects. This instability is also
encountered when one uses the maximum likelihood estimator for the same
reason.
In order to obtain the concentration and stability properties we look for, we
replace the classical log-likelihood function in the expression of the
posterior distribution by a more suitable one based on robust test statistics.
Substituting another function to the log-likelihood one is not new in the
literature and leads to what is called quasi-posterior distributions. The
resulting estimators, called quasi-Bayesian estimators or Laplace type
estimators, have been studied by many statisticians among which Chernozhukov
and Hong (2003) and Bissiri et al. (2016) (we also refer to the references
therein). These papers, however, do not address the problem of
misspecification. In contrast, it is addressed in Jiang and Tanner (2008) for
solving the problem of variable selection in the logistic model. The authors
show that the classical Bayesian approach is no longer reliable when the model
is slightly misspecified while their Gibbs posterior distribution performs
well and offers thus a much safer alternative. The problem of estimating a
high-dimensional parameter $\theta\in{\mathbb{R}}^{d}$ under a sparsity
condition was considered in Atchadé (2017). His quasi-posterior distribution
is obtained by replacing the joint density of the data by a more suitable one
and by using some specific prior that forces sparsity. He proves that the so-
defined posterior distribution contracts around the true parameter
$\theta^{\star}$ at rate $\sqrt{(s^{\star}\log d)/n}$ (where $s^{\star}$ is
the number of nonzero coordinates of $\theta^{\star}$) when both $d$ and $n$
tends to infinity, hence not only $n$. A common feature of the papers we have
cited above lies in their asymptotic nature. This is not the case for
Bhattacharya, Pati and Yang (2019) who replaced the likelihood function in the
expression of the posterior distribution by the fractional likelihood (i.e. a
suitable power of the likelihood function). The authors also consider the
situation where the model is possibly misspecified but their result involves
the $\alpha$-divergence which, as the Kullback one, can be infinite even when
the true distribution of the data is close to the model for the total
variation distance or the Hellinger one.
Baraud and Birgé (2020) propose a surrogate to the Bayes posterior
distribution that is called the $\rho-$posterior distribution in reference to
the theory of $\rho-$estimation that was developped in the series of papers
Baraud et al. (2017) and Baraud and Birgé (2018). The $\rho-$posterior
distribution preserves some of the nice features of the classical Bayes one
but also possesses the robustness property we are interested in. The authors
show that their posterior distribution concentrates on a Hellinger ball around
$P^{\star}$ as soon as the prior puts enough mass around a point which is
close enough to $P^{\star}$. However, the $\rho-$posterior distribution is
mainly of theoretical interest because it is difficult to compute. It provides
a benchmark to compare against. These difficulties are linked to the
calculations of some suprema of empirical processes that are involved in the
definition of the $\rho-$posterior distribution. More deceiving is the fact
that the $\rho-$posterior distribution does not seem to lead to any
improvement as compared to the frequentist approach based on
$\rho-$estimation. For a suitable choice of the prior, an estimator based on
the $\rho-$posterior distribution would satisfy similar risks bound as those
established for $\rho-$estimators. As a consequence, $\rho-$Bayes estimators
do not seem to benefit from any gain that would result from a good guess of a
prior as compared to the frequentist approach that presumes nothing.
Closer to our approach are the aggregation methods and PAC-Bayesian techniques
that have been popularized by Olivier Catoni in statistical learning (see
Catoni (2004)). This approach has mainly been applied for the purpose of
empirical risk minimization and statistical learning (see for example Alquier
(2008)). Our aim is to extend these techniques toward a versatile tool that
can solve our Bayes-like estimation problem for various loss functions
simultaneously.
The problem of designing a good estimator of $P^{\star}$ for a given loss
function $\ell$ was solved in the frequentist paradigm in Baraud (2021).
There, the author provides a general framework that enables one to deal with
various loss functions of interest among which the total variation,
1-Wasserstein, Hellinger, and ${\mathbb{L}}_{j}$-losses among others. His
approach relies on the construction of a suitable family of robust tests and
lies in the line of the former work of Le Cam (1973), Birgé (1983) and Birgé
(2006). The aim of the present paper is to transpose this theory from the
frequentist to the Bayesian paradigm. More precisely, we show how to build a
posterior distribution that possesses the property to concentrate it mass
around the true distribution for the chosen loss function $\ell$. If $\ell$ is
the Kullback-Leibler divergence, our construction recovers the classical Bayes
posterior distribution even though this is not the choice we would recommend
for the reasons we have explained before.
For very general models ${\mathscr{M}}$ and priors $\pi$, we prove that our
posterior distribution $\widehat{\pi}_{{\boldsymbol{X}}}$ concentrates on an
$\ell$-ball centered at $P^{\star}$ with radius $r=r(n)$ when $\pi$ puts
enough mass around $P^{\star}$. When $n$ grows to infinity, the rate $r=r(n)$
is usually of minimax order which shows that our approach is optimal when the
model is well-specified. From a non-asymptotic point of view, we show that
$\widehat{\pi}_{{\boldsymbol{X}}}$ keeps its nice concentration properties as
long as $P^{\star}$ remains close enough to an element $P$ in ${\mathscr{M}}$
around which the prior put enough mass, that is, even in the situation where
the model is slightly misspecified. Actually, we establish the stronger result
that even when the data are only independent, and not i.i.d., the above
conclusion remains true for the average $\overline{P}^{\star}$ of their
marginal distributions in place of $P^{\star}$. We therefore show that the
posterior distribution $\widehat{\pi}_{{\boldsymbol{X}}}$ enjoys some
robustness properties with respect to the equidistribution assumption we
started from. These results contrast sharply with the instability of the
classical Bayes posterior distribution we underlined earlier.
Quite surprisingly, the concentration properties that we establish here
require almost no assumption on ${\mathscr{M}}$ and the distribution of the
data (apart from independence). They mostly depend on the choices of the prior
$\pi$ and the loss function $\ell$. For a suitable element $P$ which belongs
to the model ${\mathscr{M}}$ and lies close enough to $P^{\star}$ (or
$\overline{P}^{\star}$ when the data are only independent), these
concentration properties depend on the minimal value of the radius $r$ over
which the log-ratio
$V(P,r)=\log\left[{\pi({\mathscr{B}}(P,2r))/\pi({\mathscr{B}}(P,r))}\right]$
increases at most linearly with $r$. This log-ratio was introduced in Birgé
(2015) for the purpose of analyzing the behaviour of the classical Bayes
posterior distribution. In our Bayes-like paradigm, we show that the behaviour
of the quantities $V(P,r)$ for $P\in{\mathscr{M}}$ and $r>0$ completely
encapsulates the complexity of the model ${\mathscr{M}}$. In particular, no
assumption on the VC nor on the metric dimension of the model ${\mathscr{M}}$
is required. From this point of view, the nature of the results we establish
here is different from those obtained in the frequentist and Bayesian
paradigms in Baraud (2021) and Baraud and Birgé (2020) which do require such
assumptions.
Another difference with Baraud and Birgé (2020) lies in the construction of
the posterior distribution. In the present paper, it does not involve any
suprema of empirical processes but only integrals that can be approximated by
Monte Carlo. Even if these approximations may not be necessarily easy to get,
especially in high dimension, the computation of our posterior distribution
appears more tractable than that proposed in Baraud and Birgé (2020).
We have specified the numerical constants that appear in our main theorems for
the losses given by the total variation and the squared Hellinger distances.
These constants are unfortunately a bit large. However, we do not believe that
these constants are sharp. It appears that it is difficult to obtain sharp
constants in this non-asymptotic setting under no assumption on the model
${\mathscr{M}}$ and the prior $\pi$.
The main theorems are illustrated by examples which are deliberately chosen to
be as general and simple as possible. Our aim is to give a flavour of results
that can be established with our Bayes-like posterior, avoiding as much as
possible the technicalities that would result from the choice of ad-hoc priors
introduced to solve specific problems. Instead, we wish to discuss the
optimality and robustness properties of our construction for solving general
parametric and nonparametric estimation problems in the density framework
under assumptions that we wish to be as weak as possible. These posterior
distributions will therefore provide a benchmark against which to compare.
Their practical implementation will be the subject of future work.
The present paper is organized as follows. We present our statistical setting
in Section 2. We consider there independent but not necessarily i.i.d. data in
order to analyse the behaviour of the posterior distribution with respect to a
possible departure from equidistribution. Our main assumptions on the loss
function are given and commented on in Section 3. In the remaining part of the
paper, we mainly focus on the total variation and squared Hellinger losses.
The construction of the posterior and its properties are presented in Section
4. As already explained in this introduction, the construction relies on
suitable test statistics. In particular, when applied with the log-likelihood
ratios, our construction recovers the classical Bayes-posterior distribution.
We mainly illustrate the properties of our posterior distribution in Section
5. We discuss there how the concentration properties of the posterior is
affected by the choice of the prior and by a possible misspecification of the
model. We also consider the problems of estimating a density in a location-
scale family and a high-dimensional parameter in a parametric model under a
sparsity constraint. We also show how our estimation strategy leads to unusual
rates of convergence for estimating a translation parameter in a non-regular
statistical model. Finally, Section 6 is devoted to the proofs of the main
theorems and Section 7 to the other proofs.
## 2\. The statistical setting
Let ${\boldsymbol{X}}=(X_{1},\ldots,X_{n})$ be an $n$-tuple of independent
random variables with values in a measurable space $(E,{\mathcal{E}})$ and
joint distribution ${\mathbf{P}}^{\star}=\bigotimes_{i=1}^{n}P_{i}^{\star}$.
The probabilities $P_{i}^{\star}$ are assumed to belong to a given convex set
${\mathscr{P}}$ of probability measures on $(E,{\mathcal{E}})$. Even though
this might not be true, we pretend that the $X_{i}$ are i.i.d. and our aim is
to estimate their (presumed) common distribution $P^{\star}$ from the
observation of ${\boldsymbol{X}}$. To do so, we introduce a family
${\mathscr{M}}$ that consists of candidate probabilities or merely finite
signed measures. The reason for considering finite signed measures lies in the
fact that statisticians sometimes estimate probability densities by integrable
functions that are not necessarily densities (but elements of a suitable
linear space for instance). We endow ${\mathscr{M}}$ with a $\sigma$-algebra
${\mathcal{A}}$ and a probability measure $\pi$, that we call a prior, and we
refer to the resulting pair $({\mathscr{M}},\pi)$ as our model. The model
$({\mathscr{M}},\pi)$ plays here a similar role as in the classical Bayes
paradigm. It encapsulates the a priori information that the statistician has
on $P^{\star}$. Nevertheless, we do not assume that $P^{\star}$, if it ever
exists, belongs to ${\mathscr{M}}$ nor that the true marginals $P_{i}^{\star}$
do. We rather assume that the model $({\mathscr{M}},\pi)$ is approximately
correct in the sense that the average distribution
$\overline{P}^{\star}=\frac{1}{n}\sum_{i=1}^{n}P_{i}^{\star}$
is close enough to some point $P$ in ${\mathscr{M}}$ around which the prior
$\pi$ puts enough mass. Note that $\overline{P}^{\star}$ belongs to
${\mathscr{P}}$ since ${\mathscr{P}}$ is convex.
We measure the estimation accuracy by means of a loss function
$\ell:({\mathscr{M}}\cup{\mathscr{P}})\times{\mathscr{M}}\to{\mathbb{R}}_{+}$
that is not constantly equal to 0 in order to avoid trivialities. Even though
$\ell$ may not be a genuine distance in general, we assume that it shares some
similar features and we interpret it as if it were. For this reason, we call
$\ell$-ball (or ball for short) centered at
$P\in{\mathscr{P}}\cup{\mathscr{M}}$ with radius $r>0$ the subset of
${\mathscr{M}}$
${\mathscr{B}}(P,r)=\left\\{{Q\in{\mathscr{M}},\;\ell(P,Q)\leqslant
r}\right\\}.$
Our aim is to built a posterior distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ on $({\mathscr{M}},{\mathcal{A}})$, hence
depending on our observation ${\boldsymbol{X}}$, which concentrates with a
probability close to 1 on an $\ell$-ball of the form
${\mathscr{B}}(\overline{P}^{\star},r_{n})$ where we wish the value of
$r_{n}>0$ to be small.
Throughout this paper, we use the following notations. For $x\in{\mathbb{R}}$,
$(x)_{+}=\max(x,0)$ and $(x)_{-}=\max\\{-x,0\\}$. The subsets of
${\mathbb{R}}$ or ${\mathbb{R}}^{k}$ will be equipped with their Borel
$\sigma$-algebras. The cardinality of a set $A$ is denoted $|A|$ and the
elements of ${\mathbb{R}}^{k}$ with $k>1$ are denoted with bold letters, e.g.
${\mathbf{x}}=(x_{1},\ldots,x_{k})$ and $\boldsymbol{0}=(0,\ldots,0)$. For
${\mathbf{x}}\in{\mathbb{R}}^{k}$,
$|{\mathbf{x}}|_{\infty}=\max_{i\in\\{1,\ldots,k\\}}|x_{i}|$ while
$\left|{{\mathbf{x}}}\right|$ denotes the Euclidean norm of ${\mathbf{x}}$. By
convention $\inf_{{\varnothing}}=+\infty$. For all suitable functions $f$ on
$(E^{n},{\mathcal{E}}^{\otimes n})$,
${\mathbb{E}}\left[{f({\boldsymbol{X}})}\right]$ means
$\int_{E^{n}}fd{\mathbf{P}}^{\star}$ while for $f$ on $(E,{\mathcal{E}})$,
${\mathbb{E}}_{S}\left[{f(X)}\right]$ denotes the integral $\int_{E}fdS$ with
respect to some measure $S$ on $(E,{\mathcal{E}})$. For $j\in[1,+\infty)$, we
denote by ${\mathscr{L}}_{j}(E,{\mathcal{E}},\mu)$, the set of measurable
functions $f$ on $(E,{\mathcal{E}})$ such that
$\left\|{f}\right\|_{j,\mu}=[\int_{E}|f|^{j}d\mu]^{1/j}<+\infty$. Finally,
$\left\|{f}\right\|_{\infty}=\sup_{x\in E}|f(x)|$ is the supremum norm of a
function $f$ on $E$.
## 3\. Our main assumptions on the loss function
###### Assumption 1.
For all $S\in{\mathscr{P}}\cup{\mathscr{M}}$, the mapping
$\begin{array}[]{l|rcl}\ell(S,\cdot):&({\mathscr{M}},{\mathcal{A}})&\longrightarrow&{\mathbb{R}}_{+}\\\
&P&\longmapsto&\ell(S,P)\end{array}$
is measurable.
Under such an assumption, $\ell$-balls are measurable, i.e. belong to
${\mathcal{A}}$ and the quantities $\pi({\mathscr{B}}(P,r))$ for
$P\in{\mathscr{P}}\cup{\mathscr{M}}$ and $r>0$ are well-defined.
###### Assumption 2.
There exists a positive number $\tau$ such that, for all $S\in{\mathscr{P}}$
and $P,Q\in{\mathscr{M}}$,
(3) $\displaystyle\ell(S,Q)$
$\displaystyle\leqslant\tau\left[{\ell(S,P)+\ell(P,Q)}\right]$ (4)
$\displaystyle\ell(S,Q)$ $\displaystyle\geqslant\tau^{-1}\ell(P,Q)-\ell(S,P).$
When $\ell$ is a genuine distance, inequalities (3) and (4) are satisfied with
$\tau=1$ since they correspond to the triangle inequality. When $\ell$ is the
square of a distance, these inequalities are satisfied with $\tau=2$.
The construction of the posterior distribution not only depends on the prior
$\pi$ but also on the choice of the loss function $\ell$. We assume that it
can be associated to a family
${\mathscr{T}}(\ell,{\mathscr{M}})=\left\\{{t_{(P,Q)},\;(P,Q)\in{\mathscr{M}}^{2}}\right\\}$
of functions on $(E,{\mathcal{E}})$ with properties that are described below.
We shall see that many classical loss functions (among which the total
variation distance, the 1-Wasserstein distance, the squared Hellinger loss,
etc.) can be associated to families ${\mathscr{T}}(\ell,{\mathscr{M}})$
satisfying our Assumption 3.
###### Assumption 3.
The elements $t_{(P,Q)}$ of ${\mathscr{T}}(\ell,{\mathscr{M}})$ satisfy:
1. (i)
The mapping
$\begin{array}[]{l|rcl}t:&(E\times{\mathscr{M}}\times{\mathscr{M}},{\mathcal{E}}\otimes{\mathcal{A}}\otimes{\mathcal{A}})&\longrightarrow&{\mathbb{R}}\\\
&(x,P,Q)&\longmapsto&t_{(P,Q)}(x)\end{array}$
is measurable.
2. (ii)
For all $P,Q\in{\mathscr{M}}$, $t_{(P,Q)}=-t_{(Q,P)}$.
3. (iii)
there exist positive numbers $a_{0},a_{1}$ such that, for all
$S\in{\mathscr{P}}$ and $P,Q\in{\mathscr{M}}$,
(5) ${\mathbb{E}}_{S}\left[{t_{(P,Q)}(X)}\right]\leqslant
a_{0}\ell(S,P)-a_{1}\ell(S,Q).$
4. (iv)
For all $P,Q\in{\mathscr{M}}$,
$\sup_{x\in E}t_{(P,Q)}(x)-\inf_{x\in E}t_{(P,Q)}(x)\leqslant 1.$
Under assumption (ii), $t_{(P,P)}=0$ and we deduce from (5) that
$(a_{0}-a_{1})\ell(S,P)\geqslant 0$, hence that $a_{0}\geqslant a_{1}$ since
$\ell$ is not constantly equal to 0.
Some families ${\mathscr{T}}(\ell,{\mathscr{M}})$ possess the additional
property given below.
###### Assumption 4.
Additionally to Assumption 3, there exists $a_{2}>0$ such that
1. (iv)
for all $S\in{\mathscr{P}}$ and $P,Q\in{\mathscr{M}}$,
$\mathop{\rm Var}\nolimits_{S}\left[{t_{(P,Q)}(X)}\right]\leqslant
a_{2}\left[{\ell(S,P)+\ell(S,Q)}\right].$
This assumption is typically satisfied when $\ell$ behaves as the square of a
distance.
In the proposition below we provide families
${\mathscr{T}}(\ell,{\mathscr{M}})$ that do satisfy our requirements for loss
functions $\ell$ of interest. These results have been established in Baraud
(2021) except for the squared Hellinger loss for which we refer to Baraud and
Birgé (2018)[Proposition 3]. The list below is not exhaustive and other losses
can also be considered, especially those which are defined by a variational
formula of the form
$\ell(P,Q)=\sup_{f\in{\mathscr{F}}}\left[{\int_{E}fdP-\int_{E}fdQ}\right]$
where ${\mathscr{F}}$ is a suitable class of bounded functions. We refer to
Baraud (2021) for more details on the way the families
${\mathscr{T}}(\ell,{\mathscr{M}})$ can be obtained from such loss functions
$\ell$.
###### Proposition 1.
The following holds:
1. (1)
Total variation. Let ${\mathscr{P}}$ be the set of all probability measures on
$(E,{\mathcal{E}})$ and for $P,Q\in{\mathscr{P}}$,
$\ell(P,Q)=\left\|{P-Q}\right\|$ be the total variation loss (TV-loss for
short) between $P$ and $Q$. For any subset ${\mathscr{M}}$ of ${\mathscr{P}}$
dominated by some reference measure $\mu$, the family
${\mathscr{T}}(\ell,{\mathscr{M}})$ of functions $t_{(P,Q)}$ defined for
$P=p\cdot\mu,Q=q\cdot\mu\in{\mathscr{M}}$ by
(6) $t_{(P,Q)}=\frac{1}{2}\left[{1\hskip-2.6pt{\rm
l}_{q>p}-Q(q>p)}\right]-\frac{1}{2}\left[{1\hskip-2.6pt{\rm
l}_{p>q}-P(p>q)}\right]$
satisfies Assumption 2 with $\tau=1$ and Assumption 3 with $a_{0}=3/2$ and
$a_{1}=1/2$.
2. (2)
Hellinger distance. Let ${\mathscr{P}}$ be the set of all probability measures
on $(E,{\mathcal{E}})$ and $\ell(P,Q)=h^{2}(P,Q)$ the squared Hellinger
distance between the probabilities $P$ and $Q$. Besides, let $\psi$ be the
function defined by
$\begin{array}[]{l|rcl}\psi:&[0,+\infty]&\longrightarrow&[-1,1]\\\
&x&\longmapsto&{\begin{cases}\displaystyle{\frac{x-1}{x+1}}&\text{ if
$x\in[0,+\infty)$}\\\ 1&\text{ if $x=+\infty$.}\end{cases}}\end{array}$
For any subset ${\mathscr{M}}$ of ${\mathscr{P}}$ dominated by some reference
measure $\mu$, the family ${\mathscr{T}}(\ell,{\mathscr{M}})$ of functions
$t_{(P,Q)}$ defined for $P=p\cdot\mu,Q=q\cdot\mu\in{\mathscr{M}}$ by
(7) $t_{(P,Q)}=\frac{1}{2}\psi\left({\sqrt{\frac{q}{p}}}\right)$
(with the conventions $0/0=1$ and $x/0=+\infty$ for all $x>0$) satisfies
Assumption 2 with $\tau=2$ and Assumption 4 with $a_{0}=2$, $a_{1}=3/16$,
$a_{2}=3\sqrt{2}/4$.
3. (3)
${\mathbb{L}}_{j}$-loss with $1<j<+\infty$. For $j\in(1,+\infty)$, let
${\mathscr{P}}_{j}$ be the set of all finite and signed measures on
$(E,{\mathcal{E}},\mu)$ of the form $P=p\cdot\mu$ with
$p\in{\mathscr{L}}_{j}(E,\mu)\cap{\mathscr{L}}_{1}(E,\mu)$. Let
${\mathscr{P}}$ be the subset that gathers the probability measures that are
in ${\mathscr{P}}_{j}$. For any subset
${\mathscr{M}}=\left\\{{P=p\cdot\mu,\;p\in{\mathcal{M}}}\right\\}$ of
${\mathscr{P}}_{j}$ for which ${\mathcal{M}}$ satisfies for some $R>0$
(8) $\hskip 22.76219pt\left\|{p-q}\right\|_{\infty}\leqslant
R\left\|{p-q}\right\|_{\mu,j}\quad\text{for all $p,q\in{\mathcal{M}}$,}$
we define for $P=p\cdot\mu$ and $Q=q\cdot\mu$ in ${\mathscr{M}}$,
$\hskip
34.1433ptf_{(P,Q)}=\frac{\left({p-q}\right)_{+}^{j-1}-\left({p-q}\right)_{-}^{j-1}}{\left\|{p-q}\right\|_{\mu,j}^{j-1}}\quad\text{when
}P\neq Q\quad\text{and}\quad f_{(P,P)}=0.$
Then, the family ${\mathscr{T}}(\ell,{\mathscr{M}})$ of functions $t_{(P,Q)}$
defined for $P,Q\in{\mathscr{M}}$ by
(9)
$t_{(P,Q)}=\frac{1}{2R^{j-1}}\left[{\int_{E}f_{(P,Q)}\frac{dP+dQ}{2}-f_{(P,Q)}}\right]$
satisfies Assumption 2 with $\tau=1$ and Assumption 3 with
$a_{0}=3/(4R^{j-1})$ and $a_{1}=1/(4R^{j-1})$ for the loss $\ell=\ell_{j}$
with $\ell_{j}(P,Q)=\left\|{p-q}\right\|_{\mu,j}$ for all $P=p\cdot\mu$ and
$Q=q\cdot\mu$ in ${\mathscr{P}}_{j}$.
For the TV-loss, the family of functions ${\mathscr{T}}(\ell,{\mathscr{M}})$
defined by (6) may occasionally satisfy the stronger Assumption 4 for some
specific models ${\mathscr{M}}$, as we shall see in Section 5.2.
When $j=2$, (8) is typically satisfied when ${\mathcal{M}}$ is a subset of a
linear space enjoying good connections between the ${\mathbb{L}}_{2}$ and the
supremum norms. Many finite dimensional linear spaces with good approximation
properties do satisfy such connections (e.g. piecewise polynomials of a fixed
degree on a regular partition of $[0,1]$, trigonometric polynomials on $[0,1)$
etc.). We refer the reader to Birgé and Massart (1998)[Section 3] for
additional examples. The property may also hold for infinite dimensional
linear spaces as proven in Baraud (2021).
## 4\. Construction of the posterior distribution and main results
### 4.1. Construction of the posterior distribution
It relies on two positive numbers $\beta$ and $\lambda$ such that
(10) $\lambda=(1+{c})\beta\quad\text{with}\quad{c}>0\>\text{ satisfying
}\>{c}_{0}=(1+{c})-{c}(a_{0}/a_{1})>0.$
Given $\ell$, ${\mathscr{M}}$ and the family
${\mathscr{T}}(\ell,{\mathscr{M}})$, we set
${\mathbf{T}}({\boldsymbol{X}},P,Q)=\sum_{i=1}^{n}t_{(P,Q)}(X_{i})\quad\text{for
all $P,Q\in{\mathscr{M}}$}$
and define $\widetilde{\pi}_{{\boldsymbol{X}}}(\cdot|P)$ as the probability on
$({\mathscr{M}},{\mathcal{A}},\pi)$ with density
$\frac{d\widetilde{\pi}_{{\boldsymbol{X}}}(\cdot|P)}{d\pi}:Q\mapsto\frac{\exp\left[{\lambda{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]}{\int_{{\mathscr{M}}}\exp\left[{\lambda{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}.$
Then, for $P\in{\mathscr{M}}$ we set
$\displaystyle{\mathbf{T}}({\boldsymbol{X}},P)$
$\displaystyle=\int_{{\mathscr{M}}}{\mathbf{T}}({\boldsymbol{X}},P,Q)d\widetilde{\pi}_{{\boldsymbol{X}}}(Q|P)$
$\displaystyle=\int_{{\mathscr{M}}}{\mathbf{T}}({\boldsymbol{X}},P,Q)\frac{\exp\left[{\lambda{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}{\int_{{\mathscr{M}}}\exp\left[{\lambda{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}$
and finally define the posterior distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ on $({\mathscr{M}},{\mathcal{A}},\pi)$ with
density
(11)
$\frac{d\widehat{\pi}_{{\boldsymbol{X}}}}{d\pi}:P\mapsto\frac{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}{\int_{{\mathscr{M}}}\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]d\pi(P)}.$
Our Assumption 3-(i) ensures that
$d\widetilde{\pi}_{{\boldsymbol{X}}}(\cdot|P)/d\pi$ is a measurable function
of $({\boldsymbol{X}},P,Q)$ and $d\widehat{\pi}_{{\boldsymbol{X}}}/d\pi$ a
measurable function of $({\boldsymbol{X}},P)$.
Even though we focus on the concentration properties of the posterior
distribution $\widehat{\pi}_{{\boldsymbol{X}}}$, one may alternatively be
interested in some estimators that derives from
$\widehat{\pi}_{{\boldsymbol{X}}}$ and that are obtained by calculating
integrals of the form
$I=\int_{{\mathscr{M}}}F(P)d\widehat{\pi}_{{\boldsymbol{X}}}(P)$
where $F$ is a real-valued $\pi$-integrable function on
$({\mathscr{M}},{\mathcal{A}})$. For typical choices of $F$, $I$ gives the
expected mean, mode or median of the posterior distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ whenever these quantities make sense. One
may also choose $F:P\mapsto 1\hskip-2.6pt{\rm
l}_{P\in{\mathscr{B}}(P_{0},{\varepsilon})}$ with $P_{0}\in{\mathscr{M}}$ and
${\varepsilon}>0$ in order to compute the (posterior) probability that
$\ell(\widehat{P},P_{0})$ is not larger than ${\varepsilon}$ when
$\widehat{P}\sim\widehat{\pi}_{{\boldsymbol{X}}}$.
Interestingly, the integral $I$ can be approximated by Monte Carlo as follows.
Assume that the prior $\pi$ admits a density of the form $C^{-1}\Pi$ with
respect to a given probability measure $\nu$ where $\Pi$ is a nonnegative
$\nu$-integrable function on $({\mathscr{M}},{\mathcal{A}})$ and
$C=\int_{{\mathscr{M}}}\Pi(P)d\nu(P)>0$ a positive normalizing constant (that
will not be involved in our calculation). Let $P_{1},\ldots,P_{N}$ be an
$N$-sample with distribution $\nu$ and for each $i\in\\{1,\ldots,N\\}$,
$Q_{i}^{(1)},\ldots,Q_{i}^{(N^{\prime})}$ an independent $N^{\prime}$-sample
with the same distribution. We may approximate $I$ by
$\widehat{I}_{N,N^{\prime}}=\sum_{i=1}^{N}F(P_{i})\frac{\exp\left[{-\beta
W_{i,N^{\prime}}(P_{i})}\right]\Pi(P_{i})}{\sum_{i^{\prime}=1}^{N}\exp\left[{-\beta
W_{i^{\prime},N^{\prime}}(P_{i^{\prime}})}\right]\Pi(P_{i^{\prime}})}$
where for all $i\in\\{1,\ldots,N\\}$,
$W_{i,N^{\prime}}(P_{i})=\sum_{j=1}^{N^{\prime}}T({\boldsymbol{X}},P_{i},Q_{i}^{(j)})\frac{\exp\left[{\lambda
T({\boldsymbol{X}},P_{i},Q_{i}^{(j)})}\right]\Pi(Q_{i}^{(j)})}{\sum_{j^{\prime}=1}^{N^{\prime}}\exp\left[{\lambda
T({\boldsymbol{X}},P_{i},Q_{i}^{(j^{\prime})})}\right]\Pi(Q_{i}^{(j^{\prime})})}.$
It is then easy to check that by the law of large numbers,
$\lim_{N\to+\infty}\left[{\lim_{N^{\prime}\to+\infty}\widehat{I}_{N,N^{\prime}}}\right]=I.$
### 4.2. Connection with the classical Bayes posterior distribution
As we shall see now, the classical Bayes posterior distribution is a
particular case of the posterior-type ones we have introduced in the previous
section. We recall that the Kullback-Leibler divergence loss $K(P,Q)$ between
two probabilities $P,Q$ on $(E,{\mathcal{E}})$ is defined by
$K(P,Q)=\begin{cases}\displaystyle{\int_{E}\log\left({\frac{dP}{dQ}}\right)dP}&\text{when
}P\ll Q;\\\ +\infty&\text{otherwise }.\end{cases}$
Let us now consider a family of equivalent probabilities ${\mathscr{M}}$ that
satisfy for some $a>0$ and suitable versions of their densities $dQ/dP$ the
following inequalities
$e^{-a}\leqslant\frac{dP}{dQ}(x)\leqslant e^{a}\quad\text{for all $x\in E$ and
$P,Q\in{\mathscr{M}}$}.$
The loss $\ell(\cdot,\cdot)=K(\cdot,\cdot)$ is then well-defined on
${\mathscr{M}}$ and it follows from Baraud (2021)[Proposition 12] that the
families of functions
${\mathscr{T}}(\ell,{\mathscr{M}})=\left\\{{t_{(P,Q)}=\frac{1}{2a}\log\left({\frac{dQ}{dP}}\right),\;P,Q\in{\mathscr{M}}}\right\\}$
satisfies our Assumptions 3 and 4 with $a_{0}=a_{1}=1/(2a)$ and
$a_{2}=2a/[\tanh(a/2)]$. Note that given $P,Q\in{\mathscr{M}}$, $P\neq Q$, the
test based on the sign of $t_{(P,Q)}$ is the classical likelihood ratio test
between $P$ and $Q$.
If we apply the construction described in Section 4.1 to the family
${\mathscr{T}}(\ell,{\mathscr{M}})$ with an arbitrary choice of $\lambda>0$,
we obtain that for all $P,Q,P_{0}\in{\mathscr{M}}$,
$t_{(P,Q)}=t_{(P_{0},Q)}-t_{(P,P_{0})}$ hence
${\mathbf{T}}({\boldsymbol{X}},P,Q)={\mathbf{T}}({\boldsymbol{X}},P_{0},Q)-{\mathbf{T}}({\boldsymbol{X}},P_{0},P)$
and the function
$\displaystyle
Q\mapsto\frac{\exp\left[{\lambda{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]}{\int_{{\mathscr{M}}}\exp\left[{\lambda{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}=\frac{\exp\left[{\lambda{\mathbf{T}}({\boldsymbol{X}},P_{0},Q)}\right]}{\int_{{\mathscr{M}}}\exp\left[{\lambda{\mathbf{T}}({\boldsymbol{X}},P_{0},Q)}\right]d\pi(Q)}$
is the density (with respect to $\pi$) of a probability measure
$\widetilde{\pi}_{{\boldsymbol{X}}}$ which is independent of $P$.
Consequently,
$\displaystyle{\mathbf{T}}({\boldsymbol{X}},P)$
$\displaystyle=\int_{{\mathscr{M}}}{\mathbf{T}}({\boldsymbol{X}},P,Q)d\widetilde{\pi}_{{\boldsymbol{X}}}(Q)$
$\displaystyle=\int_{{\mathscr{M}}}{\mathbf{T}}({\boldsymbol{X}},P_{0},Q)\widetilde{\pi}_{{\boldsymbol{X}}}(Q)-{\mathbf{T}}({\boldsymbol{X}},P_{0},P)$
$\displaystyle=\int_{{\mathscr{M}}}{\mathbf{T}}({\boldsymbol{X}},P_{0},Q)\widetilde{\pi}_{{\boldsymbol{X}}}(Q)-\frac{1}{2a}\sum_{i=1}^{n}\log\left({\frac{dP}{dP_{0}}}\right)(X_{i}).$
Our posterior distribution is then given by
$\displaystyle\frac{d\widehat{\pi}_{{\boldsymbol{X}}}}{d\pi}(P)$
$\displaystyle=\frac{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}{\int_{{\mathscr{M}}}\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]d\pi(P)}=\frac{\left[{\prod_{i=1}^{n}(dP/dP_{0})(X_{i})}\right]^{\beta/(2a)}}{\int_{{\mathscr{M}}}\left[{\prod_{i=1}^{n}(dP/dP_{0})(X_{i})}\right]^{\beta/(2a)}d\pi(P)}.$
It recovers the classical Bayes posterior one for the choice $\beta=2a$ while
for other values of $\beta$ it leads to those posterior distributions based on
fractional likelihoods.
Nevertheless, in the present paper we restrict our study to loss functions
that satisfy some triangle-type inequality – see Assumption 2– which excludes
the Kullback-Leibler divergence.
### 4.3. The influence of the prior
The Bayesian paradigm offers the possibility to favour some elements of
${\mathscr{M}}$ as compared to others. In order to evaluate how much the prior
$\pi$ advantages or disadvantages an element $P\in{\mathscr{M}}$, we fix some
number $\gamma>0$ and introduce the set
${\mathcal{R}}(\beta,P)=\left\\{{{r}\geqslant\frac{1}{n\beta
a_{1}},\;\frac{\pi\left({{\mathscr{B}}(P,2{r}^{\prime})}\right)}{\pi\left({{\mathscr{B}}(P,{r}^{\prime})}\right)}\leqslant\exp\left({\gamma
n\beta a_{1}{r}^{\prime}}\right),\text{ for all
${r}^{\prime}\geqslant{r}$}}\right\\}$
with the convention $a/0=+\infty$ for all $a\geqslant 0$. We then define
(12) ${r}_{n}(\beta,P)=\inf{\mathcal{R}}(\beta,P).$
It follows from the definition of ${r}_{n}(\beta,P)$ that
(13) $\displaystyle
0<\pi\left({{\mathscr{B}}(P,2{r})}\right)\leqslant\exp\left({\gamma n\beta
a_{1}{r}}\right)\pi\left({{\mathscr{B}}(P,{r})}\right)\quad\text{for all
}{r}>{r}_{n}(\beta,P).$
Letting ${r}$ decrease to ${r}_{n}(\beta,P)$, we derive that (13) also holds
for ${r}={r}_{n}(\beta,P)$. In particular,
$\pi\left({{\mathscr{B}}(P,r)}\right)>0$ for ${r}={r}_{n}(\beta,P)$.
The connection between the behaviour of the prior $\pi$ in the vicinity of an
element $P\in{\mathscr{M}}$ and the quantity ${r}_{n}(\beta,P)$ can be made as
follows. Clearly, if the prior puts no mass on the $\ell$-ball
${\mathscr{B}}(P,{r})$ then ${r}_{n}(\beta,P)>{r}$ and ${r}_{n}(\beta,P)$ is
therefore large if ${r}$ is large. In the opposite case, if the prior puts
enough mass on ${\mathscr{B}}(P,{r})$ in the sense that
(14) $\pi\left({{\mathscr{B}}(P,{r})}\right)\geqslant\exp\left({-\gamma n\beta
a_{1}{r}}\right),$
then for all ${r}^{\prime}\geqslant{r}$,
$\displaystyle\pi\left({{\mathscr{B}}(P,{r}^{\prime})}\right)$
$\displaystyle\geqslant\exp\left({-\gamma n\beta
a_{1}{r}}\right)\geqslant\exp\left({-\gamma n\beta a_{1}{r}^{\prime}}\right)$
$\displaystyle\geqslant\exp\left({-\gamma n\beta
a_{1}{r}^{\prime}}\right)\pi\left({{\mathscr{B}}(P,2{r}^{\prime})}\right)$
hence,
$\frac{\pi\left({{\mathscr{B}}(P,2{r}^{\prime})}\right)}{\pi\left({{\mathscr{B}}(P,{r}^{\prime})}\right)}\leqslant\exp\left({\gamma
n\beta a_{1}{r}^{\prime}}\right)\quad\text{and
${r}_{n}(\beta,P)\leqslant{r}$.}$
The quantity ${r}_{n}(\beta,P)$ is therefore small if ${r}$ is small. Although
(14) is not equivalent to (13) (it is actually stronger), the previous
arguments provide a partial view on the relationship between $\pi$ and
${r}_{n}$ and conditions to decide whether $P$ is favoured by $\pi$ or not,
according to the size of ${r}_{n}(\beta,P)$.
### 4.4. A first result on the concentration property of the posterior
distribution
Following the previous discussion, when the set
(15)
${\mathscr{M}}(\beta)=\left\\{{P\in{\mathscr{M}},\;{r}_{n}(\beta,P)\leqslant
a_{1}^{-1}\beta}\right\\}$
is nonempty, it gathers the most favoured elements of the model
$({\mathscr{M}},\pi)$ at level $a_{1}^{-1}\beta$. If
$a_{1}^{-1}\beta\geqslant(n\beta a_{1})^{-1}$ or equivalently $\beta\geqslant
1/\sqrt{n}$, the set ${\mathscr{M}}(\beta)$ can alternatively be defined as
(16) $\displaystyle{\mathscr{M}}(\beta)$
$\displaystyle=\left\\{{P\in{\mathscr{M}},\;\sup_{r\geqslant
a_{1}^{-1}\beta}\left[{\frac{1}{\gamma
na_{1}{r}}\log\left({\frac{\pi\left({{\mathscr{B}}(P,2{r})}\right)}{\pi\left({{\mathscr{B}}(P,{r})}\right)}}\right)}\right]\leqslant\beta}\right\\}.$
It is sometimes easier to use this latter form for calculations. The set
${\mathscr{M}}(\beta)$ will play a crucial role in our first result below.
###### Theorem 1.
Assume that the model $({\mathscr{M}},\pi)$ and the loss $\ell$ satisfy
Assumption 1 and 2 and the family ${\mathscr{T}}(\ell,{\mathscr{M}})$
Assumption 3. Let $\gamma<(c_{0}\wedge{c})/(2\tau)$ and let $\beta\geqslant
1/\sqrt{n}$ be chosen in such a way that the set ${\mathscr{M}}(\beta)$
defined by (15) is not empty. Then, the posterior distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ defined by (11) possesses the following
property. There exists $\kappa_{0}>0$ only depending on ${c},\tau,\gamma$ and
the ratio $a_{0}/a_{1}$ such that, for all $\xi>0$ and distribution
${\mathbf{P}}^{\star}$ with marginals in ${\mathscr{P}}$, with
(17)
${r}=\inf_{P\in{\mathscr{M}}(\beta)}\ell(\overline{P}^{\star},P)+\frac{1}{a_{1}}\left({\beta+\frac{2\xi}{n\beta}}\right).$
In particular,
$\displaystyle{\mathbb{P}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}(\overline{P}^{\star},\kappa_{0}{r})}\right)\geqslant
e^{-\xi/2}}\right]\leqslant 2e^{-\xi/2}.$
The value of $\kappa_{0}$ is given in (88) in the proof. It only depends on
the choice of the loss function $\ell$ (and not on $\pi$). Hence, for a given
loss function $\ell$, $\kappa_{0}$ is a numerical constant.
For the total variation distance we may take $a_{0}=3/2$, $a_{1}=1/2$,
${c}={c}_{0}=1/3$, $\tau=1$ and $\gamma=1/100$. Then (LABEL:eq-thm01) is
satisfied with $\kappa_{0}=220$ and Theorem 1 can be rephrased as follows.
###### Corollary 1.
Assume that $({\mathscr{M}},\pi)$ is a model that satisfies Assumption 1 for
the TV-loss $\ell=\left\|{\cdot}\right\|$. Let $\beta\geqslant 1/\sqrt{n}$ and
$\widehat{\pi}_{{\boldsymbol{X}}}$ the posterior distribution defined by (11)
with ${c}=1/3$ and the family ${\mathscr{T}}(\ell,{\mathscr{M}})$ given in
Proposition 1-(1). Whatever the number $\xi>0$ and the distribution
${\mathbf{P}}^{\star}$, with a probability at least $1-2e^{-\xi/2}$ a
randomized estimator $\widehat{P}$ with distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ satisfies with a probability at least
$1-e^{-\xi/2}$
$\left\|{\widehat{P}-\overline{P}^{\star}}\right\|\leqslant
220\left\\{{\inf_{P\in{\mathscr{M}}(\beta)}\left\|{P-\overline{P}^{\star}}\right\|+2\left({\beta+\frac{2\xi}{n\beta}}\right)}\right\\}$
where
${\mathscr{M}}(\beta)=\left\\{{P\in{\mathscr{M}},\;\sup_{r\geqslant
2\beta}\left[{\frac{200}{n{r}}\log\left({\frac{\pi\left({{\mathscr{B}}(P,2{r})}\right)}{\pi\left({{\mathscr{B}}(P,{r})}\right)}}\right)}\right]\leqslant\beta}\right\\}.$
Let us now comment on Theorem 1. When the data are truly i.i.d. and the prior
puts enough mass around their common distribution $P^{\star}$, in the sense
that $P^{\star}\in{\mathscr{M}}(\beta)$, then
$r=a_{1}^{-1}[\beta+2\xi/(n\beta)]$. When this ideal situation is not met,
either because the data are not identically distributed or because $P^{\star}$
does not belong to ${\mathscr{M}}(\beta)$, $r$ increases by at most an
additive term of order
$\inf_{P\in{\mathscr{M}}(\beta)}\ell(\overline{P}^{\star},P)$. When this
quantity remains small as compared to $a_{1}^{-1}\beta$, the value of $r$ does
not deteriorate too much as compared to the previous situation.
In the case of the TV-loss, we may write by convexity
$\inf_{P\in{\mathscr{M}}(\beta)}\left\|{P-\overline{P}^{\star}}\right\|\leqslant\inf_{P\in{\mathscr{M}}(\beta)}\left[{\frac{1}{n}\sum_{i=1}^{n}\left\|{P-P_{i}^{\star}}\right\|}\right]$
and the left-hand side is therefore small when there exists
$P\in{\mathscr{M}}(\beta)$ that approximates well most of the marginals of
${\mathbf{P}}^{\star}$. For such a loss, the concentration properties of the
posterior distribution is therefore stable with respect to a possible
misspecification of the model and a departure from the equidistribution
assumption.
In fact, as we shall see in our Example 1, the average distribution
$\overline{P}^{\star}$ may belong to ${\mathscr{M}}(\beta)$ even when none of
the marginals $P_{i}^{\star}$ does. This means that in good situations, the
posterior distribution may concentrates around $\overline{P}^{\star}$, as it
would do in the i.i.d. case when the distribution of the data does belong to
${\mathscr{M}}(\beta)$, even when the data are non-i.i.d. and their marginals
do not belong to ${\mathscr{M}}(\beta)$.
The value of ${r}$ given by (LABEL:eq-thm01) depends on the choice of the
parameter $\beta$. Since the set ${\mathscr{M}}(\beta)$ is increasing with
$\beta$ (for the inclusion), the two terms
$\inf_{P\in{\mathscr{M}}(\beta)}\ell(\overline{P}^{\star},P)$ and
$a_{1}^{-1}\beta$ vary in opposite directions when $\beta$ increases. The set
${\mathscr{M}}(\beta)$ must be large enough to provide a suitable
approximation of $\overline{P}^{\star}$ and therefore include as many elements
of ${\mathscr{M}}$ as possible since $\overline{P}^{\star}$ is unknown, but
$\beta$ must not be too large in order to keep $a_{1}^{-1}\beta$ to a
reasonable size. Practically, we recommend to choose
(18)
$\beta=\beta_{\alpha}=\inf\left\\{{\beta^{\prime}\geqslant\frac{1}{\sqrt{n}},\;\pi\left({{\mathscr{M}}(\beta^{\prime})}\right)\geqslant
1-\alpha}\right\\}$
for some small $\alpha\in(0,1/10)$. In order to specify what would be the
order of magnitude of such a value of $\beta$, and consequently the value of
the radius ${r}$ defined by (17), we first consider the following simple
example below. Another example will be presented in the translation model in
Section 5.1.
###### Example 1.
Assume that ${\mathscr{M}}$ is a parametric model indexed by a suitable
bounded subset $\Theta$ of ${\mathbb{R}}^{D}$, $D\geqslant 1$, and that $\pi$
behaves like the uniform distribution on $\Theta$. More precisely, assume that
for all $P\in{\mathscr{M}}$ and ${r}>0$
$\left({A{r}}\right)^{D}\wedge
1\leqslant\pi\left({{\mathscr{B}}(P,{r})}\right)\leqslant\left({B{r}}\right)^{D}\wedge
1$
for some positive numbers $A\leqslant B$. Note that this assumption implies
that $\pi\left({{\mathscr{B}}(P,A^{-1})}\right)=1$ for all $P\in{\mathscr{M}}$
so that the diameter of the support of $\pi$ is bounded by $2\tau A^{-1}$.
Then,
(19)
$\frac{\pi\left({{\mathscr{B}}(P,2{r})}\right)}{\pi\left({{\mathscr{B}}(P,{r})}\right)}\leqslant\left({\frac{2B}{A}}\right)^{D}\quad\text{for
all }P\in{\mathscr{M}}\quad\text{and}\quad r>0$
which implies that for all $P\in{\mathscr{M}}$
$\sup_{r\geqslant a_{1}^{-1}\beta}\left[{\frac{1}{\gamma
na_{1}{r}}\log\left({\frac{\pi\left({{\mathscr{B}}(P,2{r})}\right)}{\pi\left({{\mathscr{B}}(P,{r})}\right)}}\right)}\right]\leqslant\frac{D}{\gamma
n\beta}\log\left({\frac{2B}{A}}\right)$
and we note that the right-hand side is not larger than $\beta\geqslant
1/\sqrt{n}$ for
(20) $\beta=\sqrt{\frac{D\log(2B/A)}{\gamma n}}\vee\frac{1}{\sqrt{n}}.$
This means that for such a value of $\beta$, which does not depend on the
distribution the data, the set ${\mathscr{M}}(\beta)$ contains
$P\in{\mathscr{M}}$ and since $P$ is arbitrary we obtain that
${\mathscr{M}}(\beta)={\mathscr{M}}$, hence $\pi({\mathscr{M}}(\beta))=1$. We
derive from Theorem 1 that the distribution $\widehat{\pi}_{{\boldsymbol{X}}}$
concentrates on an $\ell$-ball centered at $\overline{P}^{\star}$ with a
radius of order
${r}=\inf_{P\in{\mathscr{M}}}\ell(\overline{P}^{\star},P)+\frac{1}{a_{1}}\left({\sqrt{\frac{D}{n}}+\frac{2\xi}{\sqrt{nD}}}\right).$
In particular we derive, using Proposition 1, that for the TV-loss
${r}=\inf_{P\in{\mathscr{M}}}\left\|{\overline{P}^{\star}-P}\right\|+2\left({\sqrt{\frac{D}{n}}+\frac{2\xi}{\sqrt{nD}}}\right).$
If for each $i\in\\{1,\ldots,n\\}$, $P_{i}^{\star}$ is the uniform
distributions on $[(i-1)/n,i/n]$ and ${\mathscr{M}}$ contains the uniform
distribution on $[0,1]$, ${\mathscr{M}}$ contains $\overline{P}^{\star}$, even
when none of the marginals $P_{i}^{\star}$ belongs to ${\mathscr{M}}$, and we
derive that
${r}=2\left({\sqrt{\frac{D}{n}}+\frac{2\xi}{\sqrt{nD}}}\right).$
The posterior distribution then concentrates around $\overline{P}^{\star}$ at
parametric rate.
If ${\mathscr{M}}$ is now of the form
${\mathscr{M}}=\left\\{{P=p\cdot\mu,\;p\in{\mathcal{M}}}\right\\}$ with
${\mathcal{M}}$ satisfying (8) and $\ell$ is the $\ell_{j}$-loss with
$j\in(1,+\infty)$, we obtain that for any distribution
${\mathbf{P}}^{\star}=\bigotimes_{1=1}^{n}(p_{i}^{\star}\cdot\mu)$ with
$p_{1}^{\star},\ldots,p_{n}^{\star}\in{\mathscr{L}}_{j}(E,{\mathcal{E}},\mu)$,
$r=\inf_{p\in{\mathcal{M}}}\left\|{\frac{1}{n}\sum_{i=1}^{n}p_{i}^{\star}-p}\right\|_{\mu,j}+4R^{j-1}\left({\sqrt{\frac{D}{n}}+\frac{2\xi}{\sqrt{nD}}}\right).$
In this preliminary example, we see that the choice $\beta$ depends on the
dimension of the statistical model ${\mathscr{M}}$ and on the number of
observations $n$. Quite surprisingly, the status of $\beta$ changes
drastically when Assumption 4 is met as we shall see in the next section.
### 4.5. The concentration property of the posterior distribution under
Assumption 4
Let us define the mapping
(21)
$\begin{array}[]{l|rcl}\phi:&(0,+\infty)&\longrightarrow&{\mathbb{R}}_{+}\\\
&z&\longmapsto&\displaystyle{\phi(z)=\frac{2\left({e^{z}-1-z}\right)}{z^{2}}}.\end{array}$
The function $\phi$ is increasing on $(0,+\infty)$ and tends to $1$ when $z$
tends to 0.
###### Theorem 2.
Assume that the model $({\mathscr{M}},\pi)$ and the loss $\ell$ satisfy
Assumption 1 and 2 and the family ${\mathscr{T}}(\ell,{\mathscr{M}})$
Assumption 4. Define
(22) $\displaystyle\overline{c}_{1}$ $\displaystyle={c}_{0}-\beta
a_{2}a_{1}^{-1}\tau^{2}\phi\left[{\beta(1+2{c})}\right](1+2{c}(1+{c}));$ (23)
$\displaystyle\overline{c}_{2}$ $\displaystyle={c}-\beta
a_{2}a_{1}^{-1}\tau^{2}\phi\left[{\beta(1+2{c})}\right]{c}^{2};$ (24)
$\displaystyle\overline{c}_{3}$ $\displaystyle=(2+{c})-\beta
a_{2}a_{1}^{-1}\tau^{2}\phi\left[{\beta(3+2{c})}\right](2+{c})^{2}.$
Let
$\gamma<(\overline{c}_{1}\wedge\overline{c}_{2}\wedge\overline{c}_{3})/(2\tau)$
and $\beta_{0}$ be the value of $\beta$ for which
$\overline{c}_{1}\wedge\overline{c}_{2}\wedge\overline{c}_{3}=0$. Then, for
$\beta\in(0,\beta_{0})$, the posterior distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ defined by (11) satisfies the following
property. There exists $\kappa_{0}>0$ only depending on
$a_{0},a_{1},a_{2},{c},\tau,\beta$ and $\gamma$ such that, for all $\xi>0$ and
distribution ${\mathbf{P}}^{\star}$ with marginals in ${\mathscr{P}}$,
(25)
$\displaystyle{\mathbb{E}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}(\overline{P}^{\star},\kappa_{0}{r})}\right)}\right]\leqslant
2e^{-\xi}$
with
(26)
${r}=\inf_{P\in{\mathscr{M}}}\left[{\ell(\overline{P}^{\star},P)+{r}_{n}(\beta,P)}\right]+\frac{2\xi}{n\beta
a_{1}}.$
In particular,
$\displaystyle{\mathbb{P}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}(\overline{P}^{\star},\kappa_{0}{r})}\right)\geqslant
e^{-\xi/2}}\right]\leqslant 2e^{-\xi/2}.$
The value of $\kappa_{0}$ is available from the proof (more precisely (101))
as functions of $a_{0},a_{1},a_{2},{c},\tau$ and $\gamma$. Note that the
constraints on ${c},\beta$ and $\gamma$ that are required in our Theorem 2
only depend on $a_{0},a_{1}$ and $a_{2}$, hence on the choice of the loss
function $\ell$, but not on the model $({\mathscr{M}},\pi)$. In particular,
unlike Theorem 1, the value of $\beta$ can be chosen as a universal constant
once the loss function is fixed.
For example, when $\ell=h^{2}$, we know from Proposition 1 that $a_{0}=2$,
$a_{1}=3/16$ and $a_{2}=3\sqrt{2}/4$, and we may take ${c}=1/125$,
$\beta=2\gamma=1/500$, $\tau=2$ and $\kappa_{0}=1694$. Then, Theorem 2 can
then be rephrased as follows.
###### Corollary 2.
Assume that $({\mathscr{M}},\pi)$ is a model that satisfies Assumption 1 for
the square Hellinger loss $\ell=h^{2}$. The posterior distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ defined by (11) with $\beta=1/500$,
${c}=1/125$ and the family ${\mathscr{T}}(\ell,{\mathscr{M}})$ given in
Proposition 1-(2) satisfies the following property. Whatever the number
$\xi>0$ and the distribution ${\mathbf{P}}^{\star}$, with a probability at
least $1-2e^{-\xi/2}$ a randomized estimator $\widehat{P}$ with distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ satisfies with a probability at least
$1-e^{-\xi/2}$
$h^{2}\left({\overline{P}^{\star},\widehat{P}}\right)\leqslant
1694\left\\{{\inf_{P\in{\mathscr{M}}}\left[{h^{2}\left({\overline{P}^{\star},P}\right)+{r}_{n}(\beta,P)}\right]+\frac{5334\xi}{n}}\right\\}$
where, for all $P\in{\mathscr{M}}$,
${r}_{n}(\beta,P)=\inf\left\\{{{r}\geqslant\frac{8000}{3n},\;\frac{\pi\left({{\mathscr{B}}(P,2{r}^{\prime})}\right)}{\pi\left({{\mathscr{B}}(P,{r}^{\prime})}\right)}\leqslant\exp\left({\frac{3n{r}^{\prime}}{8.10^{6}}}\right)\quad\text{for
all ${r}^{\prime}\geqslant{r}$}}\right\\}.$
As for the total variation distance, we may write by convexity that
$\inf_{P\in{\mathscr{M}}}h^{2}\left({\overline{P}^{\star},P}\right)\leqslant\inf_{P\in{\mathscr{M}}}\left[{\frac{1}{n}\sum_{i=1}^{n}h^{2}\left({P_{i}^{\star},P}\right)}\right]$
and the left-hand side is small when there exists an element
$P\in{\mathscr{M}}$ that approximate well most of the marginal distribution
$P_{i}^{\star}$ with respect to the Hellinger distance. If for such a $P$, the
quantity ${r}_{n}(\beta,P)$ is small enough, the posterior distribution
concentrates around $\overline{P}^{\star}$ just as it would do if the data
were truly i.i.d. with distribution $P\in{\mathscr{M}}$.
In order to illustrate Corollary 2 and compare it with Corollary 1, let us go
back to the framework of Example 1.
###### Example 2 (Example 1 continued).
Assume that $\ell=h^{2}$ is the squared Hellinger loss and that the constants
${c}$ and $\beta=2\gamma=1/500$ have been chosen as in Corollary 2. We see
that that the right-hand side of (19) is not larger than $\exp(\gamma
na_{1}\beta{r})$ provided that
${r}\geqslant\frac{D\log(2B/A)}{\gamma na_{1}\beta}.$
Since $\gamma<1/2<D\log(2B/A)$, the right-hand side of this latter inequality
is not smaller than $1/(n\beta a_{1})$ and we derive from the definition (12)
of ${r}_{n}(\beta,P)$ that
${r}_{n}(\beta,P)\leqslant\frac{D\log(2B/A)}{\gamma na_{1}\beta}\quad\text{for
all $P\in{\mathscr{M}}$.}$
By applying Corollary 2 we obtain that the posterior distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ concentrates on an $\ell$-ball with radius
of order $\inf_{P\in{\mathscr{M}}}\ell(\overline{P}^{\star},P)+D/n$, hence at
rate $1/n$ when the data are i.i.d. with distribution
$P^{\star}\in{\mathscr{M}}$.
Applying our Theorem 1 under the only Assumption 3 and ignoring the fact that
for the loss $\ell=h^{2}$ the family ${\mathscr{T}}(\ell,{\mathscr{M}})$
additionally satisfies Assumption 4, would lead to the weaker result that the
posterior distribution concentrates on an $\ell$-ball with radius of order
$\inf_{P\in{\mathscr{M}}}\ell(\overline{P}^{\star},P)+\sqrt{D/n}$, as for the
TV-loss. We conclude that Theorem 2 leads to a stronger result on the
concentration properties of $\widehat{\pi}_{{\boldsymbol{X}}}$ as compared to
Theorem 1 when the loss function $\ell$ satisfies Assumption 4 on the model
${\mathscr{M}}$.
## 5\. Applications
### 5.1. How to choose $\beta$ in Theorem 1 for a translation model?
In this section, we consider the translation model
${\mathscr{M}}=\\{P_{\theta}=p(\cdot-\theta)\cdot\mu,\theta\in{\mathbb{R}}\\}$
associated to a density $p$ on ${\mathbb{R}}$ with respect to the Lebesgue
measure $\mu$. Given a density $q$ on the real line and a scale parameter
$\sigma$, we estimate the location parameter $\theta$ by choosing the prior
$\nu_{\sigma}$ with density
$q_{\sigma}:\theta\mapsto\sigma^{-1}q(\theta/\sigma)$ with respect to $\mu$.
The prior $\pi$ on ${\mathscr{M}}$ is the image of $\nu_{\sigma}$ by the
mapping $\theta\mapsto P_{\theta}$ and we use the total variation distance to
measure the quality of an estimator of $P_{\theta}$.
If we want to apply our Bayesian-like approach to estimate the distribution
$P_{\theta}$, or equivalently $\theta$, we need to choose a suitable value of
$\beta$. Theorem 1 tells us that our posterior distribution concentrates
around $\overline{P}^{\star}$ with a radius of order
$r=\inf_{P\in{\mathscr{M}}(\beta)}\ell(\overline{P}^{\star},P)+\beta$
where ${\mathscr{M}}(\beta)$ is defined by (16). The size of
${\mathscr{M}}(\beta)$ increases with $\beta$ and in Section 4.4 we suggested
to choose $\beta=\beta_{\alpha}$ satisfying (18) for some $\alpha\in(0,1)$. In
this section, we want to be more specific and evaluate the size of a value of
$\beta$ that satisfies (18). Given an interval of the form $I=[-\sigma
t,\sigma t]$ with $t>0$, our aim is to find a value
$\overline{\beta}=\overline{\beta}(\sigma t)$ of $\beta$ for which the set
${\mathscr{M}}(\overline{\beta})$ is large enough to contain the subset
$\\{P_{\theta},\;\theta\in I\\}$, so that
$\pi\left({{\mathscr{M}}(\overline{\beta})}\right)\geqslant\pi\left({\\{P_{\theta},\;\theta\in
I\\}}\right)=\nu_{\sigma}(I)=\nu([-t,t]).$
In particular, if $q$ is symmetric and $t$ is the $(1-\alpha/2)$-quantile of
$q$, we deduce that
$\pi\left({{\mathscr{M}}(\overline{\beta})}\right)\geqslant 1-\alpha$, hence
that $\beta_{\alpha}\leqslant\overline{\beta}$.
We assume the following.
###### Assumption 5.
The density $q$ is positive, symmetric and decreasing on ${\mathbb{R}}_{+}$.
Besides, there exists $L\in(0,+\infty]$ such that the mapping $H$ defined by
(27) $\begin{array}[]{l|rcl}H:&[0,L)&\longrightarrow&[0,1)\\\
&t&\longmapsto&\left\|{P_{t}-P_{0}}\right\|\end{array}$
is bijective.
Under Assumption 5, $H$ is necessarily increasing on $[0,L)$ and we may define
its inverse $G:[0,1)\to[0,L)$. We set
(28) $\overline{\Gamma}=\max\left\\{{\left[{\sup_{0<r\leqslant
1/4}\frac{G(2r)}{G(r)}}\right]q(0),\frac{1}{2G(1/4)}}\right\\}$
and assume that this quantity is finite. Note that $\overline{\Gamma}$ only
depends on the choices of $p$ and $q$. For example, when $p(x)=(1/2)e^{-|x|}$,
$L=+\infty$, $H:t\mapsto 1-\exp\left[{-t/2}\right]$, $G:r\mapsto-2\log(1-r)$
and since the mapping $r\mapsto[G(2r)/G(r)]$ is increasing,
$\overline{\Gamma}=\frac{1}{\log(4/3)}\max\left\\{{q(0)\log
2,\frac{1}{4}}\right\\}.$
If $p:x\mapsto(\alpha/2)(1-|x|)^{-1+\alpha}1\hskip-2.6pt{\rm l}_{|x|<1}$ with
$\alpha>0$, $L=2$, $H:t\mapsto 1-(1-t/2)^{\alpha}$, $G:r\mapsto
2[1-(1-r)^{1/\alpha}]$. Since $G(r)\sim 2r/\alpha$ in a neighbourhood of 0,
the mapping $r\mapsto G(2r)/G(r)$ is continuous on $[0,1/4]$ and therefore
bounded. Given $q(0)$, $\overline{\Gamma}$ is therefore a finite number.
The following result is proven in Section (7.1).
###### Proposition 2.
Let $\gamma\leqslant\log 4$ and $t$ be a $(1-\alpha)$-quantile of $q$ with
$\alpha\leqslant 1/4$. Under Assumption 5, the set ${\mathscr{M}}(\beta)$
contains the subset $\left\\{{P_{\theta},\theta\in[-\sigma t,\sigma
t]}\right\\}$ if
(29)
$\beta\geqslant\overline{\beta}=\sqrt{\frac{1}{n\gamma}\max\left\\{{\log\left({\frac{\overline{\Gamma}\left({\sigma\vee
1}\right)}{q(2t)}}\right),\log 4}\right\\}}$
where $\overline{\Gamma}$ is defined by (28).
Let us now discuss this result. For a given prior $\nu_{\sigma}$, we see that
$\overline{\beta}$ is of order $1/\sqrt{n}$ and by choosing
$\beta=\overline{\beta}$, our posterior distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ concentrates around $\overline{P}^{\star}$
with a radius of order
$r=\inf_{P\in{\mathscr{M}}(\overline{\beta})}\ell(\overline{P}^{\star},P)+\frac{C}{\sqrt{n}}\leqslant\inf_{\theta\in[-\sigma
t,\sigma t]}\ell(\overline{P}^{\star},P_{\theta})+\frac{C}{\sqrt{n}}$
with
$C=\sqrt{\frac{1}{\gamma}\max\left\\{{\log\left({\frac{\overline{\Gamma}\left({\sigma\vee
1}\right)}{q(2t)}}\right),\log 4}\right\\}}.$
We note that the larger $I=[-\sigma t,\sigma t]$, the smaller the
approximation term $\inf_{\theta\in[-\sigma t,\sigma
t]}\ell(\overline{P}^{\star},P_{\theta})$. Increasing the value of $\sigma$ or
$t$ to enlarge the interval $I=[-\sigma t,\sigma t]$ has an influence on the
value of $C=C(\sigma,t)$. In the first case, increasing $\sigma$ makes the
prior $\nu_{\sigma}$ flatter and for a fixed value of $t>0$, $C=C(\sigma)$
increases as $\sqrt{\log\sigma}$ when $\sigma$ is larger than 1. In the other
case, for a fixed value of $\sigma$ that determines the prior $\nu_{\sigma}$,
$C=C(t)$ increases as $\sqrt{\log(1/q(2t))}$. When $q$ is the density of a
standard Gaussian random variable, $\sqrt{\log(1/q(2t))}$ is of order $t$,
while for the Laplace and the Cauchy distributions it is of order $\sqrt{t}$
and $\sqrt{\log t}$ respectively.
### 5.2. Fast rates
We go back to the statistical framework described in Section 5.1 in the
special case where $p$ is the density $x\mapsto\alpha
x^{\alpha-1}1\hskip-2.6pt{\rm l}_{(0,1]}$ with $\alpha\in(0,1]$. As before, we
choose the TV-loss. Since $\ell$ is a distance we may take $\tau=1$ in
Assumption 1. Besides, we have seen in Proposition 1 that the family
${\mathscr{T}}({\mathscr{M}},\ell)$ given by (6) satisfies our Assumption 3
with $a_{0}=3/2$ and $a_{1}=1/2$. In fact, it turns out that in this specific
situation the TV-loss also satisfies Assumption 4 with $a_{2}=1$. This means
that from a more statistical point of view, the TV-loss rather behaves here as
the square of a distance. In addition, some simple calculations show that
(30)
$\left\|{P_{\theta}-P_{\theta^{\prime}}}\right\|=\left|{\theta-\theta^{\prime}}\right|^{\alpha}\wedge
1\quad\text{for all $\theta,\theta^{\prime}\in{\mathbb{R}}$.}$
These facts are proven in Baraud (2021) [Examples 5 and 6].
Since Assumption 4 holds true, we may apply Theorem 2 and the reader can check
that the constants ${c}=\beta=0.1$ and $\gamma=0.01$ satisfy the requirements
of this theorem. Besides, one may take in (25) $\kappa_{0}=144$.
To estimate the location parameter $\theta$, we choose a prior
$\nu_{\sigma}=\sigma^{-1}q(\cdot/\sigma)\cdot\mu$ associated to a density $q$
that satisfies the requirements of the first part of Assumption 5 and it
follows from (30) that the second part of Assumption 5 is satisfied with
$L=1$, $G:r\to r^{1/\alpha}$ and
$\overline{\Gamma}=2^{1/\alpha}\max\left\\{{q(0),2^{(1/\alpha)-1}}\right\\}.$
We prove in Section 7.2 the following result.
###### Proposition 3.
Let $t_{0}$ be the third quartile of $\nu_{1}$. If the density $q$ is
positive, symmetric and decreasing on $[0,+\infty)$, for all
$\theta\in{\mathbb{R}}$,
$r_{n}(\beta,P_{\theta})\leqslant\overline{r}_{n}(\beta,P_{\theta})$ with
(31)
$\overline{r}_{n}(\beta,P_{\theta})=\frac{2000}{n}\max\left\\{{\log\left({\frac{\overline{\Gamma}\left({\sigma\vee
1}\right)}{{q\left[{2\left({\frac{|\theta|}{\sigma}\vee
t_{0}}\right)}\right]}}}\right),\log 4}\right\\}.$
By applying Theorem 2, we conclude that for all $\xi>0$, with a probability at
least $1-2e^{-\xi/2}$, the posterior distribution satisfies
$\widehat{\pi}_{{\boldsymbol{X}}}\left({{\mathscr{B}}(\overline{P}^{\star},144r)}\right)\geqslant
1-e^{-\xi/2}$
with
(32)
${r}=\inf_{\theta\in{\mathbb{R}}}\left[{\left\|{\overline{P}^{\star}-P_{\theta}}\right\|+r_{n}(\beta,P_{\theta})}\right]+\frac{40\xi}{n}.$
In particular, when the data are i.i.d. with distribution
$P_{\theta^{\star}}$, with probability close to 1, an element
$P_{\widehat{\theta}}$ drawn according to the posterior distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ satisfies with a probability close to 1,
$\displaystyle\left|{\theta^{\star}-\widehat{\theta}}\right|^{\alpha}\wedge 1$
$\displaystyle=\left\|{P_{\theta^{\star}}-P_{\widehat{\theta}}}\right\|\leqslant\frac{C(\xi,\alpha,q,\theta^{\star},\sigma)}{n}.$
This inequality implies, at least for $n$ large enough, that
$\displaystyle\left|{\theta^{\star}-\widehat{\theta}}\right|\leqslant\frac{C^{1/\alpha}(\xi,\alpha,q,\theta^{\star},\sigma)}{n^{1/\alpha}},$
which means that the parameter $\theta^{\star}$ is estimated at rate
$n^{-1/\alpha}$. This rate is much faster than the usual
$1/\sqrt{n}$-parametric one that is reached by an estimator based on a moment
method for instance. Since $p$ is unbounded, note that the maximum likelihood
estimator for $\theta^{\star}$ does not exist and is therefore useless.
It is well-known, mainly from the work of Le Cam, that it is impossible to
estimate a distribution in a translation model at a rate faster than $1/n$ for
the TV-loss. Because of (30), the rate we get is not only optimal for
estimating $P_{\theta^{\star}}$ but also for estimating $\theta^{\star}$ with
respect to the Euclidean distance.
An alternative rate-optimal estimator for estimating $\theta^{\star}$ is that
given by the minimum of the observations. This estimator is unfortunately
obviously non-robust to the presence of an outlier among the sample. Our
construction provides an estimator which possesses the property of being both
rate-optimal and robust.
When the data are i.i.d. with distribution $P_{\theta^{\star}}$, we deduce
from (32) that the concentration radius ${r}$ satisfies
${r}\leqslant\overline{r}_{n}(\beta,P_{\theta^{\star}})+\frac{40\xi}{n}$
with $\overline{r}_{n}(\beta,P_{\theta^{\star}})$ given by (31) and it also
interesting to see how this bound deteriorates under a misspecification of the
prior $\nu_{\sigma}$, i.e. when the size of the parameter $\theta^{\star}$ is
large compared to $\sigma$. When $q$ is Gaussian,
$\overline{r}_{n}(\beta,P_{\theta^{\star}})$ increases by a factor of order
$(\theta^{\star}/\sigma)^{2}$ while for the Laplace and Cauchy distributions
it is of order $|\theta^{\star}|/\sigma$ and $\log(|\theta^{\star}|/\sigma)$
respectively. From these results, we conclude that the Cauchy distribution
possesses some advantages over the other two distributions when little
information is available on the location of the parameter $\theta^{\star}$.
### 5.3. A general result under entropy
In this section, we equip
$(E,{\mathcal{E}})=({\mathbb{R}}^{k},{\mathscr{B}}({\mathbb{R}}^{k}))$ with
the Lebesgue measure $\mu$ and the norm $\left|{\cdot}\right|_{\infty}$. We
consider the TV-loss $\ell$ and the location-scale family
(33)
${\mathscr{M}}=\left\\{{P_{(p,{\mathbf{m}},\sigma)}=\frac{1}{\sigma^{k}}p\left({\frac{\cdot-{\mathbf{m}}}{\sigma}}\right)\cdot\mu,\
p\in{\mathcal{M}}_{0},\;{\mathbf{m}}\in{\mathbb{R}}^{k},\sigma>0}\right\\},$
where ${\mathcal{M}}_{0}$ is a set of densities on
$({\mathbb{R}}^{k},{\mathscr{B}}({\mathbb{R}}^{k}),\mu)$. Our aim is to
estimate the density $p\in{\mathcal{M}}_{0}$, the location parameter
${\mathbf{m}}$ and the scale parameter $\sigma$. We assume that the set of
densities ${\mathcal{M}}_{0}$ satisfies the following conditions.
###### Assumption 6.
Let $\widetilde{D}$ be a continuous nonincreasing mapping from $(0,+\infty)$
to $[1,+\infty)$ such that
$\lim_{\eta\to+\infty}\eta^{-2}\widetilde{D}(\eta)=0$. For all $\eta>0$, there
exists a finite subset ${\mathcal{M}}_{0}[\eta]\subset{\mathcal{M}}_{0}$
satisfying
(34)
$\left|{{\mathcal{M}}_{0}[\eta]}\right|\leqslant\exp\left[{\widetilde{D}(\eta)}\right]$
and for all $p\in{\mathcal{M}}_{0}$, there exists
$\overline{p}\in{\mathcal{M}}_{0}[\eta]$ such that
(35)
$\ell\left({P_{(p,\boldsymbol{0},1)},P_{(\overline{p},\boldsymbol{0},1)}}\right)=\frac{1}{2}\int_{{\mathbb{R}}^{k}}\left|{p-\overline{p}}\right|d\mu\leqslant\eta.$
Besides, we assume that there exist $A,\alpha>0$ such that for all
$p\in{\mathcal{M}}_{0}$, ${\mathbf{m}}\in{\mathbb{R}}^{k}$ and
$\sigma\geqslant 1$,
(36)
$\ell\left({P_{(p,\boldsymbol{0},1)},P_{(p,{\mathbf{m}},\sigma)}}\right)\leqslant\left[{A\left({\left(\left|{\frac{{\mathbf{m}}}{\sigma}}\right|_{\infty}\right)^{\alpha}+\left({1-\frac{1}{\sigma}}\right)^{\alpha}}\right)}\right]\bigwedge
1.$
The first part of Assumption 6, corresponding to inequalities (34) and (35),
aims at measuring the size of the set ${\mathcal{M}}_{0}$ by means of its
entropy. The entropy of a set controls its metric dimension and usually
determines the minimax rate of convergence over it as shown in Birgé (1983).
With the second part of Assumption 6, namely inequality (36), we require some
regularity properties of the TV-loss with respect to the location and scale
parameters. It will be commented on later and we shall see that there is
actually no need for the densities in ${\mathcal{M}}_{0}$ to be smooth to
fulfil such a requirement.
Let us now turn to the choice of our prior. For $\eta,\delta>0$, we define
$\Theta[\eta,\delta]=\left\\{{\left({\overline{p},(1+\delta)^{j_{0}}\delta{\mathbf{j}},(1+\delta)^{j_{0}}}\right),\;(\overline{p},j_{0},{\mathbf{j}})\in{\mathcal{M}}_{0}[\eta]\times{\mathbb{Z}}\times{\mathbb{Z}}^{k}}\right\\}$
and for
$\theta=\theta(\overline{p},j_{0},{\mathbf{j}})\in\Theta[\eta,\delta]$, set
(37)
$L_{\theta}=(k+1)L+\log\left|{{\mathcal{M}}_{0}[\eta]}\right|+2\sum_{i=0}^{k}\log(1+|j_{i}|)$
with $L=\log\left[{(\pi^{2}/3)-1}\right]$. It is not difficult to check that
$\sum_{\theta\in\Theta[\eta,\delta]}e^{-L_{\theta}}=1$, and we may therefore
endow ${\mathscr{M}}$ with the prior $\pi$ defined as
(38) $\pi(\left\\{{P_{\theta}}\right\\})=e^{-L_{\theta}}\quad\text{for all
$\theta\in\Theta[\eta,\delta]$.}$
With such a prior, our posterior distribution
$\widehat{\pi}_{{\boldsymbol{X}}}$ possesses the following properties.
###### Corollary 3.
Let $\xi>0$, $K>1$, $\ell$ be the TV-loss and ${\mathscr{M}}$ the family of
probabilities given by (33) where ${\mathcal{M}}_{0}$ satisfies Assumption 6.
Consider the parameters
(39) $\displaystyle\eta$
$\displaystyle=\eta_{n}=\inf{\mathscr{D}}_{n}\quad\text{with}\quad{\mathscr{D}}_{n}=\left\\{{\eta>0,\;\widetilde{D}(\eta)\leqslant\frac{n\eta^{2}}{24}}\right\\}$
(40) $\displaystyle\delta$
$\displaystyle=\delta_{n}=\left({\frac{\eta_{n}}{2A}}\right)^{1/\alpha},$ (41)
$\displaystyle\beta$
$\displaystyle=\beta_{n}=\frac{1}{2}\left[{K\eta_{n}+2\sqrt{\frac{18.6(k+1)}{n}}}\right]$
and the subset ${\mathscr{M}}_{n}(K)$ of ${\mathscr{M}}$ that gathers the
elements $P_{(p,{\mathbf{m}},\sigma)}$ such that
(42)
$|\log\sigma|\vee\left|{\frac{{\mathbf{m}}}{\sigma}}\right|_{\infty}\leqslant\Lambda_{n}=\exp\left[{\frac{(K^{2}-1)n\eta_{n}^{2}}{48(k+1)}+\log\log(1+\delta_{n})}\right].$
Then, the posterior distribution $\widehat{\pi}_{{\boldsymbol{X}}}$ associated
to the value $\lambda=4\beta/3$, the prior $\pi$ given by (38) and the family
${\mathscr{T}}(\ell,{\mathscr{M}})$ given by (6) possesses the following
property: there exists a numerical constant $\kappa_{0}^{\prime}>0$ such that
for all $\xi>0$,
(43)
${\mathbb{E}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}(\overline{P}^{\star},\kappa_{0}^{\prime}{r}_{n})}\right)}\right]\leqslant
2e^{-\xi}$
with
(44)
${r}_{n}=\inf_{P\in{\mathscr{M}}_{n}(K)}\ell(\overline{P}^{\star},P)+K\eta_{n}+\sqrt{\frac{k+1}{n}}+\frac{\xi}{\sqrt{n}}.$
The radius ${r}_{n}$ is the sum of three main terms, omitting the dependency
with respect to $\xi$. The first one,
$\inf_{P\in{\mathscr{M}}_{n}(K)}\ell(\overline{P}^{\star},P)$, corresponds to
the approximation of $\overline{P}^{\star}$ by an element of ${\mathscr{M}}$
whose location and scale parameters satisfy the constraint (42). The quantity
$\eta_{n}$, involved in the second term, usually corresponds to the minimax
rate for solely estimating a density $p\in{\mathcal{M}}_{0}$. Finally, the
third term $\sqrt{(k+1)/n}$ corresponds to the rate we would get for solely
estimating the location and translation parameters
$({\mathbf{m}},\sigma)\in{\mathbb{R}}^{k+1}$ when the density $p$ is known.
Let us now comment on condition (36). The following result shows that it is
satisfied when the densities in ${\mathcal{M}}_{0}$ are regular enough.
###### Lemma 1.
Assume that the set ${\mathcal{M}}_{0}$ consists of densities $p$ that are
supported on $[0,1]^{k}$, satisfy
$\sup_{p\in{\mathcal{M}}_{0}}\left\|{p}\right\|_{\infty}\leqslant L_{0}$ and
(45)
$\sup_{p\in{\mathcal{M}}_{0}}\left|{p({\mathbf{x}})-p({\mathbf{x}}^{\prime})}\right|\leqslant
L_{1}\left|{{\mathbf{x}}-{\mathbf{x}}^{\prime}}\right|^{\alpha}\quad\text{for
all ${\mathbf{x}},{\mathbf{x}}^{\prime}\in{\mathbb{R}}^{k}$,}$
with constants $L_{0},L_{1}>0$ and $\alpha\in(0,1]$. Then (36) is satisfied
with $A=L_{1}\vee[(1+L_{1}k^{\alpha/2}+L_{0})/2]$.
Nevertheless, inequality (36) may also hold true for families
${\mathcal{M}}_{0}$ of densities which are not smooth, as shown in Lemma 2
below. It makes it possible to consider the following example.
###### Example 3.
We consider here the situation where $k=1$ and ${\mathcal{M}}_{0}$ is the set
of all nonincreasing densities on $[0,1]$ that are bounded by $B>1$. Then,
${\mathscr{M}}$ consists of all the probabilities whose densities are
nonincreasing and supported on intervals $I$ with positive lengths and which
are bounded by $B/\mu(I)$. Birman and Solomjak (1967) proved that
${\mathcal{M}}_{0}$ satisfies Assumption 6 with $\widetilde{D}(\eta)$ of order
$(1/\eta)\vee 1$ (up to some constant that depends on $B$). We deduce from
(39) that $\eta_{n}$ is therefore of order $n^{-1/3}$. Besides, it follows
from Lemma 2 below that (36) is satisfied with $A=B$ and $\alpha=1$. We may
therefore apply Corollary 3. For a value of $K$ large enough compared to 1,
$\Lambda_{n}$ defined by (42) is larger than
$\exp\left[{CK^{2}n^{1/3}}\right]$ for some constant $C>0$ (depending on $A$).
In particular, if $X_{1},\ldots,X_{n}$ are i.i.d. with a density of the form
$x\mapsto
p^{\star}(x)=\frac{1}{\sigma^{\star}}p\left({\frac{x-m^{\star}}{\sigma^{\star}}}\right)$
where $p\in{\mathcal{M}}_{0}$,
$|m^{\star}/\sigma^{\star}|\leqslant\exp\left[{CK^{2}n^{1/3}}\right]$ and
$\exp\left[{-\exp\left[{CK^{2}n^{1/3}}\right]}\right]\leqslant\sigma^{\star}\leqslant\exp\left[{\exp\left[{CK^{2}n^{1/3}}\right]}\right],$
the posterior distribution $\widehat{\pi}_{{\boldsymbol{X}}}$ satisfies for
all $\xi>0$, with a probability at least $1-2e^{-\xi/2}$,
$\widehat{\pi}_{{\boldsymbol{X}}}\left[{{\mathscr{B}}(P^{\star},C^{\prime}n^{-1/3})}\right]\geqslant
1-e^{-\xi/2}$ where the constant $C^{\prime}>0$ only depends on $\xi,K,B$ but
not on $m^{\star}$ and $\sigma^{\star}$. This means that the concentration
properties of $\widehat{\pi}_{{\boldsymbol{X}}}$ hold true over a huge range
of translation and scale parameters ${\mathbf{m}}$ and $\sigma$ when $n$ is
large enough.
###### Lemma 2.
Let $p$ be a nonincreasing density on $(0,+\infty)$. For all $\sigma\geqslant
1$
(46)
$\frac{1}{2}\int_{{\mathbb{R}}}\left|{\frac{1}{\sigma}p\left({\frac{x}{\sigma}}\right)-p(x)}\right|dx\leqslant\left({1-\frac{1}{\sigma}}\right).$
If, furthermore, $p$ is bounded by $B\geqslant 1$, for all $m\in{\mathbb{R}}$,
(47)
$\frac{1}{2}\int_{{\mathbb{R}}}\left|{p(x)-p(x-m)}\right|dx\leqslant(|m|B)\wedge
1.$
In particular, for all $m\in{\mathbb{R}}$ and $\sigma\geqslant 1$,
(48)
$\frac{1}{2}\int_{{\mathbb{R}}}\left|{\frac{1}{\sigma}p\left({\frac{x-m}{\sigma}}\right)-p(x)}\right|dx\leqslant\left[{B\left|{\frac{m}{\sigma}}\right|+\left({1-\frac{1}{\sigma}}\right)}\right]\wedge
1.$
### 5.4. Estimating a parameter under sparsity
Let us consider a family of distributions
${\mathscr{M}}=\left\\{{P_{\boldsymbol{\theta}}=p_{\boldsymbol{\theta}}\cdot\mu,\;\boldsymbol{\theta}\in{\mathbb{R}}^{k}}\right\\}$
that are parametrized by ${\mathbb{R}}^{k}$ where $\mu$ is the Lebesgue
measure and the dimension $k$ is large. We presume, even though this might not
be true, that the data are i.i.d. with a distribution
$P_{\boldsymbol{\theta}^{\star}}\in{\mathscr{M}}$ associated to a parameter
$\boldsymbol{\theta}^{\star}=(\theta_{1}^{\star},\ldots,\theta_{k}^{\star})$
the coordinates of which are all zero except a small number of these. For
$m\subset\\{1,\ldots,k\\}$, $m\neq{\varnothing}$, we introduce the sub-family
${\mathscr{M}}_{m}$ that gathers the distributions
$P_{\boldsymbol{\theta}}\in{\mathscr{M}}$ for which the coordinates of
$\boldsymbol{\theta}=(\theta_{1},\ldots,\theta_{k})$ are all zero except those
with an index $i\in m$. We denote by $\Theta_{m}$ the set of such parameters
so that
${\mathscr{M}}_{m}=\\{P_{\boldsymbol{\theta}},\;\boldsymbol{\theta}\in\Theta_{m}\\}$
for all $m\subset\\{1,\ldots,k\\}$, $m\neq{\varnothing}$.
Throughout this section we consider the squared Hellinger loss and, given some
$R>0$, we assume that there exist $\alpha\in(0,1]$ and a positive number
$B_{k}=B_{k}(R)$ possibly depending on $k$ and $R$ (although we drop the
dependency with respect to $R$), such that for all
$\boldsymbol{\theta},\boldsymbol{\theta}^{\prime}\in{\mathbb{R}}^{k}$ with
$\left|{\boldsymbol{\theta}}\right|_{\infty}\vee\left|{\boldsymbol{\theta}^{\prime}}\right|_{\infty}\leqslant
R$
(49)
$h\left({P_{\boldsymbol{\theta}},P_{\boldsymbol{\theta}^{\prime}}}\right)\leqslant\sqrt{B_{k}}\left|{\boldsymbol{\theta}-\boldsymbol{\theta}^{\prime}}\right|_{\infty}^{\alpha}.$
As a consequence, the mapping $\boldsymbol{\theta}\mapsto
P_{\boldsymbol{\theta}}$ is continuous. We endow ${\mathscr{M}}$ with the
Borel $\sigma$-algebra ${\mathcal{A}}$ associated to the Hellinger distance.
The mapping $Q\mapsto\ell(P,Q)=h^{2}(P,Q)$ is continuous, hence measurable on
$({\mathscr{M}},{\mathcal{A}})$ for all probabilities $P$ on
$(E,{\mathcal{E}})$, and Assumption 1 is satisfied as well as Assumption 2
with $\tau=2$.
Given a nonempty subset $m$ of $\\{1,\ldots,p\\}$, we endow $\Theta_{m}$ with
the uniform distribution $\nu_{m}$ on the cube
$\Theta_{m}(R)=\\{\boldsymbol{\theta}\in\Theta_{m},\;\left|{\boldsymbol{\theta}}\right|_{\infty}\leqslant
R\\}$. This leads to a prior $\pi_{m}=\pi_{m}(R)$ on ${\mathscr{M}}_{m}$
defined as the image of $\nu_{m}$ by the mapping $\boldsymbol{\theta}\mapsto
P_{\boldsymbol{\theta}}$. For $m={\varnothing}$, we set
$\Theta_{{\varnothing}}=\Theta_{{\varnothing}}(R)=\\{\boldsymbol{0}\\}$ and we
endow it with the Dirac mass at $\boldsymbol{0}$ so that
${\mathscr{M}}_{{\varnothing}}=\\{P_{\boldsymbol{0}}\\}$ and
$\pi_{{\varnothing}}$ is the Dirac mass at $P_{\boldsymbol{0}}$. We finally
define our prior $\pi=\pi(R)$ on $({\mathscr{M}},{\mathcal{A}})$ as
(50)
$\pi=\sum_{m\subset\\{1,\ldots,k\\}}e^{-L_{m}}\pi_{m}\quad\text{with}\quad
L_{m}=|m|\log k+k\log\left({1+\frac{1}{k}}\right).$
It is not difficult to check that
$\sum_{m\subset\\{1,\ldots,k\\}}e^{-L_{m}}=1$, hence that $\pi$ is a genuine
probability on $({\mathscr{M}},{\mathcal{A}})$.
The following result holds.
###### Corollary 4.
Let $\ell=h^{2}$ be the squared Hellinger distance, $R$ a positive number and
${\mathscr{M}}=\\{P_{\boldsymbol{\theta}}=p_{\boldsymbol{\theta}}\cdot\mu,\;\boldsymbol{\theta}\in{\mathbb{R}}^{k}\\}$
a statistical model that satisfies (49) with $RB_{k}^{1/(2\alpha)}\geqslant
1$. Assume furthermore that the mapping
$\begin{array}[]{l|rcl}p:&E\times{\mathbb{R}}^{k}&\longrightarrow&{\mathbb{R}}_{+}\\\
&(x,\boldsymbol{\theta})&\longmapsto&p_{\boldsymbol{\theta}}(x)\end{array}$
is measurable. We endow ${\mathscr{M}}$ with the prior $\pi=\pi(R)$ given by
(50) and define the posterior distribution $\widehat{\pi}_{{\boldsymbol{X}}}$
by (11) with $\beta=1/500$, $c=1/125$ and the family
${\mathscr{T}}(\ell,{\mathscr{M}})$ given by (7). Then, there exists a
numerical constant $\kappa_{0}^{\prime}>0$ such that for all distribution
${\mathbf{P}}^{\star}$ and $\xi>0$
${\mathbb{E}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}(\overline{P}^{\star},\kappa_{0}^{\prime}{r})}\right)}\right]\leqslant
2e^{-\xi}$
where
(51)
$r=\inf_{m\subset\\{1,\ldots,k\\}}\left[{\inf_{\boldsymbol{\theta}\in\Theta_{m}(R)}\ell(\overline{P}^{\star},P_{\boldsymbol{\theta}})+\frac{|m|\log\left({2kR(nB_{k})^{1/(2\alpha)}}\right)+\xi}{n}}\right].$
Let us now comment on and illustrate this result.
When $B_{k}$ does not increase faster than a power of $k$, the radius $r$ only
depends logarithmically on the dimension $k$ of the parameter space, as
expected.
Since the mapping
$R\mapsto\sup\left\\{{\frac{h\left({P_{\boldsymbol{\theta}},P_{\boldsymbol{\theta}^{\prime}}}\right)}{\left|{\boldsymbol{\theta}-\boldsymbol{\theta}^{\prime}}\right|_{\infty}^{\alpha}}\left|\;\boldsymbol{\theta},\boldsymbol{\theta}^{\prime}\in{\mathbb{R}}^{k},\boldsymbol{\theta}\neq\boldsymbol{\theta},^{\prime}\;|\boldsymbol{\theta}|_{\infty}\vee\left|{\boldsymbol{\theta}^{\prime}}\right|_{\infty}\leqslant
R\right.}\right\\}$
is nondecreasing at tends to infinity as $R$ becomes large, our condition
$RB_{k}^{1/(2\alpha)}=R[B_{k}(R)]^{1/(2\alpha)}\geqslant 1$ holds for $R$
large enough.
Let us now illustrate the result of Corollary 4 by choosing some specific
models
${\mathscr{M}}=\\{P_{\boldsymbol{\theta}},\;\boldsymbol{\theta}\in{\mathbb{R}}^{k}\\}$.
If $P_{\boldsymbol{\theta}}$ is the Gaussian distribution with mean
$\boldsymbol{\theta}\in{\mathbb{R}}^{k}$ and covariance matrix
$\sigma^{2}I_{k}$ where $I_{k}$ denotes the $k\times k$ identity matrix. Then,
$\displaystyle
h^{2}(P_{\boldsymbol{\theta}},P_{\boldsymbol{\theta}^{\prime}})=1-\exp\left[{-\frac{\left|{\boldsymbol{\theta}-\boldsymbol{\theta}^{\prime}}\right|^{2}}{8\sigma^{2}}}\right]\leqslant\frac{\left|{\boldsymbol{\theta}-\boldsymbol{\theta}^{\prime}}\right|^{2}}{8\sigma^{2}}\leqslant\frac{k\left|{\boldsymbol{\theta}-\boldsymbol{\theta}^{\prime}}\right|_{\infty}^{2}}{8\sigma^{2}}$
and (49) is satisfied with $B_{k}=k/(8\sigma^{2})$ and $\alpha=1$. In
particular, our condition $RB_{k}^{1/(2\alpha)}\geqslant 1$ is equivalent to
$R\geqslant 2\sigma\sqrt{(2/k)}$. In this case, the value of $r$ given by (51)
is of order
$\inf_{m\subset\\{1,\ldots,k\\}}\left[{\inf_{\boldsymbol{\theta}\in\Theta_{m}(R)}\ell(\overline{P}^{\star},P_{\boldsymbol{\theta}})+\frac{|m|\log\left({knR/\sigma}\right)+\xi}{n}}\right].$
More generally, when
${\mathscr{M}}=\\{P_{\boldsymbol{\theta}},\boldsymbol{\theta}\in{\mathbb{R}}^{k}\\}$
is a regular statistical model with Fisher information
${\mathbf{I}}(\boldsymbol{\theta})$ at $\boldsymbol{\theta}$, we know from the
book of Ibragimov and Has’minskiĭ (1981)[Theorem 7.1 p.81] that for all
$\boldsymbol{\theta},\boldsymbol{\theta}^{\prime}\in{\mathbb{R}}^{k}$ such
that
$\left|{\boldsymbol{\theta}}\right|_{\infty}\vee\left|{\boldsymbol{\theta}^{\prime}}\right|_{\infty}\leqslant
R$
$h^{2}(P_{\boldsymbol{\theta}},P_{\boldsymbol{\theta}^{\prime}})\leqslant\frac{\left|{\boldsymbol{\theta}-\boldsymbol{\theta}^{\prime}}\right|^{2}}{8}\sup_{\boldsymbol{\theta}^{\prime\prime}\in{\mathbb{R}}^{k},\left|{\boldsymbol{\theta}^{\prime\prime}}\right|_{\infty}\leqslant
R}{\rm tr}\left({{\mathbf{I}}(\boldsymbol{\theta}^{\prime\prime})}\right).$
Then, Assumption (49) holds with $\alpha=1$ and
$B_{k}=\frac{k^{2}}{8}\sup_{\boldsymbol{\theta}^{\prime\prime}\in{\mathbb{R}}^{k},\left|{\boldsymbol{\theta}^{\prime\prime}}\right|\leqslant
R}\varrho\left({{\mathbf{I}}(\boldsymbol{\theta}^{\prime\prime})}\right)$
where $\varrho\left({{\mathbf{I}}(\boldsymbol{\theta}^{\prime\prime})}\right)$
denotes the largest eigenvalue of the matrix
${\mathbf{I}}(\boldsymbol{\theta}^{\prime\prime})$. It is well known that this
value is independent of $\boldsymbol{\theta}^{\prime\prime}$ when
${\mathscr{M}}$ is a translation model.
## 6\. Proofs of Theorems 1 and 2
Throughout this section we fix some ${\overline{Q}}\in{\mathscr{M}}$,
${r},\beta>0$ and use the following notations: ${c}_{1}=1+{c}$,
${c}_{2}=2+{c}$,
${\mathcal{V}}(\pi,{\overline{Q}})=\left\\{{{r}>0,\pi\left({{\mathscr{B}}({\overline{Q}},{r})}\right)>0}\right\\}$
and for ${r}\in{\mathcal{V}}(\pi,{\overline{Q}})$ ,
${\mathscr{B}}={\mathscr{B}}({\overline{Q}},{r})$ and
$\pi_{{\mathscr{B}}}=\left[{\pi({\mathscr{B}})}\right]^{-1}1\hskip-2.6pt{\rm
l}_{{\mathscr{B}}}\cdot\pi$.
In the proofs of Theorems 1 and 2, we use the following consequence of our
Assumption 3. Since ${\mathscr{P}}$ is convex and the marginal distributions
$P_{1}^{\star},\ldots,P_{n}^{\star}$ belong to ${\mathscr{P}}$ we may write
$\frac{1}{n}\sum_{i=1}^{n}{\mathbb{E}}\left[{t_{(P,Q)}(X_{i})}\right]={\mathbb{E}}_{S}\left[{t_{(P,Q)}(X)}\right]\quad\text{with
$S=\overline{P}^{\star}\in{\mathscr{P}}$}$
and we deduce from (5) that for all $P,Q\in{\mathscr{M}}$,
(52)
$\frac{1}{n}\sum_{i=1}^{n}{\mathbb{E}}\left[{t_{(P,Q)}(X_{i})}\right]\leqslant
a_{0}\ell(\overline{P}^{\star},P)-a_{1}\ell(\overline{P}^{\star},Q).$
Besides, using the anti-symmetry property (ii) we also obtain that
(53)
$\frac{1}{n}\sum_{i=1}^{n}{\mathbb{E}}\left[{t_{(P,Q)}(X_{i})}\right]\geqslant
a_{1}\ell(\overline{P}^{\star},P)-a_{0}\ell(\overline{P}^{\star},Q).$
In the proof of Theorems 2, we also use the following consequence of our
Assumption 4. By taking $S=\overline{P}^{\star}$ and using the convexity of
the mapping $u\mapsto u^{2}$, we deduce that for all $P,Q\in{\mathscr{M}}$
$\displaystyle\frac{1}{n}\sum_{i=1}^{n}\mathop{\rm
Var}\nolimits\left[{t_{(P,Q)}(X_{i})}\right]$
$\displaystyle={\mathbb{E}}_{S}\left[{t_{(P,Q)}^{2}(X)}\right]-\frac{1}{n}\sum_{i=1}^{n}\left({{\mathbb{E}}\left[{t_{(P,Q)}(X_{i})}\right]}\right)^{2}$
$\displaystyle\leqslant{\mathbb{E}}_{S}\left[{t_{(P,Q)}^{2}(X)}\right]-\left({{\mathbb{E}}_{S}\left[{t_{(P,Q)}(X)}\right]}\right)^{2}$
$\displaystyle=\mathop{\rm Var}\nolimits_{S}\left[{t_{(P,Q)}(X)}\right]$
and it derives thus from Assumption 4 (iv) that for all $P,Q\in{\mathscr{M}}$
(54) $\frac{1}{n}\sum_{i=1}^{n}\mathop{\rm
Var}\nolimits\left[{t_{(P,Q)}(X_{i})}\right]\leqslant
a_{2}\left[{\ell(\overline{P}^{\star},P)+\ell(\overline{P}^{\star},Q)}\right].$
### 6.1. Preliminary results
The proofs of our main results rely on the following lemmas.
###### Lemma 3.
Let $(U,V)$ be a pair of random variables with values in a product space
$(E\times F,{\mathcal{E}}\otimes{\mathcal{F}})$ and marginal distributions
$P_{U}$ and $P_{V}$ respectively. For all measurable function $h$ on $(E\times
F,{\mathcal{E}}\otimes{\mathcal{F}})$,
${\mathbb{E}}_{U}\left[{\frac{1}{{\mathbb{E}}_{V}\left[{\exp\left[{-h(U,V)}\right]}\right]}}\right]\leqslant\left[{{\mathbb{E}}_{V}\left[{\frac{1}{{\mathbb{E}}_{U}\left[{\exp\left[{h(U,V)}\right]}\right]}}\right]}\right]^{-1}.$
This lemma is proven in Audibert and Catoni (2011) [Lemma 4.2, P. 28].
###### Lemma 4.
For $P,Q\in{\mathscr{M}}$, we set
${\mathbf{M}}(P,Q)=\log\left[{\int_{{\mathscr{M}}}{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]d\pi(Q^{\prime})}\right]}\right].$
For all ${r}\in{\mathcal{V}}(\pi,{\overline{Q}})$ and $P\in{\mathscr{M}}$,
(55)
$\displaystyle{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]\leqslant\frac{1}{\pi({\mathscr{B}})}\left[{\int_{{\mathscr{B}}}\exp\left[{-{\mathbf{M}}(P,Q)}\right]d\pi_{{\mathscr{B}}}(Q)}\right]^{-1}.$
###### Proof.
Let ${r}\in{\mathcal{V}}(\pi,{\overline{Q}})$. For $P,Q\in{\mathscr{M}}$, we
set
$I({\boldsymbol{X}},P,Q)={c}_{1}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)-\log\int_{{\mathscr{M}}}\exp\left[{{c}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})}\right]d\pi(Q^{\prime}).$
Then,
$\displaystyle{\mathbb{E}}\left[{\exp\left[{-I({\boldsymbol{X}},P,Q)}\right]}\right]$
$\displaystyle={\mathbb{E}}\left[{\exp\left[{-{c}_{1}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)+\log\int_{{\mathscr{M}}}\exp\left[{{c}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})}\right]d\pi(Q^{\prime})}\right]}\right]$
$\displaystyle={\mathbb{E}}\left[{\int_{{\mathscr{M}}}\exp\left[{{c}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q^{\prime})}\right]$
(56) $\displaystyle=\exp\left[{{\mathbf{M}}(P,Q)}\right].$
Since $\lambda={c}_{1}\beta=(1+{c})\beta$, it follows from the convexity of
the exponential that
$\displaystyle{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]$
$\displaystyle={\mathbb{E}}\left[{\exp\left[{\int_{{\mathscr{M}}}[-\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)]d\widetilde{\pi}_{{\boldsymbol{X}}}(Q)}\right]}\right]$
$\displaystyle\leqslant{\mathbb{E}}\left[{{\int_{{\mathscr{M}}}{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\widetilde{\pi}_{{\boldsymbol{X}}}(Q)}}}\right]$
$\displaystyle={\mathbb{E}}\left[{\frac{\int_{{\mathscr{M}}}\exp\left[{{c}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}{\int_{{\mathscr{M}}}\exp\left[{{c}_{1}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}}\right]$
$\displaystyle\leqslant{\mathbb{E}}\left[{\frac{\int_{{\mathscr{M}}}\exp\left[{{c}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}{\int_{{\mathscr{B}}}\exp\left[{{c}_{1}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}}\right].$
Hence,
$\displaystyle{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]$
$\displaystyle\leqslant{\mathbb{E}}\left[{\frac{1}{\int_{{\mathscr{B}}}\exp\left[{I({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}}\right]$
$\displaystyle=\frac{1}{\pi({\mathscr{B}})}{\mathbb{E}}\left[{\frac{1}{\int_{{\mathscr{B}}}\exp\left[{I({\boldsymbol{X}},P,Q)}\right]d\pi_{{\mathscr{B}}}(Q)}}\right].$
Applying Lemma 3 with $U={\boldsymbol{X}}$, $V=Q$ with distribution
$\pi_{{\mathscr{B}}}$, and $h(U,V)=-I({\boldsymbol{X}},P,Q)$, we obtain that
$\displaystyle{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]$
$\displaystyle\leqslant\frac{1}{\pi({\mathscr{B}})}\left[{\int_{{\mathscr{B}}}\frac{1}{{\mathbb{E}}\left[{\exp\left[{-I({\boldsymbol{X}},P,Q)}\right]}\right]}d\pi_{{\mathscr{B}}}(Q)}\right]^{-1}$
and (55) follows from (56). ∎
###### Lemma 5.
For $P,Q\in{\mathscr{M}}$, we set
${\mathbf{L}}(P,Q)=\log\int_{{\mathscr{M}}}{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}_{2}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]}\right]d\pi(Q^{\prime}).$
For all ${r}\in{\mathcal{V}}(\pi,{\overline{Q}})$,
$\displaystyle{\mathbb{E}}\left[{\frac{1}{\int_{{\mathscr{M}}}\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]d\pi(P)}}\right]$
$\displaystyle\leqslant\frac{1}{\pi^{2}({\mathscr{B}})}\left[{\int_{{\mathscr{B}}^{2}}\exp\left[{-{\mathbf{L}}(P,Q)}\right]d\pi_{{\mathscr{B}}}(P)d\pi_{{\mathscr{B}}}(Q)}\right]^{-1}.$
###### Proof.
For $P,Q\in{\mathscr{M}}$, we set
$H({\boldsymbol{X}},P,Q)=\beta{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)-\log\left[{\int_{{\mathscr{M}}}\exp\left[{{c}_{2}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})}\right]d\pi(Q^{\prime})}\right].$
Then,
$\displaystyle{\mathbb{E}}\left[{\exp\left[{-H({\boldsymbol{X}},P,Q)}\right]}\right]$
$\displaystyle={\mathbb{E}}\left[{\exp\left[{-\beta{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]\int_{{\mathscr{M}}}\exp\left[{{c}_{2}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})}\right]d\pi(Q^{\prime})}\right]$
$\displaystyle={\mathbb{E}}\left[{\int_{{\mathscr{M}}}\exp\left[{\beta\left({{c}_{2}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]d\pi(Q^{\prime})}\right]$
(57) $\displaystyle=\exp\left[{{\mathbf{L}}(P,Q)}\right].$
It follows from the convexity of the exponential and the fact that
$\lambda={c}_{1}\beta$ that for all $P\in{\mathscr{M}}$,
$\displaystyle{\mathbb{E}}\left[{\exp\left[{\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]$
$\displaystyle={\mathbb{E}}\left[{\exp\left[{\int_{{\mathscr{M}}}[\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)]d\widetilde{\pi}_{{\boldsymbol{X}}}(Q)}\right]}\right]$
$\displaystyle\leqslant{\mathbb{E}}\left[{{\int_{{\mathscr{M}}}{\exp\left[{\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\widetilde{\pi}_{{\boldsymbol{X}}}(Q)}}}\right]$
$\displaystyle={\mathbb{E}}\left[{\frac{\int_{{\mathscr{M}}}\exp\left[{{c}_{2}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}{\int_{{\mathscr{M}}}\exp\left[{{c}_{1}\beta{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}}\right]$
$\displaystyle={\mathbb{E}}\left[{\frac{1}{\int_{{\mathscr{M}}}\exp\left[{H({\boldsymbol{X}},P,Q)}\right]d\pi(Q)}}\right].$
Applying Lemma 3 with $U={\boldsymbol{X}}$ and $V=Q$ with distribution $\pi$
we obtain that
$\displaystyle{\mathbb{E}}\left[{\exp\left[{\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]\leqslant\left[{\int_{{\mathscr{M}}}\frac{1}{{\mathbb{E}}\left[{\exp\left[{-H({\boldsymbol{X}},P,Q)}\right]}\right]}d\pi(Q)}\right]^{-1}.$
We deduce from (57) that for all $P\in{\mathscr{M}}$
$\displaystyle{\mathbb{E}}\left[{\exp\left[{\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]$
$\displaystyle\leqslant\left[{\int_{{\mathscr{M}}}\exp\left[{-{\mathbf{L}}(P,Q)}\right]d\pi(Q)}\right]^{-1}$
(58)
$\displaystyle\leqslant\frac{1}{\pi({\mathscr{B}})}\left[{\int_{{\mathscr{B}}}\exp\left[{-{\mathbf{L}}(P,Q)}\right]d\pi_{{\mathscr{B}}}(Q)}\right]^{-1}.$
Applying Lemma 3 with $U={\boldsymbol{X}}$, $V=P$ with distribution $\pi$ and
$h(U,V)=\beta{\mathbf{T}}({\boldsymbol{X}},P)$, gives
$\displaystyle{\mathbb{E}}\left[{\frac{1}{\int_{{\mathscr{M}}}\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]d\pi(P)}}\right]$
$\displaystyle\leqslant\left[{\int_{{\mathscr{M}}}\frac{1}{{\mathbb{E}}\left[{\exp\left[{\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]}d\pi(P)}\right]^{-1}$
$\displaystyle\leqslant\frac{1}{\pi({\mathscr{B}})}\left[{\int_{{\mathscr{B}}}\frac{1}{{\mathbb{E}}\left[{\exp\left[{\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]}d\pi_{{\mathscr{B}}}(P)}\right]^{-1}$
which together with (58) leads to the result.
∎
The proofs of Theorems 1 and 2 rely on suitable bounds on the Laplace
transforms of sums of independent random variables and on a summation lemma.
These results are presented below.
###### Lemma 6.
For all $\beta\in{\mathbb{R}}$ and random variable $U$ with values in an
interval of length $l\in(0,+\infty)$,
(59) $\log{\mathbb{E}}\left[{\exp\left[{\beta
U}\right]}\right]\leqslant\beta{\mathbb{E}}\left[{U}\right]+\frac{\beta^{2}l^{2}}{8}.$
###### Lemma 7.
Let $U$ be a squared integrable random variable not larger than $b>0$. For all
$\beta>0$,
(60) $\log{\mathbb{E}}\left[{\exp\left[{\beta
U}\right]}\right]\leqslant\beta{\mathbb{E}}\left[{U}\right]+\beta^{2}{\mathbb{E}}\left[{U^{2}}\right]\frac{\phi(\beta
b)}{2},$
where $\phi$ is defined by (21).
The proofs of Lemmas 6 and 7 can be found on pages 21 and 23 in Massart (2007)
(where our function $\phi$ is defined as twice his).
###### Lemma 8.
Let $J\in{\mathbb{N}}$, $\gamma>0$ and ${\overline{Q}}\in{\mathscr{M}}$. If
${r}$ satisfies $n\beta a_{1}{r}\geqslant 1$ and (13), for all
$\gamma_{0}>2\gamma$
$\displaystyle\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\exp\left[{-\gamma_{0}n\beta
a_{1}\ell({\overline{Q}},P)}\right]d\pi(P)$ (61)
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\Xi-\left({\gamma_{0}-2\gamma}\right)n\beta
a_{1}2^{J}{r}}\right]$
with
$\displaystyle\Xi$
$\displaystyle=-\gamma+\log\left[{\frac{1}{1-\exp\left[{-\left({\gamma_{0}-2\gamma}\right)}\right]}}\right]$
Besides,
(62) $\displaystyle\int_{{\mathscr{M}}}\exp\left[{-\gamma_{0}n\beta
a_{1}\ell({\overline{Q}},P)}\right]d\pi(P)\leqslant\pi({\mathscr{B}})\exp\left[{\Xi^{\prime}}\right]$
with
$\Xi^{\prime}=\log\left[{1+\frac{\exp\left[{-\left({\gamma_{0}-\gamma}\right)}\right]}{1-\exp\left[{-\left({\gamma_{0}-2\gamma}\right)}\right]}}\right].$
###### Proof.
From (13), we deduce by induction that for all $j\geqslant 0$
$\displaystyle\pi\left({{\mathscr{B}}({\overline{Q}},2^{j+1}{r})}\right)$
$\displaystyle\leqslant\exp\left[{\gamma n\beta
a_{1}{r}\sum_{k=0}^{j}2^{k}}\right]\pi\left({{\mathscr{B}}}\right)$
$\displaystyle=\exp\left[{(2^{j+1}-1)\gamma n\beta
a_{1}{r}}\right]\pi\left({{\mathscr{B}}}\right)$
Consequently,
$\displaystyle\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\exp\left[{-\gamma_{0}n\beta
a_{1}\ell({\overline{Q}},P)}\right]d\pi(P)$ $\displaystyle=\sum_{j\geqslant
J}\int_{{\mathscr{B}}({\overline{Q}},2^{j+1}{r})\setminus{\mathscr{B}}({\overline{Q}},2^{j}{r})}\exp\left[{-\gamma_{0}\beta
na_{1}\ell({\overline{Q}},P)}\right]d\pi(P)$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\sum_{j\geqslant
J}\frac{\pi\left({{\mathscr{B}}({\overline{Q}},2^{j+1}{r})}\right)}{\pi\left({{\mathscr{B}}}\right)}\exp\left[{-\gamma_{0}n\beta
a_{1}2^{j}{r}}\right]$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\sum_{j\geqslant
J}\exp\left[{\gamma n\beta a_{1}(2^{j+1}-1){r}-\gamma_{0}n\beta
a_{1}2^{j}{r}}\right]$
$\displaystyle=\pi\left({{\mathscr{B}}}\right)\exp\left[{-\gamma n\beta
a_{1}{r}}\right]\sum_{j\geqslant
J}\exp\left[{-\left({\gamma_{0}-2\gamma}\right)n\beta a_{1}2^{j}{r}}\right]$
$\displaystyle=\pi\left({{\mathscr{B}}}\right)\exp\left[{-\gamma n\beta
a_{1}{r}}\right]\sum_{j\geqslant
0}\exp\left[{-\left({\gamma_{0}-2\gamma}\right)n\beta
a_{1}2^{j}2^{J}{r}}\right].$
Since $2^{j}\geqslant j+1$ for all $j\geqslant 0$ we obtain that
$\displaystyle\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}r)}\exp\left[{-\gamma_{0}n\beta
a_{1}\ell({\overline{Q}},P)}\right]d\pi(P)$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{-\gamma
n\beta a_{1}{r}}\right]\sum_{j\geqslant
0}\exp\left[{-\left({\gamma_{0}-2\gamma}\right)n\beta
a_{1}(j+1)2^{J}{r}}\right]$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{-\gamma
n\beta a_{1}{r}-\left({\gamma_{0}-2\gamma}\right)n\beta
a_{1}2^{J}{r}}\right]\sum_{j\geqslant
0}\exp\left[{-j\left({\gamma_{0}-2\gamma}\right)n\beta a_{1}2^{J}{r}}\right]$
$\displaystyle=\pi\left({{\mathscr{B}}}\right)\frac{\exp\left[{-\gamma n\beta
a_{1}{r}}\right]}{1-\exp\left[{-\left({\gamma_{0}-2\gamma}\right)n\beta
a_{1}2^{J}{r}}\right]}\exp\left[{-\left({\gamma_{0}-2\gamma}\right)n\beta
a_{1}2^{J}{r}}\right].$
which leads to (61) since $n\beta a_{1}2^{J}{r}\geqslant n\beta
a_{1}{r}\geqslant 1$. Finally, by applying this inequality with $J=0$ we
obtain that
$\displaystyle\int_{{\mathscr{M}}}\exp\left[{-\beta
na_{1}\gamma_{0}\ell({\overline{Q}},P)}\right]d\pi(P)$
$\displaystyle=\int_{{\mathscr{B}}}\exp\left[{-\beta
na_{1}\gamma_{0}\ell({\overline{Q}},P)}\right]d\pi(P)+\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}}\exp\left[{-\beta
na_{1}\gamma_{0}\ell({\overline{Q}},P)}\right]d\pi(P)$
$\displaystyle\leqslant\pi({\mathscr{B}})\left[{1+\frac{\exp\left[{-\gamma-\left({\gamma_{0}-2\gamma}\right)n\beta
a_{1}{r}}\right]}{1-\exp\left[{-\left({\gamma_{0}-2\gamma}\right)}\right]}}\right]$
$\displaystyle\leqslant\pi({\mathscr{B}})\left[{1+\frac{\exp\left[{-\left({\gamma_{0}-\gamma}\right)}\right]}{1-\exp\left[{-\left({\gamma_{0}-2\gamma}\right)}\right]}}\right],$
which is (62). ∎
### 6.2. Main parts of the proofs of Theorems 1 and 2
Throughout the proofs of these two theorems, we fix some arbitrary element
${\overline{Q}}\in{\mathscr{M}}$ and
${r}\geqslant{r}_{n}(\beta,{\overline{Q}})$. It follows from the definition of
${r}_{n}(\beta,{\overline{Q}})$ that ${r}$ satisfies both $n\beta
a_{1}{r}\geqslant 1$ and inequality (13). For a positive number $z$, that will
be chosen later as well, we set
$A=\left\\{{\int_{{\mathscr{M}}}\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]d\pi(P)>z}\right\\}.$
It follows from the definition (11) of $\widehat{\pi}_{{\boldsymbol{X}}}$
that, given $J\in{\mathbb{N}}$,
$\displaystyle{\mathbb{E}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\right)}\right]$
$\displaystyle={\mathbb{E}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\right)1\hskip-2.6pt{\rm
l}_{\leftidx{{}^{\mathsf{c}}}{\\!{A}}{}}}\right]+{\mathbb{E}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\right)1\hskip-2.6pt{\rm
l}_{A}}\right]$
$\displaystyle\leqslant{\mathbb{P}}(\leftidx{{}^{\mathsf{c}}}{\\!{A}}{})+\frac{1}{z}{\mathbb{E}}\left[{\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]d\pi(P)}\right]$
(63)
$\displaystyle={\mathbb{P}}(\leftidx{{}^{\mathsf{c}}}{\\!{A}}{})+\frac{1}{z}\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]d\pi(P).$
In a first step, we prove that for some well chosen values of $\beta,z,{r}$
and for $J$ large enough, each of the two terms in the right-hand side of (63)
is not larger than $e^{-\xi}$. To achieve this goal, we bound the first term
of the right-hand side of (63) by first applying Markov’s inequality
$\displaystyle{\mathbb{P}}(\leftidx{{}^{\mathsf{c}}}{\\!{A}}{})$
$\displaystyle={\mathbb{P}}\left[{\int_{{\mathscr{M}}}\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]d\pi(P)\leqslant
z}\right]$
$\displaystyle={\mathbb{P}}\left[{\left[{\int_{{\mathscr{M}}}\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]d\pi(P)}\right]^{-1}\geqslant
z^{-1}}\right]$ $\displaystyle\leqslant
z{\mathbb{E}}\left[{\frac{1}{\int_{{\mathscr{M}}}\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]d\pi(P)}}\right]$
and then by using Lemma 5, we obtain that
(64)
$\displaystyle{\mathbb{P}}(\leftidx{{}^{\mathsf{c}}}{\\!{A}}{})\leqslant\frac{z}{\pi^{2}({\mathscr{B}})}\left[{\int_{{\mathscr{B}}^{2}}\exp\left[{-{\mathbf{L}}(P,Q)}\right]d\pi_{{\mathscr{B}}}(P)d\pi_{{\mathscr{B}}}(Q)}\right]^{-1}.$
To show that the first term in the right hand-side of (63) is not larger than
$e^{-\xi}$ we therefore prove that this is the case of the right-hand side of
(64) for $z$ small enough. We bound the second term of (63) by using Lemma 4.
We then finish the proofs of Theorems 1 and 2 as follows. In the context of
Theorem 1, we finally establish that for a suitable value of $J$ and all
${\overline{Q}}\in{\mathscr{M}}(\beta)$,
$\displaystyle{\mathbb{E}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\right)}\right]\leqslant
2e^{-\xi}\quad\text{with}\quad
r=r({\overline{Q}})=\ell(\overline{P}^{\star},{\overline{Q}})+a_{1}^{-1}\left({\beta+\frac{2\xi}{n\beta}}\right).$
By (3),
${\mathscr{B}}({\overline{Q}},2^{J}r)\subset{\mathscr{B}}(\overline{P}^{\star},\tau\ell(\overline{P}^{\star},{\overline{Q}})+\tau
2^{J}r)$ for all ${\overline{Q}}\in{\mathscr{M}}(\beta)$, and consequently
${\mathbb{E}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}(\overline{P}^{\star},\overline{r}}\right)}\right]\leqslant
2e^{-\xi}$ with
$\displaystyle\overline{r}=\overline{r}({\overline{Q}})=\tau\left[{\ell(\overline{P}^{\star},{\overline{Q}})+2^{J}{r}}\right]=\tau\left[{(1+2^{J})\ell(\overline{P}^{\star},{\overline{Q}})+2^{J}a_{1}^{-1}\left({\beta+\frac{2\xi}{n\beta}}\right)}\right].$
We obtain (LABEL:eq-thm01) by monotone convergence, taking a sequence
$({\overline{Q}}_{N})_{N\geqslant 0}\subset{\mathscr{M}}(\beta)$ such that
$\ell(\overline{P}^{\star},{\overline{Q}}_{N})$ is nonincreasing to
$\inf_{P\in{\mathscr{M}}(\beta)}\ell(\overline{P}^{\star},P)$, so that
$\displaystyle\lim_{N\to+\infty}\overline{r}({\overline{Q}}_{N})$
$\displaystyle=\tau\left[{(1+2^{J})\inf_{{\overline{Q}}\in{\mathscr{M}}(\beta)}\ell(\overline{P}^{\star},{\overline{Q}})+2^{J}a_{1}^{-1}\left({\beta+\frac{2\xi}{n\beta}}\right)}\right]$
$\displaystyle\leqslant\tau(1+2^{J})\left[{\inf_{{\overline{Q}}\in{\mathscr{M}}(\beta)}\ell(\overline{P}^{\star},{\overline{Q}})+a_{1}^{-1}\left({\beta+\frac{2\xi}{n\beta}}\right)}\right]$
and (LABEL:eq-thm01) holds provided that $\kappa_{0}\geqslant\tau(2^{J}+1)$.
In the context of Theorem 2, we show that for some suitable value of $J$ and
all ${\overline{Q}}\in{\mathscr{M}}$,
$\displaystyle{\mathbb{E}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\right)}\right]\leqslant
2e^{-\xi}\quad\text{with}\quad
r=\ell(\overline{P}^{\star},{\overline{Q}})+{r}_{n}({\overline{Q}},\beta)+\frac{2\xi}{n\beta
a_{1}},$
and we get (25) by arguing similarly.
### 6.3. Proof of Theorem 1
For all $i\in\\{1,\ldots,n\\}$ and $P,Q,Q^{\prime}\in{\mathscr{M}}$, let us
set
(65) $\displaystyle U_{i}$
$\displaystyle={c}\left({t_{(P,Q^{\prime})}(X_{i})-{\mathbb{E}}\left[{t_{(P,Q^{\prime})}(X_{i})}\right]}\right)$
$\displaystyle\quad-{c}_{1}\left({t_{(P,Q)}(X_{i})-{\mathbb{E}}\left[{t_{(P,Q)}(X_{i})}\right]}\right)$
(66) $\displaystyle V_{i}$
$\displaystyle={c}_{2}\left({t_{(P,Q^{\prime})}(X_{i})-{\mathbb{E}}\left[{t_{(P,Q^{\prime})}(X_{i})}\right]}\right)$
$\displaystyle\quad-{c}_{1}\left({t_{(P,Q)}(X_{i})-{\mathbb{E}}\left[{t_{(P,Q)}(X_{i})}\right]}\right).$
The random variables $U_{i}$ are independent and under Assumption 3-(iv), they
takes their values in an interval of length $l_{1}={c}+{c}_{1}=1+2{c}$. The
$V_{i}$ are also independent and they takes their values in an interval of
length $l_{2}={c}_{1}+{c}_{2}=3+2{c}$. Applying Lemma 6, we obtain that
(67) $\displaystyle\prod_{i=1}^{n}{\mathbb{E}}\left[{\exp\left[{\beta
U_{i}}\right]}\right]$
$\displaystyle\leqslant\exp\left[{\frac{l_{1}^{2}n\beta^{2}}{8}}\right]$
and
(68) $\displaystyle\prod_{i=1}^{n}{\mathbb{E}}\left[{\exp\left[{\beta
V_{i}}\right]}\right]$
$\displaystyle\leqslant\exp\left[{\frac{l_{2}^{2}n\beta^{2}}{8}}\right].$
By using Assumption 2 and the fact that ${c}_{0}={c}_{1}-{c}a_{0}/a_{1}>0$,
$\displaystyle{c}\left({a_{0}\ell(\overline{P}^{\star},P)-a_{1}\ell(\overline{P}^{\star},Q^{\prime})}\right)-{c}_{1}\left({a_{1}\ell(\overline{P}^{\star},P)-a_{0}\ell(\overline{P}^{\star},Q)}\right)$
$\displaystyle=-\left({{c}_{1}a_{1}-{c}a_{0}}\right)\ell(\overline{P}^{\star},P)-{c}a_{1}\ell(\overline{P}^{\star},Q^{\prime})+{c}_{1}a_{0}\ell(\overline{P}^{\star},Q)$
$\displaystyle\leqslant-{c}_{0}a_{1}\left[{\tau^{-1}\ell({\overline{Q}},P)-\ell(\overline{P}^{\star},{\overline{Q}})}\right]-{c}a_{1}\left[{\tau^{-1}\ell({\overline{Q}},Q^{\prime})-\ell(\overline{P}^{\star},{\overline{Q}})}\right]$
$\displaystyle\quad+\tau{c}_{1}a_{0}\left[{\ell(\overline{P}^{\star},{\overline{Q}})+\ell({\overline{Q}},Q)}\right]$
(69)
$\displaystyle=e_{0}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})-\tau^{-1}{c}_{0}a_{1}\ell({\overline{Q}},P)-\tau^{-1}{c}a_{1}\ell({\overline{Q}},Q^{\prime})+\tau{c}_{1}a_{0}\ell({\overline{Q}},Q)$
with
(70) $e_{0}={c}_{0}+{c}+\frac{\tau{c}_{1}a_{0}}{a_{1}}.$
It follows from (69) and Assumptions 3-(iii), more precisely its consequences
(52) and (53), that
$\displaystyle
n^{-1}\left\\{{{c}{\mathbb{E}}\left[{{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})}\right]-{c}_{1}{\mathbb{E}}\left[{{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]}\right\\}$
$\displaystyle\leqslant{c}\left[{a_{0}\ell(\overline{P}^{\star},P)-a_{1}\ell(\overline{P}^{\star},Q^{\prime})}\right]-{c}_{1}\left[{a_{1}\ell(\overline{P}^{\star},P)-a_{0}\ell(\overline{P}^{\star},Q)}\right]$
(71) $\displaystyle\leqslant
e_{0}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})-\tau^{-1}{c}_{0}a_{1}\ell({\overline{Q}},P)-\tau^{-1}{c}a_{1}\ell({\overline{Q}},Q^{\prime})+\tau{c}_{1}a_{0}\ell({\overline{Q}},Q).$
Since $a_{0}\geqslant a_{1}$ and ${c}_{2}>{c}_{1}$,
${c}_{0}^{\prime}={c}_{2}(a_{0}/a_{1})-{c}_{1}>0$ and by arguing as above, we
obtain similarly that
$\displaystyle
n^{-1}\left\\{{{c}_{2}{\mathbb{E}}\left[{{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})}\right]-{c}_{1}{\mathbb{E}}\left[{{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]}\right\\}$
$\displaystyle\leqslant{c}_{2}\left({a_{0}\ell(\overline{P}^{\star},P)-a_{1}\ell(\overline{P}^{\star},Q^{\prime})}\right)-{c}_{1}\left({a_{1}\ell(\overline{P}^{\star},P)-a_{0}\ell(\overline{P}^{\star},Q)}\right)$
$\displaystyle={c}_{0}^{\prime}a_{1}\ell(\overline{P}^{\star},P)-{c}_{2}a_{1}\ell(\overline{P}^{\star},Q^{\prime})+{c}_{1}a_{0}\ell(\overline{P}^{\star},Q)$
$\displaystyle\leqslant\tau{c}_{0}^{\prime}a_{1}\left[{\ell(\overline{P}^{\star},{\overline{Q}})+\ell({\overline{Q}},P)}\right]-{c}_{2}a_{1}\left[{\tau^{-1}\ell({\overline{Q}},Q^{\prime})-\ell(\overline{P}^{\star},{\overline{Q}})}\right]$
$\displaystyle\quad+\tau{c}_{1}a_{0}\left[{\ell(\overline{P}^{\star},{\overline{Q}})+\ell({\overline{Q}},Q)}\right]$
$\displaystyle\leqslant\left({e_{1}+{c}_{2}}\right)a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{0}^{\prime}a_{1}\ell({\overline{Q}},P)$
(72)
$\displaystyle\quad-\tau^{-1}{c}_{2}a_{1}\ell({\overline{Q}},Q^{\prime})+\tau{c}_{1}a_{0}\ell({\overline{Q}},Q),$
with
(73)
$e_{1}=\tau\left[{{c}_{0}^{\prime}+{c}_{1}a_{0}/a_{1}}\right]=\tau\left[{{c}_{2}(a_{0}/a_{1})+\
{c}_{1}\left({a_{0}/a_{1}-1}\right)}\right].$
Using (67) and (71), we deduce that for all $P,Q,Q^{\prime}\in{\mathscr{M}}$
$\displaystyle{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]}\right]$
$\displaystyle=\prod_{i=1}^{n}{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}t_{(P,Q^{\prime})}(X_{i})-{c}_{1}t_{(P,Q)}(X_{i})}\right)}\right]}\right]$
$\displaystyle=\exp\left[{\beta\left({{c}{\mathbb{E}}\left[{{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})}\right]-{c}_{1}{\mathbb{E}}\left[{{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]}\right)}\right]\prod_{i=1}^{n}{\mathbb{E}}\left[{\exp\left[{\beta
U_{i}}\right]}\right]$ (74)
$\displaystyle\leqslant\exp\left[{n\beta\left[{\Delta_{1}(P,Q)-\tau^{-1}{c}a_{1}\ell({\overline{Q}},Q^{\prime})}\right]}\right]$
with
(75)
$\displaystyle\Delta_{1}(P,Q)=e_{0}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{1}a_{0}\ell({\overline{Q}},Q)+\frac{l_{1}^{2}\beta}{8}-\tau^{-1}{c}_{0}a_{1}\ell({\overline{Q}},P).$
Using (68) and (72), we obtain similarly that for all
$P,Q,Q^{\prime}\in{\mathscr{M}}$
$\displaystyle{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}_{2}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]}\right]$
(76)
$\displaystyle\leqslant\exp\left[{n\beta\left[{\Delta_{2}(P,Q)-\tau^{-1}{c}_{2}a_{1}\ell({\overline{Q}},Q^{\prime})}\right]}\right]$
with
$\displaystyle\Delta_{2}(P,Q)$
$\displaystyle=\left({e_{1}+{c}_{2}}\right)a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{0}^{\prime}a_{1}\ell({\overline{Q}},P)+\tau{c}_{1}a_{0}\ell({\overline{Q}},Q)$
(77) $\displaystyle\quad+\frac{l_{2}^{2}\beta}{8}.$
Since $2\gamma<\tau^{-1}{c}<\tau^{-1}{c}_{2}$, we may apply Lemma 8 with
$\gamma_{0}=\tau^{-1}{c}$ and $\gamma_{0}=\tau^{-1}{c}_{2}$ successively which
leads to
(78) $\displaystyle\int_{{\mathscr{M}}}\exp\left[{-\tau^{-1}{c}n\beta
a_{1}\ell({\overline{Q}},Q^{\prime})}\right]d\pi(Q^{\prime})\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\Xi_{1}}\right]$
and
(79) $\displaystyle\int_{{\mathscr{M}}}\exp\left[{-\tau^{-1}{c}_{2}n\beta
a_{1}\ell({\overline{Q}},Q^{\prime})}\right]d\pi(Q^{\prime})\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\Xi_{1}}\right]$
with
(80) $\displaystyle\Xi_{1}$
$\displaystyle=\log\left[{1+\frac{\exp\left[{-\left({\tau^{-1}{c}-\gamma}\right)}\right]}{1-\exp\left[{-\left({\tau^{-1}{c}-2\gamma}\right)}\right]}}\right]$
$\displaystyle\geqslant\log\left[{1+\frac{\exp\left[{-\left({\tau^{-1}{c}_{2}-\gamma}\right)}\right]}{1-\exp\left[{-\left({\tau^{-1}{c}_{2}-2\gamma}\right)}\right]}}\right].$
Putting (76) and (79) together leads to
$\displaystyle\exp\left[{{\mathbf{L}}(P,Q)}\right]$
$\displaystyle=\int_{{\mathscr{M}}}{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}_{2}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]}\right]d\pi(Q^{\prime})$
$\displaystyle\leqslant\exp\left[{n\beta\Delta_{2}(P,Q)}\right]\int_{{\mathscr{M}}}\exp\left[{-\tau^{-1}{c}_{2}n\beta
a_{1}\ell({\overline{Q}},Q^{\prime})}\right]d\pi(Q^{\prime})$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\Xi_{1}+n\beta\Delta_{2}(P,Q)}\right],$
and since, for all $(P,Q)\in{\mathscr{B}}^{2}$, by definition (77) of
$\Delta_{2}(P,Q)$,
$\displaystyle\Delta_{2}(P,Q)$
$\displaystyle\leqslant\left({e_{1}+{c}_{2}}\right)a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\left[{\tau{c}_{0}^{\prime}a_{1}+\tau{c}_{1}a_{0}}\right]{r}+\frac{l_{2}^{2}\beta}{8}$
(81)
$\displaystyle=\left({e_{1}+{c}_{2}}\right)a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+e_{1}a_{1}{r}+\frac{l_{2}^{2}\beta}{8}=\Delta_{2}$
we derive that
$\displaystyle\left[{\int_{{\mathscr{B}}^{2}}\exp\left[{-{\mathbf{L}}(P,Q)}\right]d\pi_{{\mathscr{B}}}(P)d\pi_{{\mathscr{B}}}(Q)}\right]^{-1}\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\Xi_{1}+n\beta\Delta_{2}}\right].$
We deduce from (64) that
$\displaystyle{\mathbb{P}}(\leftidx{{}^{\mathsf{c}}}{\\!{A}}{})$
$\displaystyle\leqslant\frac{z}{\pi\left({{\mathscr{B}}}\right)}\exp\left[{\Xi_{1}+n\beta\Delta_{2}}\right].$
In particular, ${\mathbb{P}}(\leftidx{{}^{\mathsf{c}}}{\\!{A}}{})\leqslant
e^{-\xi}$ for $z$ satisfying
(82)
$\displaystyle\log\left({\frac{1}{z}}\right)=\xi+\log\frac{1}{\pi({\mathscr{B}})}+\Xi_{1}+n\beta\Delta_{2}.$
Putting (74) and (78) together, we obtain that
$\displaystyle\exp\left[{{\mathbf{M}}(P,Q)}\right]$
$\displaystyle=\int_{{\mathscr{M}}}{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]}\right]d\pi(Q^{\prime})$
$\displaystyle\leqslant\exp\left[{n\beta\Delta_{1}(P,Q)}\right]\int_{{\mathscr{M}}}\exp\left[{-\tau^{-1}{c}n\beta
a_{1}\ell({\overline{Q}},Q^{\prime})}\right]d\pi(Q^{\prime})$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\Xi_{1}+n\beta\Delta_{1}(P,Q)}\right].$
It follows from the definition (75) of $\Delta_{1}(P,Q)$ that for all
$P\in{\mathscr{M}}$ and for all $Q\in{\mathscr{B}}$,
$\displaystyle\Delta_{1}(P,Q)$ $\displaystyle\leqslant
e_{0}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{1}a_{0}{r}+\frac{l_{1}^{2}\beta}{8}-\tau^{-1}{c}_{0}a_{1}\ell({\overline{Q}},P),$
and consequently, for all $P\in{\mathscr{M}}$ and $Q\in{\mathscr{B}}$
$\displaystyle\exp\left[{{\mathbf{M}}(P,Q)}\right]$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\Xi_{1}+n\beta\left({e_{0}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{1}a_{0}{r}+\frac{l_{1}^{2}\beta}{8}-\tau^{-1}{c}_{0}a_{1}\ell({\overline{Q}},P)}\right)}\right].$
We derive from Lemma 4 that
$\displaystyle{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]$
$\displaystyle\leqslant\frac{1}{\pi({\mathscr{B}})}\left[{\int_{{\mathscr{B}}}\exp\left[{-{\mathbf{M}}(P,Q)}\right]d\pi_{{\mathscr{B}}}(Q)}\right]^{-1}$
$\displaystyle\leqslant\exp\left[{\Xi_{1}+n\beta\left({e_{0}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{1}a_{0}{r}+\frac{l_{1}^{2}\beta}{8}-\tau^{-1}{c}_{0}a_{1}\ell({\overline{Q}},P)}\right)}\right],$
hence,
(83)
$\displaystyle\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}$
$\displaystyle{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]d\pi(P)$
$\displaystyle\leqslant\exp\left[{\Xi_{1}+n\beta\left({e_{0}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{1}a_{0}{r}+\frac{l_{1}^{2}\beta}{8}}\right)}\right]$
$\displaystyle\quad\times\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\exp\left[{-\tau^{-1}{c}_{0}n\beta
a_{1}\ell({\overline{Q}},P)}\right]d\pi(P).$
Applying Lemma 8 with $\gamma_{0}=\tau^{-1}{c}_{0}>2\gamma$ and setting
$e_{2}=\tau^{-1}{c}_{0}-2\gamma$, we get
$\displaystyle\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\exp\left[{-\tau^{-1}{c}_{0}n\beta
a_{1}\ell({\overline{Q}},P)}\right]d\pi(P)\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\Xi_{2}-e_{2}n\beta
a_{1}2^{J}{r}}\right]$
with
(84)
$\Xi_{2}=-\gamma+\log\left[{\frac{1}{1-\exp\left[{-e_{2}}\right]}}\right],$
which together with (83) leads to
$\displaystyle\log\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]d\pi(P)$
$\displaystyle\leqslant\log\left[{\pi\left({{\mathscr{B}}}\right)}\right]+\Xi_{1}+\Xi_{2}$
(85)
$\displaystyle\quad+n\beta\left[{e_{0}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{1}a_{0}{r}+\frac{l_{1}^{2}\beta}{8}-e_{2}a_{1}2^{J}{r}}\right].$
Using the definitions (82) of $z$ and (81) of $\Delta_{2}$ we deduce from (85)
that
$\displaystyle\log\left[{\frac{1}{z}\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]d\pi(P)}\right]$
$\displaystyle\leqslant\log\left({\frac{1}{z}}\right)+\log\left[{\pi\left({{\mathscr{B}}}\right)}\right]+\Xi_{1}+\Xi_{2}$
$\displaystyle\quad+n\beta\left[{e_{0}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{1}a_{0}{r}+\frac{l_{1}^{2}\beta}{8}-e_{2}a_{1}2^{J}{r}}\right]$
$\displaystyle=\xi+\log\frac{1}{\pi({\mathscr{B}})}+\Xi_{1}+n\beta\Delta_{2}+\log\left[{\pi\left({{\mathscr{B}}}\right)}\right]+\Xi_{1}+\Xi_{2}$
$\displaystyle\quad+n\beta\left[{e_{0}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{1}a_{0}{r}+\frac{l_{1}^{2}\beta}{8}-e_{2}a_{1}2^{J}{r}}\right]$
$\displaystyle=n\beta\left[{\left({e_{1}+{c}_{2}+e_{0}}\right)a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+e_{1}a_{1}{r}+\frac{l_{2}^{2}\beta}{8}++\tau{c}_{1}a_{0}{r}+\frac{l_{1}^{2}\beta}{8}}\right]$
$\displaystyle\quad+\xi+2\Xi_{1}+\Xi_{2}-e_{2}n\beta a_{1}2^{J}{r}$
$\displaystyle=n\beta\left[{\left({e_{0}+e_{1}+{c}_{2}}\right)a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\left[{e_{1}+\frac{\tau{c}_{1}a_{0}}{a_{1}}}\right]a_{1}{r}+\frac{(l_{1}^{2}+l_{2}^{2})\beta}{8}}\right]$
(86) $\displaystyle\quad+\xi+2\Xi_{1}+\Xi_{2}-e_{2}n\beta a_{1}2^{J}{r}.$
Setting,
$C_{1}=e_{0}+e_{1}+{c}_{2}\quad\text{and}\quad
C_{2}=e_{1}+\frac{\tau{c}_{1}a_{0}}{a_{1}},$
we see that the right-hand side of (86) is not larger than $-\xi$, provided
that
$\displaystyle e_{2}n\beta a_{1}2^{J}{r}$ $\displaystyle\geqslant
2\xi+2\Xi_{1}+\Xi_{2}+n\beta\left[{C_{1}a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+C_{2}a_{1}{r}+\frac{(l_{1}^{2}+l_{2}^{2})\beta}{8}}\right]$
or equivalently if
(87) $\displaystyle 2^{J}$
$\displaystyle\geqslant\frac{1}{e_{2}}\left[{\frac{2\xi+2\Xi_{1}+\Xi_{2}}{\beta
na_{1}{r}}+\frac{C_{1}\ell(\overline{P}^{\star},{\overline{Q}})}{{r}}+C_{2}+\frac{\left[{l_{1}^{2}+l_{2}^{2}}\right]\beta}{8a_{1}{r}}}\right].$
Choosing ${\overline{Q}}$ in ${\mathscr{M}}(\beta)$ and using the inequalities
$a_{1}^{-1}\beta\geqslant{r}_{n}(\beta,{\overline{Q}})\geqslant 1/(\beta
na_{1})$, for
${r}=\ell(\overline{P}^{\star},{\overline{Q}})+\frac{1}{a_{1}}\left({\beta+\frac{2\xi}{n\beta}}\right)\geqslant\frac{1}{\beta
na_{1}}$
we obtain that the right-hand side of (87) satisfies
$\displaystyle\frac{1}{e_{2}}\left[{\frac{2\xi+2\Xi_{1}+\Xi_{2}}{\beta
na_{1}{r}}+\frac{C_{1}\ell(\overline{P}^{\star},{\overline{Q}})+C_{2}{r}}{{r}}+\frac{\left[{l_{1}^{2}+l_{2}^{2}}\right]\beta}{8a_{1}{r}}}\right]$
$\displaystyle\leqslant\frac{1}{e_{2}}\left[{C_{2}+2\Xi_{1}+\Xi_{2}+\frac{C_{3}}{{r}}\left({\ell(\overline{P}^{\star},{\overline{Q}})+\frac{1}{a_{1}}\left({\beta+\frac{2\xi}{n\beta}}\right)}\right)}\right]$
$\displaystyle=\frac{1}{e_{2}}\left[{C_{2}+2\Xi_{1}+\Xi_{2}+C_{3}}\right]$
with $C_{3}=\max\\{1,C_{1},\left[{l_{1}^{2}+l_{2}^{2}}\right]/8\\}$.
Inequality (87) is therefore satisfied for $J\in{\mathbb{N}}$ such that
$2^{J}\geqslant\frac{C_{2}+2\Xi_{1}+\Xi_{2}+C_{3}}{e_{2}}\vee 1>2^{J-1},$
and we may take
(88)
$\displaystyle\kappa_{0}=\tau\left[{\frac{2\left({C_{2}+2\Xi_{1}+\Xi_{2}+C_{3}}\right)}{e_{2}}\vee
1+1}\right]\geqslant\tau\left({2^{J}+1}\right).$
We recall below, the list of constants depending on $a_{0},a_{1},c,\tau$ and
$\gamma$ and we have used along the proof.
$\displaystyle c_{0}$ $\displaystyle=1+c-\frac{ca_{0}}{a_{1}},$ $\displaystyle
c_{1}$ $\displaystyle=1+c,$ $\displaystyle c_{2}$ $\displaystyle=2+c,$
$\displaystyle c^{\prime}_{0}$ $\displaystyle=\frac{c_{2}a_{0}}{a_{1}}-c_{1},$
$\displaystyle l_{1}$ $\displaystyle=1+2c,$ $\displaystyle l_{2}$
$\displaystyle=3+2c,$ $\displaystyle e_{0}$ $\displaystyle=c_{0}+c+\frac{\tau
c_{1}a_{0}}{a_{1}},$ $\displaystyle e_{1}$
$\displaystyle=\tau\left[{c_{0}^{\prime}+c_{1}\frac{a_{0}}{a_{1}}}\right],$
$\displaystyle e_{2}$ $\displaystyle=\tau^{-1}c_{0}-2\gamma,$ $\displaystyle
C_{1}$ $\displaystyle=e_{0}+e_{1}+c_{2},$ $\displaystyle C_{2}$
$\displaystyle=e_{1}+\frac{\tau c_{1}a_{0}}{a_{1}},$ $\displaystyle C_{3}$
$\displaystyle=\max\left\\{{1,C_{1},\frac{l_{1}^{2}+l_{2}^{2}}{8}}\right\\},$
and
$\displaystyle\Xi_{1}$
$\displaystyle=\log\left[{1+\frac{\exp\left[{-\left({\tau^{-1}{c}-\gamma}\right)}\right]}{1-\exp\left[{-\left({\tau^{-1}{c}-2\gamma}\right)}\right]}}\right],\quad\Xi_{2}=-\gamma+\log\left[{\frac{1}{1-\exp\left[{-e_{2}}\right]}}\right].$
### 6.4. Proof of Theorem 2
The proof follows the same lines as that of Theorem 1. Under Assumption
3-(iv), the random variables $U_{i}$ and $V_{i}$ defined by (65) and (66) are
not larger than with $b={c}+{c}_{1}=l_{1}$ and $b={c}_{2}+{c}_{1}=l_{2}$
respectively. Since under Assumption 4, more precisely its consequence (54),
that
$\displaystyle\frac{1}{n}\sum_{i=1}^{n}{\mathbb{E}}\left[{U_{i}^{2}}\right]$
$\displaystyle\leqslant 2\left[{\frac{{c}^{2}}{n}\sum_{i=1}^{n}\mathop{\rm
Var}\nolimits\left[{t_{(P,Q^{\prime})}(X_{i})}\right]+\frac{{c}_{1}^{2}}{n}\sum_{i=1}^{n}\mathop{\rm
Var}\nolimits\left[{t_{(P,Q)}(X_{i})}\right]}\right]$ $\displaystyle\leqslant
2a_{2}\left[{({c}^{2}+{c}_{1}^{2})\ell(\overline{P}^{\star},P)+{c}^{2}\ell(\overline{P}^{\star},Q^{\prime})+{c}_{1}^{2}\ell(\overline{P}^{\star},Q)}\right]$
and
$\displaystyle\frac{1}{n}\sum_{i=1}^{n}{\mathbb{E}}\left[{V_{i}^{2}}\right]$
$\displaystyle\leqslant
2a_{2}\left[{({c}_{2}^{2}+{c}_{1}^{2})\ell(\overline{P}^{\star},P)+{c}_{2}^{2}\ell(\overline{P}^{\star},Q^{\prime})+{c}_{1}^{2}\ell(\overline{P}^{\star},Q)}\right]$
we may apply Lemma 7 and using the notations $\Lambda_{1}=\tau\phi(\beta
l_{1})$, $\Lambda_{2}=\tau\phi(\beta l_{2})$ and Assumption 1, we get
$\displaystyle\frac{1}{n\beta}\log\left[{\prod_{i=1}^{n}{\mathbb{E}}\left[{\exp\left[{\beta
U_{i}}\right]}\right]}\right]$ $\displaystyle\leqslant{\phi(\beta l_{1})}\beta
a_{2}\left[{({c}^{2}+{c}_{1}^{2})\ell(\overline{P}^{\star},P)+{c}^{2}\ell(\overline{P}^{\star},Q^{\prime})+{c}_{1}^{2}\ell(\overline{P}^{\star},Q)}\right]$
$\displaystyle\leqslant 2\Lambda_{1}\beta
a_{2}\left[{{c}^{2}+{c}_{1}^{2}}\right]\ell(\overline{P}^{\star},{\overline{Q}})$
(89) $\displaystyle\quad+\Lambda_{1}\beta
a_{2}\left[{({c}^{2}+{c}_{1}^{2})\ell({\overline{Q}},P)+{c}^{2}\ell({\overline{Q}},Q^{\prime})+{c}_{1}^{2}\ell({\overline{Q}},Q)}\right]$
and similarly
$\displaystyle\frac{1}{n\beta}\log\left[{\prod_{i=1}^{n}{\mathbb{E}}\left[{\exp\left[{\beta
V_{i}}\right]}\right]}\right]$ $\displaystyle\leqslant 2\Lambda_{2}\beta
a_{2}\left[{{c}_{2}^{2}+{c}_{1}^{2}}\right]\ell(\overline{P}^{\star},{\overline{Q}})$
(90) $\displaystyle\quad+\Lambda_{2}\beta
a_{2}\left[{({c}_{2}^{2}+{c}_{1}^{2})\ell({\overline{Q}},P)+{c}_{2}^{2}\ell({\overline{Q}},Q^{\prime})+{c}_{1}^{2}\ell({\overline{Q}},Q)}\right].$
It follows from (71) that
$\displaystyle E_{1}$
$\displaystyle=n^{-1}\left\\{{{c}{\mathbb{E}}\left[{{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})}\right]-{c}_{1}{\mathbb{E}}\left[{{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]}\right\\}$
$\displaystyle\quad+2\Lambda_{1}\beta
a_{2}\left[{{c}^{2}+{c}_{1}^{2}}\right]\ell(\overline{P}^{\star},{\overline{Q}})$
$\displaystyle\quad+\Lambda_{1}\beta
a_{2}\left[{({c}^{2}+{c}_{1}^{2})\ell({\overline{Q}},P)+{c}^{2}\ell({\overline{Q}},Q^{\prime})+{c}_{1}^{2}\ell({\overline{Q}},Q)}\right]$
$\displaystyle\leqslant\left[{e_{0}a_{1}+2\Lambda_{1}\beta
a_{2}\left({{c}^{2}+{c}_{1}^{2}}\right)}\right]\ell(\overline{P}^{\star},{\overline{Q}})$
$\displaystyle\quad-\left[{\tau^{-1}{c}_{0}a_{1}-\Lambda_{1}\beta
a_{2}({c}^{2}+{c}_{1}^{2})}\right]\ell({\overline{Q}},P)$
$\displaystyle\quad-\left[{\tau^{-1}{c}a_{1}-\Lambda_{1}\beta
a_{2}{c}^{2}}\right]\ell({\overline{Q}},Q^{\prime})$
$\displaystyle\quad+\left[{\tau{c}_{1}a_{0}+\Lambda_{1}\beta
a_{2}{c}_{1}^{2}}\right]\ell({\overline{Q}},Q).$
Using the definitions (22) of $\overline{c}_{1}$ and (23) of
$\overline{c}_{2}$, i.e.
$\displaystyle\overline{c}_{1}={c}_{0}-\tau\Lambda_{1}\beta
a_{2}a_{1}^{-1}({c}^{2}+{c}_{1}^{2})\quad\text{and}\quad\overline{c}_{2}={c}-\tau\Lambda_{1}\beta
a_{2}a_{1}^{-1}{c}^{2}$
and setting
$\displaystyle e_{3}$
$\displaystyle=e_{0}+2\Lambda_{1}\beta\frac{a_{2}\left({{c}^{2}+{c}_{1}^{2}}\right)}{a_{1}}$
$\displaystyle e_{4}$
$\displaystyle=\frac{1}{a_{1}}\left[{\tau{c}_{1}a_{0}+\Lambda_{1}\beta
a_{2}{c}_{1}^{2}}\right]$
and arguing as in the proof of inequality (74), we deduce from (89) that
$\displaystyle\log{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]}\right]$
$\displaystyle\leqslant n\beta E_{1}$ (91) $\displaystyle\leqslant n\beta
a_{1}\left[{e_{3}\ell(\overline{P}^{\star},{\overline{Q}})-\tau^{-1}\left[{\overline{c}_{1}\ell({\overline{Q}},P)+\overline{c}_{2}\ell({\overline{Q}},Q^{\prime})}\right]+e_{4}\ell({\overline{Q}},Q)}\right].$
It follows from (72) that
$\displaystyle E_{2}$
$\displaystyle=n^{-1}\left\\{{{c}_{2}{\mathbb{E}}\left[{{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})}\right]-{c}_{1}{\mathbb{E}}\left[{{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right]}\right\\}$
$\displaystyle\quad+2\Lambda_{2}\beta
a_{2}\left[{{c}_{2}^{2}+{c}_{1}^{2}}\right]\ell(\overline{P}^{\star},{\overline{Q}})$
$\displaystyle\quad+\Lambda_{2}\beta
a_{2}\left[{({c}_{2}^{2}+{c}_{1}^{2})\ell({\overline{Q}},P)+{c}_{2}^{2}\ell({\overline{Q}},Q^{\prime})+{c}_{1}^{2}\ell({\overline{Q}},Q)}\right]$
$\displaystyle\leqslant\left({e_{1}+{c}_{2}}\right)a_{1}\ell(\overline{P}^{\star},{\overline{Q}})+\tau{c}_{0}^{\prime}a_{1}\ell({\overline{Q}},P)-\tau^{-1}{c}_{2}a_{1}\ell({\overline{Q}},Q^{\prime})$
$\displaystyle\quad+\tau{c}_{1}a_{0}\ell({\overline{Q}},Q)+2\Lambda_{2}\beta
a_{2}\left[{{c}_{2}^{2}+{c}_{1}^{2}}\right]\ell(\overline{P}^{\star},{\overline{Q}})$
$\displaystyle\quad+\Lambda_{2}\beta
a_{2}\left[{({c}_{2}^{2}+{c}_{1}^{2})\ell({\overline{Q}},P)+{c}_{2}^{2}\ell({\overline{Q}},Q^{\prime})+{c}_{1}^{2}\ell({\overline{Q}},Q)}\right]$
$\displaystyle=\left[{\left({e_{1}+{c}_{2}}\right)a_{1}+2\Lambda_{2}\beta
a_{2}\left({{c}_{2}^{2}+{c}_{1}^{2}}\right)}\right]\ell(\overline{P}^{\star},{\overline{Q}})$
$\displaystyle\quad+\left[{\tau{c}_{0}^{\prime}a_{1}+\Lambda_{2}\beta
a_{2}({c}_{2}^{2}+{c}_{1}^{2})}\right]\ell({\overline{Q}},P)$
$\displaystyle\quad-\left[{\tau^{-1}{c}_{2}a_{1}-\Lambda_{2}\beta
a_{2}{c}_{2}^{2}}\right]\ell({\overline{Q}},Q^{\prime})$
$\displaystyle\quad+\left[{\tau{c}_{1}a_{0}+\Lambda_{2}\beta
a_{2}{c}_{1}^{2}}\right]\ell({\overline{Q}},Q).$
Using the definition (24) of $\overline{c}_{3}$, i.e.
$\overline{c}_{3}={c}_{2}-\tau\Lambda_{2}\beta a_{2}a_{1}^{-1}{c}_{2}^{2},$
and setting
$\displaystyle e_{5}$
$\displaystyle=e_{1}+{c}_{2}+2\Lambda_{2}\beta\frac{a_{2}\left({{c}_{2}^{2}+{c}_{1}^{2}}\right)}{a_{1}},$
$\displaystyle e_{6}$
$\displaystyle=\tau{c}_{0}^{\prime}+\Lambda_{2}\beta\frac{a_{2}({c}_{2}^{2}+{c}_{1}^{2})}{a_{1}}$
$\displaystyle e_{7}$
$\displaystyle=\frac{1}{a_{1}}\left[{\tau{c}_{1}a_{0}+\Lambda_{2}\beta
a_{2}{c}_{1}^{2}}\right],$
and arguing as in the proof of (76), we deduce from (90) that
$\displaystyle\log{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}_{2}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]}\right]$
$\displaystyle\leqslant n\beta E_{2}$ (92) $\displaystyle=n\beta
a_{1}\left({e_{5}\ell(\overline{P}^{\star},{\overline{Q}})+e_{6}\ell({\overline{Q}},P)-\tau^{-1}\overline{c}_{3}\ell({\overline{Q}},Q^{\prime})+e_{7}\ell({\overline{Q}},Q)}\right).$
Under our assumption on $\beta$, we know that the quantities
$\overline{c}_{2}$ and $\overline{c}_{3}$ are positive and that
$2\gamma<\tau^{-1}\left({\overline{c}_{2}\wedge\overline{c}_{3}}\right)$. We
may therefore apply Lemma 8 with $\gamma_{0}=\tau^{-1}\overline{c}_{2}$ and
$\gamma_{0}=\tau^{-1}\overline{c}_{3}$ successively and get
(93)
$\displaystyle\int_{{\mathscr{M}}}\exp\left[{-\tau^{-1}\overline{c}_{2}n\beta
a_{1}\ell({\overline{Q}},Q^{\prime})}\right]d\pi(Q^{\prime})$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\overline{\Xi}_{1}}\right]$
and
(94)
$\displaystyle\int_{{\mathscr{M}}}\exp\left[{-\tau^{-1}\overline{c}_{3}n\beta
a_{1}\ell({\overline{Q}},Q^{\prime})}\right]d\pi(Q^{\prime})$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\overline{\Xi}_{1}}\right]$
with
(95)
$\overline{\Xi}_{1}=\log\left[{1+\frac{\exp\left[{-\left({\tau^{-1}(\overline{c}_{2}\wedge\overline{c}_{3})-\gamma}\right)}\right]}{1-\exp\left[{-\left({\tau^{-1}(\overline{c}_{2}\wedge\overline{c}_{3})-2\gamma}\right)}\right]}}\right].$
Putting (92) and (94) together, we obtain that for all
$(P,Q)\in{\mathscr{B}}^{2}$
$\displaystyle\exp\left[{{\mathbf{L}}(P,Q)}\right]$
$\displaystyle=\int_{{\mathscr{M}}}{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}_{2}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]}\right]d\pi(Q^{\prime})$
$\displaystyle\leqslant\exp\left[{n\beta
a_{1}\left({e_{5}\ell(\overline{P}^{\star},{\overline{Q}})+e_{6}\ell({\overline{Q}},P)+e_{7}\ell({\overline{Q}},Q)}\right)}\right]$
$\displaystyle\quad\times\int_{{\mathscr{M}}}\exp\left[{-\tau^{-1}\overline{c}_{3}n\beta
a_{1}\ell({\overline{Q}},Q^{\prime})}\right]d\pi(Q^{\prime})$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\overline{\Xi}_{1}+n\beta
a_{1}\left({e_{5}\ell(\overline{P}^{\star},{\overline{Q}})+e_{6}\ell({\overline{Q}},P)+e_{7}\ell({\overline{Q}},Q)}\right)}\right]$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\overline{\Xi}_{1}+n\beta
a_{1}\left({e_{5}\ell(\overline{P}^{\star},{\overline{Q}})+(e_{6}+e_{7}){r}}\right)}\right].$
Consequently,
$\displaystyle\left[{\int_{{\mathscr{B}}^{2}}\exp\left[{-{\mathbf{L}}(P,Q)}\right]d\pi_{{\mathscr{B}}}(P)d\pi_{{\mathscr{B}}}(Q)}\right]^{-1}$
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\overline{\Xi}_{1}+n\beta
a_{1}\left({e_{5}\ell(\overline{P}^{\star},{\overline{Q}})+(e_{6}+e_{7}){r}}\right)}\right].$
We deduce from (64) that
$\displaystyle{\mathbb{P}}(\leftidx{{}^{\mathsf{c}}}{\\!{A}}{})$
$\displaystyle\leqslant\frac{z}{\pi\left({{\mathscr{B}}}\right)}\exp\left[{\overline{\Xi}_{1}+n\beta
a_{1}\left({e_{5}\ell(\overline{P}^{\star},{\overline{Q}})+(e_{6}+e_{7}){r}}\right)}\right].$
In particular, ${\mathbb{P}}(\leftidx{{}^{\mathsf{c}}}{\\!{A}}{})\leqslant
e^{-\xi}$ for $z$ satisfying
(96)
$\displaystyle\log\left({\frac{1}{z}}\right)=\xi+\log\frac{1}{\pi({\mathscr{B}})}+\overline{\Xi}_{1}+n\beta
a_{1}\left[{e_{5}\ell(\overline{P}^{\star},{\overline{Q}})+(e_{6}+e_{7}){r}}\right].$
Putting (91) and (93) together, we obtain that for all $Q\in{\mathscr{B}}$
$\displaystyle\exp\left[{{\mathbf{M}}(P,Q)}\right]$
$\displaystyle=\int_{{\mathscr{M}}}{\mathbb{E}}\left[{\exp\left[{\beta\left({{c}{\mathbf{T}}({\boldsymbol{X}},P,Q^{\prime})-{c}_{1}{\mathbf{T}}({\boldsymbol{X}},P,Q)}\right)}\right]}\right]d\pi(Q^{\prime})$
$\displaystyle\leqslant\exp\left[{n\beta
a_{1}\left({e_{3}\ell(\overline{P}^{\star},{\overline{Q}})-\tau^{-1}\overline{c}_{1}\ell({\overline{Q}},P)+e_{4}\ell({\overline{Q}},Q)}\right)}\right]$
$\displaystyle\quad\times\int_{{\mathscr{M}}}\exp\left[{-\tau^{-1}\overline{c}_{2}n\beta
a_{1}\ell({\overline{Q}},Q^{\prime})}\right]d\pi(Q^{\prime})$
$\displaystyle\leqslant\pi({\mathscr{B}})\exp\left[{\overline{\Xi}_{1}+n\beta
a_{1}\left({e_{3}\ell(\overline{P}^{\star},{\overline{Q}})+e_{4}{r}-\tau^{-1}\overline{c}_{1}\ell({\overline{Q}},P)}\right)}\right].$
We derive from Lemma 4 that
$\displaystyle{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]$
$\displaystyle\leqslant\frac{1}{\pi({\mathscr{B}})}\left[{\int_{{\mathscr{B}}}\exp\left[{-{\mathbf{M}}(P,Q)}\right]d\pi_{{\mathscr{B}}}(Q)}\right]^{-1}$
$\displaystyle\leqslant\exp\left[{\overline{\Xi}_{1}+n\beta
a_{1}\left({e_{3}\ell(\overline{P}^{\star},{\overline{Q}})+e_{4}{r}-\tau^{-1}\overline{c}_{1}\ell({\overline{Q}},P)}\right)}\right],$
and consequently,
$\displaystyle\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]d\pi(P)$
$\displaystyle\leqslant\exp\left[{\overline{\Xi}_{1}+n\beta
a_{1}\left({e_{3}\ell(\overline{P}^{\star},{\overline{Q}})+e_{4}{r}}\right)}\right]$
(97)
$\displaystyle\quad\times\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\exp\left[{-\tau^{-1}\overline{c}_{1}n\beta
a_{1}\ell({\overline{Q}},P)}\right]d\pi(P).$
Since under our assumptions, $\overline{c}_{1}>0$ and
$2\gamma<\tau^{-1}\overline{c}_{1}$ we may apply Lemma 8 with
$\gamma_{0}=\tau^{-1}\overline{c}_{1}$, and setting
$e_{8}=\tau^{-1}\overline{c}_{1}-2\gamma$ which leads to
$\displaystyle\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}\exp\left[{-\tau^{-1}\overline{c}_{1}n\beta
a_{1}\ell({\overline{Q}},P)}\right]d\pi(P)\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\overline{\Xi}_{2}-e_{8}n\beta
a_{1}2^{J}{r}}\right].$
with
(98)
$\overline{\Xi}_{2}=-\gamma+\log\left[{\frac{1}{1-\exp\left[{-e_{8}}\right]}}\right],$
which together with (97) leads to
$\displaystyle\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]d\pi(P)$
(99)
$\displaystyle\leqslant\pi\left({{\mathscr{B}}}\right)\exp\left[{\overline{\Xi}_{1}+\overline{\Xi}_{2}+n\beta
a_{1}\left({e_{3}\ell(\overline{P}^{\star},{\overline{Q}})+e_{4}{r}-e_{8}2^{J}{r}}\right)}\right].$
Using the definition (96) of $z$, we deduce that
$\displaystyle\log\left[{\frac{1}{z}\int_{\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}({\overline{Q}},2^{J}{r})}{\mathbb{E}}\left[{\exp\left[{-\beta{\mathbf{T}}({\boldsymbol{X}},P)}\right]}\right]d\pi(P)}\right]$
$\displaystyle\leqslant\log\left({\frac{1}{z}}\right)+\log\pi({\mathscr{B}})+\overline{\Xi}_{1}+\overline{\Xi}_{2}+n\beta
a_{1}\left({e_{3}\ell(\overline{P}^{\star},{\overline{Q}})+e_{4}{r}-e_{8}2^{J}{r}}\right)$
$\displaystyle=\xi+\log\frac{1}{\pi({\mathscr{B}})}+\overline{\Xi}_{1}+n\beta
a_{1}\left[{e_{5}\ell(\overline{P}^{\star},{\overline{Q}})+(e_{6}+e_{7}){r}}\right]$
$\displaystyle\quad+\log\pi({\mathscr{B}})+\overline{\Xi}_{1}+\overline{\Xi}_{2}+n\beta
a_{1}\left({e_{3}\ell(\overline{P}^{\star},{\overline{Q}})+e_{4}{r}-e_{8}2^{J}{r}}\right)$
$\displaystyle=\xi+2\overline{\Xi}_{1}+\overline{\Xi}_{2}+n\beta
a_{1}\left[{\left({e_{3}+e_{5}}\right)\ell(\overline{P}^{\star},{\overline{Q}})+(e_{4}+e_{6}+e_{7}){r}}\right]$
$\displaystyle\quad-e_{8}n\beta a_{1}2^{J}{r}.$
The right-hand side is not larger than $-\xi$ provided that
(100) $\displaystyle 2^{J}$
$\displaystyle\geqslant\frac{1}{e_{8}}\left[{\frac{2\xi+2\overline{\Xi}_{1}+\overline{\Xi}_{2}}{n\beta
a_{1}{r}}+\left[{\left({e_{3}+e_{5}}\right)\frac{\ell(\overline{P}^{\star},{\overline{Q}})}{{r}}+e_{4}+e_{6}+e_{7}}\right]}\right].$
Using the fact that ${r}_{n}(\beta,{\overline{Q}})\geqslant 1/(n\beta a_{1})$,
with the choice
${r}=\ell(\overline{P}^{\star},{\overline{Q}})+{r}_{n}(\beta,{\overline{Q}})+\frac{2\xi}{n\beta
a_{1}}\geqslant\ell(\overline{P}^{\star},{\overline{Q}})+\frac{1+2\xi}{n\beta
a_{1}}\geqslant\frac{1}{n\beta a_{1}},$
the right-hand side of (100) satisfies
$\displaystyle\frac{1}{e_{8}}\left[{\frac{2\xi+2\overline{\Xi}_{1}+\overline{\Xi}_{2}}{n\beta
a_{1}{r}}+\left[{\left({e_{3}+e_{5}}\right)\frac{\ell(\overline{P}^{\star},{\overline{Q}})}{{r}}+e_{4}+e_{6}+e_{7}}\right]}\right]$
$\displaystyle\leqslant\frac{1}{e_{8}}\left[{2\overline{\Xi}_{1}+\overline{\Xi}_{2}+e_{4}+e_{6}+e_{7}+\frac{(e_{3}+e_{5})\vee
1}{{r}}\left({\ell(\overline{P}^{\star},{\overline{Q}})+\frac{2\xi}{n\beta
a_{1}}}\right)}\right]$
$\displaystyle\leqslant\frac{2\overline{\Xi}_{1}+\overline{\Xi}_{2}+e_{4}+e_{6}+e_{7}+(e_{3}+e_{5})\vee
1}{e_{8}}.$
Inequality (100) holds for $J\in{\mathbb{N}}$ such that
$2^{J}\geqslant\frac{2\overline{\Xi}_{1}+\overline{\Xi}_{2}+e_{4}+e_{6}+e_{7}+(e_{3}+e_{5})\vee
1}{e_{8}}\vee 1>2^{J-1},$
and we may take
(101) $\displaystyle\kappa_{0}$
$\displaystyle=\tau\left[{\frac{2\left[{2\overline{\Xi}_{1}+\overline{\Xi}_{2}+e_{4}+e_{6}+e_{7}+(e_{3}+e_{5})\vee
1}\right]}{e_{8}}\vee 1+1}\right]$
$\displaystyle\geqslant\tau\left({2^{J}+1}\right).$
In complements to constants listed at the end of the proof of Theorem 1, we
recall that
$\Lambda_{1}=\tau\phi(\beta l_{1}),\quad\Lambda_{2}=\tau\phi(\beta l_{2})$
$\displaystyle\overline{c}_{1}$
$\displaystyle={c}_{0}-\tau\Lambda_{1}\beta\frac{a_{2}({c}^{2}+{c}_{1}^{2})}{a_{1}},$
$\displaystyle\overline{c}_{2}$
$\displaystyle={c}-\tau\Lambda_{1}\beta\frac{a_{2}{c}^{2}}{a_{1}},$
$\displaystyle\overline{c}_{3}$
$\displaystyle={c}_{2}-\tau\Lambda_{2}\beta\frac{a_{2}{c}_{2}^{2}}{a_{1}},$
$\displaystyle e_{3}$
$\displaystyle=e_{0}+2\Lambda_{1}\beta\frac{a_{2}\left({{c}^{2}+{c}_{1}^{2}}\right)}{a_{1}},$
$\displaystyle e_{4}$
$\displaystyle=\frac{1}{a_{1}}\left[{\tau{c}_{1}a_{0}+\Lambda_{1}\beta
a_{2}{c}_{1}^{2}}\right],$ $\displaystyle e_{5}$
$\displaystyle=e_{1}+{c}_{2}+2\Lambda_{2}\beta\frac{a_{2}\left({{c}_{2}^{2}+{c}_{1}^{2}}\right)}{a_{1}},$
$\displaystyle e_{6}$
$\displaystyle=\tau{c}_{0}^{\prime}+\Lambda_{2}\beta\frac{a_{2}({c}_{2}^{2}+{c}_{1}^{2})}{a_{1}},$
$\displaystyle e_{7}$
$\displaystyle=\frac{1}{a_{1}}\left[{\tau{c}_{1}a_{0}+\Lambda_{2}\beta
a_{2}{c}_{1}^{2}}\right],$ $\displaystyle e_{8}$
$\displaystyle=\tau^{-1}\overline{c}_{1}-2\gamma,$
and
$\displaystyle\overline{\Xi}_{1}$
$\displaystyle=\log\left[{1+\frac{\exp\left[{-\left({\tau^{-1}(\overline{c}_{2}\wedge\overline{c}_{3})-\gamma}\right)}\right]}{1-\exp\left[{-\left({\tau^{-1}(\overline{c}_{2}\wedge\overline{c}_{3})-2\gamma}\right)}\right]}}\right],$
$\displaystyle\overline{\Xi}_{2}$
$\displaystyle=-\gamma+\log\left[{\frac{1}{1-\exp\left[{-e_{8}}\right]}}\right].$
## 7\. Other proofs
### 7.1. Proof of Proposition 2
Let $|\overline{\theta}|\leqslant\sigma t$ and $F_{\sigma}$ be the
distribution function of $\nu_{\sigma}$. For conveniency, when $L$ is finite,
we define $H(x)=1$ for all $x\geqslant L$. Since the total variation distance
is translation invariant,
$\left\|{P_{\theta}-P_{\overline{\theta}}}\right\|=\left\|{P_{\theta-\overline{\theta}}-P_{0}}\right\|=\left\|{P_{\overline{\theta}-\theta}-P_{0}}\right\|=H\left({\left|{\overline{\theta}-\theta}\right|}\right)$
for all $\theta,\overline{\theta}\in{\mathbb{R}}$. We distinguish between two
cases
Case 1: $r_{0}=H(\sigma t)\leqslant 1/4$. For all $r\leqslant r_{0}$,
$G(r)\leqslant\sigma t$ and since $q$ is symmetric, positive and decreasing on
${\mathbb{R}}_{+}$,
$\displaystyle\frac{\pi({\mathscr{B}}(P_{\overline{\theta}},2r))}{\pi({\mathscr{B}}(P_{\overline{\theta}},r))}$
$\displaystyle=\frac{\nu_{\sigma}\left({\left\\{{\theta\in{\mathbb{R}},\;\left\|{P_{\theta}-P_{\overline{\theta}}}\right\|\leqslant
2r}\right\\}}\right)}{\nu_{\sigma}\left({\left\\{{\theta\in{\mathbb{R}},\;\left\|{P_{\theta}-P_{\overline{\theta}}}\right\|\leqslant
r}\right\\}}\right)}=\frac{\nu_{\sigma}\left({\left\\{{\theta\in{\mathbb{R}},\;H\left({\left|{\theta-\overline{\theta}}\right|}\right)\leqslant
2r}\right\\}}\right)}{\nu_{\sigma}\left({\left\\{{\theta\in{\mathbb{R}},\;H\left({\left|{\theta-\overline{\theta}}\right|}\right)\leqslant
r}\right\\}}\right)}$
$\displaystyle=\frac{\nu_{\sigma}\left({\left\\{{\theta\in{\mathbb{R}},\;\left|{\theta-\overline{\theta}}\right|\leqslant
G(2r)}\right\\}}\right)}{\nu_{\sigma}\left({\left\\{{\theta\in{\mathbb{R}},\;\left|{\theta-\overline{\theta}}\right|\leqslant
G(r)}\right\\}}\right)}\leqslant\frac{2q_{\sigma}(0)G(2r)}{2q_{\sigma}(|\overline{\theta}|+G(r))G(r)}$
$\displaystyle\leqslant\frac{q_{\sigma}(0)G(2r)}{q_{\sigma}(|\overline{\theta}|+G(r_{0}))G(r)}\leqslant\frac{q_{\sigma}(0)G(2r)}{q_{\sigma}(|\overline{\theta}|+\sigma
t)G(r)}\leqslant\frac{q_{\sigma}(0)G(2r)}{q_{\sigma}(2\sigma t)G(r)}$
$\displaystyle=\frac{q(0)G(2r)}{q(2t)G(r)}\leqslant\frac{\overline{\Gamma}}{q(2t)}.$
For all $r_{0}<r<1$, $|\overline{\theta}|\leqslant\sigma t=G(r_{0})\leqslant
G(r)$, hence $F_{\sigma}(|\overline{\theta}|-G(r))\leqslant F_{\sigma}(0)=1/2$
and $F_{\sigma}(|\overline{\theta}|+G(r))\geqslant F_{\sigma}(G(r))\geqslant
F_{\sigma}(\sigma t)=F_{1}(t)\geqslant 3/4$ under our assumption on $t$.
Consequently,
$\displaystyle\frac{\pi({\mathscr{B}}(P_{\overline{\theta}},2r))}{\pi({\mathscr{B}}(P_{\overline{\theta}},r))}$
$\displaystyle\leqslant\frac{1}{\nu_{\sigma}\left({\left\\{{\theta\in{\mathbb{R}},\;\left|{\theta-\overline{\theta}}\right|\leqslant
G(r)}\right\\}}\right)}=\frac{1}{F_{\sigma}\left({|\overline{\theta}|+G(r)}\right)-F(|\overline{\theta}|-G(r))}$
$\displaystyle\leqslant\frac{1}{3/4-1/2}=4.$
Case 2: $r_{0}>1/4$. Arguing as before, we obtain that for all $r\leqslant
1/4<r_{0}$,
$\displaystyle\frac{\pi({\mathscr{B}}(P_{\overline{\theta}},2r))}{\pi({\mathscr{B}}(P_{\overline{\theta}},r))}$
$\displaystyle\leqslant\frac{2q_{\sigma}(0)G(2r)}{2q_{\sigma}(|\overline{\theta}|+G(r))G(r)}=\frac{q_{\sigma}(0)G(2r)}{q_{\sigma}(|\overline{\theta}|+G(r_{0}))G(r)}$
$\displaystyle\leqslant\frac{q_{\sigma}(0)G(2r)}{q_{\sigma}(2\sigma
t)G(r)}\leqslant\frac{\overline{\Gamma}}{q(2t)}.$
For all $r\in(1/4,1)$, $G(r)\geqslant G(1/4)$ and $G(1/4)\leqslant
G(r_{0})=\sigma t$, hence
$\displaystyle\frac{\pi({\mathscr{B}}(P_{\overline{\theta}},2r))}{\pi({\mathscr{B}}(P_{\overline{\theta}},r))}$
$\displaystyle\leqslant\frac{1}{\nu_{\sigma}\left({\left\\{{\theta\in{\mathbb{R}},\;\left|{\theta-\overline{\theta}}\right|\leqslant
G(r)}\right\\}}\right)}$
$\displaystyle\leqslant\frac{1}{\nu_{\sigma}\left({\left\\{{\theta\in{\mathbb{R}},\;\left|{\theta-\overline{\theta}}\right|\leqslant
G(1/4)}\right\\}}\right)}$
$\displaystyle\leqslant\frac{1}{2q_{\sigma}(|\overline{\theta}|+G(1/4))G(1/4)}$
$\displaystyle\leqslant\frac{1}{2q_{\sigma}(2\sigma
t)G(1/4)}\leqslant\frac{\overline{\Gamma}\sigma}{q(2t)}.$
We obtain that in any case, for all $r\in(0,1)$ and
$\overline{\theta}\in[-\sigma t,\sigma t]$,
(102)
$\displaystyle\log\left({\frac{\pi({\mathscr{B}}(P_{\overline{\theta}},2r))}{\pi({\mathscr{B}}(P_{\overline{\theta}},r))}}\right)\leqslant\max\left\\{{\log\left({\frac{\overline{\Gamma}\left({\sigma\vee
1}\right)}{q(2t)}}\right),\log 4}\right\\},$
hence, for all $r\geqslant a_{1}^{-1}\beta$
$\displaystyle\frac{1}{n\gamma
a_{1}r}\log\left({\frac{\pi({\mathscr{B}}(P_{\overline{\theta}},2r))}{\pi({\mathscr{B}}(P_{\overline{\theta}},r))}}\right)$
$\displaystyle\leqslant\frac{1}{n\gamma\beta}\sup_{r>0}\log\left({\frac{\pi({\mathscr{B}}(P_{\overline{\theta}},2r))}{\pi({\mathscr{B}}(P_{\overline{\theta}},r))}}\right)$
$\displaystyle\leqslant\frac{1}{n\gamma\beta}\max\left\\{{\log\left({\frac{\overline{\Gamma}\left({\sigma\vee
1}\right)}{q(2t)}}\right),\log 4}\right\\}.$
The right-hand side is not larger than $\beta$ provided that it satisfies (29)
and this lower bound is not smaller than $1/\sqrt{n}$ under the assumption
$\eta\leqslant\log 4$. We conclude by using (16).
### 7.2. Proof of Proposition 3
Let us take $t=(|\theta|/\sigma)\vee t_{0}$. For such a value of $t$,
$\theta\in[-\sigma t,\sigma t]$ and $\nu_{1}([t,+\infty))\leqslant 1/4$. Since
Assumption 5 is satisfied, (102) holds true and we deduce from (12) that
$r_{n}(\beta,P_{\theta})\leqslant\frac{1}{\gamma
na_{1}\beta}\max\left\\{{\log\left({\frac{\overline{\Gamma}\left({\sigma\vee
1}\right)}{q(2t)}}\right),\log 4}\right\\}$
and the result follows from our specific choices of $a_{1},\gamma$ and
$\beta$.
### 7.3. Proof of Corollary 3
We set for short $\Theta=\Theta[\eta,\delta]$ with the parameters $\eta$ and
$\delta$ defined by (39) and (40) respectively and also define
(103)
$J_{n}=\exp\left[{\frac{(K^{2}-1)\gamma\tau^{4}a_{1}^{2}n\eta_{n}^{2}}{2(k+1)}}\right]$
so that ${\mathscr{M}}_{n}(K)$ gathers the elements
$P=P_{(p,{\mathbf{m}},\sigma)}$ of ${\mathscr{M}}$ such that
$|\log\sigma|\vee\left|{\frac{{\mathbf{m}}}{\sigma}}\right|_{\infty}\leqslant\log(1+\delta)J_{n}.$
Hereafter we fix $P=P_{(p,{\mathbf{m}},\sigma)}\in{\mathscr{M}}_{n}(K)$. There
exist
$\theta=\theta(P)=({\overline{Q}},\overline{\mathbf{m}},\overline{\sigma})\in\Theta$
with $\overline{\sigma}=(1+\delta)^{j_{0}}$,
$\overline{\mathbf{m}}=\overline{\sigma}\delta{\mathbf{j}}$,
$(j_{0},{\mathbf{j}})\in{\mathbb{Z}}\times{\mathbb{Z}}^{k}$ such that
(104)
$\frac{\overline{\sigma}}{(1+\delta)}\leqslant\sigma<\overline{\sigma}\quad\text{and}\quad\overline{m}_{i}=j_{i}\overline{\sigma}\delta\leqslant
m_{i}<\overline{m}_{i}+\overline{\sigma}\delta,$
for all $i\in\\{1,\ldots,k\\}$. Consequently,
(105) $\displaystyle
0\leqslant\left({1-\frac{\sigma}{\overline{\sigma}}}\right)\leqslant\frac{\delta}{1+\delta}<\delta\quad\text{and}\quad\left|{\frac{{\mathbf{m}}-\overline{\mathbf{m}}}{\overline{\sigma}}}\right|_{\infty}\leqslant\delta,$
and we infer from (35) and (36) and the fact that the total variation loss is
translation and scale invariant that $P_{\theta}$ satisfies
$\displaystyle\ell\left({P_{(p,{\mathbf{m}},\sigma)},P_{\theta}}\right)$
$\displaystyle\leqslant\ell\left({P_{(p,{\mathbf{m}},\sigma)},P_{({\overline{Q}},{\mathbf{m}},\sigma)}}\right)+\ell\left({P_{({\overline{Q}},{\mathbf{m}},\sigma)},P_{({\overline{Q}},\overline{\mathbf{m}},\overline{\sigma})}}\right)$
$\displaystyle\leqslant\ell\left({P_{(p,\boldsymbol{0},1)},P_{({\overline{Q}},\boldsymbol{0},1)}}\right)+\ell\left({P_{({\overline{Q}},\boldsymbol{0},1)},P_{({\overline{Q}},\frac{\overline{\mathbf{m}}-{\mathbf{m}}}{\sigma},\frac{\overline{\sigma}}{\sigma})}}\right)$
$\displaystyle\leqslant\eta+\left[{A\left({\left|{\frac{{\mathbf{m}}-\overline{\mathbf{m}}}{\overline{\sigma}}}\right|_{\infty}^{\alpha}+\left({1-\frac{\sigma}{\overline{\sigma}}}\right)^{\alpha}}\right)}\right]\wedge
1$ $\displaystyle\leqslant\eta+2A\delta^{\alpha}=2\eta.$
Besides, the parameters
$(j_{0},{\mathbf{j}})\in{\mathbb{Z}}\times{\mathbb{Z}}^{k}$ can be controlled
in the following way. Using that $\sigma\leqslant\overline{\sigma}$, the
inequality $\log(1+\delta)\leqslant\delta$ and (105), we obtain that for all
$i\in\\{1,\ldots,k\\}$,
$\displaystyle\left|{j_{i}}\right|$
$\displaystyle=\left|{\frac{\overline{m}_{i}}{\overline{\sigma}\delta}}\right|=\frac{1}{\overline{\sigma}\delta}\left|{\overline{m}_{i}-m_{i}+m_{i}}\right|\leqslant\frac{1}{\overline{\sigma}\delta}\left[{\overline{\sigma}\delta+\sigma\left|{\frac{m_{i}}{\sigma}}\right|}\right]\leqslant
1+\frac{1}{\log(1+\delta)}\left|{\frac{m_{i}}{\sigma}}\right|.$
Besides,
$\displaystyle j_{0}$
$\displaystyle=\frac{\log\overline{\sigma}}{\log(1+\delta)}=\frac{1}{\log(1+\delta)}\left[{-\log\left({1+\frac{\sigma}{\overline{\sigma}}-1}\right)+\log\sigma}\right]$
$\displaystyle\leqslant\frac{1}{\log(1+\delta)}\left[{-\log\left({1-\frac{\delta}{1+\delta}}\right)+|\log\sigma|}\right]$
$\displaystyle=\frac{1}{\log(1+\delta)}\left[{\log\left({1+\delta}\right)+|\log\sigma|}\right]\leqslant
1+\frac{|\log\sigma|}{\log(1+\delta)}$
and using the inequality $\log(1+2x)\leqslant 2\log(1+x)$, which holds for all
$x\geqslant 0$, we obtain that
$\displaystyle j_{0}$
$\displaystyle\geqslant\frac{\log\sigma}{\log(1+\delta)}\geqslant-\frac{|\log\sigma|}{\log(1+\delta)}\geqslant-\left[{1+\frac{|\log\sigma|}{\log(1+\delta)}}\right].$
Putting these inequalities together and using the fact that
$P\in{\mathscr{M}}_{n}(K)$, we get
(106) $\left|{(j_{0},{\mathbf{j}})}\right|_{\infty}\leqslant
1+\frac{1}{\log(1+\delta)}\left[{|\log\sigma|\vee\left|{\frac{{\mathbf{m}}}{\sigma}}\right|_{\infty}}\right]\leqslant
1+J_{n}.$
For all $r>0$,
$e^{-L_{\theta}}\leqslant\pi\left({{\mathscr{B}}(P_{\theta},r)}\right)\leqslant
1$ and these two inequalities together with the definition (39) of $\eta$ and
Assumption 6 imply that for all $r>0$
$\displaystyle\frac{\pi\left({{\mathscr{B}}(P_{\theta},2r)}\right)}{\pi\left({{\mathscr{B}}(P_{\theta},r)}\right)}$
$\displaystyle\leqslant\exp\left[{L_{\theta}}\right]\leqslant\exp\left[{\widetilde{D}(\eta)+2\sum_{i=0}^{k}\left[{\frac{L}{2}+\log(1+|j_{i}|)}\right]}\right]$
$\displaystyle\leqslant\exp\left[{\gamma\tau^{4}a_{1}^{2}n\eta^{2}+(k+1)\left[{L+2\log(1+|(j_{0},{\mathbf{j}})|_{\infty})}\right]}\right].$
Using (106), the definition (103) of $J_{n}$ and the fact that
$\log(2+x)\leqslant\log 3+\log x$ for all $x\geqslant 1$, we derive that
$\displaystyle\frac{\pi\left({{\mathscr{B}}(P_{\theta},2r)}\right)}{\pi\left({{\mathscr{B}}(P_{\theta},r)}\right)}$
$\displaystyle\leqslant\exp\left[{\gamma\tau^{4}a_{1}^{2}n\eta^{2}+(k+1)L+2(k+1)\log(2+J_{n})}\right],$
$\displaystyle\leqslant\exp\left[{K^{2}\gamma\tau^{4}a_{1}^{2}n\eta^{2}+(k+1)\left({L+\log
9}\right)}\right]$
and since $\gamma=1/6\leqslant L^{\prime}=L+\log 9<3.1$,
$\displaystyle\frac{1}{n\beta a_{1}}\leqslant r_{n}(\beta,P_{\theta})$
$\displaystyle\leqslant\frac{1}{\gamma n\beta
a_{1}}\left[{K^{2}\gamma\tau^{4}a_{1}^{2}n\eta^{2}+(k+1)L^{\prime}}\right]$
$\displaystyle=\frac{1}{a_{1}\beta}\left[{K^{2}\tau^{4}a_{1}^{2}\eta^{2}+\frac{(k+1)L^{\prime}}{\gamma
n}}\right].$
For the choice of $\beta=\beta_{n}$ given by (41),
$\beta\geqslant\sqrt{K^{2}\tau^{4}a_{1}^{2}\eta^{2}+\frac{(k+1)L^{\prime}}{\gamma
n}}\geqslant\frac{1}{\sqrt{n}}$
hence, $r_{n}(\beta,P_{\theta})\leqslant a_{1}^{-1}\beta$ and
$P_{\theta}\in{\mathscr{M}}(\beta)$. This implies that
$\displaystyle\inf_{P^{\prime}\in{\mathscr{M}}(\beta)}\ell(\overline{P}^{\star},P^{\prime})+a_{1}^{-1}\beta$
$\displaystyle\leqslant\ell(\overline{P}^{\star},P_{\theta})+a_{1}^{-1}\beta$
$\displaystyle\leqslant\ell(\overline{P}^{\star},P)+\ell(P,P_{\theta})+a_{1}^{-1}\beta$
$\displaystyle\leqslant\ell(\overline{P}^{\star},P)+2\eta+\left[{K\tau^{2}\eta+\frac{1}{a_{1}}\sqrt{\frac{(k+1)L^{\prime}}{\gamma
n}}}\right],$
and the result follows by applying Corollary 1 and by using the fact that $P$
is arbitrary in ${\mathscr{M}}_{n}(K)$.
### 7.4. Proof of Lemma 1
For all $p\in{\mathcal{M}}_{0}$, $\sigma\geqslant 1$ and
${\mathbf{m}}\in{\mathbb{R}}^{k}$, the supports of the functions
${\mathbf{x}}\mapsto p({\mathbf{x}}/\sigma)$ and ${\mathbf{x}}\mapsto
p(({\mathbf{x}}-{\mathbf{m}})/\sigma)$ are included in the set
${\mathcal{K}}=[0,\sigma]^{k}\cup\\{{\mathbf{m}}+{\mathbf{x}},\;{\mathbf{x}}\in[0,\sigma]^{k}\\}$
the Lebesgue measure of which is not larger than $2\sigma^{k}$. Consequently,
using (45), we deduce that for all $p\in{\mathcal{M}}_{0}$, $\sigma\geqslant
1$ and ${\mathbf{m}}\in{\mathbb{R}}^{k}$,
$\displaystyle\ell\left({P_{(p,\boldsymbol{0},1)},P_{(p,{\mathbf{m}},\sigma)}}\right)$
$\displaystyle\quad\leqslant\ell\left({P_{(p,\boldsymbol{0},1)},P_{(p,\boldsymbol{0},\sigma)}}\right)+\ell\left({P_{(p,\boldsymbol{0},\sigma)},P_{(p,{\mathbf{m}},\sigma)}}\right)$
$\displaystyle\quad=\frac{1}{2}\int_{{\mathbb{R}}^{k}}\left|{p({\mathbf{x}})-\frac{1}{\sigma^{k}}p\left({\frac{{\mathbf{x}}}{\sigma}}\right)}\right|d{\mathbf{x}}+\frac{1}{2\sigma^{k}}\int_{{\mathbb{R}}^{k}}\left|{p\left({\frac{{\mathbf{x}}}{\sigma}}\right)-p\left({\frac{{\mathbf{x}}-{\mathbf{m}}}{\sigma}}\right)}\right|d{\mathbf{x}}$
$\displaystyle\quad\leqslant\frac{1}{2}\int_{{\mathbb{R}}^{k}}\left|{p({\mathbf{x}})-\frac{1}{\sigma^{k}}p\left({{\mathbf{x}}}\right)}\right|d{\mathbf{x}}+\frac{1}{2\sigma^{k}}\int_{{\mathbb{R}}^{k}}\left|{p({\mathbf{x}})-p\left({\frac{{\mathbf{x}}}{\sigma}}\right)}\right|d{\mathbf{x}}$
$\displaystyle\quad\quad+\frac{1}{2\sigma^{k}}\int_{{\mathbb{R}}^{k}}\left|{p\left({\frac{{\mathbf{x}}}{\sigma}}\right)-p\left({\frac{{\mathbf{x}}-{\mathbf{m}}}{\sigma}}\right)}\right|d{\mathbf{x}}$
$\displaystyle\quad\leqslant\frac{1}{2}\int_{{\mathbb{R}}^{k}}\left|{p({\mathbf{x}})-\frac{1}{\sigma^{k}}p\left({{\mathbf{x}}}\right)}\right|d{\mathbf{x}}+\frac{1}{2\sigma^{k}}\int_{[0,1]^{k}}\left|{p({\mathbf{x}})-p\left({\frac{{\mathbf{x}}}{\sigma}}\right)}\right|d{\mathbf{x}}$
$\displaystyle\quad\quad+\frac{1}{2\sigma^{k}}\int_{[0,\sigma]^{k}\setminus[0,1]^{k}}\left|{p\left({\frac{{\mathbf{x}}}{\sigma}}\right)}\right|d{\mathbf{x}}+\frac{1}{2\sigma^{k}}\int_{{\mathcal{K}}}\left|{p\left({\frac{{\mathbf{x}}}{\sigma}}\right)-p\left({\frac{{\mathbf{x}}-{\mathbf{m}}}{\sigma}}\right)}\right|d{\mathbf{x}}$
$\displaystyle\quad\leqslant\frac{1}{2}\left({1-\frac{1}{\sigma^{k}}}\right)+\frac{1}{2\sigma^{k}}\int_{[0,1]^{k}}L_{1}\left({1-\frac{1}{\sigma}}\right)^{\alpha}\left|{{\mathbf{x}}}\right|^{\alpha}d{\mathbf{x}}$
$\displaystyle\quad\quad+\frac{1}{2}\int_{[0,1]^{k}\setminus[0,1/\sigma]^{k}}\left|{p({\mathbf{x}})}\right|d{\mathbf{x}}+\frac{L_{1}}{2\sigma^{k}}\int_{{\mathcal{K}}}\left|{\frac{{\mathbf{m}}}{\sigma}}\right|^{\alpha}d{\mathbf{x}}$
$\displaystyle\quad\leqslant\frac{1}{2}\left({1-\frac{1}{\sigma^{k}}}\right)+\frac{L_{1}k^{\alpha/2}}{2\sigma^{k}}\left({1-\frac{1}{\sigma}}\right)^{\alpha}+\frac{L_{0}}{2}\left({1-\frac{1}{\sigma^{k}}}\right)+L_{1}\left|{\frac{{\mathbf{m}}}{\sigma}}\right|^{\alpha}$
$\displaystyle\quad\leqslant\frac{1}{2}\left[{1+L_{1}k^{\alpha/2}+L_{0}}\right]\left({1-\frac{1}{\sigma}}\right)^{\alpha}+L_{1}\left|{\frac{{\mathbf{m}}}{\sigma}}\right|^{\alpha}$
and (36) is therefore satisfied with
$A=L_{1}\vee[(1+L_{1}k^{\alpha/2}+L_{0})/2]$.
### 7.5. Proof of Lemma 2
By doing the change of variables $u=x-m$ in (47) if ever necessary, we may
assume with no loss of generality that $m>0$. Then, since $p$ is nonincreasing
in $(0,+\infty)$ and vanishes elsewhere $p(x-m)\geqslant p(x)$ for all
$x\geqslant m$ and $p(x)\geqslant p(x-m)=0$ for all $x\in(0,m)$. Consequently,
$\displaystyle\int_{{\mathbb{R}}}\left|{p(x)-p(x-m)}\right|dx$
$\displaystyle=\int_{0}^{m}p(x)dx+\int_{m}^{+\infty}\left[{p(x-m)-p(x)}\right]dx$
$\displaystyle=2\int_{0}^{m}p(x)dx+\int_{m}^{+\infty}p(x-m)dx-\int_{0}^{+\infty}p(x)dx$
$\displaystyle\leqslant 2mB+1-1,$
and we obtain (47).
Since $\sigma\geqslant 1$, $p(x/\sigma)\geqslant p(x)$ and
$p(x)/\sigma\leqslant p(x)$ for all $x>0$. Hence,
$\displaystyle\int_{{\mathbb{R}}}\left|{\frac{1}{\sigma}p\left({\frac{x}{\sigma}}\right)-p(x)}\right|dx$
$\displaystyle\leqslant\int_{{\mathbb{R}}}\left|{\frac{1}{\sigma}p\left({\frac{x}{\sigma}}\right)-\frac{1}{\sigma}p(x)}\right|dx+\int_{{\mathbb{R}}}\left|{\frac{1}{\sigma}p\left({x}\right)-p(x)}\right|dx$
$\displaystyle=\frac{1}{\sigma}\int_{{\mathbb{R}}}\left({p\left({\frac{x}{\sigma}}\right)-p(x)}\right)dx+\int_{{\mathbb{R}}}\left({p(x)-\frac{1}{\sigma}p\left({x}\right)}\right)dx$
$\displaystyle=2\left({1-\frac{1}{\sigma}}\right),$
which leads to (46).
Finally, by combining (47) and (46) we deduce that for all $m\in{\mathbb{R}}$
and $\sigma\geqslant 1$
$\displaystyle\frac{1}{2}\int_{{\mathbb{R}}}\left|{\frac{1}{\sigma}p\left({\frac{x-m}{\sigma}}\right)-p(x)}\right|dx$
$\displaystyle=\frac{1}{2}\int_{{\mathbb{R}}}\left|{\frac{1}{\sigma}p\left({\frac{x-m}{\sigma}}\right)-\frac{1}{\sigma}p\left({\frac{x}{\sigma}}\right)}\right|dx+\frac{1}{2}\int_{{\mathbb{R}}}\left|{\frac{1}{\sigma}p\left({\frac{x}{\sigma}}\right)-p(x)}\right|dx$
$\displaystyle=\frac{1}{2}\int_{{\mathbb{R}}}\left|{p\left({u-\frac{m}{\sigma}}\right)-p(u)}\right|du+\frac{1}{2}\int_{{\mathbb{R}}}\left|{\frac{1}{\sigma}p\left({\frac{x}{\sigma}}\right)-p(x)}\right|dx$
$\displaystyle\leqslant
B\left|{\frac{m}{\sigma}}\right|+\left({1-\frac{1}{\sigma}}\right)$
which yields to (48).
### 7.6. Proof of Corollary 4
We recall that the family ${\mathscr{T}}(\ell,{\mathscr{M}})$ satisfies
Assumption 3 with $a_{0}=2$, $a_{1}=3/16$ and $a_{2}=3\sqrt{2}/4$ for the loss
$\ell=h^{2}$. We use the following lemma the proof of which is postponed to
Section 7.7.
###### Lemma 9.
Let $\boldsymbol{\theta}\in{\mathbb{R}}^{k}$ be such that
$|\boldsymbol{\theta}|_{\infty}\leqslant R$. For all
$m\subset\\{1,\ldots,k\\}$ and $r>0$
$\displaystyle\nu_{m}\left({\left\\{{\boldsymbol{\theta}^{\prime}\in{\mathbb{R}}^{k},\,\left|{\boldsymbol{\theta}^{\prime}-\boldsymbol{\theta}}\right|_{\infty}\leqslant
r}\right\\}}\right)$
$\displaystyle\quad=\begin{cases}\displaystyle{\frac{1}{2^{|m|}}\prod_{i\in
m}\left[{\left({1-\frac{|\theta_{i}|}{R}}\right)\wedge\frac{r}{R}+\left({1+\frac{|\theta_{i}|}{R}}\right)\wedge\frac{r}{R}}\right]}&\text{if
$\left|{\theta_{i}}\right|\leqslant r$ for all $i\not\in m$ }\\\
0&\text{otherwise,}\end{cases}$
with the convention $\prod_{{\varnothing}}=1$. In particular, if
$\boldsymbol{\theta}\in\Theta_{m}(R)$ and
(107)
$\nu_{m}\left({\left\\{{\boldsymbol{\theta}^{\prime}\in{\mathbb{R}}^{k},\,\left|{\boldsymbol{\theta}^{\prime}-\boldsymbol{\theta}}\right|_{\infty}\leqslant
r}\right\\}}\right)\geqslant\frac{1}{2^{|m|}}\left({\frac{r}{R}\wedge
1}\right)^{|m|}$
and for all $K>1$
(108)
$\frac{\nu_{m}\left({\left\\{{\boldsymbol{\theta}^{\prime}\in{\mathbb{R}}^{k},\,\left|{\boldsymbol{\theta}^{\prime}-\boldsymbol{\theta}}\right|_{\infty}\leqslant
Kr}\right\\}}\right)}{\nu_{m}\left({\left\\{{\boldsymbol{\theta}^{\prime}\in{\mathbb{R}}^{k},\,\left|{\boldsymbol{\theta}^{\prime}-\boldsymbol{\theta}}\right|_{\infty}\leqslant
r}\right\\}}\right)}\leqslant K^{|m|}.$
Let us set $B=B_{k}$ for short and define $\overline{m}$ as the subset of
$\\{1,\ldots,k\\}$ that minimizes over those $m\subset\\{1,\ldots,k\\}$ the
mapping
$m\mapsto\inf_{\boldsymbol{\theta}\in\Theta_{m}(R)}\ell(\overline{P}^{\star},P_{\boldsymbol{\theta}})+\frac{|m|\log\left({2kR(nB)^{1/(2\alpha)}}\right)+1}{\gamma
n\beta a_{1}}.$
Finally, let $\overline{\boldsymbol{\theta}}$ for some arbitrary element of
$\Theta_{\overline{m}}(R)$.
It follows from (49) and (107) that for all $r>0$,
$\displaystyle 1$
$\displaystyle\geqslant\pi_{m}\left({{\mathscr{B}}(P_{\overline{\boldsymbol{\theta}}},r)}\right)$
$\displaystyle=\nu_{m}\left({\left\\{{\boldsymbol{\theta}\in{\mathbb{R}}^{k},\;h^{2}(P_{\overline{\boldsymbol{\theta}}},P_{\boldsymbol{\theta}})\leqslant
r}\right\\}}\right)$
$\displaystyle\geqslant\nu_{m}\left({\left\\{{\boldsymbol{\theta}\in{\mathbb{R}}^{k},\;\left|{\boldsymbol{\theta}-\overline{\boldsymbol{\theta}}}\right|_{\infty}\leqslant(r/B)^{1/(2\alpha)}}\right\\}}\right)$
(109)
$\displaystyle\geqslant\frac{1}{2^{|m|}}\left({\frac{(r/B)^{1/(2\alpha)}}{R}\wedge
1}\right)^{|m|}\geqslant\frac{1}{2^{|m|}}\left({\frac{(r\wedge
1)^{1/(2\alpha)}}{RB^{1/(2\alpha)}}}\right)^{|m|},$
where the last inequality holds true under the assumption that
$RB^{1/(2\alpha)}\geqslant 1$.
We deduce from (109) that for all $r>0$
$\displaystyle\frac{\pi\left({{\mathscr{B}}(P_{\overline{\boldsymbol{\theta}}},2r)}\right)}{\pi\left({{\mathscr{B}}(P_{\overline{\boldsymbol{\theta}}},r)}\right)}\leqslant\frac{1}{\pi\left({{\mathscr{B}}(P_{\overline{\boldsymbol{\theta}}},r)}\right)}$
$\displaystyle\leqslant\frac{1}{\sum_{m\subset\\{1,\ldots,k\\}}e^{-L_{m}}\nu_{m}\left({\left\\{{\boldsymbol{\theta}\in{\mathbb{R}}^{k},\;\left|{\boldsymbol{\theta}-\overline{\boldsymbol{\theta}}}\right|_{\infty}\leqslant(r/B)^{1/(2\alpha)}}\right\\}}\right)}$
$\displaystyle\leqslant\frac{e^{L_{\overline{m}}}}{\nu_{\overline{m}}\left({\left\\{{\boldsymbol{\theta}\in\Theta_{\overline{m}},\;\left|{\boldsymbol{\theta}-\overline{\boldsymbol{\theta}}}\right|_{\infty}\leqslant(r/B)^{1/(2\alpha)}}\right\\}}\right)}$
$\displaystyle\leqslant\exp\left[{L_{\overline{m}}+|\overline{m}|\log\left({\frac{2RB^{1/(2\alpha)}}{(r\wedge
1)^{1/(2\alpha)}}}\right)}\right]$ (110)
$\displaystyle=\exp\left[{|\overline{m}|\log\left({2kRB^{1/(2\alpha)}}\right)+k\log\left({1+\frac{1}{k}}\right)+\frac{|\overline{m}|}{2\alpha}\log\left({\frac{1}{r}\vee
1}\right)}\right].$
Provided that
$r\geqslant\frac{|\overline{m}|\log\left({2kR(nB)^{1/(2\alpha)}}\right)+1}{\gamma
n\beta a_{1}}\geqslant\frac{1}{n},$
$\displaystyle|\overline{m}|\log\left({2kRB^{1/(2\alpha)}}\right)+k\log\left({1+\frac{1}{k}}\right)+\frac{|\overline{m}|}{2\alpha}\log\left({\frac{1}{r}\vee
1}\right)$
$\displaystyle\leqslant|\overline{m}|\log\left({2kRB^{1/(2\alpha)}}\right)+k\log\left({1+\frac{1}{k}}\right)+|\overline{m}|\log\left({n^{1/(2\alpha)}}\right)$
$\displaystyle\leqslant|\overline{m}|\log\left({2kR(nB)^{1/(2\alpha)}}\right)+1\leqslant\gamma
n\beta a_{1}r$
and we deduce from (110) that
$r_{n}(\beta,P_{\overline{\boldsymbol{\theta}}})$ defined by (12) satisfies
$\displaystyle\frac{1}{n\beta a_{1}}\leqslant
r_{n}(\beta,P_{\overline{\boldsymbol{\theta}}})$
$\displaystyle\leqslant\frac{|\overline{m}|\log\left({2kR(nB)^{1/(2\alpha)}}\right)+1}{\gamma
n\beta a_{1}}.$
Under our assumption on the mapping $p$, $({\mathscr{M}},\pi)$ satisfies
Assumption 1 and Corollary 2 applies and we obtain that for some numerical
constant $\kappa_{0}^{\prime}>0$,
${\mathbb{E}}\left[{\widehat{\pi}_{{\boldsymbol{X}}}\left({\leftidx{{}^{\mathsf{c}}}{\\!{{\mathscr{B}}}}{}(\overline{P}^{\star},\kappa_{0}^{\prime}{r}(\overline{m},\overline{\boldsymbol{\theta}}))}\right)}\right]\leqslant
2e^{-\xi}$
with
$\displaystyle r(\overline{m},\overline{\boldsymbol{\theta}})$
$\displaystyle=\ell(\overline{P}^{\star},P_{\overline{\boldsymbol{\theta}}})+\frac{|\overline{m}|\log\left({2kR(nB)^{1/(2\alpha)}}\right)+\xi}{\gamma
n\beta a_{1}}.$
Finally, the conclusion follows from the definition of $\overline{m}$ and the
fact that $\overline{\boldsymbol{\theta}}$ is arbitrary in
$\Theta_{\overline{m}}(R)$.
### 7.7. Proof of Lemma 9
Let $\theta\in{\mathbb{R}}$ and $\nu$ be the uniform distribution on $[-R,R]$.
For all $\theta\in[-R,R]$ and $r>0$,
$\displaystyle\nu\left({[\theta-r,\theta+r]}\right)$
$\displaystyle=\frac{1}{2R}\left[{(\theta+r)\wedge
R-(\theta-r)\vee(-R)}\right]_{+}$
$\displaystyle=\frac{1}{2R}\left[{(r+\theta)\wedge R+(r-\theta)\wedge
R}\right]_{+}$ $\displaystyle=\frac{1}{2R}\left[{(r+|\theta|)\wedge
R+(r-|\theta|)\wedge R}\right]_{+}$
$\displaystyle=\frac{1}{2}\left[{\left({1-\frac{|\theta|}{R}}\right)\wedge\frac{r}{R}+\left({1+\frac{|\theta|}{R}}\right)\wedge\frac{r}{R}}\right].$
Let now $\boldsymbol{\theta}\in{\mathbb{R}}^{k}$ such that
$\left|{\boldsymbol{\theta}}\right|_{\infty}\leqslant R$. For all
$m\subset\\{1,\ldots,k\\}$, $m\neq{\varnothing}$,
$\displaystyle\nu_{m}\left({\left\\{{\boldsymbol{\theta}^{\prime}\in\Theta_{m},\;\left|{\boldsymbol{\theta}^{\prime}-\boldsymbol{\theta}}\right|_{\infty}\leqslant
r}\right\\}}\right)$ $\displaystyle=0$
if there exists $i\not\in m$ such that $|\theta_{i}|>r$. Otherwise
$\displaystyle\nu_{m}\left({\left\\{{\boldsymbol{\theta}^{\prime}\in{\mathbb{R}}^{k},\;\left|{\boldsymbol{\theta}^{\prime}-\boldsymbol{\theta}}\right|_{\infty}\leqslant
r}\right\\}}\right)$
$\displaystyle=\nu_{m}\left({\left\\{{\boldsymbol{\theta}^{\prime}\in\Theta_{m},\;\max_{i\in
m}\left|{\theta_{i}^{\prime}-\theta_{i}}\right|\leqslant r}\right\\}}\right)$
$\displaystyle=\prod_{i\in
m}\nu\left({\left[{\theta_{i}-r,\theta_{i}+r}\right]}\right)$
$\displaystyle=\frac{1}{2^{|m|}}\prod_{i\in
m}\left[{\left({1-\frac{|\theta_{i}|}{R}}\right)\wedge\frac{r}{R}+\left({1+\frac{|\theta_{i}|}{R}}\right)\wedge\frac{r}{R}}\right].$
If $m={\varnothing}$,
$\nu_{{\varnothing}}\left({\left\\{{\boldsymbol{\theta}^{\prime}\in{\mathbb{R}}^{k},\;\left|{\boldsymbol{\theta}^{\prime}-\boldsymbol{\theta}}\right|_{\infty}\leqslant
r}\right\\}}\right)=1\hskip-2.6pt{\rm
l}_{\left|{\boldsymbol{\theta}}\right|_{\infty}\leqslant r}.$
Let us now turn to the proof of (108). Since
$\boldsymbol{\theta}\in\Theta_{m}(R)$, for all $K^{\prime}\in\\{1,K\\}$
$\displaystyle\nu_{m}$
$\displaystyle\left({\left\\{{\boldsymbol{\theta}^{\prime}\in{\mathbb{R}}^{k},\;\left|{\boldsymbol{\theta}^{\prime}-\boldsymbol{\theta}}\right|_{\infty}\leqslant
K^{\prime}r}\right\\}}\right)$
$\displaystyle=\nu_{m}\left({\left\\{{\boldsymbol{\theta}^{\prime}\in\Theta_{m},\;\max_{i\in
m}\left|{\theta_{i}^{\prime}-\theta_{i}}\right|\leqslant
K^{\prime}r}\right\\}}\right)$ $\displaystyle=\prod_{i\in
m}\nu\left({[\theta_{i}-K^{\prime}r,\theta_{i}+K^{\prime}r]}\right),$
It is therefore enough to show that for all $r>0$ and $\theta\in[0,R]$
$\Delta(r)=\frac{\nu\left({\left[{\theta-
Kr,\theta+Kr}\right]}\right)}{\nu\left({\left[{\theta-r,\theta+r}\right]}\right)}\leqslant
K.$
This is what we do now by distinguishing between several cases.
When $\theta+Kr\leqslant R$, $\theta-Kr\geqslant 2\theta-R\geqslant-R$ and
consequently, $\Delta(r)=K$. When $\theta+Kr>R$ and $-R\leqslant\theta-Kr$,
$\Delta(r)=\frac{R-(\theta-Kr)}{(\theta+r)\wedge
R-(\theta-r)}=\begin{cases}\displaystyle{\frac{R-\theta+Kr}{R-\theta+r}}&\text{when
$\theta+r>R$}\\\ \ \\\ \displaystyle{\frac{R-\theta+Kr}{2r}}&\text{when
$\theta+r\leqslant R$,}\end{cases}$
and the conclusion follows from the facts that $0\leqslant R-\theta\leqslant
Kr$. When $\theta+Kr>R$ and $\theta-Kr<-R$, $r\geqslant(\theta+R)/K\geqslant
R/K$, hence $R+r-\theta\geqslant 2R/K$ and $R\leqslant Kr$. Consequently,
$\displaystyle\Delta(r)$ $\displaystyle=\frac{2R}{(\theta+r)\wedge
R-(\theta-r)\vee(-R)}$
$\displaystyle\quad=\begin{cases}\displaystyle{\frac{2R}{2R}}=1&\text{when
$\theta+r>R$ and $\theta-r<-R$}\\\ \ \\\
\displaystyle{\frac{2R}{R+r-\theta}}\leqslant K&\text{when $\theta+r>R$ and
$\theta-r\geqslant-R$}\\\ \ \\\ \displaystyle{\frac{2R}{2r}\leqslant
K}&\text{when $\theta+r\leqslant R$},\end{cases}$
which concludes the proof.
## References
* Alquier, (2008) Alquier, P. (2008). PAC-Bayesian bounds for randomized empirical risk minimizers. Math. Methods Statist., 17(4):279–304.
* Atchadé, (2017) Atchadé, Y. A. (2017). On the contraction properties of some high-dimensional quasi-posterior distributions. Ann. Statist., 45(5):2248–2273.
* Audibert and Catoni, (2011) Audibert, J.-Y. and Catoni, O. (2011). Linear regression through PAC-Bayesian truncation. arXiv:1010.0072.
* Baraud, (2021) Baraud, Y. (2021). Tests and estimation strategies associated to some loss functions. Probab. Theory Relat. Fields, 180(3):799–846.
* Baraud and Birgé, (2018) Baraud, Y. and Birgé, L. (2018). Rho-estimators revisited: General theory and applications. Ann. Statist., 46(6B):3767–3804.
* Baraud and Birgé, (2020) Baraud, Y. and Birgé, L. (2020). Robust bayes-like estimation: Rho-bayes estimation. Ann. Statist., 48(6):3699–3720.
* Baraud et al., (2017) Baraud, Y., Birgé, L., and Sart, M. (2017). A new method for estimation and model selection: $\rho$-estimation. Invent. Math., 207(2):425–517.
* Bhattacharya et al., (2019) Bhattacharya, A., Pati, D., and Yang, Y. (2019). Bayesian fractional posteriors. Ann. Statist., 47(1):39–66.
* Birgé, (1983) Birgé, L. (1983). Approximation dans les espaces métriques et théorie de l’estimation. Z. Wahrsch. Verw. Gebiete, 65(2):181–237.
* Birgé, (2006) Birgé, L. (2006). Model selection via testing: an alternative to (penalized) maximum likelihood estimators. Ann. Inst. H. Poincaré Probab. Statist., 42(3):273–325.
* Birgé, (2015) Birgé, L. (2015). About the non-asymptotic behaviour of Bayes estimators. J. Statist. Plann. Inference, 166:67–77.
* Birgé and Massart, (1998) Birgé, L. and Massart, P. (1998). Minimum contrast estimators on sieves: exponential bounds and rates of convergence. Bernoulli, 4(3):329–375.
* Birman and Solomjak, (1967) Birman, M. v. and Solomjak, M. Z. (1967). Piecewise polynomial approximations of functions of classes $W_{p}{}^{\alpha}$. Mat. Sb. (N.S.), 73 (115):331–355.
* Bissiri et al., (2016) Bissiri, P. G., Holmes, C. C., and Walker, S. G. (2016). A general framework for updating belief distributions. J. R. Stat. Soc. Ser. B. Stat. Methodol., 78(5):1103–1130.
* Catoni, (2004) Catoni, O. (2004). Statistical learning theory and stochastic optimization. In Lecture notes from the 31st Summer School on Probability Theory held in Saint-Flour, July 8–25, 2001. Springer-Verlag, Berlin.
* Chernozhukov and Hong, (2003) Chernozhukov, V. and Hong, H. (2003). An MCMC approach to classical estimation. J. Econometrics, 115(2):293–346.
* Ghosal et al., (2000) Ghosal, S., Ghosh, J. K., and van der Vaart, A. W. (2000). Convergence rates of posterior distributions. Ann. Statist., 28(2):500–531.
* Ibragimov and Has’minskiĭ, (1981) Ibragimov, I. A. and Has’minskiĭ, R. Z. (1981). Statistical Estimation. Asymptotic Theory, volume 16. Springer-Verlag, New York.
* Jiang and Tanner, (2008) Jiang, W. and Tanner, M. A. (2008). Gibbs posterior for variable selection in high-dimensional classification and data mining. Ann. Statist., 36(5):2207–2231.
* Le Cam, (1973) Le Cam, L. (1973). Convergence of estimates under dimensionality restrictions. Ann. Statist., 1:38–53.
* Massart, (2007) Massart, P. (2007). Concentration Inequalities and Model Selection, volume 1896 of Lecture Notes in Mathematics. Springer, Berlin. Lectures from the 33rd Summer School on Probability Theory held in Saint-Flour, July 6–23, 2003.
| arxiv-papers | 2021-07-26T08:02:00 | 2024-09-04T03:07:17.980730 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yannick Baraud",
"submitter": "Yannick Baraud",
"url": "https://arxiv.org/abs/2107.12011"
} |
2107.12021 | # Language Models as Zero-shot Visual Semantic Learner
Yue Jiao
University of Southampton
Southampton, UK
[email protected] Jonathon Hare
University of Southampton
Southampton, UK
[email protected] Adam Prügel-Bennett
University of Southampton
Southampton, UK
[email protected]
###### Abstract
Visual Semantic Embedding (VSE) models, which map images into a rich semantic
embedding space, have been a milestone in object recognition and zero-shot
learning. Current approaches to VSE heavily rely on static word embedding
techniques. In this work, we propose a Visual Semantic Embedding Probe (VSEP)
designed to probe the semantic information of contextualized word embeddings
in visual semantic understanding tasks. We show that the knowledge encoded in
transformer language models can be exploited for tasks requiring visual
semantic understanding. The VSEP with contextual representations can
distinguish word-level object representations in complicated scenes as a
compositional zero-shot learner. We further introduce a zero-shot setting with
VSEPs to evaluate a model’s ability to associate a novel word with a novel
visual category. We find that contextual representations in language models
outperform static word embeddings, when the compositional chain of object is
short. We notice that current visual semantic embedding models lack a mutual
exclusivity bias which limits their performance.
## 1 Introduction
Visual-semantic embedding models attempt to learn semantic relationships
between labels, and explicitly map images into a rich semantic embedding space
[13, 43, 23]. They has been considered key to dealing with novel categories by
transferring semantic knowledge obtained from familiar classes. However, these
models only distill semantic information from static word embeddings [26, 31].
In a static word embedding space, each word has a single vector, regardless of
context. This constrains all senses of a polysemous word to share the same
representation.
Language models which learn contextualized word representations have
revolutionized NLP over the last few years [32, 8, 35, 5]. These models learn
highly transferable and task-independent representations. They achieve state-
of-the-art performance on various downstream NLP tasks [5]. They also reveal
some interesting behaviors, such as performing remarkably well on open-domain
question answering without gradient updates or fine-tuning [33, 5].
This pretrain-and-finetune scheme has been expanded to the joint domain of
vision and language recently, which demonstrate the potential of transformer-
based language modeling, masked language modeling, and contrastive objectives
to learn image representations from text [7, 21, 34]. However, there is no
evidence that without large-scale modality interaction, visual models can
extract semantic information directly from a pre-trained, frozen language
model. Transferring the knowledge encoded in transformer language models to
visual semantic understanding tasks still remain poorly understood. If visual
semantic information is stored in transformer language models, a linear
probing model trained on frozen contextualized representations should help
distinguish visual concepts.
As language models usually fail when generalization requires systematic
compositional skills [20], it is important to determine whether the probing
model still lacks sensitivity to compositionality. On the other hand,
capturing semantic relation from a static word embedding space has been shown
not to be robust when used to solving zero-shot learning tasks [17].
Rethinking how language shapes the way human learn novel objects is necessary.
Therefore, we look into transformer language models and contextual
representations to gain deeper insights into how language models help visual
semantic understanding tasks by its zero-shot learning capabilities.
We propose the visual semantic embedding probe (VSEP) that aligns
representations in two modalities at the word level. We focus on asking what
visual semantic information is encoded in a language model and how well it
encodes the compositional structure. We leverage the image-text pairs in MS-
COCO [22] to build a visual semantic understanding task. By aligning word
representations with object representations in a simple scene, we find that
the VSEP with contextual representations can distinguish word-level object
representations in a more complicated scene. The performance is affected by
the number of objects in the scene. We find that normalization is essential
for aligning “anisotropic” semantic representations.
We also introduce a zero-shot learning task with the VSEP to evaluate a
model’s ability to associate a novel word with a novel visual category. The
contextual representations in the language model outperforms conventional word
embeddings, when the number of objects in the scene is low. By analysing the
percentage of misclassified samples, we find that current visual semantic
embedding models lack a mutual exclusivity bias. The mutual exclusivity bias
is what helps children learn the meaning of new words efficiently [25]; if a
child already knows a label for an object, a new label for that object should
be rejected.
These insights help justify the role of contextualized representations in
object recognition and zero-shot learning.
## 2 Background
In this section, we provide background on learning semantic representations in
language and visual modalities. Then we introduce the open generalization
problems in multi-modal embedding models.
Figure 1: Illustration of the Visual Semantic Embedding Probe (VSEP). Word-
centric semantic representations from two frozen embedding systems are aligned
after normalized by Layer Normalization. Our aim is to explore to what extent
two independent embedding systems in different modalities can interact. It is
the key technique to transfer semantic knowledge from a language model to a
visual model.
### 2.1 Word Representations
* •
Distributed Word Representations. GloVe [31] is a fast and efficient algorithm
for generating distributed word representations, often considered points in a
semantic space. Given input word pairs $\\{(w_{i},c_{j})\\}$ extracted from a
large text corpus, target word $w_{i}$ ranges over the corpus and context word
$c_{j}$ ranges over a sliding window of size $l$ which is symmetric about
$w_{i}$. We denote the number of observed word pairs as $D$. For each observed
word pair, $k$ random negative samples are generated from unigram
distributions. We use $\\#(w_{i},c_{j})$ to denote the number of times the
pair $(w_{i},c_{j})$ appears. $\\#(w_{i})$ and $\\#(c_{j})$ are the number of
times $w_{i}$ and $c_{j}$ occurred respectively. For embedding dimension $d$
and dictionary size $n$, GloVe comprises the product of two weight matrices
$\mathbf{W},\mathbf{C}\in\mathbb{R}^{d\times n}$ subject to the logistic
sigmoid function. The loss function of GloVe is given by:
$\begin{split}L_{GloVe}=-\sum_{i=1}^{n}\sum_{j=1}^{n}&\\#(w_{i},c_{j})\log\sigma(\mathbf{w_{i}}^{\top}\mathbf{c_{j}})\\\
&+\frac{k}{D}\\#(w_{i})\\#(c_{j})\log\sigma(-\mathbf{w_{i}}^{\top}\mathbf{c_{j}})\end{split}$
(1)
where $\mathbf{w_{i}}$ and $\mathbf{c_{j}}$ are columns of
$\mathbf{W},\mathbf{C}$. This function is minimised when,
$\mathbf{w_{i}}^{\top}\mathbf{c_{j}}=\log(p(w_{i},c_{j}))-b_{i}-b_{j}+\log(Z)$
(2)
with $Z$ representing a normalising constant. Although GloVe can learn
statistical semantic relationships flexibly, such as similarities and
analogies[1], it makes some assumptions about language that do not fit with
reality. Most importantly, it can not capture different meanings in different
contexts [38].
* •
Contextual Word Representations. BERT is a bidirectional language model which
can learn contextual representations of words. Formally, given an input
sequence $\\{w_{1},w_{2},...,w_{N}\\}$, we want to estimate $p(w_{i})$ using
the left and right context of $w_{i}$. To this end, BERT employs a deep
Transformer [41] encoder to learn to fill the word at masked positions and to
predict next sentences, trained on the concatenation of the Toronto Books
Corpus [46] and English Wikipedia.
It has been pointed out that BERT produces strong representations for
syntactic phenomena [40], and contains relational knowledge competitive with
traditional NLP methods that have some access to oracle knowledge [33]. Most
interestingly, BERT also yields representations that are useful in retrieving
semantically aligned image patches [18].
* •
Anisotropic Semantic Space. The “Anisotropic” property means learned
representations are not uniformly distributed with respect to direction. This
property makes it hard to use word representations directly through simple
similarity metrics. Both distributed word embedding models and language models
have been found to induce non-smooth anisotropic semantic spaces, which harms
their performance of semantic similarity [28, 16, 9].
### 2.2 Visual Object Representations in Context
Object detection can be seen as a set prediction problem [6]. A pre-trained
object detection model can predict a set of object representations that
contain rich contextual information. In this work, we employ a pre-trained
object detection module, DETR [6], to extract contextual visual features.
Using self and encoder-decoder attention over object queries embeddings, the
model globally reasons about all objects together using pair-wise relations.
It then predicts visual object representations before the final feed-forward
networks.
### 2.3 Visual Semantic Embedding Models
A visual semantic embedding model is built to align semantics in different
modalities. Formally, given a set of image-word pairs, $D=\\{(x,y)\mid x\in
X,y\in Y\\}$, a semantic alignment learner finds structural correspondence
between the two embedding systems.
The Deep VIsual Semantic Embedding model, DeVISE, proposed by Frome _et al_.
[13], is a milestone in visual semantic embedding models. It maps visual
features to the word embedding space by a combination of dot-product
similarity and hinge rank loss. For a data instance in $D$, we have the loss:
$\begin{split}&L_{DeVISE}(x,y)=\sum_{j\neq y}\max\bigl{[}0,\\\ &\hskip
28.45274ptmargin-w(y)\cdot t(f(x))+w(j)\cdot t(f(x))\bigr{]}\end{split}$ (3)
where $t$ is a trainable transformation neural network, $f$ and $w$ are visual
and language feature extractors.
This loss aims to make projected image features close to corresponding word
representations while remaining distant from negative word embeddings. The
DeVISE model is a natural way to project the information in the visual domain
to the distributed word space. However, it also can not capture different
meanings of words in different contexts.
### 2.4 Generalization in Visual Semantic Understanding
* •
Compositional Generalization. Compositionality is one of the features shared
by many human designed representation systems. It is the capacity to represent
complex concepts by combining simple parts [12]. In language understanding,
for example, if a person knows the meaning and usage of words such as “twice”,
once she learns a new action called “dax”, she can immediately understand or
produce instructions such as “dax twice” [20]. In this work, we explore the
compositional skills of language models in visual semantic understanding
through a series of novel classification tasks.
* •
Zero-shot Generalization. Zero-Shot Learning (ZSL) aims to recognize unseen
classes. ZSL is an important task for demonstrating how a machine learning
model understands high-level semantic information and transfers knowledge from
seen to unseen classes.
Recent ZSL models [13, 45, 37, 17] encode semantic information from
distributed word representations, such as Word2vec [26], GloVe [31] and
Poincaré Embeddings [29]. Our investigation seeks to explore to what extent
pre-trained language models store visual semantic knowledge.
## 3 Visual Semantic Embedding Probe
We introduce the Visual Semantic Embedding Probe (VSEP) to test the visual
semantic knowledge in language models. Our approach (see Figure 1) uses a
shallow neural model that maps frozen visual region representations to word-
level language representations. To solve the problem caused by anisotropic
word representations, we add an additional layer normalization before the
similarity metrics.
Given a batch of $N$ visual region and word representation pairs, our models
learns a visual semantic embedding space by training a shallow neural network
to maximize the cosine similarity between the mapped visual region
representations and the word representations of the $N$ real pairs in the
batch while minimizing the cosine similarity of the embeddings of the $N(N-1)$
incorrect pairings. This training technique has been popularized for multi-
class N-pair loss and contrastive representation learning [39, 30, 34].
Following what was done in [34], we also apply a learnable temperature $T$,
which is directly optimized during training to avoid turning as a hyper-
parameter. The pseudocode of training procedure is in Figure 2.
Once trained, the VSEP can map arbitrary visual region representations to a
language semantic space. It can then be used to evaluate to what extend
learned linguistic knowledge in distributed word models and contextual
language models helps generalization through visual semantic embedding.
Although visual semantic embedding is not a novel framework, the VSEP extends
the original idea of DeVISE to align visual regions with contextual linguistic
information, which provide a method to understand the role of pre-trained
language model in visual semantic understandings. Unlike CLIP [34], VSEP is
not a multimodal pre-training technique and has very different aims. In
particular we wish to use VSEP to explore to what extent two independent
embedding systems in different modalities can interact. It is a technique to
provide supplementary semantic knowledge transferred from language domain to a
visual understanding system. This differs from capturing high-quality
multimodal representations from raw data. Training a VSEP does not demand
large amounts of raw image and text pairs. We focus on the semantics of the
object-centric connections between the language embeddings and the vision
embeddings.
Closely related to our work is that done by Ilharco _et al_. [18]. Compared
with that work, VSEP solves the problem caused by anisotropic semantic
representations and provides a set of fair zero-shot learning settings which
consider the compositional generalization behavior and mutual exclusivity
bias.
⬇
# I[n, v]
# - minibatch of representations of image regions
# T[n, l]
# - minibatch of word-level representations
# MLP - learned projection
# t - learned temperature parameter
I_e = np.linalg.norm(MLP(I), axis=1)
T_e = np.linalg.norm(T, axis=1)
# scaled pairwise cosine similarities [n, n]
logits = np.dot(I_e, T_e.T) * np.exp(t)
# symmetric loss function
labels = np.arange(n)
loss_i = cross_entropy_loss(logits, labels, axis=0)
loss_t = cross_entropy_loss(logits, labels, axis=1)
loss = (loss_i + loss_t)/2
Figure 2: Numpy-like pseudo-code for the VSEP training procedure. Unlike the
CLIP, which uses a input pair of consisting of an image feature and embedded
semantic information, the input of VSEP consists of pairs of object-centric
feature representations and their associated semantic embedding.
## 4 Experiment Settings
In this section we discuss the experimental details of our approach, including
the data, models and tasks.
### 4.1 Creating Visual Region Pairs
MS-COCO [22], Visual Genome [19] and Flickr30k [14] provide high quality
labeled object-centric semantic information. They are mainly used in object
detection [36, 6], image-text embedding [10, 44] and image captions [42, 24].
Whilst in Visual Genome and Flickr30k, object categories are defined as the
set of unique phrases, only MS-COCO has clear object categories and complete
sentences describing whole images. We therefore use the MS-COCO dataset in our
experiments.
We use the official implementation of the DETR [6] detector to generate object
representation of 80 categories. To avoid having multiple representations for
one class, we choose the representation with the highest probability per
object category in each image.
Following the setting in Neural Baby Talk [24], we choose 413 fine-grained
classes of the original 80 categories. For each image, we choose one sentence
which contains the most fine-grained classes. We collect the GloVe embedding
and BERT embedding for the fine-grained classes in each sentence.
The data collection process described above is a fairer test than the process
described in [18]. That process used 1600 object categories defined by
Anderson _et al_. [2]. However, we notice that some categories are not in MS-
COCO captions and as such, we do not choose the detector trained on Visual
Genome.
(a) ’a pink rose in a vase on a
balcony’,
(b) ’a tall clock tower with a circular clock
under a blue sky’
(c) ’a vase with flowers, a clock and
paintings inside of a building’
Figure 3: The top images contain a vase and a clock respectively. The bottom
image and a caption are testing pairs. The basic visual semantic understanding
task is to predict the right visual regions given a set of word
representations.
### 4.2 The Basic Object-Label Alignment Task
Since the fine-grained classes in captions are chosen as semantic concepts, we
should avoid structural flaws in evaluation [17]. When classes that are
hypernyms or hyponyms of other classes within the WordNet [27] hierarchy are
used in a multi-way classification task to evaluate the performance of a
visual semantic embedding model it is likely to give a two optimistic view of
its generalization performance. For example, representations of “dog” and
“puppy” should not appear in one evaluation scene. Therefore, we design a
basic task to demonstrate how visual semantics are aligned with a language
model.
We firstly choose images which contain only one object from the training and
the validation sets of MS-COCO. Visual and language representation pairs in
these images are used to train the VSEP. There are 51,637 images in the
training set. The testing set is partitioned into three independent subsets of
increasing difficulty: (i) 53,378 images which have two objects, (ii) 9941
images which have three objects and (iii) which has 901 images with four
objects. The subsets were created by counting the number of objects using the
pre-trained DETR network.
With the VSEP, we calculate the similarity matrix of the projected visual
representations and the word representations in each testing image, and
predict their relations. We calculate the accuracy defined as the percentage
of the representation pairs in which visual representations are correctly
matched with their language representations. The accuracy of relation
prediction is chosen as the metric to avoid the problem of a multi-way
classification task. Figure 3 shows an episode of the basic task. In this
example, we make the VSEP learn the alignment between image regions and word
representations of ”vase” and ”clock”. Given a novel scene which contains both
”vase” and ”clock”, the model should distinguish the provided visual
representations. Note that the testing sentences are composed of objects in
training data, this task is a natural way to detect systematic compositional
skills of the VSEP.
Inspired by experiments in CLIP, we also test word embeddings from a simple
caption template: `‘‘A photo of a {label}’’`. Note that these captions do not
contain any context. We believe that this comparison can help us to
investigate whether contextual information is essential for VSEPs.
Note that this basic task is natural to evaluate a model’s ability to
associate a word with a visual category and immediately use that word in an
compositional zero-shot way.
### 4.3 The Zero-shot Object-Label Alignment Task
We test our VSEP in a zero-shot learning task to demonstrate whether a
language model can be used as a visual semantic knowledge base. We replicated
the experimental design on training visual-language representation pairs which
exclude at least one of eight objects in COCO. The excluded objects are fine-
grained classes of ‘bottle’, “bus”, “couch”, “microwave”, “pizza”, “racket”,
“suitcase” and “zebra”. This split is usually applied in novel object
captioning tasks. For testing, we collect the images which include these
objects in three testing sets respectively.
Note that in [18], 1,600 classes are randomly split into a seen and unseen
set. However, there are a lot of synonyms in the 1,600 categories. Our split
guarantees that objects in the testing dataset are not leaked to the VSEP.
### 4.4 Image Patch Retrieval
We compute instance recall in retrieving image patches given object
representations of the same category in different text. From the images which
contain two objects in MS-COCO, we collect 100 images for each category (80
categories in total). The instance recall (IR@k) is the average percentage of
pairs $(v,l)$ in each category where the instance $v$ is in the top $k$ visual
representations retrieved from a language representation $l$. We believe this
task can demonstrate to what extent a VSEP can understand context in language
precisely.
### 4.5 Training Details
For the visual object encoder, we use the pre-trained DETR network with a
ResNet-50, obtaining 42 AP on MS-COCO
111https://github.com/facebookresearch/detr. For the static word embeddings,
we choose 300 dimensional GloVe222https://nlp.stanford.edu/projects/glove/
with 6 billion tokens as the pre-trained word vectors. For each fine-grained
class, we average all the Glove vectors of its words. For the contextual
language model, we choose the BERT base model trained by Hugging
Face333https://huggingface.co/bert-base-uncased.
We build the VSEP with PyTorch Lightning [11]. The VSEP has a two-layer multi-
layer perceptron (MLP) with 512 hidden neurons. All the pre-trained models are
frozen. Adam with learning rate $1\times 10^{-3}$ is used in all the models to
optimize the loss function. All the models are trained for 200 epochs with
mini-batches of size 512.
## 5 Results
### 5.1 The Effect of Anisotropy
We firstly demonstrate the phenomenon that anisotropic language embedding
space induces poor performance in visual semantic similarity comparison.
(a) GloVe
(b) BERT
Figure 4: Visualization of word embeddings in GloVe and BERT. The GloVe embeddings have non-zero mean. The BERT embeddings are far from isotropic. Embeddings | GloVe | GloVe with LN | BERT | BERT with LN
---|---|---|---|---
2 Objects | 49.93% | 99.68% | 52.29% | 98.72%
3 Objects | 32.87% | 99.15% | 35.95% | 97.31%
4 Objects | 24.50% | 99.36% | 27.80% | 97.14%
Table 1: Layer normalization renders stronger word representations in visual
semantic embedding probes: the table show that the matching accuracies are
significantly improved with a layer normalization before similarity
calculation.
In Figure 4, we show the GloVe embeddings of the 413 fine-grained classes and
1,000 sampled BERT embeddings corresponding to all the classes in a 2D plane
spanned by the largest two principle components. Word representations in BERT
are obviously anisotropic and they all occupy a narrow part in the vector
space rather than being uniform in all directions.
Meanwhile, the energy of most word vectors is contained in a very low
dimensional subspace. Note that these embedding spaces are of a small part of
vocabularies in GloVe and BERT. The space of GloVe embeddings has many holes,
while the space of BERT embeddings is dense but narrow.
We use layer normalization (LN) [4] to rescale word embeddings before we
calculate semantic similarity. The results are shown in Table 1, both GloVe
and BERT embeddings work well with layer normalization. Their scores are
significantly better than the models without layer normalization. We notice
that the VSEP without layer normalization is close to the expected performance
of random guessing ($50.00\%$ for 2 Objects, $33.33\%$ for 3 Objects and
$25.00\%$ for 4 Objects). The results demonstrate that layer normalization can
mitigate the problem of anisotropic embeddings in visual semantic alignment.
In the following experiments, we use layer normalization in all VSEPs.
### 5.2 Knowledge in Language Models
We compare the performance of static representations with contextual
representations. Besides GloVe embeddings, we also use random unit-norm
embedding vectors as embeddings for the 413 fine-grained classes. The results
are shown in Table 2. All three kinds of embeddings work well with the VSEP.
The static embeddings perform slightly better. We observe that contextual
representations do not show the benefit in the task when all the objects are
well defined before visual semantic alignment. However, contextual
representations still show their ability to deal with unseen compositional
scenes and sentences.
We also compare the performance when we use a label template `‘‘A photo of a
{label}’’` for testing semantic information. For GloVe embeddings, we average
all the vectors in the template. For BERT embeddings, the template is
considered as the input. The results in Table 3 show that the new template
influences the effect of VSEP aligning visual semantics. Compared with BERT,
the static word embeddings show a more robust performance. This demonstrates
language models still rely on contextual information to inference
similarities, even though input information is from another modality.
Embeddings | Random Vectors | GloVe | BERT
---|---|---|---
2 Objects | 99.41% | 99.68% | 98.72%
3 Objects | 99.13% | 99.15% | 97.31%
4 Objects | 99.03% | 99.36% | 97.14%
Table 2: The performance of VSEPs with static and contextual word embeddings. The results show that static embeddings perform slightly better when all the objects are well defined before visual semantic alignment. The language model is also capturing useful information. Embeddings | GloVe | BERT
---|---|---
2 Objects | 0.29% | 6.86%
3 Objects | 0.51% | 2.27%
4 Objects | 0.11% | 0.36%
Table 3: The performance drop with a novel caption template:“A photo of a
label” as testing label. Note that these captions do not contain any context.
Compared with BERT, the static word embeddings show a more robust performance.
These demonstrate that contextual information captured by BERT does influence
visual understanding.
Our experiments show that visual semantic embedding probes trained with word-
level representations in language models are still sensitive to context. They
can work well on tasks which require compositional generalization and have a
significant performance decrease with unseen and non-contextual text. In
contrast, the static word representations are more robust. All these phenomena
demonstrate that contextual information as language knowledge in language
models still influences a visual semantic embedding model. However, contextual
representations do not show any benefit in a complete information game, when
all the label information is provided.
### 5.3 Zero-shot Learning with VSEPs
Next we test the VSEPs with contextual representations in a zero-shot learning
task to explore whether other kind of knowledge in a language model is learned
by a VSEP. As we introduced in last section, objects in fine-grained classes
of ‘bottle’, “bus”, “couch”, “microwave”, “pizza”, “racket”, “suitcase” and
“zebra” do not appear in the training visual and language representation
pairs. For testing, we collect the images which include these objects (5675
images which have two objects, 2517 images which have three objects, 342
images which have four objects).
We firstly evaluate VSEP zero-shot learning performance by calculating region
prediction accuracy. Note that in one image, we extract a set of visual and
language representation pairs which contains both seen objects and unseen
objects. The task is to label these image regions from the provided language
representations. The results in Table 4 show that random static vectors do not
provide enough information to make the VSEP label novel visual regions. Using
representations from GloVe and BERT, VSEPs can discern image patches from a
scene which contains novel objects and novel instructions. We observe that
contextual language representations perform better in the scenes which
contains two kinds of objects. With a longer compositional chain, the static
word representations perform better, showing that the quality of contextual
word representations are easily affected by the length of captions.
Next we only focus on the unseen objects. Table 5 shows the labeling error
rate for novel regions. We observe that the VSEP with BERT representations
produces less labeling errors. The more objects are in one scene, the more
mistakes are made by the VSEP. We believe this phenomenon is related to the
mutual exclusivity (ME) bias [3]. When children endeavour to learn a new word,
they rely on inductive biases to narrow the space of possible meanings: they
prefer to predict that the novel word refers to the novel object. However,
deep learning algorithms lack this bias [15]. To demonstrate this assumption,
we calculate the percentage of novel object in the wrongly labeled vision
regions. For the VSEP with GloVe embeddings, it is $98.54\%$, while the number
is $89.46\%$ for BERT embeddings. The VSEPs prefer to predict the novel object
to a familiar word, showing a probe bridging two pre-trained embedding systems
does not naturally reason by mutual exclusivity.
Embeddings | Random Vectors | GloVe | BERT
---|---|---|---
2 Objects | 65.31% | 84.96% | 86.95%
3 Objects | 68.94% | 85.15% | 84.84%
4 Objects | 74.63% | 86.62% | 83.19%
Table 4: The accuracy of VSEPs in zero-shot learning tasks. The learned
embeddings work considerably better than random embeddings, showing that the
language models are capturing useful information. The contextual information
captured by BERT does help improve performance when there are 2 objects, but
performs worse with more than 2 objects.
Summarising the analysis of the zero-shot learning tasks, we find that the
VSEPs with contextual word representations can be used to do inference for
unseen objects, which means knowledge in language models can boost visual
tasks. However, representation from language models still have their
limitations. Although, VSEPs with them perform better in some scenes, the
models still struggle with images which have a long compositional description.
Meanwhile, all the VSEPs with different word embedding models can not learn
the mutually exclusivity bias.
Embeddings | Random Vectors | GloVe | BERT
---|---|---|---
2 Objects | 31.42% | 70.42% | 76.17%
3 Objects | 10.55% | 57.39% | 61.10%
4 Objects | 5.83% | 51.39% | 48.06%
Table 5: The percentage of correctly labeled unseen object regions. The
learned embeddings work better than random embeddings. The contextual
information helps produces less labeling errors. We notice there is a
significant performance drop with the number of objects in one scene
increasing.
### 5.4 Analysis of Image Patch Retrieval
We further investigate the influence of context by analyzing the performance
of image patch retrieval with VSEPs. Previous work [18] only evaluated the
performance of visual instance retrieval with different seen/unseen category
splits. We design a novel image patch retrieval task. In our approach, all the
testing categories are seen by the VSEP. We prepare 80 independent testing
sets for 80 classes in MS-COCO. Each set is composed by 100 images which are
randomly chosen from the 2-Object testing dataset. The task is to recall image
patches given word representations extracted from captions which contains the
same object in different scenes. We evaluate this instance retrieval task for
5 times. Although this task is harder, it is more able to demonstrate to what
extent a VSEP obtain contextual information from a pre-trained language model.
As is shown in Table 6, representations from BERT has a higher performance
compared with random picking. Figure 5 shows qualitative examples of top5
retrieved images given a contextual word representation (representation of the
red word) as query. We observe that most retrieved images have not only the
query object, but also the object appears in the whole caption. For instance,
the retrieved images in the fourth row both have a carrot.
It is clear that contextual word representations in language models can
provide more precise semantic information. For visual understanding tasks
involving both local and global semantic information in text, static embedding
models can not work, using language models is the unique choice.
Figure 5: Qualitative examples of top5 images retrieved from contextual representations of objects in captions. All the retrieved images belong to a same category. This task is designed to evaluate how contextual information influences instance retrieval. | IR@1 | IR@5
---|---|---
Random | 1.00% | 5.00%
BERT | $4.85\%\pm 0.18\%$ | $17.48\%\pm 0.17\%$
Table 6: The average instance recall rate for image patches in a same
category. The contextual information captured by BERT does help improve
performance compared with random picking. The static word representations can
not work on this task because all the visual regions share one word vector.
## 6 Conclusion
We present a simple visual semantic embedding probe designed to probe the
semantic information of contextualized word embeddings in a series of visual
semantic understanding tasks.
Based on our analysis, we find evidence suggesting the following trends:
* •
Firstly,language models are naturally zero-shot visual semantic learners. They
can associate a word with a visual category and immediately use that word in
an compositional zero-shot way.
* •
Secondly, the performance of contextualized word embeddings is affected by the
number of objects in the scene, which indicates that visual semantic embedding
models and language models also struggle to generalize by systematic
composition.
* •
Thirdly, semantic representation alignment requires normalization, as
evidenced by the need for a layer-norm to get good results.
* •
Fourthly, visual semantic models with contextualized word embeddings and
static word embeddings both lack a mechanism to capture a mutual exclusivity
bias.
* •
Finally, contextualized embeddings can be used to retrieve fine-grained visual
content.
All of our the results show that knowledge in language models can be exploited
in the task of visual semantic understanding to some extent. On the other
hand, contextual information in current language models is still not strong
enough for zero-shot predictions, and models still prefer to map novel inputs
to familiar outputs.
## 7 Further Discussion
There are still many limitations to our work. The main limitation is that
captions in MS-COCO do not contain rich semantic information to represent a
large amount of objects. We can just use 413 fine-grained class names in 80
categories. For multimodal problems, a sufficiently large dataset is the game
changer [34]. A large dataset with high-quality annotated captions is required
to explore the role of language models in visual tasks. Current captions in
MS-COCO are too simple to describe the complex scenes.
On the other hand, although we design a series of reasonable tasks to compare
the static and contextual word representations in a visual semantic embedding
system, all the tasks are implicit. We make the models match seen or unseen
image regions with words in scene composed of multiple objects. We also make
the models do instance retrieval in the same categories. These tasks are all
based on the assumption that knowledge in language models should influence the
visual understanding tasks. We can see there is an improvement using
contextual word information, but the process is still like a black box. There
is still a long way to explore what kind of knowledge is stored in a pre-
trained language model.
## References
* [1] C. Allen, I. Balazevic, and T. Hospedales. What the vec? towards probabilistically grounded embeddings. In Advances in Neural Information Processing Systems, pages 7467–7477, 2019.
* [2] P. Anderson, X. He, C. Buehler, D. Teney, M. Johnson, S. Gould, and L. Zhang. Bottom-up and top-down attention for image captioning and visual question answering. In CVPR, 2018.
* [3] T. K.-f. Au and M. Glusman. The principle of mutual exclusivity in word learning: To honor or not to honor? Child development, 61(5):1474–1490, 1990.
* [4] J. L. Ba, J. R. Kiros, and G. E. Hinton. Layer normalization. arXiv preprint arXiv:1607.06450, 2016.
* [5] T. Brown, B. Mann, N. Ryder, M. Subbiah, J. D. Kaplan, P. Dhariwal, A. Neelakantan, P. Shyam, G. Sastry, A. Askell, S. Agarwal, A. Herbert-Voss, G. Krueger, T. Henighan, R. Child, A. Ramesh, D. Ziegler, J. Wu, C. Winter, C. Hesse, M. Chen, E. Sigler, M. Litwin, S. Gray, B. Chess, J. Clark, C. Berner, S. McCandlish, A. Radford, I. Sutskever, and D. Amodei. Language models are few-shot learners. In H. Larochelle, M. Ranzato, R. Hadsell, M. F. Balcan, and H. Lin, editors, Advances in Neural Information Processing Systems, volume 33, pages 1877–1901. Curran Associates, Inc., 2020.
* [6] N. Carion, F. Massa, G. Synnaeve, N. Usunier, A. Kirillov, and S. Zagoruyko. End-to-end object detection with transformers. arXiv preprint arXiv:2005.12872, 2020.
* [7] K. Desai and J. Johnson. Virtex: Learning visual representations from textual annotations. arXiv preprint arXiv:2006.06666, 2020.
* [8] J. Devlin, M.-W. Chang, K. Lee, and K. Toutanova. Bert: Pre-training of deep bidirectional transformers for language understanding. arXiv preprint arXiv:1810.04805, 2018.
* [9] K. Ethayarajh. How contextual are contextualized word representations? comparing the geometry of bert, elmo, and gpt-2 embeddings. arXiv preprint arXiv:1909.00512, 2019.
* [10] F. Faghri, D. J. Fleet, J. R. Kiros, and S. Fidler. Vse++: Improving visual-semantic embeddings with hard negatives. arXiv preprint arXiv:1707.05612, 2017.
* [11] W. Falcon. Pytorch lightning. GitHub. Note: https://github.com/PyTorchLightning/pytorch-lightning, 3, 2019.
* [12] J. A. Fodor and E. Lepore. The compositionality papers. Oxford University Press, 2002.
* [13] A. Frome, G. S. Corrado, J. Shlens, S. Bengio, J. Dean, M. Ranzato, and T. Mikolov. Devise: A deep visual-semantic embedding model. In Advances in neural information processing systems, pages 2121–2129, 2013.
* [14] A. Fukui, D. H. Park, D. Yang, A. Rohrbach, T. Darrell, and M. Rohrbach. Multimodal compact bilinear pooling for visual question answering and visual grounding. arXiv preprint arXiv:1606.01847, 2016.
* [15] K. Gandhi and B. M. Lake. Mutual exclusivity as a challenge for deep neural networks. arXiv preprint arXiv:1906.10197, 2019.
* [16] J. Gao, D. He, X. Tan, T. Qin, L. Wang, and T.-Y. Liu. Representation degeneration problem in training natural language generation models. arXiv preprint arXiv:1907.12009, 2019.
* [17] T. Hascoet, Y. Ariki, and T. Takiguchi. On zero-shot recognition of generic objects. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pages 9553–9561, 2019.
* [18] G. Ilharco, R. Zellers, A. Farhadi, and H. Hajishirzi. Probing text models for common ground with visual representations. arXiv preprint arXiv:2005.00619, 2020.
* [19] R. Krishna, Y. Zhu, O. Groth, J. Johnson, K. Hata, J. Kravitz, S. Chen, Y. Kalantidis, L.-J. Li, D. A. Shamma, et al. Visual genome: Connecting language and vision using crowdsourced dense image annotations. International journal of computer vision, 123(1):32–73, 2017.
* [20] B. Lake and M. Baroni. Generalization without systematicity: On the compositional skills of sequence-to-sequence recurrent networks. In International Conference on Machine Learning, pages 2873–2882. PMLR, 2018.
* [21] X. Li, X. Yin, C. Li, P. Zhang, X. Hu, L. Zhang, L. Wang, H. Hu, L. Dong, F. Wei, et al. Oscar: Object-semantics aligned pre-training for vision-language tasks. In European Conference on Computer Vision, pages 121–137. Springer, 2020.
* [22] T.-Y. Lin, M. Maire, S. Belongie, J. Hays, P. Perona, D. Ramanan, P. Dollár, and C. L. Zitnick. Microsoft coco: Common objects in context. In European conference on computer vision, pages 740–755. Springer, 2014.
* [23] S. Liu, J. Chen, L. Pan, C.-W. Ngo, T.-S. Chua, and Y.-G. Jiang. Hyperbolic visual embedding learning for zero-shot recognition. In Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, pages 9273–9281, 2020.
* [24] J. Lu, J. Yang, D. Batra, and D. Parikh. Neural baby talk. In Proceedings of the IEEE conference on computer vision and pattern recognition, pages 7219–7228, 2018.
* [25] E. M. Markman and G. F. Wachtel. Children’s use of mutual exclusivity to constrain the meanings of words. Cognitive psychology, 20(2):121–157, 1988.
* [26] T. Mikolov, I. Sutskever, K. Chen, G. S. Corrado, and J. Dean. Distributed representations of words and phrases and their compositionality. Advances in neural information processing systems, 26:3111–3119, 2013.
* [27] G. A. Miller. Wordnet: a lexical database for english. Communications of the ACM, 38(11):39–41, 1995.
* [28] J. Mu, S. Bhat, and P. Viswanath. All-but-the-top: Simple and effective postprocessing for word representations. arXiv preprint arXiv:1702.01417, 2017.
* [29] M. Nickel and D. Kiela. Poincaré embeddings for learning hierarchical representations. In Advances in neural information processing systems, pages 6338–6347, 2017.
* [30] A. v. d. Oord, Y. Li, and O. Vinyals. Representation learning with contrastive predictive coding. arXiv preprint arXiv:1807.03748, 2018.
* [31] J. Pennington, R. Socher, and C. Manning. GloVe: Global vectors for word representation. In Proceedings of the 2014 Conference on Empirical Methods in Natural Language Processing (EMNLP), pages 1532–1543, Doha, Qatar, Oct. 2014\. Association for Computational Linguistics.
* [32] M. E. Peters, M. Neumann, M. Iyyer, M. Gardner, C. Clark, K. Lee, and L. Zettlemoyer. Deep contextualized word representations. arXiv preprint arXiv:1802.05365, 2018.
* [33] F. Petroni, T. Rocktäschel, P. Lewis, A. Bakhtin, Y. Wu, A. H. Miller, and S. Riedel. Language models as knowledge bases? arXiv preprint arXiv:1909.01066, 2019.
* [34] A. Radford, J. W. Kim, C. Hallacy, A. Ramesh, G. Goh, S. Agarwal, G. Sastry, A. Askell, P. Mishkin, J. Clark, et al. Learning transferable visual models from natural language supervision. 2021\.
* [35] C. Raffel, N. Shazeer, A. Roberts, K. Lee, S. Narang, M. Matena, Y. Zhou, W. Li, and P. J. Liu. Exploring the limits of transfer learning with a unified text-to-text transformer. Journal of Machine Learning Research, 21(140):1–67, 2020.
* [36] S. Ren, K. He, R. Girshick, and J. Sun. Faster r-cnn: Towards real-time object detection with region proposal networks. IEEE transactions on pattern analysis and machine intelligence, 39(6):1137–1149, 2016.
* [37] E. Schonfeld, S. Ebrahimi, S. Sinha, T. Darrell, and Z. Akata. Generalized zero-and few-shot learning via aligned variational autoencoders. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pages 8247–8255, 2019.
* [38] N. A. Smith. Contextual word representations: A contextual introduction. arXiv preprint arXiv:1902.06006, 2019.
* [39] K. Sohn. Improved deep metric learning with multi-class n-pair loss objective. In D. Lee, M. Sugiyama, U. Luxburg, I. Guyon, and R. Garnett, editors, Advances in Neural Information Processing Systems, volume 29, pages 1857–1865. Curran Associates, Inc., 2016.
* [40] I. Tenney, P. Xia, B. Chen, A. Wang, A. Poliak, R. T. McCoy, N. Kim, B. Van Durme, S. R. Bowman, D. Das, et al. What do you learn from context? probing for sentence structure in contextualized word representations. arXiv preprint arXiv:1905.06316, 2019.
* [41] A. Vaswani, N. Shazeer, N. Parmar, J. Uszkoreit, L. Jones, A. N. Gomez, Ł. Kaiser, and I. Polosukhin. Attention is all you need. In Advances in neural information processing systems, pages 5998–6008, 2017.
* [42] O. Vinyals, A. Toshev, S. Bengio, and D. Erhan. Show and tell: A neural image caption generator. In Proceedings of the IEEE conference on computer vision and pattern recognition, pages 3156–3164, 2015.
* [43] X. Wang, Y. Ye, and A. Gupta. Zero-shot recognition via semantic embeddings and knowledge graphs. In Proceedings of the IEEE conference on computer vision and pattern recognition, pages 6857–6866, 2018.
* [44] H. Wu, J. Mao, Y. Zhang, Y. Jiang, L. Li, W. Sun, and W.-Y. Ma. Unified visual-semantic embeddings: Bridging vision and language with structured meaning representations. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pages 6609–6618, 2019.
* [45] Y. Xian, B. Schiele, and Z. Akata. Zero-shot learning-the good, the bad and the ugly. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pages 4582–4591, 2017.
* [46] Y. Zhu, R. Kiros, R. Zemel, R. Salakhutdinov, R. Urtasun, A. Torralba, and S. Fidler. Aligning books and movies: Towards story-like visual explanations by watching movies and reading books. In Proceedings of the IEEE international conference on computer vision, pages 19–27, 2015.
| arxiv-papers | 2021-07-26T08:22:55 | 2024-09-04T03:07:18.006643 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yue Jiao, Jonathon Hare, Adam Pr\\\"ugel-Bennett",
"submitter": "Yue Jiao",
"url": "https://arxiv.org/abs/2107.12021"
} |
2107.12029 | # Global solutions and large time behavior for some Oldroyd-B type models in
$\mathbb{R}^{2}$
Wenjie $\mbox{Deng}^{1}$ 111E-mail: [email protected], Zhaonan
$\mbox{Luo}^{1}$222E-mail: [email protected] and Zhaoyang
$\mbox{Yin}^{1,2}$333E-mail: [email protected]
${}^{1}\mbox{Department}$ of Mathematics, Sun Yat-sen University, Guangzhou
510275, China
${}^{2}\mbox{Faculty}$ of Information Technology,
Macau University of Science and Technology, Macau, China
###### Abstract
In this paper, we are concerned with global solutions to the co-rotation
Oldroyd-B type model and large time behavior for the general Oldroyd-B type
model. We first establish the energy estimate and B-K-M criterion for the 2-D
co-rotation Oldroyd-B type model. Then, we obtain global solutions with large
data in Sobolev space by proving the boundedness of vorticity. As a corollary,
we prove the global existence of corresponding Hooke model near equilibrium.
Furthermore, we present the global existence for the 2-D co-rotation Oldroyd-B
type model in critical Besov space by a refined estimate in Besov spaces with
index $0$. Finally, we study large time behaviour for the general Oldroyd-B
type model. Applying the Fourier spiltting method, we prove the $H^{1}$ decay
rate for global solutions constructed by T. M. Elgindi and F. Rousset in [9].
2010 Mathematics Subject Classification: 35Q30, 76B03, 76D05, 76D99.
Keywords: The Oldroyd-B type models; Global strong solutions; Time decay rate.
###### Contents
1. 1 Introduction
1. 1.1 The Oldroyd-B type model
2. 1.2 The Hooke model
3. 1.3 Main results
2. 2 Preliminaries
3. 3 Global solutions for co-rotation case in Sobolev space
1. 3.1 Energy estimate
2. 3.2 B-K-M Criterion
3. 3.3 Global Solutions
1. 3.3.1 The Oldroyd-B type model
2. 3.3.2 The Hooke model
4. 4 Global solutions for co-rotation case in critical Besov space
1. 4.1 Energy estimates
2. 4.2 Local well-posedness
3. 4.3 Global well-posedness
5. 5 Large time behavior for the general Oldroyd-B type model
## 1 Introduction
In this paper we investigate the following general Oldroyd-B type model (with
$\nu=0$):
(1.4) $\displaystyle\left\\{\begin{array}[]{l}\partial_{t}u+u\cdot\nabla
u+\nabla P=div~{}\tau+\nu\Delta u,~{}~{}~{}~{}div~{}u=0,\\\\[4.30554pt]
\partial_{t}\tau+u\cdot\nabla\tau+a\tau+Q(\nabla u,\tau)=\alpha
D(u)+\mu\Delta\tau,\\\\[4.30554pt]
u|_{t=0}=u_{0},~{}~{}\tau|_{t=0}=\tau_{0}.\\\\[4.30554pt] \end{array}\right.$
In (1.4), $u(t,x)$ denotes the velocity of the polymeric liquid, $\tau(t,x)$
represents the symmetric tensor of constrains and $P$ is the pressure. The
parameters $a$, $\mu$ and $\nu$ are nonnegative and $\alpha>0$. Moreover,
$Q(\nabla u,\tau)=\tau\Omega-\Omega\tau+b(D(u)\tau+\tau D(u)),$
with $b\in[-1,1]$, the vorticity tensor $\Omega=\frac{\nabla u-(\nabla
u)^{T}}{2}$ and the deformation tensor $D(u)=\frac{\nabla u+(\nabla
u)^{T}}{2}$. For more explanations on the modeling, one can refer to [33, 10,
9].
Taking $b=1$ and $\alpha=2$, then the general Oldroyd-B type model (1.4) can
be derived from the the following micro-macro model [24, 14] with Hooke
potential $\mathcal{U}=\frac{1}{2}|q|^{2}$, $\int_{\mathbb{R}^{d}}\psi
dq=\int_{\mathbb{R}^{d}}\psi_{0}dq=1$ and the drag term $\sigma(u)=\nabla u$ :
(1.9) $\displaystyle\left\\{\begin{array}[]{ll}\partial_{t}u+u\cdot\nabla
u+\nabla P=div~{}\tau+\nu\Delta u,~{}~{}~{}~{}div~{}u=0,\\\\[4.30554pt]
\psi_{t}+u\cdot\nabla\psi=div_{q}[-\sigma(u)\cdot{q}\psi+\frac{a}{2}\nabla_{q}\psi+\frac{a}{2}\nabla_{q}\mathcal{U}\psi]+\mu\Delta\psi,\\\\[4.30554pt]
\tau_{ij}=\int_{\mathbb{R}^{d}}(q_{i}\nabla_{q_{j}}\mathcal{U})\psi dq-
Id,\\\\[4.30554pt] u|_{t=0}=u_{0},~{}~{}\psi|_{t=0}=\psi_{0}.\\\\[4.30554pt]
\end{array}\right.$
In (1.9), the polymer particles are described by the distribution function
$\psi(t,x,q)$. Here the polymer elongation $q$ satisfies $q\in\mathbb{R}^{2}$,
which means that the extensibility of the polymers is infinite and
$x\in\mathbb{R}^{2}$. $\tau$ is an extra-stress tensor which generated by the
polymer particles effect. In general, $\sigma(u)=\nabla u$. For the co-
rotation case, $\sigma(u)=\Omega$.
When $\int_{\mathbb{R}^{d}}\psi_{0}dq=1$, the following co-rotation Oldroyd-B
type model can be derived from the micro-macro model (1.9) with $\nu=0$,
$\mathcal{U}=\frac{1}{2}|q|^{2}$ and $\sigma(u)=\Omega$:
(1.13) $\displaystyle\left\\{\begin{array}[]{l}\partial_{t}u+u\cdot\nabla
u+\nabla P=div~{}\tau,~{}~{}~{}~{}div~{}u=0.\\\\[4.30554pt]
\partial_{t}\tau+u\cdot\nabla\tau+a\tau+Q(\Omega,\tau)=\mu\Delta\tau.\\\\[4.30554pt]
u|_{t=0}=u_{0},~{}~{}\tau|_{t=0}=\tau_{0}.\\\\[4.30554pt] \end{array}\right.$
Notice that the equations (1.13) reduces to the well-known Euler equation by
taking $\tau=0$. However, taking $\tau=0$ in $\eqref{eq0}$, then we have
$Du=0$, which implies $u=0$ in Sobolev spaces. The observation reveals the
essential difference between (1.4) and (1.13).
### 1.1. The Oldroyd-B type model
T. M. Elgindi and F. Rousset [9] first proved global regularity for the 2-D
Oldroyd-B type models (1.4) with $\nu=0$. Later on, T. M. Elgindi and J. Liu
[8] obtained global strong solutions of the 3-D case under the assumption that
initial data is sufficiently small.
Taking $\nu>0$ and $\mu=0$ in (1.4), we obtain the classical Oldroyd-B model.
In [13], C. Guillopé, and J. C. Saut first showed that the Oldroyd-B model
admits a unique global strong solution in Sobolev spaces. The $L^{p}$-setting
was given by E. Fernández-Cara, F.Guillén and R. Ortega [11]. The week
solutions of the Oldroyd-B model were proved by P. L. Lions and N. Masmoudi
[25] for the case $b=0$. Notice that the problem for the case $b\neq 0$ is
still open, see [27, 28]. Later on, J. Y. Chemin and N. Masmoudi [6] proved
the existence and uniqueness of strong solutions in homogenous Besov spaces
with critical index of regularity. Optimal decay rates for solutions to the
3-D Oldroyd-B model were obtained by M. Hieber, H. Wen and R. Zi [15]. An
approach based on the deformation tensor can be found in [20, 19, 22, 23, 39].
### 1.2. The Hooke model
Let $\nu,\mu>0$. The construction of global weak solutions for micro-macro
systems was considered in [2, 3, 4, 5, 36, 38]. Recently, global existence and
uniqueness of a large class of initial data for the diffusive 2D models was
proved in [18]. It’s worthy mentioning that the so-called moments
$(u,M_{a,b})$ considered in [18] are strong solutions with macroscopic
variables $(t,x)$ while $\psi$ is nonnegative measures on
$\mathbb{R}^{2}_{q}\times\mathbb{R}^{2}_{x}$ merely. Let $\nu>0,~{}\mu=0$. The
local existence of micro-macro systems were proved by many researchers in
different settings, see [34, 7]. F. Lin, C. Liu and P. Zhang [24] studied the
incompressible micro-macro polymeric system and proved global existence near
equilibrium with some assumptions on the potential $\mathcal{U}$. Global
regularity for the 2-D co-rotation Hooke dumbbell model was proved by N.
Masmoudi, P. Zhang, and Z. Zhang [30]. Long time behavior for the 3-D micro-
macro polymeric system was considered by L. He and P. Zhang [14].
### 1.3. Main results
Global well-posedness with $d=2$ and long time behavior for polymeric models
were noticed by F. Lin [21] and N. Masmoudi [29]. To our best knowledge,
global well-posedness for the Oldroyd-B type model (1.13) and large time
behaviour for (1.4) have not been studied yet. In this paper, we first study
about global solutions for (1.13) with large data in $H^{s}$. The proof is
based on the bootstrap argument in [37]. To prove global existence, we derive
the energy estimate and B-K-M criterion for (1.13) in $H^{s}$. The main
difficult in the proof is to prove the boundedness of vorticity from (1.13).
Motivated by [9], we can cancel $div~{}\tau$ and $\Delta\tau$ by virtue of the
structural trick $\Gamma=\Omega-R\tau$ where $R=-(\Delta)^{-1}curl~{}div$.
However, for (1.13), there is no dissipation term in the equation of $\Gamma$
for the lack of $D(u)$. We thus fail to use the bootstrap argument as in [9].
Fortunately, the disappearance of $D(u)$ leads to exponential dissipation for
$\tau$ in $H^{1}$. The effect of exponential dissipation of $\tau$ is
essential in the estimation of $\Gamma$. We finish the proof of global
existence with large data in $H^{s}$ by deriving the $L^{\infty}$ estimate for
$\Omega$. To our best knowledge, there is still no any global existence result
of the Hooke models (1.9) with $\nu=0$. As a corollary of Theorem 1.1, we
prove the global existence of (1.9) with large data in $H^{s}$. It’s worth
mentioning that the estimate of $\langle q\rangle^{n}\nabla^{m}_{q}g$ in
$L^{\infty}(\mathcal{L}^{2})$ is essential in the proof of global existence.
Furthermore, we establish local existence for (1.13) in
$B^{1}_{\infty,1}\times B^{0}_{\infty,1}$ and present the global existence
with large data in $(H^{1}\cap B^{1}_{\infty,1})\times(H^{1}\cap
B^{0}_{\infty,1})$. The proof of the global existence is based on the refined
estimate in Besov space with index 0 and the $H^{1}\times(H^{1}\cap
L^{\infty})$ boundedness for $(u,\tau)$. By virtue of the refined estimate in
Besov spaces with index $0$, the authors [1] prove the global well-posedness
for the Euler equation in the borderline case and obtain the exponential
growth estimate of vorticity in $B^{0}_{\infty,1}$. Considering the global
existence for (1.13) in critical Besov space, the main difficult for us is to
estimate external force in the equation of $\Gamma$. We find that exponential
dissipation for $\tau$ can prevent the exponential growth of external force.
Thus we obtain the exponential growth estimate of $\Gamma$ in
$B^{0}_{\infty,1}$, which implies the global existence for (1.13). Finally, we
study about large time behaviour for (1.4) with large data. Since the
structural trick $\Gamma$ transfer dissipation from $\tau$ to $u$ for (1.4),
we obtain the dissipation energy estimate for $(u,\tau)$ which is useful to
prove large time behaviour. For any $l\in N^{+}$, we get initial time decay
rate $\ln^{-l}(e+t)$ for $(u,\tau)$ in $L^{2}$ by the Fourier splitting
method, see [35, 26]. By virtue of the time weighted energy estimate and the
logarithmic decay rate, then we improve the time decay rate to
$(1+t)^{-\frac{1}{2}}$.
Our main results can be stated as follows:
###### Theorem 1.1 (Global well-posedness in Sobolev space).
Let $d=2~{}and~{}s>2$. Assume that $a>0$, $\mu>0$ and
$\kappa=\min\\{a,\mu\\}$. Let $(u,\tau)$ be a strong solution of (1.13) with
the initial data $(u_{0},\tau_{0})\in H^{s}$. Then there exists some
sufficiently small constant c such that if
(1.14) $\displaystyle\|\nabla u_{0}\|_{L^{2}}\leq
c\kappa,~{}~{}\|\tau_{0}\|_{H^{1}}\leq c(a\mu)^{\frac{1}{2}}\kappa,$
and
(1.15) $\displaystyle\|\Gamma_{0}\|_{L^{\infty}}\leq
ca\mu,~{}~{}~{}~{}\|\tau_{0}\|^{2}_{H^{1}}\leq\frac{c^{2}a\kappa(\mu+1)\mu}{\ln(C+\|(u_{0},\tau_{0})\|^{2}_{H^{s}})},~{}~{}~{}~{}\|\tau_{0}\|_{H^{1}}\leq
c^{2}\lambda,$
where
$\lambda=\min\\{a^{\frac{1}{2}},a^{\frac{3}{2}}\mu,(a\mu)^{\frac{3}{2}},a,\mu,a\mu^{\frac{5}{2}},\mu^{\frac{3}{2}}\\}$,
then the system (1.13) admits a unique global strong solution $(u,\tau)\in
C([0,\infty);H^{s})$.
###### Remark 1.2.
Let $\phi_{0}(x)=A(x_{2}e^{-|x|^{2}},-x_{1}e^{-|x|^{2}})^{T}$ and
$\varphi_{0}(x)=Ae^{-|x|^{2}}Id$. Consider
$u_{0}=\varepsilon\phi_{0}(\varepsilon x)$ and
$\tau_{0}=\varepsilon^{2}\varphi_{0}(\varepsilon x)$, then we can verify that
$div~{}u_{0}=0$. We infer that $\|u_{0}\|_{L^{2}}=\|\phi_{0}\|_{L^{2}}$ and
$\|\tau_{0}\|_{L^{2}}=\varepsilon\|\varphi_{0}\|_{L^{2}}$. Moreover, we deduce
that $\|u_{0}\|_{\dot{H}^{s}}=\varepsilon^{s}\|\phi_{0}\|_{\dot{H}^{s}}$ and
$\|\tau_{0}\|_{\dot{H}^{s}}=\varepsilon^{s+1}\|\varphi_{0}\|_{\dot{H}^{s}}$
for any $\varepsilon>0$. Finally, we can construct large initial data in
$H^{s}$ which satisfies (1.14) and (1.15) by taking $A$ sufficiently large and
$\varepsilon$ small enough.
###### Remark 1.3.
For any $a$ and $\mu$, the system (1.13) reduces to the well-known Euler
equation by taking $\tau=0$. In this case, the parameters $a$ and $\mu$ in
Theorem 1.1 can be regarded as infinity, which means that our results cover
the global existence for the 2-D Euler equation in Sobolev spaces $H^{s}$.
###### Remark 1.4.
Notice that equations (1.13) contain more solutions than equations (1.9). In
the Corollary 3.6, we establish the connection between the solutions
$(u,\tau)$ of (1.13) constructed in Theorem 1.1 and the solutions $(u,\psi)$
of (1.9).
###### Theorem 1.5 (Global well-posedness in critical Besov space).
Let $d=2$. Assume that $a>0$, $\mu>0$ and
$\beta=\min\\{a^{\frac{1}{8}},a^{2}\\}$. Let $(u,\tau)$ be a strong solution
of (1.13) with the initial data $(u_{0},\tau_{0})\in(H^{1}\cap
B^{1}_{\infty,1})\times(H^{1}\cap B^{0}_{\infty,1})$. Then there exists some
sufficiently small constant c such that if
(1.16) $\displaystyle\|(\nabla u_{0},\tau_{0})\|_{B^{0}_{\infty,1}}\leq
c\beta,$
and
(1.17) $\displaystyle\|\tau_{0}\|_{L^{4}}\leq
c\beta\min\\{\mu^{\frac{1}{2}},1\\},~{}~{}H_{0}(\|\tau_{0}\|_{B^{0}_{\infty,1}}+\|\tau_{0}\|_{L^{4}})\leq
c\beta\min\\{\mu^{2}\beta,\mu,a\mu,\beta\\},$
where
$H_{0}=\|(u_{0},\tau_{0})\|^{2}_{H^{1}}e^{\frac{6}{a\mu}+\frac{6}{a\mu}\|\tau_{0}\|^{2}_{L^{\infty}}+3\mu^{-2}\|\tau_{0}\|^{2}_{L^{2}}}$,
then the system (1.13) admits a global strong solution $(u,\tau)\in
C\big{(}[0,\infty);(H^{1}\cap B^{1}_{\infty,1})\times(H^{1}\cap
B^{0}_{\infty,1})\big{)}$.
###### Remark 1.6.
Let $h(x)=\mathop{\sum}\limits_{k\geq
1}^{N}\frac{1}{2^{\frac{3}{2}k}k^{\frac{1}{2}}}h_{k}(x)$ with $h_{k}(x)$ given
by the Fourier transform $\hat{h}_{k}(\xi)=i\varphi(2^{-k}\xi)$, where
$\varphi$ is given in Proposition 2.1. Let
$\phi_{0}(x)=(x_{2}e^{-|x|^{2}},-x_{1}e^{-|x|^{2}})^{T}$ and
$\varphi_{0}(x)=h(x)Id$. Set $\varepsilon\in(0,1)$ and positive integer $N$
such that $\mathop{\sum}\limits_{k\geq
1}^{N}\frac{1}{k}\approx\varepsilon^{-11}\|\varphi\|^{-2}_{L^{2}}$. Consider
$u_{0}=\varepsilon^{-\frac{1}{2}}\phi_{0}(\varepsilon x)$ and
$\tau_{0}=\varepsilon^{10}\varphi_{0}(x)$, then we can verify that
$div~{}u_{0}=0$. We infer that
$\|u_{0}\|_{H^{1}}=\varepsilon^{-\frac{3}{2}}\|\phi_{0}\|_{L^{2}}+\varepsilon^{-\frac{1}{2}}\|\phi_{0}\|_{\dot{H}^{1}}$
and $\|\tau_{0}\|_{L^{2}}=\mathop{\sum}\limits_{k\geq
1}^{N}\frac{\varepsilon^{10}}{2^{3k}k}\|h_{k}(x)\|^{2}_{L^{2}}\lesssim\varepsilon^{10}$.
Moreover, we obtain
$\|\tau_{0}\|^{2}_{\dot{H}^{1}}=\varepsilon^{10}\|\varphi_{0}\|^{2}_{\dot{B}^{1}_{2,2}}=\mathop{\sum}\limits_{k\geq
1}^{N}\frac{\varepsilon^{10}}{2^{k}k}\|h_{k}(x)\|^{2}_{L^{2}}=\mathop{\sum}\limits_{k\geq
1}^{N}\frac{\varepsilon^{10}}{k}\|\varphi\|^{2}_{L^{2}}\approx\varepsilon^{-1}$.
Furthermore, we deduce that
$\|u_{0}\|_{B^{0}_{\infty,1}}\approx\varepsilon^{-\frac{1}{2}}\|\phi_{0}\|_{B^{0}_{\infty,1}}$,
$\|\nabla
u_{0}\|_{B^{0}_{\infty,1}}\leq\varepsilon^{\frac{1}{2}}\|\phi_{0}\|_{B^{1}_{\infty,1}}$
and $\|\tau_{0}\|_{B^{0}_{\infty,1}}\leq\mathop{\sum}\limits_{k\geq
1}^{N}2^{-\frac{1}{2}k}\|\varphi\|_{L^{1}}\varepsilon^{10}\lesssim\varepsilon^{10}$.
Finally, we can construct large initial data in $(H^{1}\cap
B^{1}_{\infty,1})\times(H^{1}\cap B^{0}_{\infty,1})$ by taking $\varepsilon$
small enough. It should be underlined that the initial data
$\|u_{0}\|_{H^{1}\cap B^{0}_{\infty,1}}$ and $\|\tau_{0}\|_{H^{1}}$ is large.
###### Remark 1.7.
For any $a$ and $\mu$, the system (1.13) reduces to the well-known Euler
equation by taking $\tau=0$. In this case, the parameters $a$ and $\mu$ in
Theorem 1.5 can be regarded as infinity, which means that our results cover
the global existence for the 2-D Euler equation in critical Besov space
$B^{1}_{\infty,1}$ [1].
###### Theorem 1.8 (Large time behaviour).
Let $(u,\tau)$ be a strong solution of (1.4) with the initial data
$(u_{0},\tau_{0})$ under the condition in Theorem 5.1. In addition, if
$(u_{0},\tau_{0})\in L^{1}$, then there exists $C>0$ such that for every $t>0$
we have
(1.18) $\displaystyle\|(u,\tau)\|_{H^{1}}\leq C(1+t)^{-\frac{1}{2}}.$
###### Remark 1.9.
Notice that Theorem 5.1 don’t provide any information for the global solution
of (1.4) in $L^{\infty}([0,\infty);H^{s})$ with some large initial data.
However, by virtue of the Fourier splitting method and the time weighted
energy estimate, we can prove the large time behaviour by taking full
advantage of the $H^{1}$ energy estimation (5.2) and the low-frequency
assumption $(u_{0},\tau_{0})\in L^{1}$. The proof does not involve the higher
derivative, which is useful in studying large time behaviour of global
solutions with some large initial data.
###### Remark 1.10.
The conclusions in Theorem 1.8 and Theorems 1.1, 1.5 reveal the essential
difference between (1.4) and (1.13). More precisely, the solutions $(u,\tau)$
of (1.9) with $\nu=0$ decay in $H^{1}$, while the solutions $u$ of (1.13) are
bounded in $H^{1}$. Moreover, $u$ conserve in $L^{2}$ whenever $\tau=0$. Such
observation reflects the obstacle of global approximation in $H^{s}$ between
(1.4) and (1.13).
The paper is organized as follows. In Section 2 we introduce some notations
and give some preliminaries which will be used in the sequel. In Section 3 we
prove that the 2-D co-rotation Oldroyd-B type model admits a unique global
strong solution in Sobolev space. As a corollary, we prove the global
existence of the Hooke models near equilibrium. In Section 4 we prove that the
2-D co-rotation Oldroyd-B type model admits a global strong solution in
critical Besov space. In Section 5 we study the $H^{1}$ decay of global
solutions to the general Oldroyd-B type model for $d=2$ by virtue of the
Fourier spiltting method.
## 2 Preliminaries
In this section we introduce some notations and useful lemmas which will be
used in the sequel.
Let
$\psi_{\infty}(q)=\frac{e^{-\mathcal{U}(q)}}{\int_{\mathbb{R}^{d}}e^{-\mathcal{U}(q)}dq}$.
For $p\geq 1$, we denote by $\mathcal{L}^{p}$ the space
$\mathcal{L}^{p}=\big{\\{}\psi\big{|}\|\psi\|^{p}_{\mathcal{L}^{p}}=\int_{\mathbb{R}^{d}}\psi_{\infty}|\psi|^{p}dq<\infty\big{\\}}.$
We will use the notation $L^{p}_{x}(\mathcal{L}^{p^{\prime}})$ to denote
$L^{p}(\mathbb{R}^{d};\mathcal{L}^{p^{\prime}}):$
$L^{p}_{x}(\mathcal{L}^{p^{\prime}})=\big{\\{}\psi\big{|}\|\psi\|_{L^{p}_{x}(\mathcal{L}^{p^{\prime}})}=(\int_{\mathbb{R}^{d}}(\int_{\mathbb{R}^{d}}\psi_{\infty}|\psi|^{p^{\prime}}dq)^{\frac{p}{p^{\prime}}}dx)^{\frac{1}{p}}<\infty\big{\\}}.$
We now recall the Littlewood-Paley decomposition theory and and Besov spaces.
###### Proposition 2.1.
[1] Let $\mathcal{C}$ be the annulus
$\\{\xi\in\mathbb{R}^{d}:\frac{3}{4}\leq|\xi|\leq\frac{8}{3}\\}$. There exist
radial functions $\chi$ and $\varphi$, valued in the interval $[0,1]$,
belonging respectively to $\mathcal{D}(B(0,\frac{4}{3}))$ and
$\mathcal{D}(\mathcal{C})$, and such that
$\forall\xi\in\mathbb{R}^{d},\ \chi(\xi)+\sum_{j\geq 0}\varphi(2^{-j}\xi)=1,$
$\forall\xi\in\mathbb{R}^{d}\backslash\\{0\\},\
\sum_{j\in\mathbb{Z}}\varphi(2^{-j}\xi)=1,$ $|j-j^{\prime}|\geq
2\Rightarrow\mathrm{Supp}\ \varphi(2^{-j}\cdot)\cap\mathrm{Supp}\
\varphi(2^{-j^{\prime}}\cdot)=\emptyset,$ $j\geq 1\Rightarrow\mathrm{Supp}\
\chi(\cdot)\cap\mathrm{Supp}\ \varphi(2^{-j}\cdot)=\emptyset.$
The set $\widetilde{\mathcal{C}}=B(0,\frac{2}{3})+\mathcal{C}$ is an annulus,
and we have
$|j-j^{\prime}|\geq 5\Rightarrow 2^{j}\mathcal{C}\cap
2^{j^{\prime}}\widetilde{\mathcal{C}}=\emptyset.$
Further, we have
$\forall\xi\in\mathbb{R}^{d},\ \frac{1}{2}\leq\chi^{2}(\xi)+\sum_{j\geq
0}\varphi^{2}(2^{-j}\xi)\leq 1,$
$\forall\xi\in\mathbb{R}^{d}\backslash\\{0\\},\
\frac{1}{2}\leq\sum_{j\in\mathbb{Z}}\varphi^{2}(2^{-j}\xi)\leq 1.$
$\mathcal{F}$ represents the Fourier transform and its inverse is denoted by
$\mathcal{F}^{-1}$. Let $u$ be a tempered distribution in
$\mathcal{S}^{\prime}(\mathbb{R}^{d})$. For all $j\in\mathbb{Z}$, define
$\Delta_{j}u=0\,\ \text{if}\,\
j\leq-2,\quad\Delta_{-1}u=\mathcal{F}^{-1}(\chi\mathcal{F}u),\quad\Delta_{j}u=\mathcal{F}^{-1}(\varphi(2^{-j}\cdot)\mathcal{F}u)\,\
\text{if}\,\ j\geq 0,\quad S_{j}u=\sum_{j^{\prime}<j}\Delta_{j^{\prime}}u.$
Then the Littlewood-Paley decomposition is given as follows:
$u=\sum_{j\in\mathbb{Z}}\Delta_{j}u\quad\text{in}\
\mathcal{S}^{\prime}(\mathbb{R}^{d}).$
Let $s\in\mathbb{R},\ 1\leq p,r\leq\infty.$ The nonhomogeneous Besov space
$B^{s}_{p,r}$ and $B^{s}_{p,r}(\mathcal{L}^{p^{\prime}})$ is defined by
$B^{s}_{p,r}=\\{u\in
S^{\prime}:\|u\|_{B^{s}_{p,r}}=\Big{\|}(2^{js}\|\Delta_{j}u\|_{L^{p}})_{j}\Big{\|}_{l^{r}(\mathbb{Z})}<\infty\\},$
$B^{s}_{p,r}(\mathcal{L}^{p^{\prime}})=\\{\phi\in
S^{\prime}:\|\phi\|_{B^{s}_{p,r}(\mathcal{L}^{p^{\prime}})}=\Big{\|}(2^{js}\|\Delta_{j}\phi\|_{L_{x}^{p}(\mathcal{L}^{p^{\prime}})})_{j}\Big{\|}_{l^{r}(\mathbb{Z})}<\infty\\}.$
The transport-diffusion equation is given as follows:
(2.1) $\left\\{\begin{array}[]{l}f_{t}+v\cdot\nabla f-\nu\Delta f=g,\
div~{}v=0,\\\ f(0,x)=f_{0}(x),\\\ x\in\mathbb{R}^{d},\ t>0.\end{array}\right.$
###### Lemma 2.2.
[1] Let $1\leq p_{1}\leq p\leq\infty,\ 1\leq r\leq\infty\
s\geq-1-d\min(\frac{1}{p_{1}},\frac{1}{p^{\prime}}).$ There exists a constant
$C$ and $1\leq\rho_{1}\leq\rho\leq\infty$ such that for all solutions $f\in
L^{\infty}([0,T];B^{s}_{p,r})$ of (2.1) with initial data $f_{0}$ in
$B^{s}_{p,r}$, and $g$ in
$\tilde{L}^{\rho_{1}}([0,T);B^{s-2+\frac{2}{\rho_{1}}}_{p,r})$, we have
(2.2)
$\displaystyle\nu^{\frac{1}{\rho}}\|f(t)\|_{\tilde{L}^{\rho}_{T}B^{s+\frac{2}{\rho}}_{p,r}}\leq$
$\displaystyle Ce^{C(1+\nu T)^{\frac{1}{\rho}}V_{p_{1}}(T)}\Big{(}(1+\nu
T)^{\frac{1}{\rho}}\|f_{0}\|_{B^{s}_{p,r}}$ $\displaystyle+(1+\nu
T)^{1+\frac{1}{\rho}-\frac{1}{\rho_{1}}}\nu^{\frac{1}{\rho_{1}}-1}\|g\|_{\tilde{L}^{\rho_{1}}_{T}B^{s-2+\frac{2}{\rho_{1}}}_{p,r}}\Big{)},$
with
$V^{\prime}_{p_{1}}(t)=\left\\{\begin{array}[]{ll}\|\nabla
v\|_{B^{\frac{d}{p_{1}}}_{p_{1},r}},&\text{if}\ s<1+\frac{d}{p_{1}},\\\
\|\nabla v\|_{B^{s-1}_{p_{1},r}},&\text{if}\ s>1+\frac{1}{p_{1}}\ \text{or}\
(s=1+\frac{1}{p_{1}},\ r=1).\\\ \end{array}\right.$
The following refined estimate in Besov spaces with index $0$ is crucial to
estimate $\Gamma$.
###### Lemma 2.3.
[1] Assume that $v$ is divergence-free and that $f$ satisfies (2.1) with
$\nu=0$. There exists a constant $C$, depending only on d, such that for all
$1\leq p,r\leq\infty$ and $t\in[0,T]$, we have
(2.3) $\displaystyle\|f\|_{\tilde{L}^{\infty}_{t}(B^{0}_{p,r})}\leq
C(\|f_{0}\|_{B^{0}_{p,r}}+\|g\|_{L^{1}_{t}(B^{0}_{p,r})})(1+V(t))$
with $V(t)=C\int_{0}^{t}\|\nabla v\|_{L^{\infty}}ds$.
We have the following product laws:
###### Lemma 2.4.
[1] For any $\epsilon>0$, there exists $C>0$ such that
$\|uv\|_{B^{0}_{\infty,1}}\leq
C(\|u\|_{L^{\infty}}\|v\|_{B^{0}_{\infty,1}}+\|u\|_{B^{0}_{\infty,1}}\|v\|_{B^{\epsilon}_{\infty,\infty}}).$
We introduce the following lemma to describes the action of the heat equation.
###### Lemma 2.5.
[1] Let $\mathcal{C}$ be an annulus. Positive constants $c$ and $C$ exist such
that for any $p\in[1,+\infty]$ and any couple $(t,\lambda)$ of positive real
numbers, we have
(2.4) $\displaystyle
Supp\hat{u}\subset\lambda\mathcal{C}\Rightarrow\|e^{t\Delta}u\|_{L^{p}}\leq
Ce^{-ct\lambda^{2}}\|u\|_{L^{p}}.$
The following commutator lemma is useful to estimate $\Gamma$.
###### Lemma 2.6.
[9, 12, 16] Let $div~{}u=0$ and $R=\Delta^{-1}curl~{}div$. Then we have
(1) There exists a constant $C$ such that
(2.5) $\displaystyle\|R\tau\|_{B^{0}_{\infty,\infty}}\leq
C\|\tau\|_{L^{\infty}}.$
(2) For every $(p,r)\in[2,\infty)\times[1,\infty]$, there exists a constant
$C=C(p,r)$ such that
(2.6) $\displaystyle\|R,u\cdot\nabla]\tau\|_{B^{0}_{p,r}}\leq C\|\nabla
u\|_{L^{p}}(\|\tau\|_{B^{0}_{\infty,r}}+\|\tau\|_{L^{p}}).$
(3) For every $(r,p)\in[1,\infty]\times(1,\infty)$ and $\varepsilon>0$, there
exists a constant $C=C(r,\varepsilon)$ such that
(2.7) $\displaystyle\|[R,u\cdot\nabla]\tau\|_{B^{0}_{\infty,r}}\leq
C(\|\omega\|_{L^{\infty}}+\|\omega\|_{L^{p}})(\|\tau\|_{B^{\varepsilon}_{\infty,r}}+\|\tau\|_{L^{p}}).$
The following lemma is the Gagliardo-Nirenberg inequality of Sobolev type.
###### Lemma 2.7.
[32] Let $d\geq 2,~{}p\in[2,+\infty)$ and $0\leq s,s_{1}\leq s_{2}$, then
there exists a constant $C$ such that
$\|\Lambda^{s}f\|_{L^{p}}\leq
C\|\Lambda^{s_{1}}f\|^{1-\theta}_{L^{2}}\|\Lambda^{s_{2}}f\|^{\theta}_{L^{2}},$
where $0\leq\theta\leq 1$ and $\theta$ satisfy
$s+d(\frac{1}{2}-\frac{1}{p})=s_{1}(1-\theta)+\theta s_{2}.$
Note that we require that $0<\theta<1$, $0\leq s_{1}\leq s$, when $p=\infty$.
We introduce a commutator lemma.
###### Lemma 2.8.
[31] Let $s\geq 1$, $p,p_{1},p_{4}\in(1,\infty)$ and
$\frac{1}{p}=\frac{1}{p_{1}}+\frac{1}{p_{2}}=\frac{1}{p_{3}}+\frac{1}{p_{4}}$,
then we have
(2.8) $\displaystyle\|[\Lambda^{s},f]g\|_{L^{p}}\leq
C(\|\Lambda^{s}f\|_{L^{p_{1}}}\|g\|_{L^{p_{2}}}+\|\nabla
f\|_{L^{p_{3}}}\|\Lambda^{s-1}g\|_{L^{p_{4}}}),$
and
(2.9) $\displaystyle\|[\Lambda^{s},f]g\|_{L^{p}(\mathcal{L}^{2})}\leq
C(\|\Lambda^{s}f\|_{L^{p_{1}}}\|g\|_{L^{p_{2}}(\mathcal{L}^{2})}+\|\nabla
f\|_{L^{p_{3}}}\|\Lambda^{s-1}g\|_{L^{p_{4}}(\mathcal{L}^{2})}).$
The following lemma will be useful in the proof of the global existence for
the Hooke models.
###### Lemma 2.9.
[17] Assume $g\in H^{s}(\mathcal{L}^{2})$ with
$\int_{\mathbb{R}^{d}}g\psi_{\infty}dq=0$, then there exists a constant $C$
such that
(2.10)
$\displaystyle\|\nabla_{q}\mathcal{U}g\|_{H^{s}(\mathcal{L}^{2})}+\|qg\|_{H^{s}(\mathcal{L}^{2})}\leq
C\|\nabla_{q}g\|_{H^{s}(\mathcal{L}^{2})},$
and
(2.11)
$\displaystyle\|q\nabla_{q}\mathcal{U}g\|_{H^{s}(\mathcal{L}^{2})}+\||q|^{2}g\|_{{}_{H^{s}(\mathcal{L}^{2})}}\leq
C\|\langle q\rangle\nabla_{q}g\|_{H^{s}(\mathcal{L}^{2})}.$
Moreover,
(2.12)
$\displaystyle\|q\nabla_{q}\mathcal{U}\nabla^{\alpha}_{\beta}g\|_{L^{2}(\mathcal{L}^{2})}+\||q|^{2}\nabla^{\alpha}_{\beta}g\|_{L^{2}(\mathcal{L}^{2})}\leq
C(\|\langle
q\rangle\nabla_{q}\nabla^{\alpha}_{\beta}g\|_{L^{2}(\mathcal{L}^{2})}+\|\nabla^{\alpha}_{\beta}g\|_{L^{2}(\mathcal{L}^{2})}).$
The following lemma is about Calderon-Zygmund operator.
###### Lemma 2.10.
[1, 9] (1) For any $a\in[1,\infty)$ and $b\in[1,\infty]$, there exists
positive constant $C$ such that
(2.13) $\displaystyle\|\Delta_{-1}\nabla v\|_{L^{\infty}}\leq
C\min\\{\|\Omega\|_{L^{a}},\|v\|_{L^{b}}\\}.$
(2) For all $s\in\mathbb{R}$ and $1\leq p,r\leq\infty$, there exists a
constant $C^{\prime}$ such that
(2.14) $\displaystyle\|(Id-\Delta_{-1})Rf\|_{B^{s}_{p,r}}\leq
C^{\prime}\|f\|_{B^{s}_{p,r}}.$
From Lemma 2.10, we immediately infer the following estimate:
###### Lemma 2.11.
[1, 9] There exists positive constant $C$ such that
(2.15) $\displaystyle\|u\|_{L^{\infty}}\leq
C\big{(}\|u\|_{L^{2}}+\|\Omega\|_{L^{\infty}}\big{)}.$
We introduce a interpolation inequality.
###### Lemma 2.12.
Let $s>\frac{d}{2}$. Then there exist $C>0$ such that
$\displaystyle\|u\|_{L^{\infty}}\leq\|u\|_{B^{0}_{\infty,1}}\leq
C\|u\|_{B^{0}_{\infty,\infty}}\ln(e+\|u\|_{H^{s}})+C.$
###### Proof.
According to the Littlewood-Paley decomposition theory, we have
$\displaystyle\|u\|_{L^{\infty}}\leq\|u\|_{B^{0}_{\infty,1}}=\Sigma_{-1\leq
j\leq N}\|\Delta_{j}u\|_{L^{\infty}}+\Sigma_{j\geq
N}\|\Delta_{j}u\|_{L^{\infty}},$
for integer $N>0$ which will be chosen later on. There exist $C>0$ such that
$\displaystyle\Sigma_{-1\leq j\leq N}\|\Delta_{j}u\|_{L^{\infty}}\leq
NC\|u\|_{B^{0}_{\infty,\infty}},$
and
$\displaystyle\Sigma_{j\geq N}\|\Delta_{j}u\|_{L^{\infty}}\leq
C2^{-N(s-\frac{d}{2})}\|u\|_{H^{s}}.$
Consider $N=[\frac{\ln(e+\|u\|_{H^{s}})}{s-\frac{d}{2}}]+1$, then we complete
the proof of Lemma 2.12. ∎
## 3 Global solutions for co-rotation case in Sobolev space
In this section, we are concerned with global solutions to the co-rotation
Oldroyd-B type model in Sobolev space. We divide it into three steps to prove
Theorem 1.1.
### 3.1. Energy estimate
From now on, we derive the energy estimate which is useful to prove global
existence. We prove conservation laws and boundness for (1.13) in the
following propositions.
###### Proposition 3.1.
Set $p\in[2,\infty]$. Suppose $(u,\tau)$ is a smooth solution to $(\ref{eq2})$
with $\tau_{0}$ in $L^{p}$. Then we obtain
(3.1) $\displaystyle\|\tau\|_{L^{p}}\leq\|\tau_{0}\|_{L^{p}}e^{-at},$
###### Proof.
Let $\tilde{\tau}^{ij}=\tau^{ij}e^{at}$, we infer from $(\ref{eq2})_{2}$ that
(3.2)
$\displaystyle\partial_{t}\tilde{\tau}^{ij}+u\cdot\nabla\tilde{\tau}^{ij}+Q(\Omega^{ik},\tilde{\tau}^{kj})=\Delta\tilde{\tau}^{ij}.$
Applying inner product with $\tilde{\tau}^{ij}|\tilde{\tau}|^{p-2}$ to (3.2)
and summing up $i,j$, we get
(3.3)
$\displaystyle\frac{1}{p}\frac{d}{dt}\|\tilde{\tau}\|^{p}_{L^{p}}=\Sigma^{2}_{i,j=1}\int_{\mathbb{R}^{2}}\tilde{\tau}^{ij}|\tilde{\tau}|^{p-2}\Delta\tilde{\tau}^{ij}dx.$
Notice that
(3.4)
$\displaystyle\int_{\mathbb{R}^{2}}\tilde{\tau}^{ij}|\tilde{\tau}|^{p-2}\Delta\tilde{\tau}^{ij}dx$
$\displaystyle=-\int_{\mathbb{R}^{2}}\nabla^{k}\tilde{\tau}^{ij}|\tilde{\tau}|^{p-2}\nabla^{k}\tilde{\tau}^{ij}dx-\int_{\mathbb{R}^{2}}\tau^{ij}\tilde{\tau}^{ij}\nabla^{k}\tilde{\tau}^{ij}|\tilde{\tau}|^{p-4}\nabla^{k}\tilde{\tau}^{ij}dx$
$\displaystyle=-\int_{\mathbb{R}^{2}}(\nabla^{k}\tilde{\tau}^{ij})^{2}|\tilde{\tau}|^{p-2}dx-\frac{1}{4}\int_{\mathbb{R}^{2}}(\nabla^{k}(\tilde{\tau}^{ij})^{2})^{2}|\tilde{\tau}|^{p-4}dx.$
According to (3.3) and (3.4), we obtain
(3.5) $\displaystyle\frac{1}{p}\frac{d}{dt}\|\tilde{\tau}\|^{p}_{L^{p}}\leq
0,$
which implies that
(3.6) $\displaystyle\|\tilde{\tau}\|_{L^{p}}\leq\|\tau_{0}\|_{L^{p}}.$
We thus complete the proof of Proposition 3.1. ∎
###### Proposition 3.2.
Let $(u,\tau)\in C([0,T];H^{s})\times C([0,T];H^{s})\cap L^{2}([0,T];H^{s+1})$
be a solution for (1.13). Then we obtain
(3.7) $\displaystyle\|u\|_{L^{2}}\leq\|u_{0}\|_{L^{2}}+(4\mu
a)^{-\frac{1}{2}}\|\tau_{0}\|_{L^{2}},~{}~{}~{}~{}e^{2at}\|\tau\|^{2}_{L^{2}}+2\mu\int_{0}^{t}e^{2as}\|\nabla\tau\|^{2}_{L^{2}}ds=\|\tau_{0}\|^{2}_{L^{2}},$
Moreover, for any $t\in[0,T]$, if $\|\nabla u(t)\|_{L^{2}}\leq 4c\kappa$ with
$\kappa=\min\\{a,\mu\\}$ and sufficiently small constant c, then we obtain
(3.8) $\displaystyle
e^{at}\|\tau\|^{2}_{H^{1}}+\mu\int_{0}^{t}e^{as}\|\nabla\tau\|^{2}_{H^{1}}ds\leq\|\tau_{0}\|^{2}_{H^{1}},$
and
(3.9) $\displaystyle\|\nabla u\|_{L^{2}}\leq\|\nabla u_{0}\|_{L^{2}}+(\mu
a)^{-\frac{1}{2}}\|\tau_{0}\|_{H^{1}}.$
###### Proof.
Firstly, we consider the $L^{2}$ estimate of $(u,\tau)$. Taking the $L^{2}$
inner product with $\tau$ to $\eqref{eq2}_{2}$, we obtain
(3.10)
$\displaystyle\frac{1}{2}\frac{d}{dt}\|\tau\|^{2}_{L^{2}}+a\|\tau\|^{2}_{L^{2}}+\mu\|\nabla\tau\|^{2}_{L^{2}}=0,$
which implies that
(3.11) $\displaystyle
e^{2at}\|\tau\|^{2}_{L^{2}}+2\mu\int_{0}^{t}e^{2as}\|\nabla\tau\|^{2}_{L^{2}}ds=\|\tau_{0}\|^{2}_{L^{2}}.$
Taking the $L^{2}$ inner product with $u$ to $\eqref{eq2}_{1}$, we obtain
(3.12) $\displaystyle\frac{d}{dt}\|u\|_{L^{2}}\leq\|\nabla\tau\|_{L^{2}}.$
Integrating (3.12) over $[0,t]$ with $s$, we deduce that
$\displaystyle\|u\|_{L^{2}}$
$\displaystyle\leq\|u_{0}\|_{L^{2}}+\int_{0}^{t}\|\nabla\tau\|_{L^{2}}ds$
$\displaystyle\leq\|u_{0}\|_{L^{2}}+(\int_{0}^{t}e^{2as}\|\nabla\tau\|^{2}_{L^{2}}ds)^{\frac{1}{2}}(\int_{0}^{t}e^{-2as}ds)^{\frac{1}{2}}$
$\displaystyle\leq\|u_{0}\|_{L^{2}}+(4\mu
a)^{-\frac{1}{2}}\|\tau_{0}\|_{L^{2}}.$
Notice that $(u,\tau)$ are bound in $L^{2}$. Taking the $L^{2}$ inner product
with $\Delta\tau$ to $\eqref{eq2}_{2}$ and using Lemma 2.7, we have
(3.13)
$\displaystyle\frac{1}{2}\frac{d}{dt}\|\nabla\tau\|^{2}_{L^{2}}+a\|\nabla\tau\|^{2}_{L^{2}}+\mu\|\nabla^{2}\tau\|^{2}_{L^{2}}=-\langle
u\cdot\nabla\tau,\Delta\tau\rangle+\langle Q(\Omega,\tau),\Delta\tau\rangle$
$\displaystyle\leq C\|\nabla
u\|_{L^{2}}\|\nabla\tau\|_{L^{2}}\|\nabla^{2}\tau\|_{L^{2}}+C\|\Omega\|_{L^{2}}(\|\tau\|_{L^{2}}+\|\nabla^{2}\tau\|_{L^{2}})\|\Delta\tau\|_{L^{2}}.$
Adding up $\eqref{eq3}$ and $\eqref{ineq5}$, we infer that
(3.14)
$\displaystyle\frac{1}{2}\frac{d}{dt}\|\tau\|^{2}_{H^{1}}+a\|\tau\|^{2}_{H^{1}}+\mu\|\nabla\tau\|^{2}_{H^{1}}\leq
C(\|\nabla
u\|_{L^{2}}\|\nabla\tau\|_{L^{2}}+\|\Omega\|_{L^{2}}\|\tau\|_{H^{2}})\|\nabla^{2}\tau\|_{L^{2}}.$
Assume that $\|\nabla u\|_{L^{2}}\leq 4c\min\\{a,\mu\\}$ with sufficiently
small constant c, then we obtain
(3.15)
$\displaystyle\frac{d}{dt}\|\tau\|^{2}_{H^{1}}+a\|\tau\|^{2}_{H^{1}}+\mu\|\nabla\tau\|^{2}_{H^{1}}\leq
0,$
which implies that
(3.16) $\displaystyle
e^{at}\|\tau\|^{2}_{H^{1}}+\mu\int_{0}^{t}e^{as}\|\nabla\tau\|^{2}_{H^{1}}ds\leq\|\tau_{0}\|^{2}_{H^{1}}.$
We now consider the $L^{2}$ estimate of $\nabla u$. Taking the $L^{2}$ inner
product with $\Delta u$ to $\eqref{eq2}_{2}$, we can deduce that $\langle
u\cdot\nabla u,\Delta u\rangle=0$ with $d=2$ and $div~{}u=0$. Then we have
(3.17) $\displaystyle\frac{d}{dt}\|\nabla
u\|_{L^{2}}\leq\|\nabla^{2}\tau\|_{L^{2}}.$
Integrating (3.17) over $[0,t]$ with $s$ and using (3.16), we deduce that
$\displaystyle\|\nabla u\|_{L^{2}}\leq\|\nabla
u_{0}\|_{L^{2}}+\int_{0}^{t}\|\nabla^{2}\tau\|_{L^{2}}ds\leq\|\nabla
u_{0}\|_{L^{2}}+(\mu a)^{-\frac{1}{2}}\|\tau_{0}\|_{H^{1}}.$
Combining (3.11) and (3.16), we complete the proof of Proposition 3.2. ∎
###### Corollary 3.3.
Under the conditions in Proposition 3.2, we have the following estimates:
(3.21)
$\displaystyle\left\\{\begin{array}[]{l}\int_{0}^{t}\|\tau\|_{H^{1}}ds\leq
a^{-\frac{1}{2}}\|\tau_{0}\|_{H^{1}},\\\
\int_{0}^{t}\|\tau\|_{H^{2}}ds\leq(a^{-\frac{1}{2}}+(\mu
a)^{-\frac{1}{2}})\|\tau_{0}\|_{H^{1}},\\\
\int_{0}^{t}\|\tau\|^{2}_{H^{2}}ds\leq(a^{-1}+\mu^{-1})\|\tau_{0}\|^{2}_{H^{1}}.\end{array}\right.$
###### Proof.
Using (3.15) and (3.16), we can deduce that
$\displaystyle\int_{0}^{t}\|\tau\|_{H^{1}}ds\leq(\int_{0}^{t}e^{-as}ds)^{\frac{1}{2}}\|\tau_{0}\|_{H^{1}}\leq
a^{-\frac{1}{2}}\|\tau_{0}\|_{H^{1}},$
and
$\displaystyle\int_{0}^{t}\|\tau\|^{2}_{H^{2}}ds\leq\int_{0}^{t}\|\tau\|^{2}_{H^{1}}ds+\int_{0}^{t}\|\nabla^{2}\tau\|^{2}_{L^{2}}ds\leq(a^{-1}+\mu^{-1})\|\tau_{0}\|^{2}_{H^{1}}.$
Similarly, we have
$\displaystyle\int_{0}^{t}\|\tau\|_{H^{2}}ds\leq\int_{0}^{t}\|\tau\|_{L^{2}}ds+(\int_{0}^{t}e^{-as}ds)^{\frac{1}{2}}(\int_{0}^{t}e^{as}\|\nabla\tau\|^{2}_{H^{1}}ds)^{\frac{1}{2}}\leq(a^{-\frac{1}{2}}+(\mu
a)^{-\frac{1}{2}})\|\tau_{0}\|_{H^{1}}.$
∎
### 3.2. B-K-M Criterion
In Proposition 3.2, it’s clear that $u$ is merely bound in $L^{2}$ while
$\tau$ decays exponentially in $L^{2}$. Then we can state a blow-up criterion
for (1.13) which depends on $\|\Omega\|_{L^{\infty}}$ in the following
proposition.
###### Proposition 3.4.
Assume that $d=2$, $s>2$, $a>0$ and $\mu>0$. Let $(u,\tau)$ be a strong
solution of (1.13) with the initial data $(u_{0},\tau_{0})\in H^{s}$. If
$T^{\ast}$ is the maximal existence time, then the solution blows up in finite
time $T^{\ast}<\infty$ if and only if
(3.22)
$\displaystyle\int_{0}^{T^{\ast}}\|\Omega(t)\|^{2}_{L^{\infty}}dt=\infty.$
###### Proof.
Applying $\Lambda^{s}$ to $\eqref{eq2}_{1}$, taking the $L^{2}$ inner product
with $\Lambda^{s}u$ and using Lemma 2.8, we have
(3.23) $\displaystyle\frac{1}{2}\frac{d}{dt}\|\Lambda^{s}u\|^{2}_{L^{2}}$
$\displaystyle=-\langle\Lambda^{s}(u\cdot\nabla u),\Lambda^{s}u\rangle+\langle
div\Lambda^{s}\tau,\Lambda^{s}u\rangle$ $\displaystyle\leq\|\nabla
u\|_{L^{\infty}}\|\Lambda^{s}u\|^{2}_{L^{2}}+C_{\mu}\|\Lambda^{s}u\|^{2}_{L^{2}}+\frac{\mu}{4}\|\nabla\Lambda^{s}\tau\|^{2}_{L^{2}},$
where $C_{\mu}=\frac{C}{\mu}$. Applying $\Lambda^{s}$ to $\eqref{eq2}_{2}$,
taking the $L^{2}$ inner product with $\Lambda^{s}\tau$ and using Lemmas
2.7-2.8, we obtain
(3.24)
$\displaystyle\frac{1}{2}\frac{d}{dt}\|\Lambda^{s}\tau\|^{2}_{L^{2}}+a\|\Lambda^{s}\tau\|^{2}_{L^{2}}+\mu\|\nabla\Lambda^{s}\tau\|^{2}_{L^{2}}=-\langle[\Lambda^{s},u]\tau,\nabla\Lambda^{s}\tau\rangle+\langle\Lambda^{s}Q(\Omega,\tau),\Lambda^{s}\tau\rangle$
$\displaystyle\leq
C_{\mu}\|\tau\|^{2}_{L^{\infty}}\|\Lambda^{s}u\|^{2}_{L^{2}}+C_{\mu}\|u\|^{2}_{L^{\infty}}\|\Lambda^{s}\tau\|^{2}_{L^{2}}+C_{\mu}\|\Lambda^{s-1}Q(\Omega,\tau)\|^{2}_{L^{2}}+\frac{\mu}{4}\|\nabla\Lambda^{s}\tau\|^{2}_{L^{2}}$
$\displaystyle\leq
C_{\mu}\|\tau\|^{2}_{L^{\infty}}\|\Lambda^{s}u\|^{2}_{L^{2}}+C_{\mu}(\|u\|^{2}_{L^{\infty}}+\|\Omega\|^{2}_{L^{\infty}})\|\tau\|^{2}_{H^{s}}+\frac{\mu}{4}\|\nabla\Lambda^{s}\tau\|^{2}_{L^{2}}.$
We infer from $\eqref{eq3}$, $\eqref{ineq4}$, $\eqref{ineq9'}$ and
$\eqref{ineq10}$ that
(3.25)
$\displaystyle\frac{1}{2}\frac{d}{dt}\|(u,\tau)\|^{2}_{H^{s}}\leq(\|\nabla
u\|_{L^{\infty}}+C_{\mu}\|\tau\|^{2}_{L^{\infty}}+C_{\mu})\|u\|^{2}_{H^{s}}+C_{\mu}(\|u\|^{2}_{L^{\infty}}+\|\Omega\|^{2}_{L^{\infty}})\|\tau\|^{2}_{H^{s}},$
which implies that
(3.26) $\displaystyle\|(u,\tau)\|^{2}_{H^{s}}$
$\displaystyle\leq\|(u_{0},\tau_{0})\|^{2}_{H^{s}}+\int_{0}^{t}(2\|\nabla
u\|_{L^{\infty}}+C_{\mu}\|\tau\|^{2}_{L^{\infty}}+C_{\mu})\|u\|^{2}_{H^{s}}ds$
$\displaystyle+\int_{0}^{t}C_{\mu}(\|u\|^{2}_{L^{\infty}}+\|\Omega\|^{2}_{L^{\infty}})\|\tau\|^{2}_{H^{s}}ds.$
Applying Gronwall’s inequality, we deduce that
(3.27) $\displaystyle
C+\|(u,\tau)\|^{2}_{H^{s}}\leq(C+\|(u_{0},\tau_{0})\|^{2}_{H^{s}})e^{\int_{0}^{t}\|\nabla
u\|_{L^{\infty}}+C_{\mu}(\|\tau\|^{2}_{L^{\infty}}+\|u\|^{2}_{L^{\infty}}+\|\Omega\|^{2}_{L^{\infty}}+1)ds}.$
According to Lemma 2.12, we have
(3.28) $\displaystyle\|\nabla u\|_{L^{\infty}}\leq C\|\nabla
u\|_{B^{0}_{\infty,\infty}}\ln(C+\|u\|^{2}_{H^{s}})+C.$
By virtue of $\eqref{ineq13}$ and $\eqref{ineq14}$, we deduce that
(3.29) $\displaystyle\ln(C+\|(u,\tau)\|^{2}_{H^{s}})$
$\displaystyle\leq\ln(C+\|(u_{0},\tau_{0})\|^{2}_{H^{s}})+\int_{0}^{t}C_{\mu}(\|\tau\|^{2}_{L^{\infty}}+\|u\|^{2}_{L^{\infty}}+\|\Omega\|^{2}_{L^{\infty}}+1)ds$
$\displaystyle+Ct+C\int_{0}^{t}\|\nabla
u\|_{B^{0}_{\infty,\infty}}\ln(C+\|(u,\tau)\|^{2}_{H^{s}})ds.$
Applying Gronwall’s inequality to $\eqref{ineq15}$, we infer that
(3.30) $\displaystyle\ln(C+\|(u,\tau)\|^{2}_{H^{s}})$
$\displaystyle\leq(\ln(C+\|(u_{0},\tau_{0})\|^{2}_{H^{s}})+Ct)e^{\int_{0}^{t}\|\nabla
u\|_{B^{0}_{\infty,\infty}}ds}$ $\displaystyle+e^{\int_{0}^{t}\|\nabla
u\|_{B^{0}_{\infty,\infty}}ds}\int_{0}^{t}C_{\mu}(\|\tau\|^{2}_{L^{\infty}}+\|u\|^{2}_{L^{\infty}}+\|\Omega\|^{2}_{L^{\infty}}+1)ds.$
Assume that $T^{\ast}<\infty$ and
$\int_{0}^{T^{\ast}}\|\Omega(t)\|^{2}_{L^{\infty}}dt<\infty$. By virtue of
Lemmas 2.10, 2.11, we obtain
$\|\nabla u\|_{B^{0}_{\infty,\infty}}+\|u\|_{L^{\infty}}\leq
C(\|u\|_{L^{2}}+\|\Omega(t)\|_{L^{\infty}}).$
According to $\eqref{ineq16}$ and Propositions 3.1, 3.2, then we have
$(u,\tau)\in L^{\infty}([0,T^{\ast});H^{s})$, which contradicts the assumption
that $T^{\ast}$ is the maximal existence time. ∎
###### Remark 3.5.
We can deduce that
$\displaystyle\|[\Lambda^{s},u]\tau\|^{2}_{L^{2}}$ $\displaystyle\leq
C_{\mu}\|\tau\|^{2}_{L^{\infty}}\|\Lambda^{s}u\|^{2}_{L^{2}}+C_{\mu}\|\nabla
u\|^{2}_{L^{4}}\|\Lambda^{s-1}\tau\|^{2}_{L^{4}}$ $\displaystyle\leq
C_{\mu}\|\tau\|^{2}_{L^{\infty}}\|\Lambda^{s}u\|^{2}_{L^{2}}+C_{\mu}\|\Omega\|^{2}_{L^{4}}\|\tau\|^{2}_{H^{s}}$
$\displaystyle\leq
C_{\mu}\|\tau\|^{2}_{L^{\infty}}\|\Lambda^{s}u\|^{2}_{L^{2}}+C_{\mu}(\|\nabla
u\|^{2}_{L^{2}}+\|\Omega\|^{2}_{L^{\infty}})\|\tau\|^{2}_{H^{s}}.$
One can see that $(\ref{ineq16})$ can be rewritten as
(3.31) $\displaystyle\ln(C+\|(u,\tau)\|^{2}_{H^{s}})$
$\displaystyle\leq(\ln(C+\|(u_{0},\tau_{0})\|^{2}_{H^{s}})+Ct)e^{\int_{0}^{t}\|\nabla
u\|_{B^{0}_{\infty,\infty}}ds}$ $\displaystyle+e^{\int_{0}^{t}\|\nabla
u\|_{B^{0}_{\infty,\infty}}ds}\int_{0}^{t}C_{\mu}(\|\tau\|^{2}_{L^{\infty}}+\|\nabla
u\|^{2}_{L^{2}}+\|\Omega\|^{2}_{L^{\infty}}+1)ds,$
which is of significance in the proof of Theorem 1.1.
### 3.3. Global Solutions
#### 3.3.1 The Oldroyd-B type model
The proof of Theorem 1.1 :
The proof of the local well-posedness of (1.13) is standard. We thus omit it
and present the result here. For any $T<T^{\ast}$, we have
$u\in C([0,T];H^{s}),~{}~{}~{}~{}\tau\in C([0,T];H^{s})\cap
L^{2}([0,T];H^{s+1}).$
To get the global existence, the key point is to obtain the uniform estimate
of $\|\Omega\|_{L^{\infty}}$. However, due to the linear term $\nabla\times
div\tau$, it is difficult to get the global estimate of
$\|\Omega\|_{L^{\infty}}$ from the following equation
(3.32) $\displaystyle\frac{d}{dt}\Omega+u\cdot\nabla\Omega=\nabla\times
div\tau.$
Motivated by [9], we can cancel $\nabla\times div\tau$ with the dissipation
term $\Delta\tau$. Define
$\Gamma=\mu\Omega-R\tau,~{}~{}~{}R=\Delta^{-1}curl~{}div.$
Since $RDu=\Omega$, we obtain
(3.33)
$\displaystyle\frac{d}{dt}\Gamma+u\cdot\nabla\Gamma=aR\tau+RQ(\Omega,\tau)+[R,u\cdot\nabla]\tau=\sum_{i=1}^{3}F_{i}.$
Different from [9], there is no damping phenomenon for $\Gamma$ or $\Omega$.
It seems impossible to expect the global existence even in small initial data
case. However, the disappearance of $D(u)$ leads to exponential dissipation
for $\tau$ in $H^{1}$, which is useful to estimate $\Gamma$ in $L^{\infty}$.
Assume that
(3.34) $\displaystyle\|\nabla u(t)\|_{L^{2}}\leq
4c\kappa,~{}~{}~{}~{}\|\Gamma(t)\|_{L^{\infty}}\leq 4ca\mu,$
for any $t\in[0,T]$. By Proposition 3.2 and the condition (1.14), we deduce
that $\|\nabla u(t)\|_{L^{2}}\leq 2c\kappa$ for any $t\in[0,T]$. Then we focus
on $\|\Gamma\|_{L^{\infty}}$. According to (3.33), we obtain
(3.35)
$\displaystyle\|\Gamma\|_{L^{\infty}}\leq\|\Gamma_{0}\|_{L^{\infty}}+\sum_{i=1}^{3}\int_{0}^{t}\|F_{i}\|_{L^{\infty}}ds.$
From Lemma 2.10, we have
(3.36) $\displaystyle\|F_{1}\|_{L^{\infty}}$ $\displaystyle\leq
a\|\Delta_{-1}R\tau\|_{L^{\infty}}+a\|(Id-\Delta_{-1})R\tau\|_{L^{\infty}}$
$\displaystyle\leq Ca\|\tau\|_{L^{2}}+Ca\|\tau\|_{B^{0}_{\infty,1}}$
$\displaystyle\leq Ca\|\tau\|_{H^{2}}.$
Applying Lemmas 2.4, 2.10 and 2.12, we get
(3.37) $\displaystyle\|F_{2}\|_{L^{\infty}}$
$\displaystyle\leq\|\Delta_{-1}RQ(\Omega,\tau)\|_{L^{\infty}}+\|(Id-\Delta_{-1})RQ(\Omega,\tau)\|_{L^{\infty}}$
$\displaystyle\leq
C\|Q(\Omega,\tau)\|_{L^{2}}+C\|Q(\Omega,\tau)\|_{B^{0}_{\infty,1}}$
$\displaystyle\leq C\|\nabla
u\|_{L^{2}}\|\tau\|_{H^{2}}+C\|\Omega\|_{B^{0}_{\infty,1}}\|\tau\|_{H^{2}}$
$\displaystyle\leq C\|\nabla
u\|_{L^{2}}\|\tau\|_{H^{2}}+C\|\Omega\|_{L^{\infty}}\ln(C+\|u\|_{H^{s}})\|\tau\|_{H^{2}}+C\|\tau\|_{H^{2}}.$
From Lemma 2.6, we obtain
(3.38) $\displaystyle\|F_{3}\|_{L^{\infty}}$ $\displaystyle\leq
C(\|\Omega\|_{L^{2}}+\|\Omega\|_{L^{\infty}})\|\tau\|_{H^{2}}$
$\displaystyle\leq C\|\nabla
u\|_{L^{2}}\|\tau\|_{H^{2}}+C_{\mu}\|\Gamma\|_{L^{\infty}}\|\tau\|_{H^{2}}+C_{\mu}\|R\tau\|_{L^{\infty}}\|\tau\|_{H^{2}}$
$\displaystyle\leq C\|\nabla
u\|_{L^{2}}\|\tau\|_{H^{2}}+C_{\mu}\|\Gamma\|_{L^{\infty}}\|\tau\|_{H^{2}}+C_{\mu}\|\tau\|^{2}_{H^{2}}.$
Plugging (3.36)-(3.38) into (3.35), we deduce from (1.14), (3.34) and
Corollary 3.3 that
(3.39) $\displaystyle\|\Gamma\|_{L^{\infty}}$
$\displaystyle\leq\|\Gamma_{0}\|_{L^{\infty}}+C\int_{0}^{t}(1+a)\|\tau\|_{H^{2}}+\|\nabla
u\|_{L^{2}}\|\tau\|_{H^{2}}+C_{\mu}\|\Gamma\|_{L^{\infty}}\|\tau\|_{H^{2}}+C_{\mu}\|\tau\|^{2}_{H^{2}}$
$\displaystyle~{}~{}~{}+C_{\mu}\|\tau\|^{2}_{H^{2}}\ln(C+\|u\|_{H^{s}})+C_{\mu}\|\Gamma\|_{L^{\infty}}\|\tau\|_{H^{2}}\ln(C+\|u\|_{H^{s}})ds$
$\displaystyle\leq\|\Gamma_{0}\|_{L^{\infty}}+C(1+a)(a^{-\frac{1}{2}}+(a\mu)^{-\frac{1}{2}})\|\tau_{0}\|_{H^{1}}+C_{\mu}\int_{0}^{t}\|\tau\|^{2}_{H^{2}}\ln(C+\|u\|_{H^{s}})ds$
$\displaystyle~{}~{}~{}+C_{\mu}\int_{0}^{t}\|\Gamma\|_{L^{\infty}}\|\tau\|_{H^{2}}\ln(C+\|u\|_{H^{s}})ds.$
By (1.15), we get
(3.40)
$\displaystyle\|\Gamma\|_{L^{\infty}}\leq\frac{3}{2}ca\mu+C_{\mu}\int_{0}^{t}\|\tau\|^{2}_{H^{2}}\ln(C+\|u\|_{H^{s}})ds+C_{\mu}\int_{0}^{t}\|\Gamma\|_{L^{\infty}}\|\tau\|_{H^{2}}\ln(C+\|u\|_{H^{s}})ds,$
where we using the condition $\|\tau_{0}\|_{H^{1}}\leq c^{2}\lambda$ with
$\displaystyle\lambda$
$\displaystyle=\min\\{a^{\frac{1}{2}}\mu,a^{\frac{1}{2}},a^{\frac{3}{2}}\mu,(a\mu)^{\frac{3}{2}},(a\mu)^{\frac{1}{2}},a,\mu,a\mu,\mu^{\frac{3}{2}}a,a\mu^{\frac{1}{2}},a\mu^{\frac{5}{2}},\mu^{\frac{3}{2}}\\}$
$\displaystyle=\min\\{a^{\frac{1}{2}},a^{\frac{3}{2}}\mu,(a\mu)^{\frac{3}{2}},a,\mu,a\mu^{\frac{5}{2}},\mu^{\frac{3}{2}}\\}.$
According to Lemma 2.10, we obtain
(3.41) $\displaystyle\|\nabla u\|_{L^{4}}\leq\|\nabla
u\|_{L^{2}}+\|\Omega\|_{L^{\infty}},$
and
(3.42) $\displaystyle\int_{0}^{t}\|\nabla u\|_{B^{0}_{\infty,\infty}}ds$
$\displaystyle\leq C\int_{0}^{t}\|\nabla
u\|_{L^{2}}+\|\Omega\|_{L^{\infty}}ds$
$\displaystyle\leq\frac{a}{8}t+C_{\mu}\int_{0}^{t}\|\tau\|_{H^{2}}ds$
$\displaystyle\leq\frac{a}{8}t+C_{\mu}(a^{-\frac{1}{2}}+(a\mu)^{-\frac{1}{2}})\|\tau_{0}\|_{H^{1}}$
$\displaystyle\leq\frac{a}{8}t+C.$
By (3.31), (3.41) and (3.42), we deduce that
(3.43) $\displaystyle\ln(C+\|(u,\tau)\|^{2}_{H^{s}})$ $\displaystyle\leq
Ce^{\frac{a}{8}t}[\ln(C+\|(u_{0},\tau_{0})\|^{2}_{H^{s}})+t(1+c^{2}k+c^{2}a^{2}+\mu^{-1})+c^{2}a+c^{4}(a+\mu)]$
$\displaystyle\leq
Ce^{\frac{a}{4}t}[\ln(C+\|(u_{0},\tau_{0})\|^{2}_{H^{s}})+(a\mu)^{-1}+a^{-1}+a+\mu]$
$\displaystyle=A_{0}e^{\frac{a}{4}t},$
where
$A_{0}=C[\ln(C+\|(u_{0},\tau_{0})\|^{2}_{H^{s}})+(a\mu)^{-1}+a^{-1}+a+\mu].$
Plugging (3.43) into (3.40), using (1.15) and applying Proposition 3.2, we
obtain
(3.44) $\displaystyle\|\Gamma\|_{L^{\infty}}$
$\displaystyle\leq\frac{3}{2}ca\mu+C_{\mu}\int_{0}^{t}\|\tau\|^{2}_{H^{2}}A_{0}e^{\frac{a}{4}t}ds+C_{\mu}\int_{0}^{t}\|\Gamma\|_{L^{\infty}}\|\tau\|_{H^{2}}A_{0}e^{\frac{a}{4}t}ds$
$\displaystyle\leq\frac{3}{2}ca\mu+C_{\mu}(\mu^{-1}+a^{-1})\|\tau_{0}\|^{2}_{H^{1}}A_{0}+(\mu^{-1}+a^{-1})\|\tau_{0}\|^{2}_{H^{1}}A_{0}$
$\displaystyle\leq
2ca\mu+(C_{\mu}+1)(\mu^{-1}+a^{-1})\|\tau_{0}\|^{2}_{H^{1}}\ln(C+\|(u_{0},\tau_{0})\|^{2}_{H^{s}})$
$\displaystyle\leq 3ca\mu,$
which implies that
$\displaystyle\|\Gamma\|_{L^{\infty}([0,T^{\ast});L^{\infty})}\leq 3ca\mu.$
According to Propositions 3.2 and 3.4, we can deduce that $T^{\ast}=+\infty$.
We thus complete the proof of Theorem 1.1. $\Box$
#### 3.3.2 The Hooke model
Taking $\psi=(g+1)\psi_{\infty}$ with $\psi_{\infty}=e^{-\frac{1}{2}|q|^{2}}$
and $\nu=0,~{}a=2,~{}\mu=1$ in $\eqref{eq1}$, we obtain
(3.47) $\displaystyle\left\\{\begin{array}[]{ll}\partial_{t}u+u\cdot\nabla
u+\nabla P=div~{}\tau,~{}~{}~{}~{}div~{}u=0,\\\\[4.30554pt]
\partial_{t}g+u\cdot\nabla
g+\frac{1}{\psi_{\infty}}\nabla_{q}\cdot\big{(}\Omega
qg\psi_{\infty}\big{)}-\Delta
g=\frac{1}{\psi_{\infty}}\nabla_{q}\cdot\big{(}\nabla_{q}g\psi_{\infty}\big{)}.\\\\[4.30554pt]
\end{array}\right.$
Let $\langle q\rangle=\sqrt{1+q^{2}}$. Global well-posedness for the Hooke
model (3.47) is considered in the following corollary. Firstly, we establish a
new estimate of $\langle q\rangle^{n}\nabla^{m}_{q}g$ in
$L^{\infty}(\mathcal{L}^{2})$. Then, we obtain the smallness of
$\|\Omega\|_{L^{\infty}}$ under the condition (3.48) by virtue of the
corresponding Ordroyd-B model (1.13). Finally, we derive the global estimate
for $\|u\|_{H^{s}}+\|\langle q\rangle
g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla_{q}g\|^{2}_{H^{s-1}(\mathcal{L}^{2})}$, which implies the
global existence of the Hooke model considered.
###### Corollary 3.6.
Let $(u,g)$ be a strong solution of (3.47) with the initial data
$(u_{0},g_{0})\in H^{s}\times H^{s}(\mathcal{L}^{2})$ and $(\langle q\rangle
g_{0},\langle q\rangle\nabla_{q}g_{0},\langle q\rangle\nabla^{2}_{q}g_{0})\in
L^{\infty}(\mathcal{L}^{2})$. Let
$\int_{\mathbb{R}^{2}}g_{0}\psi_{\infty}dq=0$ and $(u_{0},\tau_{0})$ satisfies
the conditions in Theorem 1.1. In addiction, if
(3.48)
$\displaystyle\|g_{0}\|_{B^{0}_{\infty,1}(\mathcal{L}^{2})}+\|(u_{0},\tau_{0})\|_{L^{2}}\|g_{0}\|_{L^{\infty}(\mathcal{L}^{2})}<\varepsilon,$
for some positive $\varepsilon$ sufficiently small, then the Hooke model
(3.47) admits a unique global strong solution $(u,g)\in
C([0,\infty);H^{s}\times H^{s}(\mathcal{L}^{2}))$.
To begin with, we establish a new estimate of $\|\langle
q\rangle^{n}\nabla^{m}_{q}g\|_{L^{\infty}(\mathcal{L}^{2})}$ in the following
lemma.
###### Lemma 3.7.
Let $(u,g)$ be a strong solution of (3.47) with the initial data
$(u_{0},g_{0})\in H^{s}\times H^{s}(\mathcal{L}^{2})$ and $(\langle q\rangle
g_{0},\langle q\rangle\nabla_{q}g_{0},\langle q\rangle\nabla^{2}_{q}g_{0})\in
L^{\infty}(\mathcal{L}^{2})$. Let
$\int_{\mathbb{R}^{2}}g_{0}\psi_{\infty}dq=0$. There exists positive constant
$C$ such that
$\displaystyle\|\langle q\rangle g\|_{L^{\infty}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla_{q}g\|_{L^{\infty}(\mathcal{L}^{2})}+\|\langle
q\rangle^{2}\nabla_{q}g\|_{L^{\infty}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla^{2}_{q}g\|_{L^{\infty}(\mathcal{L}^{2})}\leq Ce^{Ct}.$
###### Proof.
Firstly, we have
$\|g\|_{L^{\infty}(\mathcal{L}^{2})}\leq\|g_{0}\|_{L^{\infty}(\mathcal{L}^{2})}$
by noticing that the term $\frac{1}{\psi_{\infty}}\nabla_{q}\cdot\big{(}\Omega
qg\psi_{\infty}\big{)}$ would vanish since the antisymmetry of $\Omega$ and
$\int_{\mathbb{R}^{2}}g\psi_{\infty}dq=0$. More details can refer to [30].
For $\|\langle q\rangle g\|_{L^{\infty}(\mathcal{L}^{2})}$, taking
$\mathcal{L}^{2}$ inner product with $\langle q\rangle^{2}g$ to
$(\ref{gequ})$, we infer that
(3.49) $\displaystyle\frac{1}{2}\frac{d}{dt}\|\langle q\rangle
g\|^{2}_{\mathcal{L}^{2}}+\frac{1}{2}u\cdot\nabla\|\langle q\rangle
g\|^{2}_{\mathcal{L}^{2}}-\frac{1}{2}\Delta\|\langle q\rangle
g\|^{2}_{\mathcal{L}^{2}}+\frac{1}{2}\|\langle q\rangle\nabla
g\|^{2}_{\mathcal{L}^{2}}+\|\langle
q\rangle\nabla_{q}g\|^{2}_{\mathcal{L}^{2}}$
$\displaystyle=-\int_{\mathbb{R}^{2}}\frac{1}{\psi_{\infty}}\nabla_{q}\cdot\big{(}\Omega
qg\psi_{\infty}\big{)}\langle
q\rangle^{2}g\psi_{\infty}dq+\int_{\mathbb{R}^{2}}g^{2}\psi_{\infty}dq-\int_{\mathbb{R}^{2}}q^{2}g^{2}\psi_{\infty}dq.$
Since
$\displaystyle\int_{\mathbb{R}^{2}}\frac{1}{\psi_{\infty}}\nabla_{q}\cdot\big{(}\Omega
qg\psi_{\infty}\big{)}\langle q\rangle^{2}g\psi_{\infty}dq$
$\displaystyle=-\int_{\mathbb{R}^{2}}\Omega
qg\psi_{\infty}\cdot\big{(}2qg+\langle q\rangle^{2}\nabla_{q}g\big{)}dq$
$\displaystyle=-\frac{1}{2}\int_{\mathbb{R}^{2}}\Omega q\psi_{\infty}\langle
q\rangle^{2}\nabla_{q}g^{2}dq$
$\displaystyle=-\frac{1}{2}\int_{\mathbb{R}^{2}}\Omega^{ik}\big{(}\delta^{i}_{k}\langle
q\rangle^{2}+q_{i}q_{k}\big{)}g^{2}\psi_{\infty}dq$ $\displaystyle=0,$
we deduce that for any $p\geq 2$,
(3.50) $\displaystyle\frac{1}{p}\frac{d}{dt}\|\langle q\rangle
g\|^{p}_{L^{p}(\mathcal{L}^{2})}\leq C\|\langle q\rangle
g\|^{p}_{L^{p}(\mathcal{L}^{2})},$
which implies $\|\langle q\rangle g\|_{L^{\infty}(\mathcal{L}^{2})}\leq
Ce^{Ct}$. Similarly, for $\|\langle
q\rangle^{n}\nabla_{q}g\|_{L^{\infty}(\mathcal{L}^{2})}$, $n\in\\{1,2\\}$, we
have
$\displaystyle\int_{\mathbb{R}^{2}}\nabla_{q}\big{(}\frac{1}{\psi_{\infty}}\nabla_{q}\cdot\big{(}\Omega
qg\psi_{\infty}\big{)}\big{)}\langle q\rangle^{n}\nabla_{q}g\psi_{\infty}dq$
$\displaystyle=\int_{\mathbb{R}^{2}}\nabla^{l}_{q}\big{(}\Omega^{ik}q_{k}\nabla^{k}_{q}g-\Omega^{ik}q_{k}q_{i}g\big{)}\langle
q\rangle^{n}\nabla^{l}_{q}g\psi_{\infty}dq$
$\displaystyle=\int_{\mathbb{R}^{2}}\big{(}\Omega^{ik}\delta^{l}_{k}\nabla^{i}_{q}g+\Omega^{ik}q_{k}\nabla^{il}_{q}g-\Omega^{ik}(\delta^{l}_{k}q_{i}+\delta^{l}_{i}q_{k})g-\Omega^{ik}q_{k}q_{i}\nabla^{l}_{q}g\big{)}\langle
q\rangle^{n}\nabla^{l}_{q}g\psi_{\infty}dq$ $\displaystyle=0.$
We deduce from Lemma 2.9 that
$\displaystyle\int_{\mathbb{R}^{2}}\nabla_{q}(\frac{1}{\psi_{\infty}}\nabla_{q}\cdot(\nabla_{q}g\psi_{\infty}))\langle
q\rangle^{2n}\nabla_{q}g\psi_{\infty}dq$
$\displaystyle=\int_{\mathbb{R}^{2}}[\frac{1}{\psi_{\infty}}\nabla_{q}\cdot(\nabla_{q}\nabla_{q}g\psi_{\infty})-\nabla_{q}g]\langle
q\rangle^{2n}\nabla_{q}g\psi_{\infty}dq$
$\displaystyle=\int_{\mathbb{R}^{2}}\nabla_{q}\cdot(\nabla_{q}\nabla_{q}g\psi_{\infty})\langle
q\rangle^{2n}\nabla_{q}gdq-\|\langle
q\rangle^{n}\nabla_{q}g\|^{2}_{\mathcal{L}^{2}}$
$\displaystyle=-2n\int_{\mathbb{R}^{2}}\nabla_{q}\nabla_{q}g\psi_{\infty}\langle
q\rangle^{2(n-1)}q\nabla_{q}gdq-\|\langle
q\rangle^{n}\nabla^{2}_{q}g\|^{2}_{\mathcal{L}^{2}}-\|\langle
q\rangle^{n}\nabla_{q}g\|^{2}_{\mathcal{L}^{2}}$ $\displaystyle\leq C\|\langle
q\rangle^{n}\nabla_{q}g\|^{2}_{\mathcal{L}^{2}}-\|\langle
q\rangle^{n}\nabla^{2}_{q}g\|^{2}_{\mathcal{L}^{2}}.$
Taking $\mathcal{L}^{2}$ inner product with $\langle q\rangle^{2n}\nabla_{q}g$
to $(\ref{gequ})$, we infer that
(3.51) $\displaystyle\frac{1}{2}\frac{d}{dt}\|\langle
q\rangle^{n}\nabla_{q}g\|^{2}_{\mathcal{L}^{2}}+\frac{1}{2}u\cdot\nabla\|\langle
q\rangle^{n}\nabla_{q}g\|^{2}_{\mathcal{L}^{2}}-\frac{1}{2}\Delta\|\langle
q\rangle^{n}\nabla_{q}g\|^{2}_{\mathcal{L}^{2}}\leq C\|\langle
q\rangle^{n}\nabla_{q}g\|^{2}_{\mathcal{L}^{2}}.$
Therefore we deduce that for any $p\geq 2$,
(3.52) $\displaystyle\frac{1}{p}\frac{d}{dt}\|\langle
q\rangle^{n}\nabla_{q}g\|^{p}_{L^{p}(\mathcal{L}^{2})}\leq C\|\langle
q\rangle^{n}\nabla_{q}g\|^{p}_{L^{p}(\mathcal{L}^{2})},$
which implies $\|\langle
q\rangle^{n}\nabla_{q}g\|_{L^{\infty}(\mathcal{L}^{2})}\leq Ce^{Ct}$. For
$\|\langle q\rangle\nabla^{2}_{q}g\|_{L^{\infty}(\mathcal{L}^{2})}$, we have
$\displaystyle\int_{\mathbb{R}^{2}}\nabla^{2}_{q}\big{(}\frac{1}{\psi_{\infty}}\nabla_{q}\cdot\big{(}\Omega
qg\psi_{\infty}\big{)}\big{)}\langle
q\rangle^{2}\nabla^{2}_{q}g\psi_{\infty}dq$
$\displaystyle=\int_{\mathbb{R}^{2}}\nabla^{lm}_{q}\big{(}\Omega^{ik}q_{k}\nabla^{i}_{q}g-\Omega^{ik}q_{k}q_{i}g\big{)}\langle
q\rangle^{2}\nabla^{lm}_{q}g\psi_{\infty}dq$
$\displaystyle=\int_{\mathbb{R}^{2}}\nabla^{m}_{q}\big{(}\Omega^{il}\nabla^{i}_{q}g+\Omega^{ik}q_{k}\nabla^{il}_{q}g-\big{(}\Omega^{il}q_{i}+\Omega^{lk}q_{k})g-\Omega^{ik}q_{k}q_{i}\nabla^{l}_{q}g\big{)}\langle
q\rangle^{2}\nabla^{lm}_{q}g\psi_{\infty}dq$
$\displaystyle=\int_{\mathbb{R}^{2}}\big{(}\Omega^{il}\nabla^{im}_{q}g+\Omega^{im}\nabla^{il}_{q}g-\Omega^{ik}q_{k}\nabla^{ilm}_{q}g-\Omega^{ik}q_{k}q_{i}\nabla^{lm}_{q}g-\big{(}\Omega^{ml}+\Omega^{lm}\big{)}g$
$\displaystyle-\big{(}\Omega^{il}q_{i}+\Omega^{lk}q_{k}\big{)}\nabla^{m}_{q}g-\big{(}\Omega^{im}q_{i}+\Omega^{mk}q_{k}\big{)}\nabla^{l}_{q}g\big{)}\langle
q\rangle^{2}\nabla^{lm}_{q}g\psi_{\infty}dq$ $\displaystyle=0,$
and
$\displaystyle\int_{\mathbb{R}^{2}}\big{[}\nabla^{2}_{q}\big{(}\frac{1}{\psi_{\infty}}\nabla_{q}\cdot(\nabla_{q}g\psi_{\infty})\big{)}\big{]}\langle
q\rangle^{2}\nabla^{2}_{q}g\psi_{\infty}dq$
$\displaystyle=\int_{\mathbb{R}^{2}}\big{[}\frac{1}{\psi_{\infty}}\nabla_{q}\cdot(\nabla_{q}\nabla^{2}_{q}g\psi_{\infty})-\nabla^{2}_{q}g\big{]}\langle
q\rangle^{2}\nabla^{2}_{q}g\psi_{\infty}dq$
$\displaystyle=\|\nabla_{q}^{2}g\|^{2}_{\mathcal{L}^{2}}-\|\langle
q\rangle\nabla_{q}\nabla^{2}_{q}g\|^{2}_{\mathcal{L}^{2}}-2\|\langle
q\rangle\nabla^{2}_{q}g\|^{2}_{\mathcal{L}^{2}}.$
Then we deduce that for any $p\geq 2$,
(3.53) $\displaystyle\frac{1}{p}\frac{d}{dt}\|\langle
q\rangle\nabla_{q}^{2}g\|^{p}_{L^{p}(\mathcal{L}^{2})}\leq C\|\langle
q\rangle\nabla_{q}^{2}g\|^{p}_{L^{p}(\mathcal{L}^{2})},$
which implies $\|\langle
q\rangle\nabla_{q}^{2}g\|_{L^{\infty}(\mathcal{L}^{2})}\leq Ce^{Ct}$. We thus
complete the proof of Lemma 3.7. ∎
By virtue of Theorem 1.1, we obtain the global existence of $u$. The following
lemma is about the global existence of $g$.
###### Lemma 3.8.
Let $(u,\tau)$ be a strong solution of (1.13) considered in Theorem 1.1. Then
for any $\sigma>0$, there exist positive constant $\varepsilon$ small enough
such that if
$\|g_{0}\|_{B^{0}_{\infty,1}(\mathcal{L}^{2})}+\|(u_{0},\tau_{0})\|_{L^{2}}\|g_{0}\|_{L^{\infty}(\mathcal{L}^{2})}<\varepsilon,$
then $\|\Omega\|_{L^{\infty}}<\sigma$.
###### Proof.
By virtue of Lemma 2.10, we deduce that
(3.54)
$\displaystyle\|\Omega\|_{L^{\infty}}\leq\|\Gamma\|_{L^{\infty}}+\|R\tau\|_{L^{\infty}}\leq\|\Gamma\|_{L^{\infty}}+\|\tau\|_{B^{0}_{\infty,1}}.$
It’s follows from the proofs of Theorem 1.1 that
$\displaystyle\|\Gamma\|_{L^{\infty}}\leq C\varepsilon<\frac{\sigma}{2},$
provided $\varepsilon<\frac{\sigma}{2C}$. We need to prove
$\|\tau\|_{B^{0}_{\infty,1}}<\frac{\sigma}{2}$. Applying $\Delta_{j}$ to
$(\eqref{eq2})_{2}$ with $j\geq-1$ yields
(3.55)
$\displaystyle\partial_{t}\Delta_{j}\tau+\Delta_{j}\tau+\Delta_{j}Q(\Omega,\tau)=\Delta\Delta_{j}\tau-\Delta_{j}(u\cdot\nabla\tau).$
Therefore
(3.56)
$\displaystyle\Delta_{j}\tau=e^{-t(1+\Delta)}\Delta_{j}\tau_{0}-\int_{0}^{t}e^{-(t-s)}e^{(t-s)\Delta}\big{(}\Delta_{j}Q(\Omega,\tau)+\Delta_{j}(u\cdot\nabla\tau)\big{)}ds.$
According to Lemma 2.5, we infer that
(3.57)
$\displaystyle\|\int_{0}^{t}e^{-(t-s)}e^{(t-s)\Delta}\Delta_{j}Q(\Omega,\tau)ds\|_{L^{\infty}}$
$\displaystyle\leq\int_{0}^{t}e^{-2^{2j}(t-s)}\|\Delta_{j}Q(\Omega,\tau)\|_{L^{\infty}}ds$
$\displaystyle\leq\int_{0}^{t}e^{-2^{2j}(t-s)}2^{j}\|\Delta_{j}Q(\Omega,\tau)\|_{L^{2}}ds$
$\displaystyle\leq\int_{0}^{t}e^{-2^{2j}(t-s)}2^{\frac{3}{2}j}\|\nabla
u\|_{L^{2}}\|\tau\|_{L^{\infty}}ds.$
Similarly, by virtue of $div~{}u=0$, we have
(3.58)
$\displaystyle\|\int_{0}^{t}e^{-(t-s)}e^{(t-s)\Delta}\Delta_{j}(u\cdot\nabla\tau)ds\|_{L^{\infty}}$
$\displaystyle\leq\int_{0}^{t}e^{-2^{2j}(t-s)}2^{j}\|\Delta_{j}(u\otimes\tau)\|_{L^{\infty}}ds$
$\displaystyle\leq\int_{0}^{t}e^{-2^{2j}(t-s)}2^{\frac{3}{2}j}\|u\otimes\tau\|_{L^{4}}ds$
$\displaystyle\leq\int_{0}^{t}e^{-2^{2j}(t-s)}2^{\frac{3}{2}j}\|u\|_{H^{1}}\|\tau\|_{L^{\infty}}ds.$
Notice that
(3.59) $\displaystyle\|\tau\|_{L^{\infty}}\leq
C\|g\|_{L^{\infty}(\mathcal{L}^{2})}\leq
C\|g_{0}\|_{L^{\infty}(\mathcal{L}^{2})},$
and
(3.60) $\displaystyle\sup_{t\geq
0}\Sigma_{j\in\mathcal{N}}\int_{0}^{t}e^{-2^{2j}(t-s)}2^{\frac{3}{2}j}ds\leq
C.$
According to (3.55)-(3.60) and Proposition 3.2, we deduce that
(3.61) $\displaystyle\|\tau\|_{B^{0}_{\infty,1}}$
$\displaystyle\leq\|\tau_{0}\|_{B^{0}_{\infty,1}}+C(\|\nabla
u\|_{L^{\infty}[0,T);L^{2})}+\|(u_{0},\tau_{0})\|_{L^{2}})\|g_{0}\|_{L^{\infty}(\mathcal{L}^{2})}$
$\displaystyle\leq C(\varepsilon+\varepsilon^{2})<\frac{\sigma}{2}.$
We thus complete the proof of Lemma 3.8. ∎
The proof of Corollary 3.6 :
By virtue of the lemmas above, we finally obtain the global well-posedness of
$(u,g)$. Taking $L^{2}(\mathcal{L}^{2})$ inner product with $g$ to (3.47), we
deduce that
(3.62) $\displaystyle\frac{d}{dt}\|g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\nabla
g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\nabla_{q}g\|^{2}_{L^{2}(\mathcal{L}^{2})}=0.$
Applying $\Lambda^{s}$ to (3.47) and taking $L^{2}(\mathcal{L}^{2})$ inner
product with $\Lambda^{s}g$, we obtain
(3.63)
$\displaystyle\frac{d}{dt}\|\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\Lambda^{s+1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\nabla_{q}\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}$
$\displaystyle\leq
C_{\varepsilon}\|u\|^{2}_{L^{\infty}}\|\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+C_{\varepsilon}\|u\|^{2}_{H^{s}}\|g\|^{2}_{L^{\infty}(\mathcal{L}^{2})}+\varepsilon\|\Lambda^{s+1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}$
$\displaystyle+\int_{\mathbb{R}^{2}\times\mathbb{R}^{2}}\Lambda^{s}\big{(}\frac{1}{\psi_{\infty}}\nabla_{q}\cdot\big{(}\Omega
qg\psi_{\infty}\big{)}\big{)}\Lambda^{s}g\psi_{\infty}dqdx.$
According to Lemma 2.8, we deduce that
$\displaystyle\int_{\mathbb{R}^{2}\times\mathbb{R}^{2}}\Lambda^{s}\big{(}\frac{1}{\psi_{\infty}}\nabla_{q}\cdot\big{(}\Omega
qg\psi_{\infty}\big{)}\big{)}\Lambda^{s}g\psi_{\infty}dqdx$
$\displaystyle\leq\int_{\mathbb{R}^{2}}\|\Lambda^{s-1}\big{(}\Omega^{ik}q_{i}\nabla^{k}_{q}g+\Omega^{ik}q_{i}q_{k}g\big{)}\|_{L^{2}}\|\Lambda^{s+1}g\|_{L^{2}}\psi_{\infty}dq$
$\displaystyle\leq
C_{\varepsilon}\|\Lambda^{s-1}\big{(}\Omega^{ik}q_{i}\nabla^{k}_{q}g+\Omega^{ik}q_{i}q_{k}g\big{)}\|_{L^{2}(\mathcal{L}^{2})}+\varepsilon\|\Lambda^{s+1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}$
$\displaystyle\leq C_{\varepsilon}\|\Omega\|^{2}_{L^{\infty}}\|\langle
q\rangle\nabla_{q}\Lambda^{s-1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+C_{\varepsilon}\|u\|^{2}_{H^{s}}\|\langle
q\rangle\nabla_{q}g\|^{2}_{L^{\infty}(\mathcal{L}^{2})}+\varepsilon\|\Lambda^{s+1}g\|^{2}_{L^{2}(\mathcal{L}^{2})},$
which implies that
(3.64)
$\displaystyle\frac{d}{dt}\|\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\Lambda^{s+1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\nabla_{q}\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}\leq
C\|u\|^{2}_{L^{\infty}}\|\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}$
$\displaystyle+C\|\Omega\|^{2}_{L^{\infty}}\|\langle
q\rangle\nabla_{q}\Lambda^{s-1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+C\|u\|^{2}_{H^{s}}(\|g\|^{2}_{L^{\infty}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla_{q}g\|^{2}_{L^{\infty}(\mathcal{L}^{2})}).$
The appearance of the term $\|\langle
q\rangle\nabla_{q}g\|^{2}_{H^{s-1}(\mathcal{L}^{2})}$ force us to consider
mixed derivative estimates which have been used in [30] and [17]. Applying
$\Lambda^{m}$ to (3.47) with $m\in\\{0,s\\}$ and taking
$L^{2}(\mathcal{L}^{2})$ inner product with $\langle
q\rangle^{2}\Lambda^{m}g$, we infer
(3.65) $\displaystyle\frac{d}{dt}\|\langle q\rangle
g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\langle q\rangle\nabla
g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla_{q}g\|^{2}_{L^{2}(\mathcal{L}^{2})}\leq
C\|g\|^{2}_{L^{2}(\mathcal{L}^{2})},$
and
(3.66) $\displaystyle\frac{d}{dt}\|\langle
q\rangle\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\langle
q\rangle\Lambda^{s+1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla_{q}\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}\leq
C\|u\|^{2}_{L^{\infty}}\|\langle
q\rangle\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}$
$\displaystyle+C\|\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+C\|\Omega\|^{2}_{L^{\infty}}\|\langle
q\rangle\nabla^{2}_{q}\Lambda^{s-1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+C\|u\|^{2}_{H^{s}}\big{(}\|g\|^{2}_{L^{\infty}(\mathcal{L}^{2})}+\|\langle
q\rangle^{2}\nabla_{q}g\|^{2}_{L^{\infty}(\mathcal{L}^{2})}\big{)}.$
Applying $\nabla_{q}\Lambda^{m}$ to (3.47) with $m\in\\{0,s-1\\}$ and taking
$L^{2}(\mathcal{L}^{2})$ inner product with $\langle
q\rangle^{2}\nabla_{q}\Lambda^{m}g$, we obtain
(3.67) $\displaystyle\frac{d}{dt}\|\langle
q\rangle\nabla_{q}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla_{q}\nabla g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla^{2}_{q}g\|^{2}_{L^{2}(\mathcal{L}^{2})}\leq C\|\langle
q\rangle\nabla_{q}g\|^{2}_{L^{2}(\mathcal{L}^{2})},$
and
(3.68) $\displaystyle\frac{d}{dt}\|\langle
q\rangle\nabla_{q}\Lambda^{s-1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla_{q}\Lambda^{s}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla^{2}_{q}\Lambda^{s-1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}$
$\displaystyle\leq C\|\langle
q\rangle\nabla_{q}\Lambda^{s-1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+C\|u\|^{2}_{L^{\infty}}\|\langle
q\rangle\nabla_{q}\Lambda^{s-1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}$
$\displaystyle+C\|\Omega\|^{2}_{L^{\infty}}\big{(}\|\nabla_{q}\Lambda^{s-1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla^{2}_{q}\Lambda^{s-1}g\|^{2}_{L^{2}(\mathcal{L}^{2})}\big{)}$
$\displaystyle+C\|u\|^{2}_{H^{s}}\big{(}\|g\|^{2}_{L^{\infty}(\mathcal{L}^{2})}+\|\nabla_{q}g\|^{2}_{L^{\infty}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla^{2}_{q}g\|^{2}_{L^{\infty}(\mathcal{L}^{2})}\big{)}.$
Together with Lemma 2.11 and the following estimate
(3.69) $\displaystyle\frac{d}{dt}\|u\|^{2}_{H^{s}}\leq C(\|\nabla
u\|_{L^{\infty}}+1)\|u\|^{2}_{H^{s}}+C\|g\|^{2}_{H^{s+1}(\mathcal{L}^{2})}.$
According to (3.64)-(3.69), Lemma 3.8 and Gronwall’s inequality, we deduce
that
(3.70) $\displaystyle\|u\|_{H^{s}}+\|\langle q\rangle
g\|^{2}_{H^{s}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla_{q}g\|^{2}_{H^{s-1}(\mathcal{L}^{2})}$ $\displaystyle\leq
C\big{(}\|u_{0}\|^{2}_{H^{s}}+\|\langle q\rangle
g_{0}\|^{2}_{H^{s}(\mathcal{L}^{2})}+\|\langle
q\rangle\nabla_{q}g_{0}\|^{2}_{H^{s-1}(\mathcal{L}^{2})}\big{)}e^{e^{t}+\int_{0}^{t}\|u\|^{2}_{L^{\infty}}+\|\nabla
u\|_{L^{\infty}}dt^{\prime}}.$
According to Theorem 1.1, we finish the proof of Corollary 3.6. $\Box$
###### Remark 3.9.
The main difficulty for the global estimates of (3.47) is that once we stop
the growth of regularity in $x$ by $\Delta\psi$, we can not stop the growth of
power $\langle q\rangle$ by $\mathcal{L}\psi$ at the same time. It is worth
mentioning that the estimate of $\|\langle
q\rangle\nabla_{q}g\|^{2}_{H^{s-1}(\mathcal{L}^{2})}$ instead of $\|\langle
q\rangle\nabla_{q}g\|^{2}_{H^{s}(\mathcal{L}^{2})}$ enable us to stop the
growth of power $\langle q\rangle$ caused by the term
$\frac{1}{\psi_{\infty}}\nabla_{q}\cdot\big{(}\Omega qg\psi_{\infty}\big{)}$.
As a result, we obtain the prior estimate of $\|\langle q\rangle
g\|^{2}_{H^{s}(\mathcal{L}^{2})}$ and $\|\langle
q\rangle\nabla_{q}g\|^{2}_{H^{s-1}(\mathcal{L}^{2})}$.
###### Remark 3.10.
The estimate of $\langle q\rangle^{n}\nabla^{m}_{q}g$ in
$L^{\infty}(\mathcal{L}^{2})$ and the smallness of $\|\Omega\|_{L^{\infty}}$
are significant in the proof of Corollary 3.6. The global existence of (3.47)
for arbitrary initial data and the global existence of the non-corotation
Hooke model are interesting problems. We are going to study these problems in
the future.
## 4 Global solutions for co-rotation case in critical Besov space
In this section, we are concerned with global solutions to the co-rotation
Oldroyd-B type model in critical Besov space. We divide it into three steps to
prove Theorem 1.5.
### 4.1. Energy estimates
From now on, we prove the boundness for (1.13) in the following propositions.
###### Proposition 4.1.
Suppose $(u,\tau)$ is a smooth solution to (1.13) with $u_{0}\in H^{1}$ and
$\tau_{0}\in H^{1}\cap L^{\infty}$. Then we obtain
(4.1) $\displaystyle\|\nabla
u\|^{2}_{L^{2}}+\|\nabla\tau\|^{2}_{L^{2}}\leq(\|\nabla
u_{0}\|^{2}_{L^{2}}+\|\nabla\tau_{0}\|^{2}_{L^{2}})e^{\frac{6}{a\mu}+\frac{6}{a\mu}\|\tau_{0}\|^{2}_{L^{\infty}}+3\mu^{-2}\|\tau_{0}\|^{2}_{L^{2}}}.$
Moreover, we get
(4.2) $\displaystyle\|(u,\tau)\|^{2}_{H^{1}}\leq H_{0},$
where
$H_{0}=\|(u_{0},\tau_{0})\|^{2}_{H^{1}}e^{\frac{6}{a\mu}+\frac{6}{a\mu}\|\tau_{0}\|^{2}_{L^{\infty}}+3\mu^{-2}\|\tau_{0}\|^{2}_{L^{2}}}$.
###### Proof.
Taking the $L^{2}$ inner product with $\Delta\tau$ to $\eqref{eq2}_{2}$ and
using Lemma 2.7, we have
(4.3)
$\displaystyle\frac{1}{2}\frac{d}{dt}\|\nabla\tau\|^{2}_{L^{2}}+a\|\nabla\tau\|^{2}_{L^{2}}+\mu\|\nabla^{2}\tau\|^{2}_{L^{2}}$
$\displaystyle=\langle u\cdot\nabla\tau,\Delta\tau\rangle+\langle
Q(\Omega,\tau),\Delta\tau\rangle$ $\displaystyle\leq\|\nabla
u\|_{L^{2}}\|\nabla\tau\|^{2}_{L^{4}}+\|\Omega\|_{L^{2}}\|\tau\|_{L^{\infty}}\|\Delta\tau\|_{L^{2}}$
$\displaystyle\leq\frac{3}{\mu}\|\nabla
u\|^{2}_{L^{2}}(\|\nabla\tau\|^{2}_{L^{2}}+\|\tau\|^{2}_{L^{\infty}})+\frac{2\mu}{3}\|\nabla^{2}\tau\|^{2}_{L^{2}}.$
Consider $\tilde{\tau}^{ij}=\tau^{ij}e^{\frac{a}{2}t}$, we infer from (4.3)
that
(4.4)
$\displaystyle\frac{1}{2}\frac{d}{dt}\|\nabla\tilde{\tau}\|^{2}_{L^{2}}+\mu\|\nabla^{2}\tilde{\tau}\|^{2}_{L^{2}}\leq\frac{3}{\mu}\|\nabla
u\|^{2}_{L^{2}}(\|\nabla\tilde{\tau}\|^{2}_{L^{2}}+\|\tilde{\tau}\|^{2}_{L^{\infty}})+\frac{2\mu}{3}\|\nabla^{2}\tilde{\tau}\|^{2}_{L^{2}}.$
Taking the $L^{2}$ inner product with $\Delta u$ to $\eqref{eq2}_{1}$, we have
(4.5) $\displaystyle\frac{1}{2}\frac{d}{dt}\|\nabla
u\|^{2}_{L^{2}}\leq\frac{3}{\mu}e^{-at}\|\nabla
u\|^{2}_{L^{2}}+\frac{\mu}{3}\|\nabla^{2}\tilde{\tau}\|^{2}_{L^{2}}.$
Combining $(\ref{7ineq3})$ and $(\ref{7ineq4})$, we deduce that
(4.6) $\displaystyle\frac{1}{2}\frac{d}{dt}(\|\nabla
u\|^{2}_{L^{2}}+\|\nabla\tilde{\tau}\|^{2}_{L^{2}})\leq\frac{3}{\mu}\|\nabla
u\|^{2}_{L^{2}}(e^{-at}+\|\nabla\tilde{\tau}\|^{2}_{L^{2}}+\|\tilde{\tau}\|^{2}_{L^{\infty}}).$
Applying Gronwall’s inequality to (4.6) and using propositions 3.1, 3.2, we
obtain
(4.7) $\displaystyle\|\nabla
u\|^{2}_{L^{2}}+\|\nabla\tilde{\tau}\|^{2}_{L^{2}}$
$\displaystyle\leq(\|\nabla
u_{0}\|^{2}_{L^{2}}+\|\nabla\tau_{0}\|^{2}_{L^{2}})e^{\frac{6}{\mu}\int_{0}^{t}e^{-as}+\|\nabla\tilde{\tau}\|^{2}_{L^{2}}+\|\tilde{\tau}\|^{2}_{L^{\infty}}ds}$
$\displaystyle\leq(\|\nabla
u_{0}\|^{2}_{L^{2}}+\|\nabla\tau_{0}\|^{2}_{L^{2}})e^{\frac{6}{a\mu}+\frac{6}{a\mu}\|\tau_{0}\|^{2}_{L^{\infty}}+\frac{6}{\mu}\int_{0}^{t}e^{2as}\|\nabla\tau\|^{2}_{L^{2}}ds}$
$\displaystyle\leq(\|\nabla
u_{0}\|^{2}_{L^{2}}+\|\nabla\tau_{0}\|^{2}_{L^{2}})e^{\frac{6}{a\mu}+\frac{6}{a\mu}\|\tau_{0}\|^{2}_{L^{\infty}}+3\mu^{-2}\|\tau_{0}\|^{2}_{L^{2}}},$
Combining (4.7) and (3.7), we finish the proof of Proposition 4.1. ∎
Then, we consider time integrability of $\tau$. The exponential weight in the
following proposition is the key to estimating $\Gamma$ in $B^{0}_{\infty,1}$.
###### Proposition 4.2.
Suppose $(u,\tau)$ is a smooth solution to (1.13) with $u_{0}\in H^{1}$ and
$\tau_{0}\in H^{1}\cap L^{\infty}$. Then we obtain
(4.8)
$\displaystyle\|e^{\frac{a}{2}s}\tau\|_{L^{2}_{T}B^{\frac{1}{2}}_{\infty,\infty}}\leq
B_{0},$
where
$B_{0}=\mu^{-\frac{1}{2}}\|\tau_{0}\|_{L^{4}}+\mu^{-1}a^{-\frac{1}{2}}H^{\frac{1}{2}}_{0}\|\tau_{0}\|_{L^{\infty}}$.
###### Proof.
Set $\tilde{\tau}^{ij}=\tau^{ij}e^{at}$, we infer from $\eqref{eq2}_{2}$
(4.9)
$\displaystyle\partial_{t}\tilde{\tau}^{ij}+u\cdot\nabla\tilde{\tau}^{ij}+Q(\Omega^{ik},\tilde{\tau}^{kj})=\mu\Delta\tilde{\tau}^{ij}.$
Applying $\Delta_{j}$ to (4.9), we obtain
(4.10)
$\displaystyle\partial_{t}\Delta_{j}\tilde{\tau}^{ij}-\mu\Delta_{j}\Delta\tilde{\tau}^{ij}=-\Delta_{j}div(u\tilde{\tau}^{ij})-\Delta_{j}Q(\Omega^{ik},\tilde{\tau}^{kj}),$
which implies
(4.11)
$\displaystyle\Delta_{j}e^{\frac{a}{2}t}\tau^{ij}=\Delta_{j}\tau^{ij}_{0}e^{\mu
t\Delta-\frac{a}{2}t}-\int_{0}^{t}e^{\mu(t-s)\Delta-\frac{a}{2}t}\big{(}\Delta_{j}div(u\tilde{\tau}^{ij})+\Delta_{j}Q(\Omega^{ik},\tilde{\tau}^{kj})\big{)}ds.$
Applying Minkowski’s inequality and Proposition 3.1, we deduce
(4.12) $\displaystyle(\int_{0}^{t}2^{j}\|\tau^{ij}_{0}e^{-\frac{a}{2}s}e^{\mu
s\Delta}\|^{2}_{L^{\infty}}ds)^{\frac{1}{2}}$
$\displaystyle\leq(\int_{0}^{t}e^{-\mu
2^{2j}s-as}2^{2j}\|\Delta_{j}\tau^{ij}_{0}\|^{2}_{L^{4}}ds)^{\frac{1}{2}}$
$\displaystyle\leq\|\tau_{0}\|^{\frac{1}{2}}_{L^{2}}\|\tau_{0}\|^{\frac{1}{2}}_{L^{\infty}}(\int_{0}^{t}e^{-\mu
2^{2j}s-as}2^{2j}ds)^{\frac{1}{2}}$
$\displaystyle\leq\mu^{-\frac{1}{2}}\|\tau_{0}\|_{L^{4}}.$
By virtue of Minkowski’s inequality and Proposition 4.1, we infer
(4.13)
$\displaystyle(\int_{0}^{t}2^{j}\|\int_{0}^{s}e^{-\frac{a}{2}s}e^{\mu(s-t^{\prime})\Delta}\big{(}\Delta_{j}div(u\tilde{\tau}^{ij})+\Delta_{j}Q(\Omega^{ik},\tilde{\tau}^{kj})\big{)}dt^{\prime}\|^{2}_{L^{\infty}}ds)^{\frac{1}{2}}$
$\displaystyle\leq(\int_{0}^{t}e^{-as}(\int_{0}^{s}2^{\frac{j}{2}}e^{-\mu
2^{2j}(s-t^{\prime})}\big{(}\|\Delta_{j}div(u\tilde{\tau}^{ij})\|_{L^{\infty}}+\|\Delta_{j}Q(\Omega^{ik},\tilde{\tau}^{kj})\|_{L^{\infty}}\big{)}dt^{\prime})^{2}ds)^{\frac{1}{2}}$
$\displaystyle\leq(\int_{0}^{t}e^{-as}(\int_{0}^{s}2^{\frac{j}{2}}e^{-\mu
2^{2j}(s-t^{\prime})}\big{(}2^{\frac{3}{2}j}\|u\|_{L^{4}}\|\tilde{\tau}^{ij}\|_{L^{\infty}}+2^{j}\|\Omega^{ik}\|_{L^{2}}\|\tilde{\tau}^{kj}\|_{L^{\infty}}\big{)}dt^{\prime})^{2}ds)^{\frac{1}{2}}$
$\displaystyle\leq(\int_{0}^{t}e^{-as}(\int_{0}^{s}2^{2j}e^{-\mu
2^{2j}(s-t^{\prime})}\|u\|_{H^{1}}\|\tilde{\tau}\|_{L^{\infty}}dt^{\prime})^{2}ds)^{\frac{1}{2}}$
$\displaystyle\leq
H^{\frac{1}{2}}_{0}\|\tau_{0}\|_{L^{\infty}}(\int_{0}^{t}e^{-as}(\int_{0}^{s}2^{2j}e^{-\mu
2^{2j}(s-t^{\prime})}dt^{\prime})^{2}ds)^{\frac{1}{2}}$
$\displaystyle=\mu^{-1}H^{\frac{1}{2}}_{0}\|\tau_{0}\|_{L^{\infty}}(\int_{0}^{t}e^{-as}(1-e^{-2^{2j}s})^{2}ds)^{\frac{1}{2}}$
$\displaystyle\leq\mu^{-1}a^{-\frac{1}{2}}H^{\frac{1}{2}}_{0}\|\tau_{0}\|_{L^{\infty}}.$
According to (4.11))-(4.13), we deduce that
(4.14)
$\displaystyle\|e^{\frac{a}{2}t}\tau\|_{L^{2}B^{\frac{1}{2}}_{\infty,\infty}}\leq\mu^{-\frac{1}{2}}\|\tau_{0}\|_{L^{4}}+\mu^{-1}a^{-\frac{1}{2}}H^{\frac{1}{2}}_{0}\|\tau_{0}\|_{L^{\infty}}.$
We thus complete the proof of Proposition 4.2. ∎
### 4.2. Local well-posedness
###### Proposition 4.3.
Let $(u_{0},\tau_{0})\in B^{1}_{\infty,1}\times B^{0}_{\infty,1}$. There
exists a time $T>0$ such that $(\ref{eq2})$ has a solution $(u,\tau)\in
L^{\infty}([0,T);B^{1}_{\infty,1}\times B^{0}_{\infty,1})$.
###### Proof.
Since $div~{}u=0$, we have
(4.15) $\displaystyle\Delta p=div~{}div~{}(\tau-u\otimes u),$
which implies
(4.16) $\displaystyle\nabla p=\nabla\Delta^{-1}div~{}div~{}(\tau-u\otimes u).$
Applying $\Delta_{j}$ to $(\ref{eq2})_{1}$, we obtain
(4.17) $\displaystyle\frac{\partial}{\partial
t}\Delta_{j}u+u\cdot\nabla\Delta_{j}u=-[\Delta_{j},u\cdot\nabla]u+\Delta_{j}div~{}\tau-\nabla\Delta^{-1}div~{}div~{}(\tau-u\otimes
u)$
Integrating $(\ref{5ineq3})$ over $[0,T]$, we infer
(4.18) $\displaystyle\|\Delta_{j}u\|_{L^{\infty}}$
$\displaystyle\leq\|\Delta_{j}u_{0}\|_{L^{\infty}}+\int_{0}^{T}\|[\Delta_{j},u\cdot\nabla]u\|_{L^{\infty}}$
$\displaystyle+2^{j}\|\Delta_{j}\tau\|_{L^{\infty}}+\|\nabla\Delta^{-1}div~{}div~{}(\tau-u\otimes
u)\|_{L^{\infty}}dt.$
By virtue of Lemmas 2.6 and 2.10, we obtain
(4.19)
$\displaystyle\|\nabla\Delta^{-1}div~{}div~{}\tau\|_{B^{1}_{\infty,1}}\leq
C\|\tau\|_{B^{2}_{\infty,1}}.$
Hence, we deduce from (4.18) and (4.19) that
(4.20)
$\displaystyle\|u\|_{L^{\infty}_{T}B^{1}_{\infty,1}}\leq\|u_{0}\|_{B^{1}_{\infty,1}}+C(T\|u\|^{2}_{L^{\infty}_{T}B^{1}_{\infty,1}}+\int_{0}^{T}\|\tau\|_{B^{2}_{\infty,1}}dt).$
Applying $\Delta_{j}$ to $(\ref{eq2})_{2}$, we obtain
(4.21) $\displaystyle\Delta_{j}\tau=e^{t\mu\Delta-
at}\Delta_{j}\tau_{0}+\int_{0}^{t}e^{(t-s)\mu\Delta-a(t-s)}(\Delta_{j}(Q(\Omega,\tau))+div~{}\Delta_{j}(u\otimes\tau))ds.$
By virtue of Lemmas 2.5 and 2.6, we infer that
(4.22)
$\displaystyle\|\tau\|_{L^{\infty}_{T}B^{0}_{\infty,1}}+\|\tau\|_{L^{1}_{T}B^{2}_{\infty,1}}$
$\displaystyle\leq\|\tau_{0}\|_{B^{0}_{\infty,1}}+\int_{0}^{T}\|Q(\Omega,\tau)\|_{B^{0}_{\infty,1}}ds+\int_{0}^{T}\|u\otimes\tau\|_{B^{1}_{\infty,1}}ds$
$\displaystyle\leq\|\tau_{0}\|_{B^{0}_{\infty,1}}+C\|u\|_{L^{\infty}_{T}B^{1}_{\infty,1}}(T\|\tau\|_{L^{\infty}_{T}B^{0}_{\infty,1}}+T^{\frac{1}{2}}\|\tau\|_{L^{2}_{T}B^{1}_{\infty,1}}).$
Notice that
(4.23)
$\displaystyle\|\tau\|_{L^{2}_{T}B^{1}_{\infty,1}}\leq\|\tau\|^{\frac{1}{2}}_{L^{\infty}_{T}B^{0}_{\infty,1}}\|\tau\|^{\frac{1}{2}}_{L^{1}_{T}B^{2}_{\infty,1}}.$
According to (4.20), (4.22) and (4.23), we obtain
(4.24)
$\displaystyle\|u\|_{L^{\infty}_{T}B^{1}_{\infty,1}}+\|\tau\|_{L^{\infty}_{T}B^{0}_{\infty,1}}+\|\tau\|_{L^{1}_{T}B^{2}_{\infty,1}}$
$\displaystyle\leq
C(\|u_{0}\|_{B^{1}_{\infty,1}}+\|\tau_{0}\|_{B^{0}_{\infty,1}})+CT\|u\|^{2}_{L^{\infty}_{T}B^{1}_{\infty,1}}$
$\displaystyle+C^{2}\|u\|_{L^{\infty}_{T}B^{1}_{\infty,1}}(\|\tau\|_{L^{\infty}_{T}B^{0}_{\infty,1}}+\|\tau\|_{L^{1}_{T}B^{2}_{\infty,1}})(T^{\frac{1}{2}}+T).$
Suppose that
(4.25)
$\displaystyle\|u\|_{L^{\infty}_{T}B^{1}_{\infty,1}}+\|\tau\|_{L^{\infty}_{T}B^{0}_{\infty,1}}+\|\tau\|_{L^{1}_{T}B^{2}_{\infty,1}}\leq
6C(\|u_{0}\|_{B^{1}_{\infty,1}}+\|\tau_{0}\|_{B^{0}_{\infty,1}}),$
and
(4.26) $\displaystyle
T=\min\\{1,\frac{1}{36C^{3}(\|u_{0}\|_{B^{1}_{\infty,1}}+\|\tau_{0}\|_{B^{0}_{\infty,1}})},\frac{1}{1296C^{6}(\|u_{0}\|_{B^{1}_{\infty,1}}+\|\tau_{0}\|_{B^{0}_{\infty,1}})^{2}}\\}.$
Plugging $(\ref{5ineq18})$, $(\ref{5ineq19})$ into $(\ref{5ineq17})$ leads to
(4.27)
$\displaystyle\|u\|_{L^{\infty}_{T}B^{1}_{\infty,1}}+\|\tau\|_{L^{\infty}_{T}B^{0}_{\infty,1}}+\|\tau\|_{L^{1}_{T}B^{2}_{\infty,1}}<6C(\|u_{0}\|_{B^{1}_{\infty,1}}+\|\tau_{0}\|_{B^{0}_{\infty,1}}).$
We thus complete the proof of Proposition 4.3. ∎
###### Proposition 4.4.
Assume that $d=2$. Let $(u,\tau)$ be a strong solution of (1.13) with the
initial data $(u_{0},\tau_{0})\in(H^{1}\cap B^{1}_{\infty,1})\times(H^{1}\cap
B^{0}_{\infty,1})$. If $T^{\ast}$ is the maximal existence time, then the
solution blows up in finite time $T^{\ast}<\infty$ if and only if
(4.28)
$\displaystyle\int_{0}^{T^{\ast}}\|\Omega\|_{B^{0}_{\infty,1}}dt=\infty.$
###### Proof.
According to Bony’s decomposition, we obtain
(4.29)
$\displaystyle\int_{0}^{T}\|Q(\Omega,\tau)\|_{B^{0}_{\infty,1}}dt\leq\int_{0}^{T}(\|\Omega\|_{L^{\infty}}+\|\tau\|_{L^{\infty}}+\|\tau\|_{H^{1}})(\|u\|_{B^{1}_{\infty,1}}+\|\tau\|_{B^{0}_{\infty,1}})ds,$
By virtue of Lemma 2.2 and Proposition 4.1, we deduce from (1.13) and (4.29)
that
(4.30)
$\displaystyle\|\tau\|_{L^{\infty}_{T}B^{0}_{\infty,1}}+\|\tau\|_{L^{1}_{T}B^{2}_{\infty,1}}$
$\displaystyle\leq
Ce^{\int_{0}^{T}\|\Omega\|_{B^{0}_{\infty,1}}dt}\Big{(}\|\tau_{0}\|_{B^{0}_{\infty,1}}+\int_{0}^{T}\|Q(\Omega,\tau)\|_{B^{0}_{\infty,1}}dt\Big{)}$
$\displaystyle\leq
Ce^{\int_{0}^{T}\|\Omega\|_{B^{0}_{\infty,1}}dt}\Big{(}\|\tau_{0}\|_{B^{0}_{\infty,1}}+\int_{0}^{T}(C+\|\Omega\|_{L^{\infty}})(\|u\|_{B^{1}_{\infty,1}}+\|\tau\|_{B^{0}_{\infty,1}})dt\Big{)}.$
According to Lemma 2.2 and (4.30), we infer that
(4.31)
$\displaystyle\|u\|_{L^{\infty}_{T}B^{1}_{\infty,1}}+\|\tau\|_{L^{\infty}_{T}B^{0}_{\infty,1}}$
$\displaystyle\leq
Ce^{\int_{0}^{T}\|\Omega\|_{B^{0}_{\infty,1}}dt}\Big{(}\|u_{0}\|_{B^{1}_{\infty,1}}+\|\tau_{0}\|_{B^{0}_{\infty,1}}+\int_{0}^{T}(C+\|\Omega\|_{B^{0}_{\infty,1}})(\|u\|_{B^{1}_{\infty,1}}+\|\tau\|_{B^{0}_{\infty,1}})dt\Big{)}.$
Applying Gronwall’s inequality to (4.31), we deduce that
(4.32)
$\displaystyle\|u\|_{L^{\infty}_{T}B^{1}_{\infty,1}}+\|\tau\|_{L^{\infty}_{T}B^{0}_{\infty,1}}\leq
C\Big{(}\|u_{0}\|_{B^{1}_{\infty,1}}+\|\tau_{0}\|_{B^{0}_{\infty,1}}\Big{)}e^{e^{\int_{0}^{T}\|\Omega\|_{B^{0}_{\infty,1}}dt}},$
Assume that $T^{\ast}<\infty$ and
$\int_{0}^{T^{\ast}}\|\Omega(t)\|_{B^{0}_{\infty,1}}dt<\infty$. By virtue of
Proposition 4.3 and (4.32), we infer that the solution can be continued beyond
$[0,T^{\ast})$, which contradicts the assumption that $T^{\ast}$ is the
maximal existence time. ∎
### 4.3. Global well-posedness
The proof of Theorem 1.5 :
Notice that
(4.33)
$\displaystyle\int_{0}^{T}\|\Omega\|_{B^{0}_{\infty,1}}dt\leq\int_{0}^{T}\|\Gamma\|_{B^{0}_{\infty,1}}dt+\int_{0}^{T}\|\tau\|_{B^{0}_{\infty,1}}dt.$
We infer from Proposition 4.2 and Proposition 4.4 that the estimate of
$\|\Gamma\|_{B^{0}_{\infty,1}}$ will finish the proof of the global existence
for (1.13). Recall that
(4.34)
$\displaystyle\frac{d}{dt}\Gamma+u\cdot\triangledown\Gamma=R\tau+RQ(\Omega,\tau)+[R,u\cdot\triangledown]\tau=\sum_{i=1}^{3}F_{i},$
Note that
(4.35) $\displaystyle
E_{0}=H_{0}(\|\tau_{0}\|_{B^{0}_{\infty,1}}+\|\tau_{0}\|_{L^{4}})~{}\text{and}~{}D_{0}=\|(\nabla
u_{0},\tau_{0})\|_{B^{0}_{\infty,1}}.$
Suppose $\forall\ t\in[0,T)$, we have
(4.36) $\displaystyle\|\Gamma\|_{B^{0}_{\infty,1}}\leq
c_{1}a^{\frac{1}{4}}e^{\frac{a}{4}t},$
for some $c_{1}$ small enough. Applying Lemma 2.3 to (4.34), we obtain
(4.37)
$\displaystyle\|\Gamma\|_{B^{0}_{\infty,1}}\leq(\|\Gamma_{0}\|_{B^{0}_{\infty,1}}+\sum_{i=1}^{3}\int_{0}^{t}\|F_{i}\|_{B^{0}_{\infty,1}}ds)(1+\int_{0}^{t}\|\nabla
u\|_{L^{\infty}}ds).$
According to Lemmas 2.6 and 2.10, we have
(4.38) $\displaystyle\int_{0}^{t}\|\nabla u\|_{L^{\infty}}ds$
$\displaystyle\leq\int_{0}^{t}\|\Delta_{-1}\nabla
u\|_{L^{\infty}}+\|(Id-\Delta_{-1})\nabla u\|_{L^{\infty}}ds$
$\displaystyle\leq\int_{0}^{t}\|\Omega\|_{B^{0}_{\infty,1}}ds$
$\displaystyle\leq\int_{0}^{t}\|\Gamma\|_{B^{0}_{\infty,1}}ds+\int_{0}^{t}\|\tau\|_{B^{0}_{\infty,1}}ds$
$\displaystyle\leq
C\big{(}a^{-\frac{3}{4}}e^{\frac{a}{4}t}+a^{-\frac{1}{2}}B_{0}\big{)}.$
Using the conditions (1.16) and (1.17), the we get
(4.39) $\displaystyle\|\tau\|_{L^{4}}\leq c\mu^{\frac{1}{2}}\eta,~{}D_{0}\leq
c\gamma~{}\text{and}~{}E_{0}\leq c\mu^{2}\eta^{2},$
where $\gamma=\min\\{a^{\frac{1}{4}},a\\}$ and
$\eta=\min\\{a^{\frac{1}{8}},a^{\frac{3}{2}}\\}$. Then we have
(4.40) $\displaystyle B_{0}$
$\displaystyle=\mu^{-\frac{1}{2}}\|\tau_{0}\|_{L^{4}}+\mu^{-1}a^{-\frac{1}{2}}H^{\frac{1}{2}}_{0}\|\tau_{0}\|_{L^{\infty}}$
$\displaystyle\leq
c\eta+\mu^{-1}a^{-\frac{1}{2}}E^{\frac{1}{2}}_{0}D^{\frac{1}{2}}_{0}$
$\displaystyle\leq 2c\eta.$
By virtue of (4.38) and (4.40), we obtain $1+\int_{0}^{t}\|\nabla
u\|_{L^{\infty}}ds\leq C+Ca^{-\frac{3}{4}}e^{\frac{a}{4}t}$, which implies
that
(4.41) $\displaystyle\|\Gamma_{0}\|_{B^{0}_{\infty,1}}(1+\int_{0}^{t}\|\nabla
u\|_{L^{\infty}}ds)\leq
CD_{0}+CD_{0}a^{-\frac{3}{4}}e^{\frac{a}{4}t}\leq\frac{c_{1}}{10}a^{\frac{1}{4}}e^{\frac{a}{4}t}.$
According to Lemmas 2.6, 2.10 and proposition 4.2, we deduce that
(4.42) $\displaystyle\int_{0}^{t}\|F_{1}\|_{B^{0}_{\infty,1}}ds$
$\displaystyle\leq\int_{0}^{t}\|\Delta_{-1}R\tau\|_{L^{\infty}}+\|(Id-\Delta_{-1})R\tau\|_{B^{0}_{\infty,1}}ds$
$\displaystyle\leq\int_{0}^{t}\|\tau\|_{B^{0}_{\infty,1}}ds$
$\displaystyle\leq(\int_{0}^{t}e^{as}\|\tau\|^{2}_{B^{\frac{1}{2}}_{\infty,\infty}}ds)^{\frac{1}{2}}(\int_{0}^{t}e^{-as}ds)^{\frac{1}{2}}$
$\displaystyle\leq a^{-\frac{1}{2}}B_{0}.$
Thus we infer from (4.40) and (4.42) that
(4.43)
$\displaystyle\int_{0}^{t}\|F_{1}\|_{B^{0}_{\infty,1}}ds(1+\int_{0}^{t}\|\nabla
u\|_{L^{\infty}}ds)\leq
Ca^{-\frac{1}{2}}B_{0}(1+a^{-\frac{3}{4}}e^{\frac{a}{4}t})\leq\frac{c_{1}}{10}a^{\frac{1}{4}}e^{\frac{a}{4}t}.$
By virtue of Lemmas 2.6, 2.10 and proposition 4.2, we obtain
(4.44) $\displaystyle\int_{0}^{t}\|F_{2}\|_{B^{0}_{\infty,1}}ds$
$\displaystyle\leq\int_{0}^{t}\|Q(\Omega,\tau)\|_{B^{0}_{\infty,1}}ds$
$\displaystyle\leq
C\int_{0}^{t}\|\Omega\|_{B^{0}_{\infty,1}}\|\tau\|_{B^{\frac{1}{2}}_{\infty,\infty}}ds$
$\displaystyle\leq
C\int_{0}^{t}\|\Gamma\|_{B^{0}_{\infty,1}}\|\tau\|_{B^{\frac{1}{2}}_{\infty,\infty}}+\|R\tau\|_{B^{0}_{\infty,1}}\|\tau\|_{B^{\frac{1}{2}}_{\infty,\infty}}ds$
$\displaystyle\leq C(a^{-\frac{1}{4}}B_{0}+B_{0}^{2}).$
Then we deduce from (4.40) and (4.44) that
(4.45)
$\displaystyle\int_{0}^{t}\|F_{2}\|_{B^{0}_{\infty,1}}ds(1+\int_{0}^{t}\|\nabla
u\|_{L^{\infty}}ds)\leq
C(a^{-\frac{1}{4}}B_{0}+B_{0}^{2})(1+a^{-\frac{3}{4}}e^{\frac{a}{4}t})\leq\frac{c_{1}}{10}a^{\frac{1}{4}}e^{\frac{a}{4}t}.$
We infer from Lemma 2.6 that
(4.46) $\displaystyle\|[R,u\cdot\nabla]\tau\|_{B^{0}_{\infty,1}}$
$\displaystyle\leq
C(\|\Omega\|_{L^{\infty}}+\|\Omega\|_{L^{4}})(\|\tau\|_{B^{\frac{1}{2}}_{\infty,\infty}}+\|\tau\|_{L^{4}})$
$\displaystyle\leq
C(\|\Omega\|_{L^{\infty}}+\|u\|_{H^{1}})(\|\tau\|_{B^{\frac{1}{2}}_{\infty,\infty}}+\|\tau\|_{L^{4}})$
$\displaystyle\leq
C(\|\Gamma\|_{L^{\infty}}+\|\tau\|_{B^{\frac{1}{2}}_{\infty,\infty}}+\|u\|_{H^{1}})(\|\tau\|_{B^{\frac{1}{2}}_{\infty,\infty}}+\|\tau\|_{L^{4}}).$
According to (4.46), Propositions 4.2 and 3.1, we get
(4.47) $\displaystyle\int_{0}^{t}\|F_{3}\|_{B^{0}_{\infty,1}}ds$
$\displaystyle\leq\int_{0}^{t}C(\|\Gamma\|_{L^{\infty}}+\|\tau\|_{B^{\frac{1}{2}}_{\infty,\infty}}+\|u\|_{H^{1}})(\|\tau\|_{B^{\frac{1}{2}}_{\infty,\infty}}+\|\tau\|_{L^{4}})$
$\displaystyle\leq
C(a^{-\frac{1}{4}}B_{0}+B_{0}^{2}+a^{-\frac{3}{4}}\|\tau_{0}\|_{L^{4}}+a^{-\frac{1}{2}}\|\tau_{0}\|_{L^{4}}B_{0}$
$\displaystyle+a^{-\frac{1}{2}}H^{\frac{1}{2}}_{0}B_{0}+a^{-1}H^{\frac{1}{2}}_{0}\|\tau_{0}\|_{L^{4}}).$
Using the conditions (1.17), we have $\|\tau_{0}\|_{L^{4}}\leq
c\min\\{a^{\frac{1}{2}},a^{2}\\}$. Then we deduce from (4.47) that
(4.48)
$\displaystyle\int_{0}^{t}\|F_{3}\|_{B^{0}_{\infty,1}}ds(1+\int_{0}^{t}\|\nabla
u\|_{L^{\infty}}ds)$
$\displaystyle\leq\frac{c_{1}}{10}a^{\frac{1}{4}}e^{\frac{a}{4}t}+C(a^{-\frac{1}{2}}H^{\frac{1}{2}}_{0}B_{0}+a^{-1}H^{\frac{1}{2}}_{0}\|\tau_{0}\|_{L^{4}})(1+a^{-\frac{3}{4}}e^{\frac{a}{4}t})$
$\displaystyle\leq\frac{c_{1}}{10}a^{\frac{1}{4}}e^{\frac{a}{4}t}+(\mu^{-\frac{1}{2}}E^{\frac{1}{2}}_{0}+a^{-1}\mu^{-1}E_{0}+E^{\frac{1}{2}}_{0})(1+a^{-\frac{3}{4}}e^{\frac{a}{4}t})$
$\displaystyle\leq\frac{c_{1}}{5}a^{\frac{1}{4}}e^{\frac{a}{4}t},$
where we use the condition $E_{0}\leq c\gamma\min\\{\mu,a\mu,\gamma\\}$.
Combining above estimates for (4.37), we infer
(4.49)
$\displaystyle\|\Gamma\|_{B^{0}_{\infty,1}}\leq\frac{c_{1}}{2}a^{\frac{1}{4}}e^{\frac{a}{2}t},$
which implies that $T^{\ast}=+\infty$. We thus complete the proof of Theorem
1.5.
## 5 Large time behavior for the general Oldroyd-B type model
In this section we consider large time behavior of global solutions for
$\eqref{eq0}$ in $H^{1}$. For simplify, the parameters in (1.4) will be taken
as the constant 1.
For the reader’s convenience, we first recall the following theorem.
###### Theorem 5.1.
[9] Let $d=2~{}and~{}s>2$. Assume that $a>0$ and $\mu>0$. Let $(u,\tau)$ be a
strong solution of (1.9) with the initial data $(u_{0},\tau_{0})\in H^{s}$.
Then, there exists some sufficiently small constant $\delta$ such that if
(5.1)
$\displaystyle\|(u_{0},\tau_{0})\|_{H^{1}}+\|(\omega_{0},\tau_{0})\|_{B^{0}_{\infty,1}}\leq\delta,~{}~{}~{}~{}\omega_{0}=curl~{}u_{0},$
then the system (1.13) admits a unique global strong solution $(u,\tau)\in
C([0,\infty);H^{s})$. Moreover, the energy estimation for $(u,\tau,\Gamma)$
with $\Gamma=\Omega-R\tau$ implies
(5.2) $\displaystyle\frac{d}{dt}\|(u,\tau)\|^{2}_{H^{1}}+\|\nabla
u\|^{2}_{L^{2}}+\|\tau\|^{2}_{H^{2}}\leq 0.$
Motivated by [14] and [26], we can cancel $div~{}\tau$ in Fourier space and
prove the following initial time decay rate of $(u,\tau)$ in $H^{1}$ by the
Fourier splitting method and the bootstrap argument.
###### Proposition 5.2.
Under the condition in Theorem 1.8. Then there exists $C>0$ such that for any
$l\in N$ and $t>0$, we have
(5.3) $\displaystyle\|(u,\tau)\|_{H^{1}}\leq C\ln^{-l}(e+t).$
###### Proof.
Let $S_{0}(t)=\\{\xi:f(t)|\xi|^{2}\leq 2C_{2}f^{\prime}(t)\\}$ with $C_{2}$
large enough. According to Theorem 5.1, we have
(5.4)
$\displaystyle\frac{d}{dt}[f(t)\|(u,\tau)\|^{2}_{H^{1}}]+C_{2}f^{\prime}(t)\|u\|^{2}_{L^{2}}+f(t)\|\tau\|^{2}_{H^{2}}\leq
Cf^{\prime}(t)\int_{S_{0}(t)}|\hat{u}|^{2}d\xi+2f^{\prime}(t)\|\nabla
u\|^{2}_{L^{2}},$
for some $t>0$ sufficiently large. Applying Fourier transformation to
$\eqref{eq0}$, we obtain
(5.7)
$\displaystyle\left\\{\begin{array}[]{l}\frac{d}{dt}\hat{u}+i\xi^{T}\mathcal{F}(u\otimes
u)+i\xi\hat{p}=i\xi^{T}\hat{\tau},\\\
\frac{d}{dt}\hat{\tau}+\hat{\tau}+\mathcal{F}(u\cdot\nabla\tau)+|\xi|^{2}\hat{\tau}+\mathcal{F}Q(\nabla
u,\tau)=\frac{i}{2}(\xi\otimes\hat{u}+\hat{u}\otimes\xi).\end{array}\right.$
Multiplying $\eqref{4eq1}$ by $(\bar{\hat{u}},\bar{\hat{\tau}})$ and taking
the real part, we deduce that
(5.8)
$\displaystyle\frac{1}{2}\frac{d}{dt}|\hat{u}|^{2}=\mathcal{R}e[-i\xi^{T}\mathcal{F}(u\otimes
u)\bar{\hat{u}}+i\xi^{T}\hat{\tau}\bar{\hat{u}}],$
and
(5.9)
$\displaystyle\frac{1}{2}\frac{d}{dt}|\hat{\tau}|^{2}+|\hat{\tau}|^{2}+|\xi|^{2}|\hat{\tau}|^{2}=\mathcal{R}e[\mathcal{F}(u\cdot\nabla\tau):\bar{\hat{\tau}}-\mathcal{F}Q(\nabla
u,\tau):\bar{\hat{\tau}}+\frac{i}{2}(\xi\otimes\hat{u}+\hat{u}\otimes\xi):\bar{\hat{\tau}}].$
Since $\tau$ is symmetric, we have
(5.10)
$\displaystyle\mathcal{R}e[i\xi^{T}\hat{\tau}\bar{\hat{u}}+\frac{i}{2}(\xi\otimes\hat{u}+\hat{u}\otimes\xi):\bar{\hat{\tau}}]=0,$
which implies that
(5.11)
$\displaystyle\frac{1}{2}\frac{d}{dt}(|\hat{u}|^{2}+|\hat{\tau}|^{2})+|\hat{\tau}|^{2}+|\xi|^{2}|\hat{\tau}|^{2}$
$\displaystyle=\mathcal{R}e[-i\xi^{T}\mathcal{F}(u\otimes
u)\bar{\hat{u}}-\mathcal{F}(u\cdot\nabla\tau):\bar{\hat{\tau}}-\mathcal{F}Q(\nabla
u,\tau):\bar{\hat{\tau}}]$ $\displaystyle\leq|\xi||\mathcal{F}(u\otimes
u)||\hat{u}|+|\mathcal{F}(u\cdot\nabla\tau)|^{2}+|\mathcal{F}Q(\nabla
u,\tau)|^{2}+|\hat{\tau}|^{2}.$
Let $f(t)=\ln^{3}(e+t)$. According to Theorem 5.1, we have
$\displaystyle\int_{S_{0}(t)}|\hat{u}|^{2}+|\hat{\tau}|^{2}d\xi$
$\displaystyle\leq\|(u_{0},\tau_{0})\|^{2}_{L^{1}}\frac{f^{\prime}(t)}{f(t)}+\int_{0}^{t}\int_{S_{0}(t)}|\xi||\mathcal{F}(u\otimes
u)||\hat{u}|d\xi ds$
$\displaystyle+\int_{0}^{t}\int_{S_{0}(t)}|\mathcal{F}(u\cdot\nabla\tau)|^{2}+|\mathcal{F}Q(\nabla
u,\tau)|^{2}d\xi ds$ $\displaystyle\leq
C\frac{f^{\prime}(t)}{f(t)}+\int_{0}^{t}\|u\|^{3}_{L^{2}}(\int_{S_{0}(t)}|\xi|^{2}d\xi)^{\frac{1}{2}}ds+\frac{f^{\prime}(t)}{f(t)}\int_{0}^{t}\|\nabla
u\|^{2}_{L^{2}}\|\tau\|^{2}_{L^{2}}+\|u\|^{2}_{L^{2}}\|\nabla\tau\|^{2}_{L^{2}}ds$
$\displaystyle\leq
C\frac{f^{\prime}(t)}{f(t)}+C\frac{f^{\prime}(t)}{f(t)}\int_{0}^{t}\|u\|^{3}_{L^{2}}ds$
$\displaystyle\leq
C\frac{f^{\prime}(t)}{f(t)}+C\frac{f^{\prime}(t)}{f(t)}(1+t)$
$\displaystyle\leq C\ln^{-1}(e+t).$
This together with (5.4) and (5.2) ensures that
$\displaystyle f(t)\|(u,\tau)\|^{2}_{H^{1}}$ $\displaystyle\leq
C+C\int_{0}^{t}f^{\prime}(s)\ln^{-1}(e+t)ds+C\int_{0}^{t}f^{\prime}(s)\|\nabla
u\|^{2}_{L^{2}}ds$ $\displaystyle\leq C\ln^{2}(e+t),$
which implies
(5.12) $\displaystyle\|(u,\tau)\|^{2}_{H^{1}}\leq\ln^{-1}(e+t).$
We prove $\eqref{decay1}$ by induction. Assume that
(5.13) $\displaystyle\|(u,\tau)\|^{2}_{H^{1}}\leq\ln^{-l}(e+t).$
Let $f(t)=\ln^{l+3}(e+t)$. Using (5.13), we can deduce that
$\displaystyle\int_{S_{0}(t)}|\hat{u}|^{2}+|\hat{\tau}|^{2}d\xi$
$\displaystyle\leq
C\frac{f^{\prime}(t)}{f(t)}+\frac{f^{\prime}(t)}{f(t)}\int_{0}^{t}\|u\|^{3}_{L^{2}}ds$
$\displaystyle\leq C\ln^{-\frac{3l}{2}-1}(e+t).$
This together with (5.4) and (5.2) ensures that
$\displaystyle f(t)\|(u,\tau)\|^{2}_{H^{1}}$ $\displaystyle\leq
C+C\int_{0}^{t}f^{\prime}(s)\ln^{-\frac{3l}{2}-1}(e+t)ds+C\int_{0}^{t}f^{\prime}(s)\|\nabla
u\|^{2}_{L^{2}}ds$ $\displaystyle\leq C\ln^{2}(e+t),$
which implies that
$\displaystyle\|(u,\tau)\|^{2}_{H^{1}}\leq C\ln^{-l-1}(e+t).$
We thus complete the proof of Proposition 5.2. ∎
The proof of Theorem 1.8 :
Now we are going to improve initial time decay rate in Proposition 5.2. Let
$S(t)=\\{\xi||\xi|^{2}\leq C_{2}(1+t)^{-1}\\}$ with sufficiently large
$C_{2}>0$ and $t>0$. According to Theorem 5.1, we obtain
$\displaystyle\frac{d}{dt}(\|(u,\tau)\|^{2}_{H^{1}})+C_{2}(1+t)^{-1}\|u\|^{2}_{L^{2}}+\|\tau\|^{2}_{H^{2}}\leq
C(1+t)^{-1}\int_{S(t)}|\hat{u}|^{2}d\xi,$
which implies that
(5.14)
$\displaystyle\frac{d}{dt}[(1+t)^{2}\|(u,\tau)\|^{2}_{H^{1}}]+\frac{1}{2}C_{2}(1+t)\|u\|^{2}_{L^{2}}+\frac{1}{2}(1+t)^{2}\|\tau\|^{2}_{H^{2}}$
$\displaystyle\leq C(1+t)\int_{S(t)}|\hat{u}|^{2}d\xi+C(1+t)\|\nabla
u\|^{2}_{L^{2}}.$
Integrating (5.11) over $S(t)\times[0,t]$ with $(\xi,s)$ and according to
Theorem 5.1, we can deduce that
(5.15) $\displaystyle\int_{S(t)}|\hat{u}|^{2}+|\hat{\tau}|^{2}d\xi$
$\displaystyle\leq\frac{C}{1+t}+\int_{0}^{t}\int_{S(t)}|\xi||\mathcal{F}(u\otimes
u)||\hat{u}|+|\mathcal{F}(u\cdot\nabla\tau)|^{2}+|\mathcal{F}Q(\nabla
u,\tau)|^{2}d\xi ds$
$\displaystyle\leq\frac{C}{1+t}+\frac{C}{1+t}\int_{0}^{t}\|u\|^{3}_{L^{2}}ds.$
Together with (5.14) and (5.2), we infer that
(5.16) $\displaystyle(1+t)^{2}\|(u,\tau)\|^{2}_{H^{1}}$
$\displaystyle\leq\|(u_{0},\tau_{0})\|^{2}_{H^{1}}+C(1+t)+C\int_{0}^{t}\int_{0}^{s}\|u\|^{3}_{L^{2}}ds^{\prime}ds+C\int_{0}^{t}(1+s)\|\nabla
u\|^{2}_{L^{2}}ds$ $\displaystyle\leq
C(1+t)+C(1+t)\int_{0}^{t}\|u\|^{3}_{L^{2}}ds+C\int_{0}^{t}\|(u,\tau)\|^{2}_{H^{1}}ds$
$\displaystyle\leq C(1+t)+C(1+t)\int_{0}^{t}\|u\|^{3}_{L^{2}}ds.$
Let $M(t)=\sup_{s\in[0,t]}(1+s)\|(u,\tau)\|^{2}_{H^{1}}$. Using (5.16) and
(5.3) with $l=2$, we obtain
(5.17) $\displaystyle M(t)\leq C+C\int_{0}^{t}M(s)(1+s)^{-1}\ln^{-2}(e+t)ds,$
Applying Gronwall’s inequality to (5.17), we get
$\displaystyle M(t)\leq Ce^{C\int_{0}^{t}(1+s)^{-1}\ln^{-2}(e+t)ds}\leq C,$
which implies that
$\displaystyle\|u\|^{2}_{H^{1}}+\|\tau\|^{2}_{H^{1}}\leq(1+t)^{-1}.$
We thus complete the proof of Theorem 1.8. $\Box$
Acknowledgments This work was partially supported by the National Natural
Science Foundation of China (No.12171493 and No.11671407), the Macao Science
and Technology Development Fund (No. 0091/2018/A3), and Guangdong Province of
China Special Support Program (No. 8-2015), and the key project of the Natural
Science Foundation of Guangdong province (No. 2016A030311004).
## References
* [1] H. Bahouri, J.-Y. Chemin, and R. Danchin. Fourier analysis and nonlinear partial differential equations, volume 343 of Grundlehren der Mathematischen Wissenschaften [Fundamental Principles of Mathematical Sciences]. Springer, Heidelberg, 2011.
* [2] J. W. Barrett, C. Schwab, and E. Süli. Existence of global weak solutions for some polymeric flow models. Math. Models Methods Appl. Sci., 15(6):939–983, 2005.
* [3] J. W. Barrett and E. Süli. Existence of global weak solutions to some regularized kinetic models for dilute polymers. Multiscale Model. Simul., 6(2):506–546, 2007.
* [4] J. W. Barrett and E. Süli. Existence of global weak solutions to dumbbell models for dilute polymers with microscopic cut-off. Math. Models Methods Appl. Sci., 18(6):935–971, 2008.
* [5] J. W. Barrett and E. Süli. Existence and equilibration of global weak solutions to kinetic models for dilute polymers II: Hookean-type models. Math. Models Methods Appl. Sci., 22(5):1150024, 84, 2012.
* [6] J.-Y. Chemin and N. Masmoudi. About lifespan of regular solutions of equations related to viscoelastic fluids. SIAM J. Math. Anal., 33(1):84–112, 2001.
* [7] W. E, T. Li, and P. Zhang. Well-posedness for the dumbbell model of polymeric fluids. Comm. Math. Phys., 248(2):409–427, 2004.
* [8] T. M. Elgindi and J. Liu. Global wellposedness to the generalized Oldroyd type models in ${R}^{3}$. J. Differential Equations, 259(5):1958–1966, 2015.
* [9] T. M. Elgindi and F. Rousset. Global regularity for some Oldroyd-B type models. Comm. Pure Appl. Math., 68(11):2005–2021, 2015.
* [10] D. Fang and R. Zi. Incompressible limit of Oldroyd-B fluids in the whole space. J. Differential Equations, 256(7):2559–2602, 2014.
* [11] E. Fernández-Cara, F. Guillén, and R. R. Ortega. Some theoretical results concerning non-Newtonian fluids of the Oldroyd kind. Ann. Scuola Norm. Sup. Pisa Cl. Sci. (4), 26(1):1–29, 1998.
* [12] L. Grafakos. Classical and modern Fourier analysis. Pearson Education, Inc., Upper Saddle River, NJ, 2004.
* [13] C. Guillopé and J.-C. Saut. Global existence and one-dimensional nonlinear stability of shearing motions of viscoelastic fluids of Oldroyd type. RAIRO Modél. Math. Anal. Numér., 24(3):369–401, 1990.
* [14] L. He and P. Zhang. $L^{2}$ decay of solutions to a micro-macro model for polymeric fluids near equilibrium. SIAM J. Math. Anal., 40(5):1905–1922, 2008/09.
* [15] M. Hieber, H. Wen, and R. Zi. Optimal decay rates for solutions to the incompressible Oldroyd-B model in ${R}^{3}$. Nonlinearity, 32(3):833–852, 2019.
* [16] T. Hmidi, S. Keraani, and F. Rousset. Global well-posedness for Euler-Boussinesq system with critical dissipation. Comm. Partial Differential Equations, 36(3):420–445, 2011.
* [17] N. Jiang, Y. Liu, and T.-F. Zhang. Global classical solutions to a compressible model for micro-macro polymeric fluids near equilibrium. SIAM J. Math. Anal., 50(4):4149–4179, 2018.
* [18] J. La. On diffusive 2D Fokker-Planck-Navier-Stokes systems. Arch. Ration. Mech. Anal., 235(3):1531–1588, 2020.
* [19] Z. Lei, C. Liu, and Y. Zhou. Global solutions for incompressible viscoelastic fluids. Arch. Ration. Mech. Anal., 188(3):371–398, 2008.
* [20] Z. Lei and Y. Zhou. Global existence of classical solutions for the two-dimensional Oldroyd model via the incompressible limit. SIAM J. Math. Anal., 37(3):797–814, 2005.
* [21] F. Lin. On current developments in partial differential equations. Commun. Math. Res., 36(1):1–30, 2020.
* [22] F. Lin and P. Zhang. On the initial-boundary value problem of the incompressible viscoelastic fluid system. Comm. Pure Appl. Math., 61(4):539–558, 2008.
* [23] F.-H. Lin, C. Liu, and P. Zhang. On hydrodynamics of viscoelastic fluids. Comm. Pure Appl. Math., 58(11):1437–1471, 2005.
* [24] F.-H. Lin, C. Liu, and P. Zhang. On a micro-macro model for polymeric fluids near equilibrium. Comm. Pure Appl. Math., 60(6):838–866, 2007.
* [25] P. L. Lions and N. Masmoudi. Global solutions for some Oldroyd models of non-Newtonian flows. Chinese Ann. Math. Ser. B, 21(2):131–146, 2000.
* [26] W. Luo and Z. Yin. The Liouville theorem and the $L^{2}$ decay for the FENE dumbbell model of polymeric flows. Arch. Ration. Mech. Anal., 224(1):209–231, 2017.
* [27] N. Masmoudi. Global existence of weak solutions to macroscopic models of polymeric flows. J. Math. Pures Appl. (9), 96(5):502–520, 2011.
* [28] N. Masmoudi. Global existence of weak solutions to the FENE dumbbell model of polymeric flows. Invent. Math., 191(2):427–500, 2013.
* [29] N. Masmoudi. Equations for polymeric materials. In Handbook of mathematical analysis in mechanics of viscous fluids, pages 973–1005. Springer, Cham, 2018.
* [30] N. Masmoudi, P. Zhang, and Z. Zhang. Global well-posedness for 2D polymeric fluid models and growth estimate. Phys. D, 237(10-12):1663–1675, 2008.
* [31] J. Moser. A rapidly convergent iteration method and non-linear partial differential equations. I. Ann. Scuola Norm. Sup. Pisa Cl. Sci. (3), 20:265–315, 1966.
* [32] L. Nirenberg. On elliptic partial differential equations. Ann. Scuola Norm. Sup. Pisa Cl. Sci. (3), 13:115–162, 1959.
* [33] J. G. Oldroyd. Non-Newtonian effects in steady motion of some idealized elastico-viscous liquids. Proc. Roy. Soc. London Ser. A, 245:278–297, 1958.
* [34] M. Renardy. An existence theorem for model equations resulting from kinetic theories of polymer solutions. SIAM J. Math. Anal., 22(2):313–327, 1991.
* [35] M. E. Schonbek. $L^{2}$ decay for weak solutions of the Navier-Stokes equations. Arch. Rational Mech. Anal., 88(3):209–222, 1985.
* [36] M. E. Schonbek. Existence and decay of polymeric flows. SIAM J. Math. Anal., 41(2):564–587, 2009.
* [37] D. Wei and Z. Zhang. Global well-posedness for the 2-D MHD equations with magnetic diffusion. Commun. Math. Res., 36(4):377–389, 2020.
* [38] L. Zhang, H. Zhang, and P. Zhang. Global existence of weak solutions to the regularized Hookean dumbbell model. Commun. Math. Sci., 6(1):85–124, 2008.
* [39] T. Zhang and D. Fang. Global existence of strong solution for equations related to the incompressible viscoelastic fluids in the critical $L^{p}$ framework. SIAM J. Math. Anal., 44(4):2266–2288, 2012.
| arxiv-papers | 2021-07-26T08:38:30 | 2024-09-04T03:07:18.021706 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Wenjie Deng, Zhaonan Luo and Zhaoyang Yin",
"submitter": "Wenjie Deng",
"url": "https://arxiv.org/abs/2107.12029"
} |
2107.12030 | # Pluto: Motion Detection for Navigation in a VR Headset
Dmitri Kovalenko1 [email protected] Artem Migukin1
[email protected] Svetlana Ryabkova [email protected] Vitaly
Chernov1 Samsung R&D Institute Russia [email protected]
###### Abstract
Untethered, inside-out tracking is considered a new goalpost for virtual
reality, which became attainable with advent of machine learning in SLAM. Yet
computer vision-based navigation is always at risk of a tracking failure due
to poor illumination or saliency of the environment. An extension for a
navigation system is proposed, which recognizes agents motion and stillness
states with 87% accuracy from accelerometer data. 40% reduction in navigation
drift is demonstrated in a repeated tracking failure scenario on a challenging
dataset.
###### Index Terms:
inertial navigation, motion detection, deep learning, time series analysis
††publicationid: pubid: 978-1-6654-0402-0/21/$31.00 © 2021 IEEE
## I Introduction
The virtual reality has been a subject of extensive research for decades. The
advancements in algorithms and hardware brought commodity setups to the mass-
market. Novel systems provide untethered experience, where a user is not
constrained by the cable connecting a headset to a computer. Spatial
restrictions due to the infra-red beacon operation range, inherent in outside-
in navigation [1], were removed with the inside-out navigation approach,
supported by SLAM (Simultaneous Localization and Mapping) running onboard,
processing stereo or depth camera images, enhanced by sensor fusion with
accelerometer and gyroscope data [2].
Inertial sensors providing an input to a navigation system enable high-
frequency position updates, but are impractical as a sole data source, as the
double integration error accumulates [3]. Yet the situation may arise when the
camera-based navigation system enters a failure mode due to motion blur,
textureless environments, low illumination, occlusions, and rigid world
assumption violations. Current headsets halt position updates until the
tracking is reestablished. The user experience would not have been disrupted
if a navigation system was equipped with a fallback technique for position
estimates during a tracking failure. A proprioceptive nature of IMU (Inertial
Measurement Unit) makes it a valid candidate for sensor fusion in SLAM, as
wealth of information may be inferred from its data with help of machine
learning. The proposed method recognizes stillness and motion states of an
agent and sends linear acceleration and velocity pseudo-updates to a
navigation system, thus reducing positioning drift. We named it Pluto. Main
contributions are:111D. Kovalenko, A. Migukin and V. Chernov made
contributions during their time in Samsung R&D Institute Russia, but currently
are working for Yandex, Huawei and Align Technology respectively. 1. Novel
motion detector neural network, based on Temporal Causal Convolutions [4]; 2.
Nagivation system, robust to tracking failures, embodied in a prototype of
virtual reality headset (Fig. 1.c); 3. Evaluation on the real-world dataset
of highly discontinuous movements (Fig. 1.d) .
## II Related Work
Even though Pluto is a visual-inertial navigation system, the main focus of
this inquiry is a tracking failure handling, when the system degenerates into
a purely inertial one, which has been addressed by a great number of works.
The excellent survey [5] on Pedestrian Dead Reckoning recognizes two different
approaches: 1. Inertial Navigation Systems; 2. Step and Heading Systems .
The proposed method would fall into the former category under such a
classification.
### II-A Inertial Navigation Systems
These systems utilize "zero velocity" updates (ZUPT) as a measure to contain
the double integration error. Technically, updates are velocity resets,
triggered by a step event detector, analyzing accelerometer data for peaks, as
shown in [6]. The detector accuracy is higher when the inertial sensor
registers acceleration, related solely to a gait, not other body motions.
Hence the sensor placement on a foot is widely preferred; Skog et al. [7] take
it to a limit, creating a low-drift system with a sensor box of IMU and
pressure sensors, placed inside the heel of a boot. The velocity trend, caused
by integration of accelerometer bias, is removed in [8]. Gusenbauer et al. [9]
consider extra correction sources: localization in a radio footprint map,
plausibility check with a building plan. Most works report $0.14-2.3\%$
relative positioning error, the lowest achieved on level ground with a
constant gait cycle, ensured by a metronome [7], while higher drift was
registered on rough and sandy terrains by Sun [10]. Above works mostly
consider 2D navigation, because the gravity influence on acceleration
measurements is stronger along the vertical axis. An extension to 2.5D was
achieved by the floor change recognition in [11].
Notable contributions made recently are: [12], [13], where a neural network
was trained to infer 3D velocity of an agent, providing a useful constraint to
EKF (Extended Kalman Filter). In [14], a convolutional LSTM (Long Short-Term
Memory) network was applied to public datasets in an end-to-end fashion, to
replace EKF as a source of 6D inertial odometry. Liu et al. [15] propose a
neural network estimating 3D displacements along with their uncertainties from
IMU data, which enables to use displacements as a source within a
probabilistic framework of EKF.
The evolution in ZUPT publications is three-dimensional: 1. Stronger neural
networks [16]; 2. Cheaper IMU sensors, setups with fewer IMUs; 3. More
natural placements [17], head-mounted and hand-held, instead of belt strap-
down or shoe mount . The present study is aligned with all three dimensions,
utilizing a single low-cost head-mounted IMU for navigation.
### II-B Step and Heading Systems
The alternative approach is not to integrate acceleration at all, but to count
steps and infer their direction. The foot-mounted sensor boxes are not
required in that case, as demonstrated with a belt strap-down in [18]. Goyal
et al. derive heading from 3D attitude, implying only a forward movement of an
agent, which might be true for many commuter scenarios, but does not hold for
a virtual reality interaction. Jiang et al. estimate heading as a direction of
spectrally filtered accelerometer data [19]. A neural network provided an
online step length calibration in [20] for a mixed indoor/outdoor case, where
GPS was exploited. Beauregard et al. were among a few, who also considered a
head-mounted setup. Some pedestrian dead reckoning systems rely on an
assumption that an agent moves only forward, having its orientation and
heading always aligned. Windau et al. removes this constraint in their work
[21].
The inherent discretisation of the step and heading approach makes it less
enticing for virtual reality, hence we forgo that in the Pluto navigation
system.
### II-C Action Classification
A coalescent area of research, not being directly involved with navigation, is
highly relevant: human action classification. Attempts to recognize pedestrian
action were conducted with various setups: from a minimalistic wristband [22]
to a set of 19 IMUs mounted on every part of a human body [23], with 95%
$F_{1}$ score reported by latter with Deep Convolutional LSTM for 17 actions
classification. Anguita et al. [24] propose a waistband dataset and SVM action
classifier performing with $89\%$ accuracy. This inquiry was taken further
with Recurrent Neural Networks, achieving $94\%$ on the same dataset in [25].
3-class time series classifier was implemented [26], discerning a steady walk,
rest and irregular motions. Contrary to the above works, Sun et al. [26] have
also demonstrated a way the classifier influences the navigation system,
halting step counting during two latter states. A similar classifier was put
forward more recently in [27].
The present study went beyond accuracy evaluation, investigating detection
delays and intervals between false positives, which provides insights into the
system behavior over time. We proceed with detailing the design of navigation
system and motion detector network.
## III Pluto Navigation System
The headset prototype (Fig. 1.c), implementing virtual reality capabilities
and hosting the online visual-inertial navigation system (Pluto) was devised.
The orientation estimation system is purely inertial and closely follows [28],
using complementary filters with accelerometer and magnetometer data for the
tilt and yaw drift correction. The magnetic field is modeled as in [29], with
an additional capability to detect magnetic field anomalies and recalibrate
when necessary. Strong correlations were registered between IMU temperature
and magnitudes of accelerometer and gyroscope noise. Instead of random walk
bias modeling, the online calibration accumulates samples and solves for
biases for every temperature value (IMU provides temperature measurements at
$0.5^{\circ}$ C increments). Optimal sample sizes are determined accordingly
with [30].
| |
---|---|---
(b) | (c)
(a) | (d)
Figure 1: a) An experiment participant taking a backward step b) The virtual
scene explored by study participants c) The virtual reality headset prototype;
the IMU sensor placement is marked (approximately) by a cross d) A participant
movement trajectory in a bird-eye view during the study; no instructions on a
gait pattern were given, except to explore a virtual reality scene naturally
The orientation estimation system has shown a competitive yaw drift of
$5^{\circ}$ per hour, given a low-cost sensor.
The visual-inertial position estimation is a Kalman Filter, with a state
consisting of translation and linear velocity as in Eq. 1.
$\mu_{t|t-1}=\begin{bmatrix}t_{x},v_{x},t_{y},v_{y},t_{z},v_{z}\end{bmatrix}^{T}$
(1)
The prediction step in Eq. 2
$(\mu,\Sigma)_{t-1|t-1}\rightarrow(\mu,\Sigma)_{t|t-1}$ runs at $500$ Hz on
accelerometer measurements $a_{t}$, which have: 1. Been brought into the
world coordinate frame by an orientation estimate; 2. The gravity vector
substracted; 3. The noise filtered by a high-pass filter .
The filter operates in 3D, but without a loss of generality, Eqs. 2, 3 are
formulated in 1D for compactness. $F$ is a state transition matrix, matrix $G$
maps an acceleration measurement to a state, matrix $H$ maps a state to a
velocity measurement.
$\begin{split}&\mu_{t|t-1}=F\mu_{t-1|t-1}+Ga_{t}\\\
&\Sigma_{t|t-1}=F\Sigma_{t-1|t-1}F^{T}+GQ_{t}G^{T}\\\
&F=\begin{bmatrix}1&\Delta t\\\ 0&1\end{bmatrix}\quad
G=\begin{bmatrix}\frac{{\Delta t}^{2}}{2}\\\ \Delta t\end{bmatrix}\quad\quad
H=\begin{bmatrix}0&1\end{bmatrix}\end{split}$ (2)
$Q_{t}$ and $R_{t}$ are accelerometer and velocity measurement covariances
respectively, maintained as empirical distributions in a sliding window
fashion.
The correction step in Eq. 3
$(\mu,\Sigma)_{t|t-1}\rightarrow(\mu,\Sigma)_{t|t}$ uses velocity $v_{t}$,
supplied by a visual tracker or a motion capture system (the latter is the
case in experiments, Sec. V-C).
$\displaystyle\begin{split}\mu_{t|t}&=\mu_{t|t-1}+K_{t}(v_{t}-H\mu_{t|t-1})\\\
\Sigma_{t|t}&=(I-K_{t}H)\Sigma_{t|t-1}\\\
K_{t}&=\Sigma_{t|t-1}H^{T}(H\Sigma_{t|t-1}H^{T}+R_{t})^{-1}\end{split}$ (3)
Timestamps in state subscripts imply that the visual tracker and the IMU run
by the aligned clock, which is not true in practice and moreover, the latency
of visual updates varies. The interpolation by agent kinematics would benefit
the system accuracy and may be implemented by e.g. a sliding window Kalman
Filter, but its practical realization is out of scope for the present study.
The main proposition is the way acceleration and velocity is provided to the
Kalman Filter: $a_{t}$, $v_{t}$ are replaced with zero pseudo-updates
$0^{3\times 1}$ when the system is in a stilless mode and processed normally
otherwise. The mode transitioning is further explained.
## IV Motion Detector
Pluto navigation system transitions to the pseudo-update mode by virtue of the
motion detector, which is a deep neural network-based two-class classifier,
taking a 3D acceleration data stream as an input and outputting a label
{motion, stillness}.
Windows of 3D acceleration data, registered during participants walking
forward, backward, or sideways, regadless of a head rotating, tilting, or
being kept still to the body are considered motion. Other windows of 3D
acceleration data, when a participant stays still on feet, even if their head
rotates or tilts, are considered stillness.
The input and output tensors are $[\text{B},100,3]$ and $[\text{B},1,2]$
respectively with dimensions encoding a batch size, time domain, and data
dimensionality. During inference the batch size is $1$ and data are supplied
by the sliding-window cache, which shifts by $1$ timestamp at consecutive
inference calls.
TCN (Temporal Convolutional Network), based on dilated causal convolutions,
has demonstrated superior performance on a wide range of sequence modeling
tasks [4]. Experiments (Tab. I) show that TCN overperforms baselines accuracy
by a notable margin in the accelerometer data classification.
Despite the higher accuracy, TCN is at a disadvantage from standpoint of
false-positive rate, which is required to be as low as possible for a virtual
reality application. We attribute that to the TCN output being independent of
the network inferences on previous timestamps. The proposed solution (Fig. 2)
stacks TCN with a stateful LSTM, which is fed with TCN logit outputs, hence
explicitly taking the temporal context into account. Networks are trained
separately.
Figure 2: Pluto motion detector architecture consists of the TCN classifier
(blue) and LSTM (purple) for smoothing over the logits. The numbers after
block names are hidden dimension sizes. The TCN blocks amount and kernel size
were selected to cover all timestamps in a sliding-window. The input is a
window of 3D acceleration data stream, outputs are likelihoods of possible
agent states: {motion, stillness}.
## V Experiments
A thorough evaluation of Pluto navigation system comprises two parts: 1.
Pluto motion detector classification performance is studied in comparison with
several baselines; 2. Pluto navigation system positioning drift is evaluated
under conditions of repeated tracking failures . We proceed with the
specification of collected data, which were made available for the benefit of
the research community222https://github.com/wf34/pluto.
### V-A Dataset
The dataset was taken with a headset prototype, spanning 30 min in time, where
4 subjects, varying in age and gender were asked to explore a virtual reality
scene, while moving freely and naturally (Fig. 1.a). During the procudure
following were collected: 1. IMU data (accelerometer, gyroscope,
magmetometer); 2. 6D positioning ground truth . High-precision 6D
positioning ground truth data were collected with a motion capture system
OptiTrack® at 125 Hz. The environment for the study was a well lighted lab
room, free of dynamic agents other than a subject. Recorded trajectories are
rich with sporadic movements, side- and backward steps, participants lean and
change direction and orientation restlessly, rotate and tilt their heads,
while observing a virtual reality scene. Data, registered from one of
subjects, are held out for testing, remaining data are used in a network
training. Such a partitioning enables to verify the networks ability to
generalize to inputs produced by a previously unseen person.
Experiments with the integrated navigation system (Sec. V-C) were carried out
on a testing partition, which was split onto $16$ sequences of variable length
($5\dots 12$ s) at random. Each of these tracks is having a simulated tracking
failure introduced, lasting a random time ($2\dots 8$ s) at a random offset
from the start. The four tracks shown in Fig. 5 are segments of a full
sequence from Fig. 1.d.
### V-B Motion Detection Performance
The agent state classification evaluation starts with a qualitative
visualization of motion starts and stops, as were registered by motion capture
and classified by Pluto motion detector on a testing sequence in Fig. 3.
Inferred labels do not lag and demonstrate a low false-positive rate. One
nuisance is that the system was unable to detect short stops between sequences
of steps in $53\dots 55$, $60\dots 65$ s., due to the participants head still
slightly moving, while the stepping paused. These micro stops are included in
ground truth class labels, which were automatically converted from 6D ground
truth trajectories with the following thresholding on velocity:
$\|v\|_{2}<0.2\ \frac{\text{m}}{\text{s}}$.
Networks converge despite a few mislabelings, but labeling imperfections also
produce outliers in evaluation. Fig. 4 shows detection delay histograms for
two most competitive algorithms. Classification time series from Fig. 3 allow
to claim that longer delays ($>5$ s) are absent in reality and appear on a
figure due to ground truth event mislabelings.
Figure 3: Pluto motion detector output labels in comparison with motion
capture ground truth labels (GT). A low false positive rate with low detection
delays are demonstrated.
Pluto motion detector is compared to LSTM, CNN, TCN, and a variant [31] of
signal processing classic, Otsu thresholding [32]. Results in Tab. I suggest
that TCN, a core building block of Pluto motion detector, is superior in terms
of accuracy, training time and weights amount. The variant of Adaptive Otsu
thresholding, which was employed in an evaluation, operates on a 1D signal (a
norm of registered acceleration $||a||_{2}$), maintains its histogram and
updates a threshold, which splits the histogram into two parts with a maximal
inter-class variance. A relation between a current sample and the threshold
value is used for classification.
TABLE I: Neural Networks Training and Inference
Model | #weights, M | Depth (in blocks) | Train time (per epoch, h) | Train | Validation | Test
---|---|---|---|---|---|---
| | | | accuracy, % | loss | accuracy, % | loss | accuracy, % | loss
CNN | 2.7 | 5 | 0.28 | 0.899 | 0.324 | 0.751 | 0.702 | 0.723 | 1.013
LSTM | 1.9 | 4 | 1.43 | 0.853 | 0.473 | 0.829 | 0.596 | 0.820 | 0.687
TCN | 1.4 | 4 | 0.62 | 0.948 | 0.139 | 0.889 | 0.304 | 0.871 | 0.371
Pluto | 1.4 + 0.04 | 4+3 | 1.55 | 0.901 | 0.244 | 0.811 | 0.437 | 0.869 | 0.376
TCN (a core building block of Pluto) is the best architecture for signal
processing of accelerometer. Pluto motion detector, which connects TCN to
LSTM, trades off a moderate accuracy loss for a radical false positive rate
reduction (Tab. III).
All evaluated networks were trained with a 3D signal, which is a registered
acceleration in the global coordinate frame. TCN and CNN networks were trained
with Adam optimizer, LSTM with RMSProp and the cross-entropy loss was used for
all. The hyperparameter choice (the sliding window size of $100$ timestamps =
$0.2$ s) was done by a grid search (with boundaries $0.05\dots 3$ s) on a
training partition with the baseline CNN network. All networks were
implemented in Tensorflow, underwent several iterations of coarse-to-fine
tuning, have approximately the same size and were trained for the same time.
The times per epoch in Tab. I were registered for CPU training at $20$ cores
on Intel Xeon E5. Pluto motion detector inference is tangible for realtime
running on the headset prototype at a decreased frequency (10 Hz).
The achieved accuracy of 87% (Tab. II) could have been improved by the dataset
increase, due to movements of the test subject (and their IMU accelerations)
laying outside of a network domain, because only a small set of
anthropometrically different study subjects comprise the training partition.
Nonetheless, network overfitting was avoided, which evidences from accuracy
being approximately equal on training and testing dataset partitions.
Attempted network training approaches, which have shown no classification
improvement are: data augmentation by affine transformations, input
dimensionality extension by gyroscope and magnetic data, pretraining on
synthetic333https://github.com/Aceinna/gnss-ins-sim accelerations.
TABLE II: Motion Detection Metrics
Method | Accuracy | Precision | Recall | $F_{1}$
---|---|---|---|---
Otsu | 0.746 | 0.758 | 0.745 | 0.752
Pluto | 0.874 | 0.825 | 0.960 | 0.887
Pluto motion detector shows a superior classification performance to the
baseline on a dataset testing partition (Sec. V-B).
User experience tests in virtual reality have shown a low correlation with the
accuracy metric because the temporal context is not captured: the metric value
is the same, whether a detection is off just by a one timestamp or $50$. To
adjust for that, an additional performance evaluation was conducted with [33]:
1. Mean detection delay; 2. Mean interval between false-positive detections
. These metrics, contrary to accuracy, precision, recall, $F_{1}$ are in a
temporal domain. The detection delay is a time in seconds since a labeled
ground truth event until its true positive detection, issued by the detector.
The interval between false positive detections is a time in seconds between
consecutive detections, issued by the detector and not having labeled ground
truth events corresponding to them.
Due to Tab. III, Pluto motion detector issues false positive detections 4
times less often than Otsu on average, while has approximately same true
positive detection delays. To capture results beyond a mean and variance, the
histogram of motion start detection delays is shown in Fig. 4.
A sizable variance in metric magnitudes is found in Tab. III. It is due to the
fact that neural network sensitivity is hard to adjust: LSTM has converged to
be very sensitive to signal changes while producing more false positives,
while TCN is less prone to false positive detections, but results in longer
delays. The proposed motion detector Pluto combines advantages of both,
enabling the higher responsiveness with fewer false positives.
\begin{overpic}[width=390.25534pt]{mdd_distribs-eps-converted-to.pdf}
\put(-3.0,54.0){\scriptsize{Events, \\#}} \put(45.0,-3.0){\scriptsize{Time,
s}} \end{overpic} Figure 4: Histogram of delays in detecting a motion start,
[sec]. Pluto motion detector shows more low-delay events when compared to
adaptive Otsu thresholding. Outliers in a right part of the domain were
addressed in Sec. V-B TABLE III: Motion Detection Performance, [sec]
Method | Starts Detection | Stops Detection
---|---|---
Detection Delay${}^{\textit{l}}$ | Interval between false positives${}^{\textit{h}}$ | Detection Delay${}^{\textit{l}}$ | Interval between false positives${}^{\textit{h}}$
| $\mu$ | $\sigma$ | $\mu$ | $\sigma$ | $\mu$ | $\sigma$ | $\mu$ | $\sigma$
Otsu | 2.409 | 2.324 | 8.856 | 12.013 | 1.435 | 1.205 | 11.060 | 10.829
CNN | 2.634 | 17.182 | 0.487 | 0.890 | 0.316 | 0.568 | 0.492 | 0.881
LSTM | 0.292 | 0.346 | 0.188 | 0.431 | 0.252 | 0.246 | 0.188 | 0.429
TCN | 1.893 | 1.935 | 2.125 | 2.969 | 1.071 | 1.195 | 2.160 | 3.242
Pluto | 2.389 | 2.128 | 14.889 | 18.425 | 1.771 | 1.427 | 40.093 | 48.488
Pluto motion detector shows low detection delays and high intervals between
false alarms, outperforming competitors in $\frac{\text{MDD}}{\text{MFPI}}$
for start and stop events both. ${}^{\textit{l}}$ means lower is better;
${}^{\textit{h}}$ means higher is better.
### V-C Effects of Motion Detection on Navigation
The motion detector capable of discerning an agents stillness and motion may
benefit a navigation system, as proposed in Sec. III. The claim is evaluated
in simulated experiments, conducted on $16$ sequences, taken from testing
data, as described in Sec. V-A. The navigation system is a Kalman filter, with
prediction steps by IMU acceleration and correction steps by linear velocity.
Velocity and orientation estimates are provided by a motion capture system.
Velocity updates are not provided during a simulated tracking failure.
The navigation precision is estimated by Relative Positioning Drift, which is
a fraction of error accumulated by a navigation system since the specified
point in time. In a present case, the reference timestamp was chosen such that
a relative path between the reference timestamp and the current timestamp is
$1$ m, measured by a motion capture system. The mean average relative drift
over $16$ sequences and its variance are presented in Tab. IV. Also, four
sequences were picked at random and visualized in Fig. 5.
Obtained results show that motion detector capabilities may substantially
improve the resilience of a navigation system in a face of tracking failures.
TABLE IV: Relative Positioning Drift, %
| if no STF KF = Pluto | Pluto with STF | KF with STF
---|---|---|---
$\mu$ | $\sigma$ | $\mu$ | $\sigma$ | $\mu$ | $\sigma$
Avg over $16$ seqs | 2.52 | 0.93 | 7.58 | 7.28 | 12.95 | 15.07
The baseline (KF) shows 5 times drift increase under conditions of simulated
tracking failures (STF) relative to a failure-free tracking, while drift of
the proposed Pluto system grows moderately.
|
---|---
|
Figure 5: Navagation system trajectories, produced in Sec. V-C experiments
and selected at random, provide comparison of Pluto (blue) with the ground
truth (red) and the baseline (Kalman filter, black). Pluto navagation system
is less prone to drift during tracking failures.
## VI Conclusions
A neural network-based motion detector was proposed, which classifies motion
and stillness states of an agent with 87% accuracy while generalizing to the
motion pattern of a person, who was not present in training data. The detector
is capable of online operation, with the mean interval between false-positive
detections more than 14 seconds, which is longer than a typical relocalization
time of a computer vision-based navigation system.
The impact of the motion classification on navigation was demonstrated,
decreasing positioning drift by 40% in a repeated tracking failure scenario. A
practical drift reduction could be even higher because virtual reality users
tend to move less during a tracking failure occurrence. In that case, the
motion detector would register stillness and update a system kinematic state
accordingly. A working prototype was developed and tested; a high-quality
dataset with motion capture ground truth was obtained and made available.
We are grateful to Ilya Nedelko, Sergiy Pometun, Oleg Muratov, Tarek Dakhran,
Andriy Marchenko and Mikhail Rychagov for their contributions and support. We
extend gratitude to anonymous reviewers for the thoughtful input.
## References
* [1] Darío R. Colomer, Gonçalo Lopes, Danbee Kim, Cedric Honnet, David Moratal and Adam Kampff “HIVE Tracker: a tiny, low-cost, and scalable device for sub-millimetric 3D positioning” In _Augmented Human_ 9, 2018, pp. 1–8
* [2] Eagle S Jones and Stefano Soatto “Visual-inertial navigation, mapping and localization: A scalable real-time causal approach” In _The International Journal of Robotics Research_ 30.4 SAGE Publications Sage UK: London, England, 2011, pp. 407–430
* [3] Christopher Verplaetse “Inertial proproceptive devices: Self-motion-sensing toys and tools” In _IBM Systems Journal_ 35.3.4 IBM, 1996, pp. 639–650
* [4] S Bai, JZ Kolter and V Koltun “An empirical evaluation of generic convolutional and recurrent networks for sequence modeling. arXiv 2018” In _arXiv preprint arXiv:1803.01271_
* [5] Robert Harle “A survey of indoor inertial positioning systems for pedestrians.” In _IEEE Communications Surveys and Tutorials_ 15.3, 2013, pp. 1281–1293
* [6] Li Xiaofang, Mao Yuliang, Xie Ling, Chen Jiabin and Song Chunlei “Applications of zero-velocity detector and Kalman filter in zero velocity update for inertial navigation system” In _Guidance, Navigation and Control Conference (CGNCC)_ , 2014, pp. 1760–1763 IEEE
* [7] Isaac Skog, John-Olof Nilsson and Peter Händel “Evaluation of zero-velocity detectors for foot-mounted inertial navigation systems” In _Indoor Positioning and Indoor Navigation (IPIN)_ , 2010, pp. 1–6 IEEE
* [8] Raul Feliz, Eduardo Zalama and Jaime Gómez-García-Bermejo “Pedestrian Tracking Using Inertial Sensors” In _Journal of Physical Agents_ 3, 2009 DOI: 10.14198/JoPha.2009.3.1.05
* [9] Dominik Gusenbauer, Carsten Isert and Jens Krösche “Self-contained indoor positioning on off-the-shelf mobile devices” In _Indoor positioning and indoor navigation (IPIN)_ , 2010, pp. 1–9 IEEE
* [10] X. Sun, K. Wu, Y. Li and Kaichang Di “A Zupt-Based Method for Astronaut Navigation on Planetary Surface and Performance Evaluation under Different Locomotion Patterns” In _ISPRS - International Archives of the Photogrammetry, Remote Sensing and Spatial Information Sciences_ XL-4, 2014, pp. 239 DOI: 10.5194/isprsarchives-XL-4-239-2014
* [11] Maria Garcia Puyol, Dmytro Bobkov, Patrick Robertson and Thomas Jost “Pedestrian simultaneous localization and mapping in multistory buildings using inertial sensors” In _IEEE Transactions on Intelligent Transportation Systems_ 15.4 IEEE, 2014, pp. 1714–1727
* [12] Santiago Cortés, Arno Solin and Juho Kannala “Deep Learning Based Speed Estimation for Constraining Strapdown Inertial Navigation on Smartphones” In _IEEE 28th International Workshop on Machine Learning for Signal Processing (MLSP)_ , 2018, pp. 1–6 IEEE
* [13] Tobias Feigl, Sebastian Kram, Philipp Woller, Ramiz H Siddiqui, Michael Philippsen and Christopher Mutschler “RNN-aided human velocity estimation from a single IMU” In _Sensors_ 20.13 Multidisciplinary Digital Publishing Institute, 2020, pp. 3656
* [14] João Paulo Monte Lima, Hideaki Uchiyama and Rin-ichiro Taniguchi “End-to-End Learning Framework for IMU-Based 6-DOF Odometry” In _Sensors_ 19.17 Multidisciplinary Digital Publishing Institute, 2019, pp. 3777
* [15] Wenxin Liu, David Caruso, Eddy Ilg, Jing Dong, Anastasios I Mourikis, Kostas Daniilidis, Vijay Kumar and Jakob Engel “TLIO: Tight Learned Inertial Odometry” In _IEEE Robotics and Automation Letters_ 5.4 IEEE, 2020, pp. 5653–5660
* [16] Brandon Wagstaff and Jonathan Kelly “LSTM-based zero-velocity detection for robust inertial navigation” In _2018 International Conference on Indoor Positioning and Indoor Navigation (IPIN)_ , 2018, pp. 1–8 IEEE
* [17] Hang Yan, Qi Shan and Yasutaka Furukawa “RIDI: Robust IMU double integration” In _Proceedings of the European Conference on Computer Vision (ECCV)_ , 2018, pp. 621–636
* [18] Pragun Goyal, Vinay J Ribeiro, Huzur Saran and Anshul Kumar “Strap-down pedestrian dead-reckoning system” In _Indoor Positioning and Indoor Navigation (IPIN)_ , 2011, pp. 1–7 IEEE
* [19] Wenchao Jiang and Zhaozheng Yin “Human tracking using wearable sensors in the pocket” In _IEEE Global Conference on Signal and Information Processing (GlobalSIP)_ , 2015, pp. 958–962 IEEE
* [20] Stéphane Beauregard “A helmet-mounted pedestrian dead reckoning system” In _3rd International Forum on Applied Wearable Computing (IFAWC)_ , 2006, pp. 1–11 VDE
* [21] Jens Windau and Laurent Itti “Walking compass with head-mounted IMU sensor” In _2016 IEEE International Conference on Robotics and Automation (ICRA)_ , 2016, pp. 5542–5547 IEEE
* [22] Martin Gjoreski, Hristijan Gjoreski, Mitja Luštrek and Matjaž Gams “Recognizing atomic activities with wrist-worn accelerometer using machine learning” In _Proceedings of the 18th International Multiconference Information Society (IS), Ljubljana, Slovenia_ , 2015, pp. 10–11
* [23] Francisco Javier Ordóñez and Daniel Roggen “Deep convolutional and lstm recurrent neural networks for multimodal wearable activity recognition” In _Sensors_ 16.1 Multidisciplinary Digital Publishing Institute, 2016, pp. 115
* [24] Davide Anguita, Alessandro Ghio, Luca Oneto, Xavier Parra and Jorge Reyes-Ortiz “Human Activity Recognition on Smartphones Using a Multiclass Hardware-Friendly Support Vector Machine” In _Ambient Assist. Living Home Care_ 7657, 2012, pp. 216–223 DOI: 10.1007/978-3-642-35395-6_30
* [25] “LSTMs for Human Activity Recognition”, 2018 URL: https://github.com/guillaume-chevalier/LSTM-Human-Activity-Recognition
* [26] Zuolei Sun, Xuchu Mao, Weifeng Tian and Xiangfen Zhang “Activity Classification and Dead Reckoning for Pedestrian Navigation with Wearable Sensors” In _Measurement Science and Technology_ 20, 2008, pp. 015203 DOI: 10.1088/0957-0233/20/1/015203
* [27] Jesperi Rantanen, Maija Makela, Laura Ruotsalainen and Martti Kirkko-Jaakkola “Motion Context Adaptive Fusion of Inertial and Visual Pedestrian Navigation”, 2018, pp. 206–212 DOI: 10.1109/IPIN.2018.8533872
* [28] Steven M LaValle, Anna Yershova, Max Katsev and Michael Antonov “Head tracking for the Oculus Rift” In _International Conference on Robotics and Automation (ICRA)_ , 2014, pp. 187–194 IEEE
* [29] Talat Ozyagcilar “Calibrating an ecompass in the presence of hard and soft-iron interference” In _Freescale Semiconductor Ltd_ , 2012, pp. 1–17
* [30] Alessandro Foi, Vladimir Katkovnik and Karen Egiazarian “Pointwise shape-adaptive DCT for high-quality denoising and deblocking of grayscale and color images” In _IEEE Transactions on Image Processing_ 16.5 IEEE, 2007, pp. 1395–1411
* [31] A Migukin, D Kovalenko, S Ryabkova and V Chernov “Method and device for strap down inertial navigation”, RU Patent 2685767C1, 2018.08.13
* [32] Nobuyuki Otsu “A threshold selection method from gray-level histograms” In _IEEE transactions on systems, man, and cybernetics_ 9.1 IEEE, 1979, pp. 62–66
* [33] “Detection of abrupt changes: theory and application” Prentice Hall Englewood Cliffs
| arxiv-papers | 2021-07-26T08:38:50 | 2024-09-04T03:07:18.042084 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Dmitri Kovalenko, Artem Migukin, Svetlana Ryabkova, Vitaly Chernov",
"submitter": "Dmitri Kovalenko",
"url": "https://arxiv.org/abs/2107.12030"
} |
2107.12049 | ../figures/
# SVEva Fair: A Framework for Evaluating Fairness in Speaker Verification
Wiebke Toussaint [email protected] and Aaron Yi Ding Delft University
of TechnologyThe Netherlands
(2021)
###### Abstract.
Despite the success of deep neural networks (DNNs) in enabling on-device voice
assistants, increasing evidence of bias and discrimination in machine learning
is raising the urgency of investigating the fairness of these systems. Speaker
verification is a form of biometric identification that gives access to voice
assistants. Due to a lack of fairness metrics and evaluation frameworks that
are appropriate for testing the fairness of speaker verification components,
little is known about how model performance varies across subgroups, and what
factors influence performance variation. To tackle this emerging challenge, we
design and develop SVEva111SVEva stands for Speaker Verification Evaluation.
Fair, an accessible, actionable and model-agnostic framework for evaluating
the fairness of speaker verification components. The framework provides
evaluation measures and visualisations to interrogate model performance across
speaker subgroups and compare fairness between models. We demonstrate SVEva
Fair in a case study with end-to-end DNNs trained on the VoxCeleb datasets to
reveal potential bias in existing embedded speech recognition systems based on
the demographic attributes of speakers. Our evaluation shows that publicly
accessible benchmark models are not fair and consistently produce worse
predictions for some nationalities, and for female speakers of most
nationalities. To pave the way for fair and reliable embedded speaker
verification, SVEva Fair has been implemented as an open-source python library
and can be integrated into the embedded ML development pipeline to facilitate
developers and researchers in troubleshooting unreliable speaker verification
performance, and selecting high impact approaches for mitigating fairness
challenges.
speaker verification, fairness, evaluation framework, fair embedded machine
learning
††copyright: acmcopyright††journalyear: 2021††conference: ; Special Issue on
Accelerating AI on the Edge; ACM TECS††booktitle: Special Issue on
Accelerating AI on the Edge††ccs: Computing methodologies Speech
recognition††ccs: Computer systems organization Embedded systems††ccs:
Computer systems organization Reliability
## 1\. Introduction
Today, over 4 billion voice assistants are deployed on mobile phones and smart
devices. This number is estimated to double in the next 3 years. Speaker
verification components offer voice-based biometric identification in
automated speech recognition systems on mobile phones and smart speakers. In
recent years, deep neural networks (DNN) have become the state-of-the-art
approach for developing speaker verification components (Snyder et al., 2017;
Li et al., 2017; Snyder et al., 2018). A key advantage of DNNs is that they
can be trained in an end-to-end fashion using only speaker labels (Heigold et
al., 2016). End-to-end DNN models greatly simplify model training and
inference, which makes them particularly attractive for embedded applications.
Running speaker verification components on-device is desirable, as this
reduces latency and privacy concerns associated with sending sensitive data to
the cloud. Considerable research efforts have thus been invested into
developing speaker verification components for low-resource devices that can
be deployed with streaming data input in real-time (He et al., 2019). Pre-
trained speaker verification DNN models are now publicly available (Heo et
al., 2020) and can be accessed easily by developers to build new voice-based
applications that incorporate speaker verification.
Despite the commercial success of on-device voice assistants and speaker
verification, automated speech recognition systems are increasingly
scrutinised for being biased (Koenecke et al., 2020). These investigations
follow trends in the broader machine learning (ML) community, which is
uncovering increasing forms of bias and discrimination in machine learning
systems (Mehrabi et al., 2019). Fairness has thus become an important
consideration in the development of machine learning applications and in the
framing of ethical artificial intelligence (Mittelstadt et al., 2016). In the
speech recognition community it is well known that speaker demographics such
as age, accent and gender affect the performance of speaker verification
(Hansen and Hasan, 2015). Speaker and technology variability is amplified in
embedded applications, which are context dependent, operate on heterogeneous
devices, and cater to very diverse populations of end-users. It is thus
surprising that fairness is under-researched in the speaker verification
community. Currently, speaker verification models and frameworks do not
measure model performance across speaker subgroups. Consequently, little is
known about how model performance varies across subgroups, and what factors
influence performance variation. To ensure reliable performance in embedded
systems, deeper evaluation of speaker verification components is needed to
characterise fairness. In particular, tools that test the consistency of model
performance across demographic speaker subgroups could support the evaluation
of fairness of speaker verification components.
This paper contributes an accessible, actionable and model-agnostic framework
for evaluating the fairness of speaker verification components. The framework
provides evaluation measures and visualisations to interrogate model
performance across speaker subgroups and compare fairness between models. The
objective of doing this is to support developers and researchers in
troubleshooting unreliable speaker verification performance, and selecting
high impact approaches for mitigating fairness challenges. The framework is
aligned with speaker verification evaluation best practice and aims to be
compliant with the EU legal framing of non-discrimination. It has been
implemented and open-sourced as a python library, SVEva Fair. We demonstrate
the potential of SVEva Fair in an in-depth fairness analysis of the open-
source speaker verification benchmark VoxCeleb Trainer, trained on the popular
VoxCeleb datasets. This paper is one of the first research studies to
investigate fairness considerations in embedded machine learning (ML)
applications and contributes to the growing body of work on testing
methodologies for embedded ML in the IoT context. Our findings shall inspire
future research towards fair and reliable embedded speaker verification, and
the responsible development of Edge AI.
The paper is structured as follows. In Section 2. we start by providing a
background on speaker verification and its evaluation, review related work on
fairness in ML and on fair speaker verification. We then present an overview
of SVEva Fair, our proposed framework for evaluating fairness of speaker
verification components in Section 3. In Section 4 we introduce a case study
in which we use SVEva Fair to evaluate the fairness of pre-trained models
released with the VoxCeleb Trainer benchmark. We present the evaluation of the
case study in Section 5, and a detailed discussion of results, insights and
limitations in Section 6. Finally, in Section 7 we highlight directions for
future work and conclude.
## 2\. Background and Related Work
### 2.1. Overview of Speaker Verification
Speaker verification tasks are classified as text-dependent if the spoken
phrases are fixed or text-independent if not, prompted if text is read or
spontaneous if not (Greenberg et al., 2020). Spontaneous text-independent
speaker verification is the most general task and the one that we investigate
in this study. The traditional speaker verification protocol consists of three
stages: model training, speaker enrollment and evaluation of speaker pairs.
End-to-end speaker verification with DNNs combines all three stages in a
single model (Heigold et al., 2016). Early DNN-based approaches extracted
features from utterances of enrolled speakers to generate speaker embeddings,
and then optimised an objective function of the distance between same speaker
and different speaker embedding pairs (Snyder et al., 2017). During
evaluation, utterances from enrolled and test speakers were then scored by the
distance metric used in the objective function. Current state-of-the-art
approaches use convolutional neural networks, which have been very successful
in computer vision tasks, to directly learn speaker embeddings from audio
spectrograms (Nagrani et al., 2017). These architectures are trained on paired
embeddings, and aim to minimise the distance between embeddings from the same
speaker, while maximising the distance between embeddings of different
speakers. During inference, the DNN model outputs a distance-based score
between the enrolled and test speaker embeddings, which corresponds directly
to speaker similarity.
### 2.2. Speaker Verification Evaluation
Figure 1 shows an example of the output score distribution of an end-to-end
DNN based speaker verification model. The performance of a speaker
verification component is determined by its false positive rate (FPR) and
false negative rate (FNR) at a particular threshold value to which the
component has been tuned (Greenberg et al., 2020). Speaker embeddings with a
score value to the left of the threshold are classified as unauthorised, while
embeddings with scores to the right of the threshold are classified as
authorised. As the two distributions overlap, classification is not perfect.
At a selected threshold value there will be false positives, i.e. unauthorised
speakers with a score value to the right of the threshold, and false
negatives, i.e. authorised speakers with a score to the left of the threshold.
The two error rates are influenced by the size of the overlapping area, as
well as the shape, the skew and the kurtosis of the distributions. The dotted
lines in Figure 1 are popular thresholds at which speaker verification models
are evaluated. The equal error rate (EER) is the threshold at which the FPR
and FNR are the same. At the $min\ C_{Det}$ threshold the detection cost
function (see Eq. 1) is minimised.
Figure 1. Distribution of speaker verification scores: the right distribution
are trials with a positive label (speaker authorised), the left distribution
are trials with a negative label (speaker unauthorised).
In embedded speaker verification systems the FNR can reduce the usability and
safety of the system, while the FPR can compromise security and privacy. It is
accepted that the two error rates present a trade-off. Selecting an
appropriate threshold is considered an application-specific design decision
(NIST, 2020). Effective visualisations can be used to analyse the trade-off
and consider system performance across various thresholds. Detection Error
Trade-off (DET) curves as shown in Figure 2 visualise the FPR and FNR at
different operating thresholds on the x- and y-axis of a normal deviate scale
(Martin et al., 1997). They are the recommended approach for visualising
speaker verification model performance (Greenberg et al., 2020).
Figure 2. Detection Error Trade-off (DET) Curve of a speaker verification model: the blue line shows false positive and false negative error rates at different score values. For example, at the blue triangle the score = -1.024, FPR = 0.27% and FNR = 10.36% Name | Organiser | Years | Metrics
---|---|---|---
NIST SRE (Greenberg et al., 2020) | US National Inst. of Standards & Tech. | 1996 - 2021 | Detection Cost Function
Speakers in the Wild SRC (McLaren et al., 2016) | at Interspeech 2016 | 2016 | $min\ C_{Det}$* (SRE2016), $R_{prec}$, $C_{llr}$
VoxCeleb SRC (Nagrani et al., 2020a) | Oxford Visual Geometry Group | 2019 - 2021 | $min\ C_{Det}$* (SRE2018), EER
Far-Field SVC (Qin et al., 2020) | at Interspeech 2020 | 2020 | $min\ C_{Det}$*, EER
Short Duration SVC (Zeinali et al., 2020) | at Interspeech 2021 | 2020 - 2021 | $norm\ min\ C_{Det}$* (SRE08)
SUPERB benchmark (Yang et al., 2021) | CMU, JHU, MIT, NTU, Facebook AI | 2021 | EER*
Table 1. Evaluation metrics for Speaker Verification and Recognition
Challenges (SVC and SRC) (* denotes primary metric)
Speaker recognition challenges have played an important role in evaluating and
benchmarking advances in speaker verification techniques. They were first
initiated within the Information Technology Laboratory of the US National
Institute of Standards and Technology (NIST) to conduct evaluation driven
research on automated speech recognition (Greenberg et al., 2020). The NIST
Speaker Recognition Evaluation (SRE) challenges and their associated
evaluation plans have been important drivers of speaker verification
evaluation, and remain the dominant guideline in the field. Table 1 summarises
recent challenges, their organisers and the metrics used for evaluation. The
NIST SREs recommend the use of the detection cost function, a weighted sum of
FPRs and FNRs, for evaluating speaker verification performance. Most
challenges have adopted the minimum of the detection cost function, $min\
C_{Det}$, as their primary metric. However, the NIST SREs have modified this
function over time, and different challenges use different versions of the
metric. In this study we adopt the detection cost function in Equation 1 from
the NIST SRE 2019 Evaluation Plan (NIST, 2019).
(1) $\begin{split}C_{Det}\left(\theta\right)&=C_{FN}\times P_{Target}\times
P_{FN}\left(\theta\right)+C_{FP}\times\left(1-P_{Target}\right)\times
P_{FP}\left(\theta\right)\\\
&P_{Target}=0.05,\;C_{FN}=1,\;C_{FP}=1\end{split}$
Even though the EER is a popular error metric in many of the challenges, the
NIST SREs do not promote its use for speaker verification evaluation
(Greenberg et al., 2020), as it cannot weight false positives and false
negatives differently. Yet, most applications strongly favour either a low FPR
or a low FNR. With the exception of some historic NIST evaluations that have
considered speaker verification performance for particular demographic groups,
none of the challenges consider fairness.
### 2.3. Fairness in Machine Learning
Fairness in machine learning (ML) has been studied extensively over the past
decade (Mehrabi et al., 2019). Fairness issues constitute a discriminatory
action, typically against an individual or a group of people with one or more
protected attributes (Mehrabi et al., 2019). Protected attributes can be
location and context dependent, and are often defined by law such that
discrimination based on these attributes is illegal. EU non-discrimination
law, for example, prohibits both direct and indirect discrimination based on
race and ethnicity, gender, religion and belief, age, disability, or sexual
orientation (Wachter et al., [n.d.]). Indirect discrimination happens when an
attribute that seems to be independent of protected attributes is used in
decision making such that it inadvertently disadvantages a protected group.
With increasing global-scale commercial deployments of ML products,
investigating and evaluating fairness of ML products has significant evidence
in the literature and is a matter of urgency (Buolamwini and Gebru, 2018).
Numerous metrics have been proposed to evaluate fairness of ML systems (Verma
and Rubin, 2018). Fairness metrics can be categorised as individual, group or
subgroup fairness (Mehrabi et al., 2019). Group fairness metrics treat
different groups of people equally, which aligns with our objective of
evaluating the performance of speaker verification components across
demographic subgroups. However, not all metrics evaluate fairness on equal
grounds. Metrics may thus lead to different outcomes when judging the fairness
of ML systems, which makes the selection of fairness metrics a normative
decision (Wachter et al., [n.d.]). The choice of metric matters less when it
is used for diagnostic and testing purposes, and when ground-truth labels can
be known exactly. This reduces the constraints on selecting an appropriate
metric for evaluating the fairness of speaker verification components during
the development process, as speaker labels are always exactly known. Four
common metrics that evaluate group fairness are demographic parity,
conditional statistical parity, equal opportunity and equalised odds (Mehrabi
et al., 2019). The first three of these metrics only consider the fairness
based on positive outcomes, or the true positive rate. Equalised odds, on the
other hand, requires protected and unprotected groups to have equal true and
false positive rates. Mathematically this is equivalent to equal FNR and FPR
across groups (Verma and Rubin, 2018). Speaker verification components must
trade-off FPR and FNR, which makes the equalised odds metric the most
appropriate fairness definition for our application.
### 2.4. Fair Speaker Verification
It is well known that automated speech recognition is sensitive to demographic
attributes of speakers (Hansen and Hasan, 2015). In the past the effect of
this has been investigated on telephone and broadcast corpora (Adda-Decker and
Lamel, 2005). More recently, studies have produced evidence that commercial
automated caption systems have a higher word error rate for speakers of colour
(Tatman and Kasten, 2017). Similar racial disparities exist in commercial
speech-to-text systems, which are strongly influenced by pronunciation and
dialect (Koenecke et al., 2020). In the speaker verification domain, research
on fairness is scarce. Fenu et. al propose a benchmark to evaluate the
fairness of end-to-end deep learning models with Thin-ResNet and X-vector
architectures (Fenu et al., 2020). The study trains several models of young
and old, female and male speakers in English and Spanish using the Mozilla
Common Voice dataset. The benchmark is limited in that it only considers the
EER metric, and the fairness evaluation appears to be done in a manual and
adhoc manner as no fairness metric has been defined. This highlights the need
for a rigorous speaker verification evaluation framework to test fairness in a
reliable manner.
End-to-end speaker verification with deep neural networks has delivered state-
of-the art results for speaker verification in modern speech recognition
systems. Today, these speaker verification components are deployed on billions
of commercially-available consumer products, like voice assistants on mobile
phones and smart speakers. Yet, even though it is well known that deep neural
networks often produce biased and discriminatory predictions, issues of
fairness are currently not considered in the evaluation of speaker
verification models. SVEva Fair aims to address this gap with a framework for
evaluating the fairness of speaker verification components.
## 3\. SVEva Fair
This section describes the SVEva Fair evaluation framework. The objective of
the framework is to evaluate the fairness of speaker verification components
across demographic subgroups in the development of edge intelligence
applications. Fairness is currently not covered by other frameworks that
evaluate speaker verification or embedded ML systems. With SVEva Fair we aim
to equip application developers of speaker verification components with a tool
to interrogate two questions:
1. (1)
Fairness: Does the performance of speaker verification components vary across
speaker subgroups for a particular model?
2. (2)
Comparison: How does fairness compare across speaker verification models?
SVEva Fair is intended to be used as a domain-specific diagnostic tool that
can assist developers in testing the fairness of speaker verification
components, while facilitating the development workflow. With this in mind,
SVEva Fair has been developed to satisfy the following design principles:
* •
model and inference workflow agnostic
* •
aligned with best practice for speaker verification evaluation
* •
accessible to developers
* •
actionable, supporting informed decision-making for developing and deploying
fair speaker verification
* •
compliant with the EU legal framing of non-discrimination
Next we present the evaluation measures developed for SVEva Fair, and provide
an overview of the evaluation workflow.
### 3.1. Evaluation Measures
Speaker verification components are used as a form of biometric identification
in embedded systems and mobile devices. They thus function as gate keepers
that filter out intruders who are not authorised to access the services
enabled by a product. The performance of a speaker verification component for
a particular application is determined by its false positive and false
negative rate at the threshold value to which the component has been tuned. In
a consumer product context we define speaker verification as fair if the
component works equally well for all users, that is, if performance does not
depend on a user’s protected attributes such as age, sex or race. The
detection cost $C_{Det}$ (see eq. 1), which is used to evaluate speaker
verification models, is a weighted sum of false positive and false negative
error rates at a particular threshold value. Weights should be determined
based on the requirements of the application. $C_{Det}$ can be viewed as a
weighted equalised odds ratio, which in its unweighted form is a popular
fairness metric. We thus use $C_{Det}$ as a proxy for fairness. In a fair
speaker verification component, the $C_{Det}$ values of individual subgroups
should lie close to each other and close to the overall $C_{Det}$ value for
all subgroups.
#### 3.1.1. Subgroup $C_{Det}$ Ratios
SVEva Fair treats fairness as a relative measure that is determined by
comparing the speaker verification performance of a subgroup against a
baseline. Given a test dataset for evaluation, we use the overall test set
performance as baseline against which we compare the performance for all
subgroups. For each subgroup we evaluate the performance at two threshold
values, the overall minimum detection cost of the test set,
$C_{Det}\left(\theta_{@\ overall\ min}\right)$, and the subgroup minimum
$C_{Det}\left(\theta_{@\ SG\ min}\right)$. For a fair speaker verification
component the performance of all subgroups should lie within an acceptable
range of the baseline’s overall minimum detection cost. We quantify the
relative fairness for each subgroup with the ratio of the subgroup
$C_{Det}\left(\theta\right)^{SG}$ to the overall
$C_{Det}\left(\theta\right)^{overall}$ at the overall minimum threshold value.
If the ratio is greater than 1, the subgroup performance is worse than the
overall performance, and the speaker verification component is not fair for
that subgroup.
(2) ${C_{Det}\ ratio\ overall_{min}}^{SG}=\frac{C_{Det}\left(\theta_{@\
overall\ min}\right)^{SG}}{C_{Det}\left(\theta_{@\ overall\
min}\right)^{overall}}$
For optimal subgroup performance we would also expect that the subgroup
minimum $C_{Det}\left(\theta_{@\ SG\ min}\right)^{SG}$ lies close to the
subgroup detection cost at the overall minimum, $C_{Det}\left(\theta_{@\
overall\ min}\right)^{SG}$. We calculate the ratio between the two detection
costs to determine if there is potential performance gain from tuning
thresholds to individual subgroups. If the ratio is less than 1, then the
subgroup performance will benefit from being tuned to the threshold at its own
minimum, rather than the overall minimum $C_{Det}$.
(3) ${C_{Det}\ ratio\ SG_{min}}^{SG}=\frac{C_{Det}\left(\theta_{@\ SG\
min}\right)^{SG}}{C_{Det}\left(\theta_{@\ overall\ min}\right)^{SG}}$
While the subgroup $C_{Det}$ ratios are a useful measure for analysing intra-
model fairness, they are specific to their subgroups and cannot be used to
compare fairness across models.
#### 3.1.2. Fairness Index from $C_{Det}$ Ratios
We define a Fairness Index to interrogate which model reduces the performance
of subgroups the least, and thus compare fairness across models. The Fairness
Index is calculated from the $C_{Det}$ ratios to quantify the total
performance reduction of a model across all subgroups ($SG$). Only those
subgroups that experience a performance reduction contribute to the index, as
this has negative real-life consequences. The closer the index is to 0, the
fairer the model, meaning the lower the difference between the overall model
performance and subgroup performance. The Fairness Index is an dimensionless
measure that quantifies the relative performance reduction of a model across
subgroups. It can thus be used to compare fairness across models.
(4) $Fairness\ Index=\sum_{SG}{C_{Det}\ ratio\
overall_{min}}^{SG}-1\left[{C_{Det}\ ratio\ overall_{min}}^{SG}>1\right]$
#### 3.1.3. Visualisation
SVEva Fair supports three types of visualisation: DET curves, score
distributions and $C_{Det}$ ratios. The DET curves visualise the possible
performance range for individual subgroups, or a selection of subgroups and
models. A baseline DET curve can be added to compare subgroup DETs to the
overall DET, and various threshold values can be plotted: the equal error rate
and minimum $C_{Det}$ threshold values, optimised for overall performance and
for each subgroup. The DET curves enable model comparison at a glance, and
give a first impression of the subgroups for which the model is not fair.
While the DET curves are a translation of model outputs into false positive
and false negative rates, the score distributions give deeper insights into
the actual model output. Visualising how predictions are distributed can be
helpful to gain an understanding of the limits of the model, and thus
determine mitigation strategies for fairness challenges. Like the DET curves,
the score distributions can be visualised for individual subgroups or compared
across subgroups and models. Finally, the plot of $C_{Det}$ ratios focuses on
fairness only, and visualises the ${C_{Det}\ ratio\ overall_{min}}^{SG}$ of
all subgroups across two models. This highlights variations in subgroup
performance within a model and facilitates the comparison of subgroup
performance across the models.
### 3.2. Overview of Evaluation Framework
Figure 3. SVEva Fair Framework for evaluating fairness of speaker verification
components
Figure 3 shows the SVEva Fair evaluation framework. The framework has been
implemented as an open-source python
library222https://github.com/wiebket/sveva-fair. The inputs to the framework
are a speaker verification model, labelled test data, and demographic meta-
data. The speaker verification model is treated as a black box, as long as the
output is a distribution of numerical scores. The model is used to infer
scores for the test data. These scores are then submitted to SVEva Fair,
together with the true labels of the test data and associated speaker meta-
data for constructing relevant subgroups. SVEva Fair is then used to compute
$C_{Det}$ ratios, to visualise DET curves, score distributions and $C_{Det}$
ratios across models, and to compute the Fairness Index. The outputs of the
framework can be used to evaluate the fairness of individual speaker
verification models and to compare models. These insights are important inputs
for determining strategies to improve the fairness of speaker verification
components. Next we present a case study using SVEva Fair.
## 4\. Case Study with VoxCeleb Trainer
In this section we demonstrate the use of SVEva Fair by evaluating the
fairness of models released with VoxCeleb Trainer (Heo et al., 2020), an open-
source speaker verification training framework. VoxCeleb Trainer uses the
popular VoxCeleb datasets (Nagrani et al., 2020b), which are also used in
other speaker verification benchmarks (Yang et al., 2021) and challenges
(NIST, 2020)(Nagrani et al., 2020a). At the time of writing, VoxCeleb Trainer
is recommended as the unofficial baseline code for speaker verification using
the VoxCeleb datasets333https://www.robots.ox.ac.uk/
vgg/data/voxceleb/vox2.html. SVEva Fair is one of the first comprehensive
studies looking at fairness of speaker verification models trained with the
VoxCeleb datasets.
### 4.1. The VoxCeleb Datasets
The VoxCeleb datasets (Nagrani et al., 2020b), VoxCeleb1 and VoxCeleb2,
contain short clips of audio-visual data of human speech, extracted from
YouTube interviews with celebrities. VoxCeleb1 contains over 100,000
utterances by 1,251 speakers, with metadata for speaker sex and nationality.
VoxCeleb2 contains over 1 million utterances by 6,112 speakers and metadata
for speaker sex. The datasets are split into a training set and a test set.
Each training set is disjoint from its test set and the other training set.
VoxCeleb1 can thus be used as test set for models trained on VoxCeleb2. To
support using VoxCeleb1 for testing, two additional test sets have been
defined from speaker pairs in VoxCeleb1. VoxCeleb1-E consists of 581,480
speaker pairs covering all 1251 speakers in VoxCeleb1, sampled at random.
VoxCeleb1-H consists of 1190 speakers, combined into 552,536 speaker pairs
with the same sex and nationality. Speakers have only been included if there
are at least 5 unique speakers with the same sex and nationality.
Nationality | Sex | Unique speakers
---|---|---
USA | f | 368
m | 431
UK | f | 88
m | 127
Canada | f | 25
m | 29
Australia | f | 12
m | 25
India | f | 11
m | 15
Norway | f | 7
m | 13
Ireland | f | 5
m | 13
New Zealand | m | 6
Germany | f | 5
Italy | f | 5
Mexico | m | 5
Table 2. VoxCeleb1-H speaker distribution across nationality and sex
subgroups.
For embedded voice assistants, the sex-nationality pairs of VoxCeleb1-H
present the most realistic evaluation condition. Embedded applications are
context and location dependent. In homes, cars, offices or public spaces,
where embedded voice assistants are used or accidentally triggered most
frequently, speakers oftentimes have the same nationality, speak the same
language with similar accents and are of the same sex. For example, a mother
may sound very similar to her teenage daughter, or two colleagues in Delhi,
India are likely to speak with the same accent. We thus use VoxCeleb1-H as
test data in this case study. The demographic speaker distribution across
nationality and sex subgroups in VoxCeleb1-H is shown in Table 2. 44% of the
speakers are female. US nationals make up 67% of the dataset and are the most
represented nationality. The largest subgroup, US males, contributes 36% of
speakers, while the four smallest subgroups collectively make up less than 2%
of speakers in the dataset. VoxCeleb2 has 61% male speakers. Again, the most
represented nationality are US speakers, which make up 29% of speakers. Other
speaker nationalities are difficult to discern from the available documents
and metadata.
### 4.2. Setting up VoxCeleb Trainer for Evaluation with SVEva Fair
The VoxCeleb Trainer makes two pretrained baseline models available.
ResNetSE34V2 is described in (Heo et al., 2020), where it is called the
performance optimised model, H/ASP. ResNetSE34L is described in (Chung et al.,
2020), where it is called Fast ResNet-34. We present a brief overview of the
models and training procedures. Both models are based on a 34-layer ResNet
trunk architecture, and have been trained on the 5994 speakers from the
training set of VoxCeleb2. ResNetSE34V2 has been trained with data
augmentation, while ResNetSE34L has not. Main differences in the model
architectures are that ResNetSE34L with 1.4 million parameters is considerably
smaller than ResNetSE34V2 with 8 million parameters. The two models use
different methods for aggregating frame-level features and different loss
functions: ResNetSE34L uses self-attentive pooling and angular prototypical
loss, while ResNetSE34V2 uses attentive statistical pooling and angular
portotypical softmax loss. Finally, the input dimensions of the two models are
different as ResNetSE34L has been optimised for fast execution and
consequently has a smaller input and earlier stride. The stride at the first
convolutional layer of ResNetSE34V2 has been removed.
Figure 4. Experiment setup for SVEva Fair Case Study with VoxCeleb Trainer
Our experiment setup for the case study is shown in Figure 4. We downloaded
the two models described above, and use them as black box predictors with the
VoxCeleb Trainer inference pipeline in a Colab environment. We made minor
modifications to the VoxCeleb Trainer code to speed up inference execution
time, log evaluation results and reduce errors in data loading. Inference was
done on the cleaned versions of the three VoxCeleb1 test sets described
earlier. Using the VoxCeleb1 meta-data, we defined the demographic subgroups
based on speaker sex and nationality. In the next section we present the SVEva
Fair evaluation on the VoxCeleb1-H test data for this case study.
## 5\. Case Study Evaluation
The SVEva Fair evaluation framework aims to support inquiry into two
questions: whether a particular speaker verification model is fair, and
whether one speaker verification model is fairer than another. In this section
we first investigate the fairness of the ResNetSE34V2 model described
previously, and then compare the fairness of the ResNetSE34V2 and the smaller
ResNetSE34L models.
### 5.1. Performance of Speaker Verification Models Across Subgroups
We use SVEva Fair to interrogate whether the performance of the ResNetSE34V2
speaker verification model varies across speaker subgroups based on sex and
nationality. Figure 5 shows DET curves for female and male speakers across 11
nationalities. By visually examining the plots, it is immediately evident that
the DET curves of female speakers in the left column lie mostly above the
dotted black DET curve that shows the aggregate overall performance. This is
an early indicator that the model is likely to perform worse than average for
female speakers. Unsurprisingly, the false positive rates (FPR) and false
negative rates (FNR) for most female speaker subgroups at the minimum overall
threshold value are dispersed to the right and above the overall threshold.
This indicates that the speaker verification component when tuned to its
optimum overall operating threshold, works worse than aggregate for females.
USA and Irish female speakers with test sample sizes of 368 and 5 speakers
respectively, are an exception. The test sample size of Irish female speakers
may be too small to conclude that the model truly performs better than the
aggregate for this subgroup. For male speakers in the right column of Figure 5
most DET curves lie below the aggregate overall performance. The male subgroup
FPRs and FNRs at the minimum overall threshold value lie below and to the left
of the overall threshold. This indicates that male subgroups are likely to
perform better than the aggregate. A noteable exception are Norwegian male
speakers with a test sample size of 13 speakers. The model performs
particularly bad for this subgroup.
Figure 5. DET curves and subgroup thresholds at $C_{Det}(\theta_{@\ overall\
min})$ for ResNetSE34V2 evaluated on the VoxCeleb1-H test set. The dotted
black lines and markers indicate the aggregate overall DET curve and threshold
across all subgroups. Figure 6. DET curves and thresholds for male and female
speakers of Indian, UK and USA nationalities for ResNetSE34V2 evaluated on the
VoxCeleb1-H test set. We use the following conventions: triangle markers
represent the FPR and FNR at the overall minimum threshold
$C_{Det}\left(\theta_{@\ overall\ min}\right)$, cross markers represent the
FPR and FNR at the subgroup minimum threshold $C_{Det}\left(\theta_{@\ SG\
min}\right)$, and dotted black lines and markers are used for the overall DET
curve and threshold.
Figure 6 visualises the performance of ResNetSE34V2 for female and male
speakers from India, the UK and the USA. The DET curve of female Indian
speakers lies far above the overall aggregate, indicating that irrespective of
the threshold, the model will always perform worse than aggregate for this
subgroup. In the operating region around the tuned thresholds, the model also
performs worse for female speakers from both the UK and the USA. Being tuned
to $C_{Det}\left(\theta_{@\ overall\ min}\right)$ does not affect the FNR and
improves the FPR of USA female and male speakers. For other speaker subgroups,
especially UK females and Indian females and males, either the FPR or the FNR
deteriorates significantly when tuned to the overall minimum. For all
subgroups the threshold at the subgroup minimum, $C_{Det}\left(\theta_{@\ SG\
min}\right)$, shifts the FPR and FNR closer to those of the minimum overall
threshold, suggesting that performance will improve when optimising thresholds
for subgroups individually. The conclusions drawn from the visualisations are
validated by the data presented in Table 3. The table summarises the minimum
$C_{Det}$ values optimised for overall and individual subgroup performance,
and the two $C_{Det}$ ratios defined in Section 3.1.1 for each subgroup. For
our experiments $C_{Det}(\theta_{@\ overall\ min})^{overall}=0.0077$ and this
value was used to calculate the ${C_{Det}\ ratio\ overall_{min}}$.
Subgroup (SG) | | Unique
---
speakers
| $C_{Det}(\theta_{@\ overall\ min})$
---
$C_{Det}(\theta_{@\ SG\ min})$ | | ${C_{Det}\ ratio\ overall_{min}}$
---
| ${C_{Det}\ ratio\ SG_{min}}$
---
mexico_m | 5 | 0.0045 | 0.0045 | 0.5768 | 1.0000
newzealand_m | 6 | 0.0052 | 0.0043 | 0.6668 | 0.8346
ireland_f | 5 | 0.0055 | 0.0035 | 0.7109 | 0.6348
canada_m | 29 | 0.0057 | 0.0052 | 0.7304 | 0.9146
usa_m | 431 | 0.0065 | 0.0061 | 0.8357 | 0.9354
australia_m | 25 | 0.0070 | 0.0068 | 0.9020 | 0.9713
usa_f | 368 | 0.0071 | 0.0070 | 0.9224 | 0.9864
uk_m | 127 | 0.0074 | 0.0070 | 0.9523 | 0.9492
ireland_m | 13 | 0.0081 | 0.0080 | 1.0432 | 0.9842
australia_f | 12 | 0.0089 | 0.0077 | 1.1523 | 0.8628
india_m | 15 | 0.0095 | 0.0072 | 1.2200 | 0.7586
germany_f | 5 | 0.0104 | 0.0092 | 1.3359 | 0.8885
canada_f | 25 | 0.0112 | 0.0101 | 1.4501 | 0.8969
uk_f | 88 | 0.0113 | 0.0086 | 1.4558 | 0.7613
norway_f | 7 | 0.0114 | 0.0105 | 1.4711 | 0.9208
italy_f | 5 | 0.0138 | 0.0052 | 1.7827 | 0.3777
norway_m | 13 | 0.0199 | 0.0198 | 2.5720 | 0.9941
india_f | 11 | 0.0200 | 0.0159 | 2.5766 | 0.7960
Table 3. $C_{Det}$ values and ratios for subgroups at minimum overall and
subgroup thresholds. Subgroups above the horizontal black line perform better
than aggregate when tuned to the minimum overall threshold. The $C_{Det}\
ratio$ of these subgroups is less than 1 (second column from the left). The
last column shows that all subgroups perform better when tuned to their own
minimum.
Figure 7 shows the score distributions that are generated by the model. For
same speaker pairs that determine the FNR (right distribution), the score
distributions lie close together for female and male speakers of all
subgroups. However, female speakers have heavier left tails than males,
indicating that the FNR for female speakers will be greater than for male
speakers at a given FPR. For different speaker pairs (left distribution), the
shape, mean, skewness, and kurtosis of the score distributions vary
considerably across subgroups. The more right of the overall mean, the more
right skewed and the heavier the right tail, the greater the FPR will be at a
given FNR. When examining the intersection of the two distributions, it is
also evident that subgroups have different intersection points and overlap
areas. At a particular threshold score subgroups will thus have different FPR
and FNR, which carries real-life consequences when speaker verification
components are used in applications. Table 4 shows the FPR and FNR ratios for
subgroups at $C_{Det}(\theta_{@\ overall\ min})$. When tuned to this value,
Indian female speakers have a FPR of 13.0387, indicating that the speaker
verification component will grant access to an unauthorised speaker 13 times
more frequently than average. On the other hand, male speakers from the USA
have a FPR ratio of 1, which equals the overall FPR for all subgroups.
Figure 7. Distribution of scores by subgroup for ResNetSE34V2 evaluated on VoxCeleb1-H. The distribution on the right is for speakers tested against themselves and determines the FNR. The distribution on the left is for speakers tested against an unauthorised speaker and determines the FPR. The dotted black lines are the overall distribution means for same speaker and unauthorised speaker pairs across all subgroups. Subgroup | | Unique
---
speakers
| FPR ratio
---
overall
| FNR ratio
---
overall
mexico_m | 5 | 0.0000 | 0.8173
canada_m | 29 | 0.5171 | 0.9396
newzealand_m | 6 | 0.5218 | 0.8487
norway_f | 7 | 0.6306 | 1.9682
ireland_f | 5 | 0.9037 | 0.8408
usa_m | 431 | 1.0000 | 1.0000
australia_m | 25 | 1.1055 | 1.0745
germany_f | 5 | 1.5023 | 1.6162
ireland_m | 13 | 1.6864 | 1.1675
usa_f | 368 | 2.0542 | 0.9287
canada_f | 25 | 3.1483 | 1.4749
uk_m | 127 | 3.5339 | 0.6986
australia_f | 12 | 5.6031 | 0.6008
norway_m | 13 | 6.0866 | 2.5233
india_m | 15 | 6.6852 | 0.4975
uk_f | 88 | 7.8514 | 0.6168
italy_f | 5 | 10.3484 | 0.6202
india_f | 11 | 13.0387 | 1.2497
Table 4. FPR and FNR ratios for subgroups at $C_{Det}(\theta_{@\ overall\
min})$. The ratio is calculated by dividing the subgroup FPR and FNR by the
overall FPR and FNR respectively. It thus presents a relative view on how much
better or worse the subgroup error rates are in relation to the overall error
rates.
### 5.2. Comparing Speaker Verification Fairness Across Models
We now compare the fairness of the performance optimised ResNetSE34V2 model
against the fairness of the smaller and speed optimised ResNetSE34L model.
Figure 8 shows the DET curves for female and male speakers from India, the UK
and the USA for both models. As expected, all subgroup DET curves for
ResNetSE34V2 (green) lie below those of ResNetSE34L (purple), confirming that
the performance optimised model indeed has better performance. Surprisingly,
the performance reduction does not affect all subgroups equally. For speakers
from the UK and the USA the distance between the DET curves of females and
males is greater for ResNetSE34L than ResNetSE34V2, indicating that female
speaker will experience a greater performance degradation than male speakers
when the speed optimised model is used. For Indian female speakers both models
perform so poorly, that the ResNetSE34L DET curve for Indian males lies below
the ResNetSE34V2 DET curve for Indian females.
Figure 8. DET curves for female (solid) and male (dotted) speakers from India, the UK and the USA with ResNetSE34V2 (purple) and ResNetSE34L (green) models. Subgroup | | Unique
---
speakers
| ${C_{Det}\ ratio\ overall_{min}}$
---
ResNetSE34V2
| ${C_{Det}\ ratio\ overall_{min}}$
---
ResNetSE34L
| ${C_{Det}\ ratio\ overall_{min}}$
---
difference
india_f | 11 | 2.5766 | 3.2869 | -0.7102
mexico_m | 5 | 0.5768 | 1.2278 | -0.6510
germany_f | 5 | 1.3359 | 1.5319 | -0.1959
norway_f | 7 | 1.4711 | 1.6354 | -0.1643
canada_m | 29 | 0.7304 | 0.8932 | -0.1628
australia_m | 25 | 0.9020 | 1.0419 | -0.1398
usa_f | 368 | 0.9224 | 0.9967 | -0.0743
usa_m | 431 | 0.8357 | 0.8320 | 0.0037
india_m | 15 | 1.2200 | 1.1657 | 0.0543
uk_f | 88 | 1.4558 | 1.3566 | 0.0992
newzealand_m | 6 | 0.6668 | 0.5656 | 0.1012
ireland_f | 5 | 0.7109 | 0.5952 | 0.1157
ireland_m | 13 | 1.0432 | 0.9042 | 0.1390
canada_f | 25 | 1.4501 | 1.3096 | 0.1404
uk_m | 127 | 0.9523 | 0.8090 | 0.1433
australia_f | 12 | 1.1523 | 0.9147 | 0.2376
italy_f | 5 | 1.7827 | 1.4783 | 0.3044
norway_m | 13 | 2.5720 | 2.1037 | 0.4683
Table 5. Comparision of ${C_{Det}\ ratio\ overall_{min}}$ for subgroups for
ResNetSE34V2 and ResNetSE34L. The ratio difference is calculated by
subtracting the ResNetSE34L ratio from the ResNetSE34V2 Ratio. A negative
difference indicates that ResNetSE34V2 performs better, while a positive
differences indicates that ResNetSE34L performs better.
Table 5 captures the ${C_{Det}\ ratio\ overall_{min}}$ for both models for all
subgroups, and the difference between the ratios. From these values we
calculated the Fairness Index (Equation 4) for ResNetSE34V2 as 16.06 and for
ResNetSE34L as 16.14. The difference between the Fairness Indices of the two
models is insignificant. Plotting the ${C_{Det}\ ratio\ overall_{min}}$ for
all subgroups across both models enables us to closer analyse and compare
fairness across the models. Figure 9 confirms that the trend in fairness
challenges that we have observed with ResNetSE34V2 also applies to
ResNetSE34L: the models perform better for male speakers (cross markers) than
for female speakers (triangle markers), they perform particularly well for USA
nationals and particularly poorly for Indian and Norwegian speakers. The plot
also highlights that the smaller, speed optimised model does not impact
fairness equally across subgroups. While fairness deteriorates for some
subgroups, it improves for others. An example of this are Mexican male
speakers, who outperform the aggregate with ResNetSE34V2 but perform worse
than aggregate with ResNetSE34L. For UK female speakers the opposite is the
case. Interestingly, there are few subgroups that experience a significant
reduction in fairness, and more subgroups that experience a marginal
improvement in fairness. This explains why the Fairness Indices of both models
are similar.
Figure 9. Subgroup ${C_{Det}\ ratio\ overall_{min}}$ for ResNetSE34V2 and
ResNetSE34L. Subgroups in the bottom left perform best, those in the top right
worst. Subgroup performance for ResNetSE34V2 and ResNetSE34L reduces when
moving from left to right and bottom to top respectively. On the dotted black
diagonal line subgroup performance is equivalent for the two models.
ResNetSE34L performs better for subgroups below the line, while ResNetSE34V2
performs better above the line.
In this section we have demonstrated how SVEva Fair can be used to evaluate
and compare the fairness of speaker verification components. Using the
VoxCeleb Trainer benchmark, we show that available benchmark models are not
fair and produce worse predictions for female speakers of most nationalities.
Variation in predictive performance can also be observed across nationalities.
The models perform particularly well for female and male speakers from the
USA, and particularly poorly for female and male speakers from India and
Norway. Surprisingly, when comparing fairness across models, we observe that
it varies inconsistently. While fairness significantly deteriorates for some
subgroups, it improves for others. This variation does not depend on sex,
nationality, or subgroup sample size.
## 6\. Insights and Discussion
We have developed the SVEva Fair evaluation framework to equip developers of
embedded speaker verification applications with a tool to assess and compare
their fairness. In designing the framework, we have aimed to make SVEva Fair
accessible, actionable, legally compliant, model and workflow agnostic, and
aligned with evaluation best practices in the domain. The evaluation measures
and perspective on fairness that we have chosen support these objectives. We
have demonstrated how SVEva Fair can be used to evaluate the fairness of
speaker verification components in a case study with the VoxCeleb Trainer
benchmark. The analysis that we have presented is one of the first in-depth
studies on the fairness of speaker verification. In this section we highlight
insights that we have gained and their implication for real-life applications
of embedded speaker verification. We then discuss integrating SVEva Fair into
the embedded ML development pipeline, and point out limitations of the work.
### 6.1. Insights on Fair Speaker Verification
In the speaker verification domain detection error trade-off (DET) curves are
used to analyse the performance of different models. With SVEva Fair we have
shown that DET curves are also highly effective for analysing the performance
of one or more models across speaker subgroups. They can thus be used as a
tool to visualise and interrogate the fairness of speaker verification
components. The detection cost function $C_{Det}(\theta)$, which is the
recommended error function in the domain, is, by definition, a weighted sum of
false positive rates (FPR) and false negative rates (FNR). This definition
supports that of the equalised odds fairness metric, which requires FPR and
FNR across subgroups to be equal. With SVEva Fair we propose that comparing
the $C_{Det}$ values of subgroups at particular thresholds is a reasonable
proxy for fairness. We define the $C_{Det}\ ratio\ overall_{min}$ as a metric
that can be compared across subgroups to conveniently quantify the fairness of
speaker verification components. To compare fairness across models, we suggest
a Fairness Index to calculate the performance reduction of a model across
subgroups from the $C_{Det}\ ratio\ overall_{min}$.
Using the VoxCeleb Trainer speaker verification benchmark trained on the
popular VoxCeleb dataset as a black box predictor, we show that two state-of-
the-art ResNet-based speaker verification models are not fair. The predictive
performance of ResNetSE3V2 varies considerably across subgroups. Male speakers
from Canada, Australia and the USA experience a 10-25% performance improvement
in comparison to the average performance. For all speaker nationalities except
Irish speakers, the model performs worse for female speakers than for male
speakers. Performance degradation for female speakers ranges from 15% to 257%
below average. Indian females experience the severest performance drop, with
the model performing 2.6 times worse than average. To put this in perspective,
this performance drop is over 60% greater than the performance gain of using
the best rather than the worst algorithm for training the VoxCeleb Trainer
benchmark (Heo et al., 2020). Most performance gains of algorithms thus pale
in comparison to performance degradation due to fairness challenges. This is a
motivation to consider fairness as an important research opportunity in
embedded speaker verification. The fairness challenges that we observed with
ResNetSE34V2 persist in ResNetSE34L. However, changes in fairness vary by
subgroup, deteriorating for some subgroups and improving for others. This
variation appears to be independent of sex, nationality, or subgroup sample
size.
SVEva Fair has given us insights into potential mitigation strategies and
worthwhile research directions to improve fairness. Importantly, fairness
depends on more than representative training data. As the $C_{Det}\ ratio\
SG_{min}$ shows, speaker verification will improve for all subgroups if they
are tuned to their own threshold rather than the overall threshold. Developing
algorithms that can dynamically select the optimal threshold for subgroups
will improve the performance of speaker verification components. This is a
challenging task, as subgroup membership is typically not known at run time.
Analysis of the output score distributions shows that speaker verification is
also highly dependent on this distribution. Further research is required to
characterise the factors that affect this distribution and fairness across
subgroups in speaker verification models, and subsequently to propose methods
for improving speaker verification components.
This study demonstrates that DET curves can be used to visualise the
performance of models across subgroups. We motivate that $C_{Det}$ is a
reasonable proxy for fairness that supports the definition of equalised odds.
We use ratios of $C_{Det}$ values to quantify and compare fairness across
subgroups, and show that two pre-trained speaker verification models trained
on the VoxCeleb dataset are not fair. Drawing on our analysis, we highlight
potential mitigation strategies that go beyond representative training data to
improve fairness of speaker verification components.
### 6.2. Implications for Real-life, Embedded Voice Assistants
We discuss two aspects of speaker verification evaluation that are of high
importance in embedded applications: selecting an appropriate test set, and
presenting a robust evaluation. Embedded speaker verification applications
need to consider the demographic characteristics of their authorised and
potential unauthorised users. In many smart home applications, for example
mobile voice assistants or smart speakers, speaker verification components
will need to distinguish between same-sex speakers of similar age, speaking
similar languages with similar accents. A meaningful evaluation of speaker
verification models needs to consider these typical scenarios. Of the 3
VoxCeleb1 test sets, only VoxCeleb1-H considers similar speakers. We found
that the choice of test set has a significant and predictable impact on model
performance.
Figures 10 and 11 show the overall DET curves and score distributions for the
3 test sets. From the DET curves it is evident that ResNetSE34V2 performs
worst on VoxCeleb1-H. Performance for VoxCeleb1 test (40 speakers) and
VoxCeleb1-E looks similar in the range of the operating threshold. However,
the DET curve for the VoxCeleb1 test (40 speakers) is jagged and of poor
quality due to the small sample size of the dataset. In Figure 11 the score
distributions for authorised speakers (right) are almost identical, but the
score distriubtions of unauthorised speakers (left) are not. The distribution
of the VoxCeleb1 test (40 speakers) and VoxCeleb1-E are left skewed, while
VoxCeleb1-H has much greater kurtosis than the other two test sets. It is thus
no surprise that VoxCeleb1-H, which contains appropriate speaker pairs to test
realistic false positive scenarios, has a much higher FPR than VoxCeleb1 test
(40 speakers) and -E, for the same FNR. Given these observations, application
developers should consider VoxCeleb1-E as the easy test set, and VoxCeleb1-H
as the heterogeneous test set. Of the 3 test sets, VoxCeleb1-H is the only
appropriate test set for evaluating real-life embedded applications.
Application developers should resist the urge of using an inappropriate test
set to inflate the performance of their model.
Figure 10. DET curves for 3 VoxCeleb test sets Figure 11. Distribution of
scores for ResNetSE34V2 for 3 VoxCeleb test sets
The minimum value of the detection cost function $C_{Det}(\theta)$ is a
popular metric to compare model performance in the speaker verification
research community. Developers should nonetheless pay attention when using it
to evaluate model performance for particular applications. Firstly, the
parameters of the detection cost function should be selected so that the error
rate weighting reflects the requirements of the application. Secondly,
$C_{Det}(\theta)$ only presents a snapshot of model performance at a single
threshold value. This value is generally not optimised for individual
subgroups. DET curves provide a more holistic view of the performance of
speaker verification models across subgroups and thresholds. They have been
recommended by standards associations (Greenberg et al., 2020), yet many
published papers do not show DET curves for their models. With SVEva Fair
developers and researchers will be able to quickly produce DET curves for
analysis, and thus present a more robust evaluation and comparison of speaker
verification models.
Real-life embedded speaker verification applications should carefully consider
the choice of test set and error metrics to present robust evaluations and
comparison across models. Of the test sets considered in this study,
VoxCeleb1-H is the only test set with appropriate speaker pairs for evaluating
realistic false positive scenarios that arise in embedded speaker verification
applications. DET curves should be consulted when evaluating model
performance.
### 6.3. Integrating SVEva Fair into the Embedded ML Development Pipeline
In the standard embedded ML development pipeline shown in Figure 12, a pre-
trained speaker verification model is retrained or adapted with additional
training data before it is compressed and converted to be deployed to embedded
devices for real-time inference. SVEva Fair can be integrated into this
workflow to ensure that the speaker verification component is fair, and that
it retains its fairness as it undergoes different processing steps. Using
SVEva Fair after model download as suggested with Test 1a in Figure 12 enables
developers to establish a fairness baseline using application specific test
data. Testing model fairness at this stage has the advantage that initially
only small amounts of test data need to be collected, and additional training
data that is collected or generated can take the outcome of the test into
account. This conserves and focuses resources in the development process.
Moreover, it provides an opportunity for developers to consider a variety of
mitigation strategies to improve model fairness. After a mitigation approach
has been selected and implemented, Test 1b is suggested to evaluate if the
fairness of the speaker verification component has improved sufficiently over
the baseline. If the test passes, the development process can continue with
compression and model conversion. If Test 1b fails, the mitigation approach
should be revised. We suggest one further test after compression and
conversion, to ensure that these processing steps do not produce surprising
fairness challenges. After passing this final test, the fair speaker
verification component is ready to be deployed for real-time inference.
Figure 12. Embedded ML development workflow without (a) and with (b) fairness
testing
SVEva Fair can be integrated into the standard embedded ML development
pipeline to test the fairness of speaker verification components after various
processing steps. This enables developers to identify fairness challenges
early on, to consider different strategies for mitigating fairness challenges
and to focus their resources on meaningful actions that improve fairness.
### 6.4. Pragmatic Considerations
SVEva Fair and the case study with VoxCeleb Trainer have some limitations.
VoxCeleb is a celebrity dataset that is not representative of the broad
public. Different models, or models trained on data representative of
particular application contexts, may have different fairness characteristics.
There is also a distribution shift between the VoxCeleb train and test sets.
We did not attempt to retrain or adapt the available models to improve
fairness, as this was out of scope. Given that speaker verification models
should generalise to new speakers, and that VoxCeleb1 is frequently used to
evaluate models trained on VoxCeleb2, we consider this a reasonable design
choice. Moreover, absolute performance was not important to us, as the case
study served the purpose of demonstrating how SVEva Fair supports the
evaluation of relative performance differences between subgroups and models.
As the language of the dataset is not specified, it is unclear whether
nationality should be used as a proxy for accent, language or both. For our
purpose, this does not affect how we apply SVEva Fair in our case study, as
demographic subgroups can be defined flexibly.
We intentionally inherited the demographic subgroups defined in the
VoxCeleb1-H test set. The authors of the test set included all subgroups with
5 or more speakers. This means that some of the subgroups in our case study
have very small sample sizes. We did not vary our analysis techniques for
these subgroups, and some of the fairness results may be attributed to an
insufficient sample size. However, the overarching observations still hold
true. For example, performance differences between female and male speakers
exist not only in subgroups with small samples, but also for speakers from the
three nationalities most represented in the test set: the USA, UK and Canada.
Subgroups with small sample sizes were also found amongst the top, mid and
bottom performing subgroups. To generate reliable test results for subgroups a
sufficient sample size should be selected based on statistical guidelines,
rather than data availability. While the sample size of the test set affects
the input to SVEva Fair and thus the validity of results, it does not change
the evaluation mechanism itself.
Finally, the Fairness Index on its own does not provide a complete view of
fairness across models, as it does not show how fairness varies across
subgroups. Even though the index is dimensionless, it also has no inherent
meaning that makes it possible to define sufficient or insufficient fairness
for a model. For deeper model comparison it is thus necessary to consult the
subgroup $C_{Det}\ ratios$ or the scatter plot visualisations that SVEva Fairr
supports. While we have developed this framework to support the development of
embedded speaker verification components, we have evaluated SVEva Fair on
publicly accessible speaker verification models that were not specifically
developed for embedded applications.
## 7\. Conclusion and Outlook
Despite fairness being a major area of focus of traditional machine learning
(ML), it is only an emerging consideration in embedded ML. Many open research
directions exist to evaluate the fairness of existing techniques in embedded
ML and TinyML, and to develop fair approaches that also retain their fairness
in distributed, resource constrained and context-dependent applications.
In this study we have developed SVEva Fair, a framework for evaluating the
fairness of speaker verification components. To our knowledge this is the
first evaluation framework of its kind for embedded speaker verification
applications. SVEva Fair successfully supports developers in two tasks:
interrogating whether speaker verification model performance varies across
subgroups, and comparing fairness across models. We present a detailed case
study in which we use SVEva Fairr to evaluate and compare the fairness of two
models released with the VoxCeleb Trainer benchmark, and trained on the
VoxCeleb2 dataset. Using the evaluation measures and visualisations supported
by SVEva Fair, we test these publicly accessible models on the VoxCeleb1-H
dataset. Our evaluation shows that both models are not fair and perform
significantly worse for female speakers of all but one nationality. Model
performance also varies across nationalities. Interestingly, even though the
overall performance varies between the two models, they have similar Fairness
Indices. However, fairness varies inconsistently across subgroups across the
models. Based on this work we present three key insights for speaker
verification application developers. Firstly, speaker verification components
should not be assumed to be fair, unless they have been tested for fairness
for relevant subgroups. Secondly, existing best practices for evaluating
speaker verification models are a useful starting point for evaluating
fairness. To this end SVEva Fair leverages DET curves and the detection cost
function $C_{Det}(\theta)$ to support developers in evaluating model
performance across subgroups and comparing fairness across models. Finally,
evaluating speaker verification fairness should be a part of the embedded ML
workflow, and SVEva Fair can be integrated into the development pipeline.
As outlook and future work, we intend to apply SVEva Fair to evaluate the
effect of model compression on speaker verification components. For embedded
speaker verification, fairness challenges may also be amplified by hardware
components. For example low quality microphones in low cost smartphones may
increase the fairness challenges already experienced by demographic groups
that use those devices. This kind of system-related bias is yet to be
considered in the fairness community and is an open area for future research.
One way to approach this is to consider the connection between reliability and
fairness of embedded ML components. We promote viewing fairness challenges as
an important category of reliability concern for edge intelligence. In
fairness-induced reliability concerns the overall functioning or failure of
embedded ML components is determined by a user’s demographic attributes.
Reliability has been well studied in software engineering, is considered an
important aspect of trustworthiness in cyber-physical systems and has given
rise to a reliability engineering discipline that considers mechanical
component design and failure analysis. We believe that these disciplines will
have valuable insights to offer to reliable and fair embedded speaker
verification and edge intelligence more broadly.
###### Acknowledgements.
This research was partially supported by TAILOR, a project funded by EU
Horizon 2020 research and innovation programme under GA No 952215, and the
iSafe project funded by TU Delft Safety & Security Institute.
## References
* (1)
* Adda-Decker and Lamel (2005) Martine Adda-Decker and Lori Lamel. 2005. Do speech recognizers prefer female speakers? _INTERSPEECH_ (2005), 2205–2208.
* Buolamwini and Gebru (2018) Joy Buolamwini and Timnit Gebru. 2018. Gender Shades: Intersectional Accuracy Disparities in Commercial Gender Classification. In _Proceedings of Machine Learning Research: Conference on Fairness, Accountability, and Transparency_ , Vol. 81. 1889–1896.
* Chung et al. (2020) Joon Son Chung, Jaesung Huh, Seongkyu Mun, Minjae Lee, Hee Soo Heo, Soyeon Choe, Chiheon Ham, Sunghwan Jung, Bong Jin Lee, and Icksang Han. 2020\. In defence of metric learning for speaker recognition. _Proceedings of the Annual Conference of the International Speech Communication Association, INTERSPEECH_ 2020-Octob (2020), 2977–2981. https://doi.org/10.21437/Interspeech.2020-1064
* Fenu et al. (2020) Gianni Fenu, Giacomo Medda, Mirko Marras, and Giacomo Meloni. 2020. Improving Fairness in Speaker Recognition. _Proc. of the Symposium on Pattern Recognition and Applications (SPRA 2020)_ (2020), 129–136. https://doi.org/10.1145/3393822.3432325
* Greenberg et al. (2020) Craig S. Greenberg, Lisa P. Mason, Seyed Omid Sadjadi, and Douglas A. Reynolds. 2020. Two decades of speaker recognition evaluation at the national institute of standards and technology. _Computer Speech and Language_ 60 (2020). https://doi.org/10.1016/j.csl.2019.101032
* Hansen and Hasan (2015) John H.L. Hansen and Taufiq Hasan. 2015. Speaker recognition by machines and humans: A tutorial review. _IEEE Signal Processing Magazine_ 32, 6 (2015), 74–99. https://doi.org/10.1109/MSP.2015.2462851
* He et al. (2019) Yanzhang He, Tara N. Sainath, Rohit Prabhavalkar, Ian McGraw, Raziel Alvarez, DIng Zhao, David Rybach, Anjuli Kannan, Yonghui Wu, Ruoming Pang, Qiao Liang, Deepti Bhatia, Yuan Shangguan, Bo Li, Golan Pundak, Khe Chai Sim, Tom Bagby, Shuo Yiin Chang, Kanishka Rao, and Alexander Gruenstein. 2019. Streaming End-to-end Speech Recognition for Mobile Devices. In _ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings_. https://doi.org/10.1109/ICASSP.2019.8682336
* Heigold et al. (2016) Georg Heigold, Ignacio Moreno, Samy Bengio, and Noam Shazeer. 2016. End-to-End Text-Dependent Speaker Verification. In _ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP)_. IEEE, 5115–5119.
* Heo et al. (2020) Hee Soo Heo, Bong Jin Lee, Jaesung Huh, and Joon Son Chung. 2020\. Clova baseline system for the VoxCeleb speaker recognition challenge 2020. _arXiv_ (2020), 1–3.
* Koenecke et al. (2020) Allison Koenecke, Andrew Nam, Emily Lake, Joe Nudell, Minnie Quartey, Zion Mengesha, Connor Toups, John R. Rickford, Dan Jurafsky, and Sharad Goel. 2020\. Racial disparities in automated speech recognition. _PNAS_ 117, 14 (2020), 7684–7689. https://doi.org/10.1073/pnas.1915768117/-/DCSupplemental.y
* Li et al. (2017) Chao Li, Xiaokong Ma, Bing Jiang, Xiangang Li, Xuewei Zhang, Xiao Liu, Ying Cao, Ajay Kannan, and Zhenyao Zhu. 2017. Deep speaker: An end-to-end neural speaker embedding system. _arXiv_ (2017).
* Martin et al. (1997) A Martin, G Doddington, T Kamm, M Ordowski, and M Przybocki. 1997. _The DET Curve in Assessment of Detection Task Performance_. Technical Report. National Institute of Standards and Technology (NIST), Gaithersburg MD. http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.117.4489
* McLaren et al. (2016) M McLaren, L Ferrer, D Castan, and A Lawson. 2016\. The Speakers in the Wild (SITW) speaker recognition database.. In _Interspeech_. pdfs.semanticscholar.org. https://pdfs.semanticscholar.org/3fe3/58a66359ee2660ec0d13e727eb8f3f0007c2.pdf
* Mehrabi et al. (2019) Ninareh Mehrabi, Fred Morstatter, Nripsuta Saxena, Kristina Lerman, and Aram Galstyan. 2019\. A survey on bias and fairness in machine learning. _arXiv_ (2019).
* Mittelstadt et al. (2016) Brent Daniel Mittelstadt, Patrick Allo, Mariarosaria Taddeo, Sandra Wachter, and Luciano Floridi. 2016\. The ethics of algorithms: Mapping the debate. _Big Data and Society_ 3, 2 (2016), 1–21. https://doi.org/10.1177/2053951716679679
* Nagrani et al. (2020a) Arsha Nagrani, Joon Son Chung, Jaesung Huh, Andrew Brown, Ernesto Coto, Weidi Xie, Mitchell McLaren, Douglas A Reynolds, and Andrew Zisserman. 2020a. VoxSRC 2020: The Second VoxCeleb Speaker Recognition Challenge. (2020). http://arxiv.org/abs/2012.06867
* Nagrani et al. (2020b) Arsha Nagrani, Joon Son Chung, Weidi Xie, and Andrew Zisserman. 2020b. Voxceleb: Large-scale speaker verification in the wild. _Computer Speech and Language_ 60 (2020), 101027. https://doi.org/10.1016/j.csl.2019.101027
* Nagrani et al. (2017) Arsha Nagrani, Joon Son Chung, and Andrew Zisserman. 2017\. Voxceleb: A large-scale speaker identification dataset. _arXiv_ (2017), 2616–2620.
* NIST (2019) NIST. 2019. NIST 2019 Speaker Recognition Evaluation Plan. 1 (2019), 1–7.
* NIST (2020) NIST. 2020. _NIST 2020 CTS Speaker Recognition Challenge Evaluation Plan_. Technical Report. 1–8 pages.
* Qin et al. (2020) Xiaoyi Qin, Ming Li, Hui Bu, Wei Rao, Rohan Kumar Das, Shrikanth Narayanan, and Haizhou Li. 2020. The INTERSPEECH 2020 far-field speaker verification challenge. _Proceedings of the Annual Conference of the International Speech Communication Association, INTERSPEECH_ 2020-Octob (2020), 3456–3460. https://doi.org/10.21437/Interspeech.2020-1249
* Snyder et al. (2017) D Snyder, D Garcia-Romero, D Povey, and S Khudanpur. 2017. Deep Neural Network Embeddings for Text-Independent Speaker Verification. _Interspeech_ (2017). https://www.isca-speech.org/archive/Interspeech_2017/pdfs/0620.PDF
* Snyder et al. (2018) David Snyder, Daniel Garcia-Romero, Gregory Sell, Daniel Povey, and Sanjeev Khudanpur. 2018\. X-Vectors: Robust DNN Embeddings for Speaker Recognition. In _ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP)_. IEEE, 5329–5333.
* Tatman and Kasten (2017) Rachael Tatman and Conner Kasten. 2017. Effects of talker dialect, gender & race on accuracy of bing speech and youtube automatic captions. _Proceedings of the Annual Conference of the International Speech Communication Association, INTERSPEECH_ 2017-Augus (2017), 934–938. https://doi.org/10.21437/Interspeech.2017-1746
* Verma and Rubin (2018) Sahil Verma and Julia Rubin. 2018. Fairness definitions explained. In _Proceedings - International Conference on Software Engineering_. 1–7. https://doi.org/10.1145/3194770.3194776
* Wachter et al. ([n.d.]) Sandra Wachter, Brent Mittelstadt, and Chris Russell. [n.d.]. Bias Preservation in Machine Learning : The Legality of Fairness Metrics Under EU Non- Discrimination Law. _West Virginia Law Review, Forthcoming_ ([n. d.]), 1–51. https://ssrn.com/abstract=3792772
* Yang et al. (2021) Shu-wen Yang, Po-Han Chi, Yung-Sung Chuang, Cheng-I Jeff Lai, Kushal Lakhotia, Yist Y. Lin, Andy T. Liu, Jiatong Shi, Xuankai Chang, Guan-Ting Lin, Tzu-Hsien Huang, Wei-Cheng Tseng, Ko-tik Lee, Da-Rong Liu, Zili Huang, Shuyan Dong, Shang-Wen Li, Shinji Watanabe, Abdelrahman Mohamed, and Hung-yi Lee. 2021\. SUPERB: Speech processing Universal PERformance Benchmark. (2021). http://arxiv.org/abs/2105.01051
* Zeinali et al. (2020) Hossein Zeinali, Kong Aik Lee, Jahangir Alam, and Lukas Burget. 2020\. _Short-duration Speaker Verification (SdSV) Challenge 2021: the Challenge Evaluation Plan_. Technical Report. 1–13 pages. http://arxiv.org/abs/1912.06311
| arxiv-papers | 2021-07-26T09:15:46 | 2024-09-04T03:07:18.055076 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Wiebke Toussaint and Aaron Yi Ding",
"submitter": "Wiebke (Toussaint) Hutiri",
"url": "https://arxiv.org/abs/2107.12049"
} |
2107.12051 | # Adaptation of Tacotron2-based Text-To-Speech for
Articulatory-to-Acoustic Mapping using Ultrasound Tongue Imaging
###### Abstract
For articulatory-to-acoustic mapping, typically only limited parallel training
data is available, making it impossible to apply fully end-to-end solutions
like Tacotron2. In this paper, we experimented with transfer learning and
adaptation of a Tacotron2 text-to-speech model to improve the final synthesis
quality of ultrasound-based articulatory-to-acoustic mapping with a limited
database. We use a multi-speaker pre-trained Tacotron2 TTS model and a pre-
trained WaveGlow neural vocoder. The articulatory-to-acoustic conversion
contains three steps: 1) from a sequence of ultrasound tongue image
recordings, a 3D convolutional neural network predicts the inputs of the pre-
trained Tacotron2 model, 2) the Tacotron2 model converts this intermediate
representation to an 80-dimensional mel-spectrogram, and 3) the WaveGlow model
is applied for final inference. This generated speech contains the timing of
the original articulatory data from the ultrasound recording, but the F0
contour and the spectral information is predicted by the Tacotron2 model. The
F0 values are independent of the original ultrasound images, but represent the
target speaker, as they are inferred from the pre-trained Tacotron2 model. In
our experiments, we demonstrated that the synthesized speech quality is more
natural with the proposed solutions than with our earlier model.
Index Terms: articulation-to-speech, ultrasound, DNN-TTS
## 1 Introduction
Articulatory-to-acoustic mapping (AAM) methods aim to synthesize the speech
signal directly from articulatory input, as opposed to text-to-speech, when
speech is synthesized from the textual input. AAM applies the theory that
articulatory movements are directly linked with the acoustic speech signal in
the speech production process. A recent potential application of this mapping
is a “Silent Speech Interface” (SSI [1, 2, 3]), which has the main idea of
recording the soundless articulatory movement, and automatically generating
speech from the movement information, while the subject does not produce any
sound. Such an SSI system can be highly useful for the speaking impaired (e.g.
after laryngectomy or elderly people), and for scenarios where regular speech
is not feasible, but the information should be transmitted from the speaker
(e.g. extremely noisy environments or military applications).
For the articulatory-to-acoustic mapping, the typical input can be
electromagnetic articulography (EMA) [4, 5], ultrasound tongue imaging (UTI)
[6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19], permanent magnetic
articulography (PMA) [20, 21], surface electromyography (sEMG) [22, 23], Non-
Audible Murmur (NAM) [24], electro-optical stomatography [25], impulse radio
ultra-wide band (IR-UWB) [26], radar [27] or video of the lip movements [7,
28, 29]. From another aspect, there are two distinct ways of SSI solutions,
namely `direct synthesis' and `recognition-and-synthesis' [2]. In the first
case, the speech signal is generated without an intermediate step, directly
from the articulatory data [4, 5, 6, 8, 9, 11, 12, 14, 15, 16, 20, 22, 23, 24,
28]. In the second case, silent speech recognition (SSR) is applied on the
biosignal which extracts the content spoken by the person (i.e. the result of
this step is text); this step is then followed by text-to-speech (TTS)
synthesis [7, 10, 13, 25, 29, 30]. In the SSR+TTS approach, any information
related to speech prosody is lost, whereas it may be kept with direct
synthesis. Also, the smaller delay by the direct synthesis approach might
enable conversational use.
For the direct conversion, typically, vocoders are used, which synthesize
speech from the spectral parameters predicted by the DNNs from the
articulatory input. One of the spectral representations that was found to be
useful earlier for statistical parametric speech synthesis is Mel-Generalized
Cepstrum in Line Spectral Pair form (MGC-LSP) [31, 32]. Since the introduction
of WaveNet in 2016 [33], neural vocoders can generate highly natural raw
samples of speech, conditioned on mel-spectrogram or other input. One of the
most recent types of neural vocoders, WaveGlow [34] is a flow-based network
capable of generating high-quality speech from mel-spectrograms. The advantage
of the WaveGlow model is that it is relatively simple, yet the synthesis can
be done faster than real-time. In [17], we integrated the WaveGlow neural
vocoder into ultrasound-based articulatory-to-acoustic conversion.
In the latest years, most TTS solutions apply end-to-end methods, by operating
directly on character or phoneme input sequences and producing raw speech
signal outputs. One of the most widely used solutions is Tacotron2 [35], which
applies a recurrent sequence-to-sequence feature prediction network that maps
character embeddings to mel-scale spectrograms, followed by a neural vocoder.
The encoder-decoder network, using the attention mechanism, encodes a specific
attribute of speech and maps sequences of differing length. In [35], the input
characters are represented with a learned 512-dimensional embedding, which
ensures that traditional text processing is not necessary on the input.
In the field of AAM, according to our knowledge, only a few studies have used
fully end-to-end / sequence-to-sequence solutions [36, 37]. Zhang and his
colleagues introduced TaLNet, which is based on an encoder-decoder
architecture, using the attention mechanism. Both ultrasound and lip are used
as the input of AAM, from English speakers of the UltraSuite-TaL database
[38]. First, a Tacotron2 model is trained with a large amount of speech data,
and after that, transfer learning is applied with the articulatory input. The
presented approach was found to be significantly better than earlier
baselines. In the study, they also checked the contribution of each
articulatory input, and found that the weakest results could be achieved with
the lip-only system, followed by ultrasound-only. The combination of
ultrasound and lip (TaLNet) was found to be the best, suggesting that these
two modalities complement each other well. In another study, by Mira and his
colleagues, end-to-end video-to-speech synthesis was proposed, using GANs
[37]. The video of the face is translated directly to speech, without an
intermediate representation, applying an encoder-decoder architecture. They
experimented on various databases and show that the choice of adversarial loss
is a key for realistic results.
In this paper, we experiment with transfer learning and adaptation of a
Tacotron2 text-to-speech model to improve the final synthesis quality of
ultrasound-based articulatory-to-acoustic mapping with a limited database.
## 2 Methods
Figure 1: Sample ultrasound images from the five sessions.
### 2.1 Data
For Tacotron2 and WaveGlow training, we chose 5 male and 6 female Hungarian
speakers (altogether 23k sentences, roughly 22 hours) from the PPSD database
[39]. This data served as the acoustic-only training material required for the
encoder-decoder architecture and the neural vocoder.
For the articulatory data, we used the Hungarian parallel ultrasound and
speech dataset that we recorded for earlier studies [16, 17, 40]. We selected
a female speaker (speaker048), who was recorded in five sessions (once 209
sentences, and four times 59 sentences). The tongue movement was recorded in
midsagittal orientation using the ``Micro'' ultrasound system of Articulate
Instruments Ltd. at 81.67 fps. The speech signal was recorded with a
Beyerdynamic TG H56c tan omnidirectional condenser microphone. The ultrasound
data and the audio signals were synchronized using the tools provided by
Articulate Instruments Ltd. In our experiments, the raw scanline data of the
ultrasound was used as input of the networks, after being resized to
64$\times$128 pixels using bicubic interpolation (see samples in Fig. 1), as
we found earlier that this reduction does not cause significant information
loss [41].
For the Tacotron2 speaker adaptation, speaker048's data was used (train: 318
sentences, and validation: 40 sentences).
### 2.2 Ultrasound-to-Melspectrogram using 3D-CNN
(baseline)
When we are dealing with image processing as input data, then convolutional
neural networks are one of the most popular and effective methods which can
extract complex features from data by adding deep layers [42]. In Silent
Speech Interface, when we have ultrasound data as input, our input is not only
just images but sequences of images which could be considered as a video.
Standard CNN considers 2D images to extract features by convolving 2D filters
over images. Therefore, to model temporal information, a third dimension has
to be considered [43, 44]. Recurrent Neural Networks such as Long Short Term
Memory (LSTM) are good examples of combining features extracted from both
temporal and spatial parts of data [44]. Using LSTM networks have some
drawbacks such as training difficulties, while some variants of these networks
were proposed to mitigate this problem, such as quasi-recurrent neural
networks [45].
Here we use another variation by adding a third dimension as (2+1)D CNN which
shows good performance in video action recognition task [46]. It shows good
results when used with ultrasound images and it could be considered as a
substitute of CNN+LSTM [18]. In the baseline system of the current study, we
apply the same 3D CNN which was used in [18] for predicting 80-dimensional
melspectrogram features from ultrasound tongue image input.
This network processed 5 frames of video that were 6 frames apart (6 is the
stride parameter of the convolution along the time axis) [18]. Following the
concept of (2+1)D convolution, the five frames were first processed only
spatially, and then got combined along the time axis just below the uppermost
dense layer. Fig. 2 left shows the actual network configuration. The training
was performed using the SGD optimizer with 0.06 starting learning rate. It was
reduced when a validation MSE has stopped improving by factor 0.5. The batch
size was 128. The training objective function was the mean squared error
(MSE).
Figure 2: The layers of the 3D CNNs in the Keras implementation, along with
their most important parameters. Left: baseline 3D CNN for melspectrogram
prediction, right: proposed 3D CNN for symbol prediction.
### 2.3 Ultrasound-to-Symbol using 3D-CNN
In the proposed system, we use the same structure of the 3D CNN as in the
baseline system. The difference is in the target of the network: we predict
symbols of Tacotron2 internal representation, having 93 dimensions. At first,
we trained with the same methods as the baseline model, but the model was not
applicable. We fine-tuned the optimizer, batch size, and other hyperparameters
but the model still did not train. Sometimes the accuracy was zero or it
learned only the silent symbol and predicted it everywhere. Finally, transfer
learning was successful. We reused the baseline 3D-CNN model’s weights at the
convolutional layers. All convolutional layers were frozen and only the last
two FC layers (with 1000 and 93 neurons) were trained. The weights of these
two layers were initialized randomly. Here, cross-entropy is used as the loss
function. Because the classes of symbols were not balanced, we used a specific
loss function: the loss was weighted with the occurrence of the symbols. We
used Adam optimizer and accuracy as a metric. The other parameters of the CNN
are the same as the baseline, see Fig. 2 right.
#### 2.3.1 Accuracy and the confusion matrix
The Ultrasound-to-Symbol 3D-CNN model reached 0.68 validation accuracy after
20 epochs (train acc.: 0.83). Early stopping was used with a patience
parameter of 7. To improve our Tacotron2 model, the confusion matrix was used
to generate augmented training data (see later in Sec. 2.4.3). Fig. 3 shows a
simplified version of the confusion matrix (for visualization purposes only –
the full matrix involves all 93 symbols: for this figure, we removed the
symbols which were not used in the current models and pooled together the
short and long versions of the symbols). The values are normalized by rows
(target symbols) and converted to percentage values. The first row (on the
top) is the most accurate symbol, and the last row (on the bottom) is the
least accurate symbol. We expected that the errors are related to
articulation, but in Fig. 3 it seems mainly noise-like. The symbols with lower
accuracies were some vowels and nasals (e,a,ee,n,m in the figure, /E,O,e:,n,m/
in IPA). The symbols with higher accuracies were some less frequent consonants
(z,ty,cs,zs in the figure, /Z,tS,c,z/ in IPA).
Figure 3: Simplified confusion matrix of the proposed Ultrasound-to-Symbol
3D-CNN. The values are normalized and showed in percentages. Rows: target,
columns: predicted.
### 2.4 Symbol-to-melspectrogram using Tacotron2
We used a multi-speaker Tacotron2 model [35] based on the NVIDIA
implementation (https://github.com/NVIDIA/tacotron2). The speakers’ IDs are
coded as a one-hot vector and added to the inputs of the LSTM cells both in
the encoder and decoder. The model was trained by all 11 speakers of the PPSD
database [39] at the same time. The order of all speakers’ sentences was
randomized. The input of the Tacotron2 is a sequence of symbols. Because
Hungarian is an almost phonetic language, we used a mixed collection of
letters and phonemes. The symbols of the input sequence follow the phonemes of
the sentences, but we did not use allophones or other detailed discrimination.
Only the long–short property is used to encode durational differences. The
phonemes are represented with their approximate letter: the lowercase letters
show the short phonemes, the capital letters indicate the long phonemes.
This multi-speaker model was trained during 156k iterations on a single NVIDIA
Titan Xp. The sample rate of the sound was 22 050 Hz, the window size was 1024
and the hop length was 256. We used 80 mel channels between 0 Hz and 8000 Hz
to keep compatibility with the WaveGlow model. The encoder’s symbols embedding
and embedding dimension was also 512. The decoder’s RNN dimensions were 1024.
Figure 4: Examples for the connection between the steps of the encoder and
decoder. Top: Tacotron2 without timing information. Middle: Tacotron2 with
timing information (Proposed #1). Bottom: Tacotron2 with timing information
and with data augmentation (Proposed #2).
Our goal was to use our pre-trained Tacotron2 model (originally developed for
TTS) without modification, therefore we made only some fine-tuning for AAM
purposes. The ultrasound image sequence does not contain F0-related
information, but it contains the timing of speech. Basically, the Tacotron2
does not handle timing information of a sentence, it can generate that via an
attention mechanism. Fig. 4 top shows an example for the connection between
the steps of the encoder and decoder with this initial Tacotron2 system. This
sentence encoder contains 16 symbols plus two padding symbols at the borders
of the sentence. The model generated 134 decoder frames. In this model, one
frame is about 11.6ms, so this sentence was about 1.6s long. Clearly, the
timings are not modeled well here.
#### 2.4.1 Time-synchronous Tacotron2 system
In order to use the proper timing of the input sequence, we generated a new
training set from the original 11 speakers' dataset. The input symbols were
repeated accordingly to the real duration of a phone. The repeating number was
calculated from the ultrasound frame rate (81.67 fps). For example, at a 98ms
long phone, the symbol was repeated 8 times. The attention mechanism adapted
to the synchronized input during the fine-tuning. It required 7.5k iterations.
#### 2.4.2 Proposed system #1
The speaker in the ultrasound dataset (speaker048) is independent of the 11
speakers of the training set of Tacotron2. The next step was fine-tuning to
the new speaker. We chose a female speaker from the 11 others, and at the
tuning, her speakerID one-hot vector was used. At this step, 84 iterations
resulted in the smallest validation error. In the first proposed system, this
model was used. Fig. 4 middle shows the proper timing of the generated speech.
The input of that sentence contains 237 symbols, and the system generated 246
output frames. The difference comes from the uncertainty of the end decision
of the decoder. The figure also shows the Tacotron2 can tolerate some symbol
errors, i.e. the line is not perfectly straight; there are some small steps,
where the decoder ignores some input symbols.
#### 2.4.3 Proposed system #2
Our experience was that Tacotron2 can tolerate some mistakes in the prediction
of the 3D-CNN model (Sec. 2.3), but these mistakes cause audible distortion
during the final synthesis. The distribution of the wrong predictions can be
characterized by the confusion matrix (Sec. 2.3.1) of the 3D-CNN network. It
is not accurate because it does not contain the position information of the
mistakes, but it is suitable to generate similar training data for fine-tuning
the Tacotron2 model. With the distribution of the symbol’s error, we modified
the 11 speakers training set. The symbol changing was based on the
distribution but it was randomized. For every sentence, 20 different versions
were generated. The output mel-spectrograms were not changed. 4.3k iterations
provided the lowest validation error. Fig. 4 bottom shows the tuned model’s
connection between the encoder and decoder. There are two differences compared
to the middle subfigure. The number of the encoder steps remained the same,
but there are fewer decoder steps. The decoder learned to ignore the different
types of silence symbols (pad, sil, start_sil, end_sil) which were mixed in
the predicted symbol sequence. The other difference is that the line is
smoother. It shows that a decoder step connects more encoder steps and the
model can combine the information of good and bad symbols.
After that we also repeated the tuning to the speaker from the ultrasound
dataset. Here we also generate modified training data with the phoneme errors.
The procedure was the same as at the multi-speaker case. At this second step,
182 iterations were required. We used this model in the second proposed
system.
### 2.5 Melspectrogram-to-speech with a neural vocoder
Similarly to the original WaveGlow paper [34], 80 bins were used for mel-
spectrogram using librosa mel-filter defaults (i.e. each bin is normalized by
the filter length and the scale is the same as in HTK, Hidden Markov Model
Toolkit). FFT size and window size were both 1024 samples. For hop size, we
use the base 256 samples. This 80-dimensional mel-spectrogram served as the
training target of the Tacotron2 network. A WaveGlow model was trained with
the Hungarian data (WaveGlow-HU). This latter training was done on a server
with eight V100 GPUs, altogether for 635k iterations. In the synthesis phase,
an interpolation in time was not necessary, different from [17]. The
ultrasound frame rate was 270 samples, but the differences were compensated by
the Tacotron2 model, the output frame rate of the model was 256 samples which
is the same as the WaveGlow's hop size. Finally, the synthesized speech is the
result of the inference with the trained WaveGlow-HU model conditioned on the
mel-spectrogram input [34].
## 3 Experiments and Results
After training the above models, we synthesized sentences from the test part
of the ultrasound dataset. These sentences have not been used during the
training process, neither in the Ultrasound-to-Symbol model, nor in the
Tacotron2 training and tuning process. The domain of the texts is also
independent of the training and validation dataset: it contains the Hungarian
version of 'The North Wind and the Sun'.
### 3.1 Subjective listening test
In order to determine which proposed version is closer to natural speech, we
conducted an online MUSHRA-like test [47]. Our aim was to compare the natural
sentences with the synthesized sentences of the baseline, the proposed
approaches and a lower anchor system (the latter having constant F0 and 2D CNN
predicted MGC-LSP, from [17]). In the test, the listeners had to rate the
naturalness of each stimulus in a randomized order relative to the reference
(which was the natural sentence), from 0 (very unnatural) to 100 (very
natural). We chose nine sentences from the test set of the target speaker. The
variants appeared in randomized order (different for each listener). The
samples can be found at http://smartlab.tmit.bme.hu/ssw11_tacotron2.
Each sentence was rated by 23 native Hungarian speakers (11 females, 12 males;
14–47 years old), in a silent environment. On average, the test took 10
minutes to complete. Fig. 5 shows the average naturalness scores for the
tested approaches. The lower anchor received the weakest scores, followed by
the baseline, and the proposed approaches. To check the statistical
significances, we conducted Mann-Whitney-Wilcoxon ranksum tests with a 95%
confidence level. Based on this, both proposed variants were evaluated as
significantly more natural than the baseline. The listeners noted the
difference between the two proposed versions: proposed#1, the one with
standard training (Sec. 2.4.2) was rated as 40%, while proposed #2, the one
with additional error training (Sec. 2.4.3) was rated as 43% – but this
difference is not statistically significant.
As a summary of the listening test, we can conclude that splitting the
ultrasound-to-speech prediction task into three parts increased the
naturalness, mostly because of the Tacotron2 component which could be trained
with a large amount of speech data, and transfer learning / adaptation was
possible to the target speaker.
Figure 5: Results of the subjective evaluation with respect to naturalness.
The error bars show the 95% confidence intervals.
## 4 Discussion
In Sec. 1, we noted that currently only a few sequence-to-sequence / fully
end-to-end solutions are available for articulatory-to-acoustic mapping [36,
37]. Our proposed solution has the following similarities and differences.
Mira and his colleagues use the video of the face as input [37], Zhang and his
colleagues use both ultrasound and lip video input [36], whereas in our study
we use ultrasound tongue image input. As the three studies apply different
databases, the results are not directly comparable. In [37], GANs are used
with specific adversarial loss, whereas we apply 3D CNN to model the spatial
and temporal dependencies of the articulatory and acoustic data. Similarly to
[36], we apply Tacotron2 as the encoder-decoder network, but we extend the
basic training with additional data augmentation, which includes the wrong
predictions from the confusion matrix of the UTI-to-symbol prediction network.
By using the symbols as intermediate representation, our solution is closer to
the 'recognition-and-synthesis' type of SSIs.
## 5 Conclusions
In this paper, we experimented with transfer learning and adaptation of a
Tacotron2 text-to-speech model to improve the final synthesis quality of
ultrasound-based articulatory-to-acoustic mapping with a limited database
(roughly 200 sentences). We used a Hungarian multi-speaker pre-trained
Tacotron2 TTS model and a pre-trained WaveGlow neural vocoder (both trained on
11 speakers's data, altogether 23k sentences, roughly 22 hours of speech). The
proposed articulatory-to-acoustic conversion framework is a fully end-to-end
solution, including an encoder-decoder architecture and attention mechanism,
and contains three steps: 1) from a sequence of ultrasound tongue image
recordings, a 3D convolution neural network predicts the 93-dimensional
embedding inputs of the pre-trained Tacotron2 model, 2) the Tacotron2 model
converts this intermediate representation to a 80-dimensional mel-spectrogram,
and 3) the WaveGlow model is applied for final inference. We demonstrated that
the synthesized speech quality is significantly more natural with the proposed
solutions than with our earlier model.
The code is accessible at https://github.com/BME-SmartLab/UTI-to-STFT-
Tacotron2.
## 6 Acknowledgements
The research was partly supported by the European Union’s Horizon 2020
research and innovation programme under grant agreement No. 825619 (AI4EU), by
the National Research Development and Innovation Office of Hungary (FK 124584
and PD 127915 grants; APH-ALARM / 2019-2.1.2-NEMZ-2020-00012 project) and
through the Artificial Intelligence National Laboratory Programme. The Titan X
GPU used was donated by NVIDIA Corporation. We would like to thank the
subjects for participating in the listening test.
## References
* [1] B. Denby, T. Schultz, K. Honda, T. Hueber, J. M. Gilbert, and J. S. Brumberg, ``Silent speech interfaces,'' _Speech Communication_ , vol. 52, no. 4, pp. 270–287, 2010.
* [2] T. Schultz, M. Wand, T. Hueber, D. J. Krusienski, C. Herff, and J. S. Brumberg, ``Biosignal-Based Spoken Communication: A Survey,'' _IEEE/ACM Transactions on Audio, Speech, and Language Processing_ , vol. 25, no. 12, pp. 2257–2271, dec 2017.
* [3] J. A. Gonzalez-Lopez, A. Gomez-Alanis, J. M. Martin Donas, J. L. Perez-Cordoba, and A. M. Gomez, ``Silent Speech Interfaces for Speech Restoration: A Review,'' _IEEE Access_ , vol. 8, pp. 177 995–178 021, sep 2020.
* [4] B. Cao, M. Kim, J. R. Wang, J. Van Santen, T. Mau, and J. Wang, ``Articulation-to-Speech Synthesis Using Articulatory Flesh Point Sensors' Orientation Information,'' in _Proc. Interspeech_ , Hyderabad, India, 2018, pp. 3152–3156.
* [5] F. Taguchi and T. Kaburagi, ``Articulatory-to-speech conversion using bi-directional long short-term memory,'' in _Proc. Interspeech_ , Hyderabad, India, 2018, pp. 2499–2503.
* [6] B. Denby and M. Stone, ``Speech synthesis from real time ultrasound images of the tongue,'' in _Proc. ICASSP_ , Montreal, Quebec, Canada, 2004, pp. 685–688.
* [7] T. Hueber, E.-L. Benaroya, G. Chollet, G. Dreyfus, and M. Stone, ``Development of a silent speech interface driven by ultrasound and optical images of the tongue and lips,'' _Speech Communication_ , vol. 52, no. 4, pp. 288–300, 2010.
* [8] T. Hueber, E.-l. Benaroya, B. Denby, and G. Chollet, ``Statistical Mapping Between Articulatory and Acoustic Data for an Ultrasound-Based Silent Speech Interface,'' in _Proc. Interspeech_ , Florence, Italy, 2011, pp. 593–596.
* [9] A. Jaumard-Hakoun, K. Xu, C. Leboullenger, P. Roussel-Ragot, and B. Denby, ``An Articulatory-Based Singing Voice Synthesis Using Tongue and Lips Imaging,'' in _Proc. Interspeech_ , San Francisco, CA, USA, 2016, pp. 1467–1471.
* [10] E. Tatulli and T. Hueber, ``Feature extraction using multimodal convolutional neural networks for visual speech recognition,'' in _Proc. ICASSP_ , New Orleans, LA, USA, 2017, pp. 2971–2975.
* [11] T. G. Csapó, T. Grósz, G. Gosztolya, L. Tóth, and A. Markó, ``DNN-Based Ultrasound-to-Speech Conversion for a Silent Speech Interface,'' in _Proc. Interspeech_ , Stockholm, Sweden, 2017, pp. 3672–3676.
* [12] T. Grósz, G. Gosztolya, L. Tóth, T. G. Csapó, and A. Markó, ``F0 Estimation for DNN-Based Ultrasound Silent Speech Interfaces,'' in _Proc. ICASSP_ , Calgary, Canada, 2018, pp. 291–295.
* [13] L. Tóth, G. Gosztolya, T. Grósz, A. Markó, and T. G. Csapó, ``Multi-Task Learning of Phonetic Labels and Speech Synthesis Parameters for Ultrasound-Based Silent Speech Interfaces,'' in _Proc. Interspeech_ , Hyderabad, India, 2018, pp. 3172–3176.
* [14] E. Moliner and T. G. Csapó, ``Ultrasound-based silent speech interface using convolutional and recurrent neural networks,'' _Acta Acustica united with Acustica_ , vol. 105, no. 4, pp. 587–590, 2019.
* [15] G. Gosztolya, Á. Pintér, L. Tóth, T. Grósz, A. Markó, and T. G. Csapó, ``Autoencoder-Based Articulatory-to-Acoustic Mapping for Ultrasound Silent Speech Interfaces,'' in _International Joint Conference on Neural Networks_ , 2019.
* [16] T. G. Csapó, M. S. Al-Radhi, G. Németh, G. Gosztolya, T. Grósz, L. Tóth, and A. Markó, ``Ultrasound-based Silent Speech Interface Built on a Continuous Vocoder,'' in _Proc. Interspeech_ , Graz, Austria, 2019, pp. 894–898.
* [17] T. G. Csapó, C. Zainkó, L. Tóth, G. Gosztolya, and A. Markó, ``Ultrasound-based Articulatory-to-Acoustic Mapping with WaveGlow Speech Synthesis,'' in _Proc. Interspeech_ , 2020, pp. 2727–2731.
* [18] L. Tóth and A. H. Shandiz, ``3D Convolutional Neural Networks for Ultrasound-Based Silent Speech Interfaces,'' in _Proc. ICAISC_ , Zakopane, Poland, 2020.
* [19] A. H. Shandiz, L. Tóth, G. Gosztolya, A. Markó, and T. G. Csapó, ``Improving Neural Silent Speech Interface Models by Adversarial Training,'' in _2nd International Conference on Artificial Intelligence and Computer Vision (AICV2021)_ , 2021.
* [20] J. A. Gonzalez, L. A. Cheah, A. M. Gomez, P. D. Green, J. M. Gilbert, S. R. Ell, R. K. Moore, and E. Holdsworth, ``Direct Speech Reconstruction From Articulatory Sensor Data by Machine Learning,'' _IEEE/ACM Transactions on Audio, Speech, and Language Processing_ , vol. 25, no. 12, pp. 2362–2374, dec 2017.
* [21] J. A. Gonzalez-Lopez, M. Gonzalez-Atienza, A. Gomez-Alanis, J. L. Perez-Cordoba, and P. D. Green, ``Multi-view Temporal Alignment for Non-parallel Articulatory-to-Acoustic Speech Synthesis,'' in _Proc. IberSPEECH_ , 2021, pp. 230–234.
* [22] M. Janke and L. Diener, ``EMG-to-Speech: Direct Generation of Speech From Facial Electromyographic Signals,'' _IEEE/ACM Transactions on Audio, Speech, and Language Processing_ , vol. 25, no. 12, pp. 2375–2385, dec 2017.
* [23] L. Diener, G. Felsch, M. Angrick, and T. Schultz, ``Session-Independent Array-Based EMG-to-Speech Conversion using Convolutional Neural Networks,'' in _13th ITG Conference on Speech Communication_ , 2018.
* [24] N. Shah, N. Shah, and H. Patil, ``Effectiveness of Generative Adversarial Network for Non-Audible Murmur-to-Whisper Speech Conversion,'' in _Proc. Interspeech_ , Hyderabad, India, 2018, pp. 3157–3161.
* [25] S. Stone and P. Birkholz, ``Silent-speech command word recognition using electro-optical stomatography,'' in _Proc. Interspeech_ , San Francisco, CA, USA, 2016, pp. 2350–2351.
* [26] Y. H. Shin and J. Seo, ``Towards contactless silent speech recognition based on detection of active and visible articulators using IR-UWB radar,'' _Sensors_ , vol. 16, no. 11, 2016.
* [27] P. A. Digehsara, C. Wagner, P. Schaffer, M. Bärhold, S. Stone, D. Plettemeier, and P. Birkholz, ``On the optimal set of features and robustness of classifiers in radar-based silent phoneme recognition,'' in _Proc. ESSV_ , online, 2021.
* [28] A. Ephrat and S. Peleg, ``Vid2speech: Speech Reconstruction from Silent Video,'' in _Proc. ICASSP_ , New Orleans, LA, USA, 2017, pp. 5095–5099.
* [29] K. Sun, C. Yu, W. Shi, L. Liu, and Y. Shi, ``Lip-Interact: Improving Mobile Device Interaction with Silent Speech Commands,'' in _UIST 2018 - Proceedings of the 31st Annual ACM Symposium on User Interface Software and Technology_ , Berlin, Germany, 2018, pp. 581–593.
* [30] F. V. Arthur and T. G. Csapó, ``Towards a practical lip-to-speech conversion system using deep neural networks and mobile application frontend,'' in _2nd International Conference on Artificial Intelligence and Computer Vision (AICV2021)_ , 2021.
* [31] T. G. Csapó, G. Németh, and M. Cernak, ``Residual-Based Excitation with Continuous F0 Modeling in HMM-Based Speech Synthesis,'' in _Lecture Notes in Artificial Intelligence_ , A.-H. Dediu, C. Martín-Vide, and K. Vicsi, Eds. Budapest, Hungary: Springer International Publishing, 2015, vol. 9449, pp. 27–38.
* [32] T. G. Csapó, G. Németh, M. Cernak, and P. N. Garner, ``Modeling Unvoiced Sounds In Statistical Parametric Speech Synthesis with a Continuous Vocoder,'' in _Proc. EUSIPCO_ , Budapest, Hungary, 2016, pp. 1338–1342.
* [33] A. van den Oord, S. Dieleman, H. Zen, K. Simonyan, O. Vinyals, A. Graves, N. Kalchbrenner, A. W. Senior, and K. Kavukcuoglu, ``WaveNet: A Generative Model for Raw Audio,'' _CoRR_ , vol. abs/1609.0, 2016.
* [34] R. Prenger, R. Valle, and B. Catanzaro, ``Waveglow: A Flow-based Generative Network for Speech Synthesis,'' in _Proc. ICASSP_ , Brighton, UK, 2019, pp. 3617–3621.
* [35] J. Shen, R. Pang, R. J. Weiss, M. Schuster, N. Jaitly, Z. Yang, Z. Chen, Y. Zhang, Y. Wang, R. Skerrv-Ryan, R. A. Saurous, Y. Agiomvrgiannakis, and Y. Wu, ``Natural TTS Synthesis by Conditioning Wavenet on MEL Spectrogram Predictions,'' in _Proc. ICASSP_ , Calgary, Canada, 2018, pp. 4779–4783.
* [36] J.-X. Zhang, K. Richmond, Zhen-Hua-Ling, and L.-R. Dai, ``TaLNet: Voice Reconstruction from Tongue and Lip Articulation with Transfer Learning from Text-to-Speech Synthesis,'' in _Proc. AAAI_ , 2021.
* [37] R. Mira, K. Vougioukas, P. Ma, S. Petridis, B. W. Schuller, and M. Pantic, ``End-to-End Video-To-Speech Synthesis using Generative Adversarial Networks,'' apr 2021.
* [38] M. S. Ribeiro, J. Sanger, J.-X. X. Zhang, A. Eshky, A. Wrench, K. Richmond, and S. Renals, ``TaL: a synchronised multi-speaker corpus of ultrasound tongue imaging, audio, and lip videos,'' in _2021 IEEE Spoken Language Technology Workshop (SLT)_ , Shenzhen, China, 2021, pp. 1109–1116.
* [39] G. Olaszy, ``Precíziós, párhuzamos magyar beszédadatbázis fejlesztése és szolgáltatásai [Development and services of a Hungarian precisely labeled and segmented, parallel speech database] (in Hungarian),'' _Beszédkutatás 2013 [Speech Research 2013]_ , pp. 261–270, 2013\.
* [40] G. Gosztolya, T. Grósz, L. Tóth, A. Markó, and T. G. Csapó, ``Applying DNN Adaptation to Reduce the Session Dependency of Ultrasound Tongue Imaging-Based Silent Speech Interfaces,'' _Acta Polytechnica Hungarica_ , vol. 17, no. 7, pp. 109–124, 2020.
* [41] T. G. Csapó, G. Gosztolya, L. Tóth, A. H. Shandiz, and A. Markó, ``Optimizing the Ultrasound Tongue Image Representation for Residual Network-based Articulatory-to-Acoustic Mapping,'' _submitted to Multimedia Tools and Applications_ , 2021.
* [42] A. Krizhevsky, I. Sutskever, and G. E. Hinton, ``Imagenet classification with deep convolutional neural networks,'' in _Advances in neural information processing systems_ , 2012, pp. 1097–1105.
* [43] S. Ji, W. Xu, M. Yang, and K. Yu, ``3D convolutional neural networks for human action recognition,'' _IEEE transactions on pattern analysis and machine intelligence_ , vol. 35, no. 1, pp. 221–231, 2012.
* [44] S. Hochreiter and J. Schmidhuber, ``Long Short-Term Memory,'' _Neural Computation_ , vol. 9, no. 8, pp. 1735–1780, nov 1997.
* [45] J. Bradbury, S. Merity, C. Xiong, and R. Socher, ``Quasi-recurrent neural networks,'' _arXiv preprint arXiv:1611.01576_ , 2016.
* [46] D. Tran, H. Wang, L. Torresani, J. Ray, Y. LeCun, and M. Paluri, ``A closer look at spatiotemporal convolutions for action recognition,'' in _Proceedings of the IEEE conference on Computer Vision and Pattern Recognition_ , 2018, pp. 6450–6459.
* [47] ``ITU-R Recommendation BS.1534: Method for the subjective assessment of intermediate audio quality,'' 2001.
| arxiv-papers | 2021-07-26T09:19:20 | 2024-09-04T03:07:18.070702 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Csaba Zaink\\'o, L\\'aszl\\'o T\\'oth, Amin Honarmandi Shandiz, G\\'abor\n Gosztolya, Alexandra Mark\\'o, G\\'eza N\\'emeth, Tam\\'as G\\'abor Csap\\'o",
"submitter": "Tam\\'as G\\'abor Csap\\'o",
"url": "https://arxiv.org/abs/2107.12051"
} |
2107.12053 | 2022
[1]Tomohiro Harada
[1]Faculty of System Design, Tokyo Metropolitan University, 2-503, 6-6
Asahigaoka, Hino, 1910065, Tokyo, Japan
# A Frequency-based Parent Selection for Reducing the Effect of Evaluation
Time Bias in Asynchronous Parallel Multi-objective Evolutionary Algorithms
[email protected] *
###### Abstract
Parallel evolutionary algorithms (PEAs) have been studied for reducing the
execution time of evolutionary algorithms by utilizing parallel computing. An
asynchronous PEA (APEA) is a scheme of PEAs that increases computational
efficiency by generating a new solution immediately after a solution
evaluation completes without the idling time of computing nodes. However,
because APEA gives more search opportunities to solutions with shorter
evaluation times, the evaluation time bias of solutions negatively affects the
search performance. To overcome this drawback, this paper proposes a new
parent selection method to reduce the effect of evaluation time bias in APEAs.
The proposed method considers the search frequency of solutions and selects
the parent solutions so that the search progress in the population is uniform
regardless of the evaluation time bias. This paper conducts experiments on
multi-objective optimization problems that simulate the evaluation time bias.
The experiments use NSGA-III, a well-known multi-objective evolutionary
algorithm, and compare the proposed method with the conventional
synchronous/asynchronous parallelization. The experimental results reveal that
the proposed method can reduce the effect of the evaluation time bias while
reducing the computing time of the parallel NSGA-III.
###### keywords:
Asynchronous evaluation, evaluation time bias, evolutionary algorithm, multi-
objective optimization, parallelism, parent selection
## 1 Introduction
Evolutionary algorithms (EAs) have been applied to a wide range of real-world
optimization problems owing to their high search capability without any
problem-specific knowledge. When applying EAs to real-world applications,
solution evaluations may take much computational time, such as due to physical
simulation or complex numerical calculations.
Parallel EAs (PEAs) (Alba2013, ; HaradaPGA2020, ; Raghul2022, ) are a
promising technique to speed up the optimization process for computationally
expensive problems. A master-worker parallelization (Durillo2008, ) is one of
the typical approaches of PEAs, where a single master computing node executes
the main procedure of EA, e.g., initialization, parent selection, genetic
operations, and survival selection. In contrast, many worker nodes evaluate
each solution in parallel.
Master-worker PEAs (MW-PEAs) can be mainly classified into two approaches, a
synchronous PEA (SPEA) and an asynchronous PEA (APEA) (Depolli2013, ). SPEA
generates a population in the next generation after evaluating all solutions.
On the other hand, in APEA, a new solution to be evaluated is generated
immediately after completing one solution evaluation. Since SPEA needs to wait
for a solution with the longest evaluation time before generating the next
population, it increases the idling time of worker nodes and decreases the
computational efficiency. On the other hand, APEA can overcome this drawback
because it can continuously evolve solutions without the idling time of worker
nodes. However, since APEA generates a new solution whenever a solution
evaluation completes, it could lead to local optima with a short evaluation
time (SAEA_GECCO, ; SAEA_FOGA, ).
This paper proposes a new parent selection method to reduce the effect of
evaluation time bias in APEA. Concretely, the proposed method considers the
search frequency of each search region and selects parents so that the search
frequency of all solutions becomes uniform. The proposed method introduces a
new parameter that stores the search frequency of solutions and selects
parents from solutions with fewer search frequencies.
This paper is an extended version of the author’s work (HaradaSSCI2020, ).
This paper improves the behavior analysis of the proposed method in more
detail, mainly: the previous work analyzed the behavior of the proposed method
using only one multi-objective benchmark problem (DTLZ1). On the other hand,
to achieve a deeper analysis, this study utilizes multimodal multi-objective
test functions (MMFs) (MMF2018, ) and designs benchmarks with two Pareto-
optimal solution sets where each Pareto-optimal solution has a different
evaluation time. Comparing the proposed method with synchronous/asynchronous
parallel MOEA shows that the proposed method can equally obtain Pareto-optimal
solution sets with different evaluation times (see Section 4). In addition,
this paper provides further analysis of a proposed method parameter, mainly
$r_{s}$ in the proposed method (see Section 3.2).
The rest of this paper is organized as follows. The following section briefly
introduces APEAs and mentions their problems in the evaluation time bias.
Section 3 proposes the parent selection strategy and shows its concrete
example on NSGA-III. Section 4 defines the test problems used in this work,
and Section 5 describes the experimental settings. Then, the parameter setting
of the proposed method is discussed in Section 6, and Section 7 compares the
proposed method with SPEA and APEA. Finally, Section 8 concludes this paper
and addresses future works.
## 2 Background
Parallel evolutionary algorithms (PEAs) (Alba2013, ; HaradaPGA2020, ;
Raghul2022, ) have been studied to reduce the computing time of EA methods by
executing a single EA run on multiple computing nodes and have been applied to
several real-world applications, such as education (NGUYEN2021104439, ), data
mining (Soufan2015, ), nanoscience (Shayeghi2015, ), and routing
(abbasi2020efficient, ).
A master-worker model (known as a global model) is a straightforward approach
to implementing PEAs (Durillo2008, ; Luna2016, ), and is widely used in many
recent works (P2022101536, ; Chitty2021, ). On a master-worker PEA (MW-PEA), a
master computing node executes the main procedure of EAs, such as
initialization, selection, genetic operators, and replacement. On the other
hand, many worker nodes evaluate newly generated solutions in parallel and
return their results to the master node.
MW-PEAs can be classified into synchronous PEAs (SPEAs) and asynchronous PEAs
(APEAs). SPEA waits for all evaluations of solutions executed by worker nodes
and generates a new population using all newly evaluated solutions. Since SPEA
needs to wait for the longest evaluation for each generation, computational
efficiency decreases if the evaluation times differ. On the other hand, APEA
continuously generates a new solution without waiting for evaluations of other
solutions. This enables the efficient use of the computing resource even if
the evaluation times of solutions differ. Since previous research has
demonstrated the effectiveness of APEAs, for example, on continuous
optimizations (ade2013, ), genetic programmings (Harada2014, ), and multi-
objective optimizations (SMSEMOA2016, ), this paper focuses on APEAs.
Although APEA is a practical approach of MW-PEAs, the previous studies
demonstrated that APEA is biased toward the search region having a short
evaluation time if the evaluation time differs depending on the search region
(SAEA_FOGA, ; SAEA_GECCO, ). This happens because APEA gives many
opportunities to search for solutions with a short evaluation time. SPEA, on
the other hand, is an option to avoid the effect of the evaluation time bias
because it is not affected by the evaluation time bias. However, SPEA still
wastes waiting time because the evaluation times of solutions differ.
From the above, it can be seen that SPEA has poor computational efficiency
regardless of the evaluation time bias. On the other hand, APEA is
computationally efficient but is affected by the evaluation time bias.
Therefore, this research proposes a method to reduce the effect of the
evaluation time bias in APEA while maintaining its computational efficiency.
## 3 Proposed method
This section proposes a new parent selection method for reducing the effect of
evaluation time bias in APEAs. The following subsection first explains the
basic concept of the proposed method, and then Section 3.2 introduces the
proposed parent selection. Finally, Section 3.3 shows an example of applying
the proposed method to the asynchronous parallel NSGA-III.
### 3.1 Basic concept
APEAs are negatively affected by the evaluation time bias because the search
frequency for solutions with a short evaluation time increases. On the other
hand, SPEAs are not affected by the evaluation time bias because the search
frequency is almost the same in all search regions regardless of the
evaluation time bias. This fact suggests that adjusting the search progress of
all solutions to be uniform can effectively reduce the effect of evaluation
time bias in APEAs.
From this viewpoint, this paper proposes a new parent selection method that
introduces a new parameter, a search frequency parameter, that stores how many
offspring are generated from each solution. The proposed selection method
selects parents to preserve the uniformity of the search frequency of
solutions according to the additional frequency parameter. This contributes to
preventing excessive parent selection of solutions in the regions with a short
evaluation time.
(a) Conventional APEAs
(b) The proposed parent selection
Figure 1: Illustrations of the conventional APEA and the proposed method
Fig. 1 illustrates the conventional and proposed APEAs on a one-dimensional
maximization problem with the evaluation time bias. This example has a global
optimum with a long evaluation time and a local optimum with a short
evaluation time. A conventional APEA depicted in Fig. 1a increases the search
frequency of solutions with a short evaluation time (the right area). This
eliminates solutions close to the global optimum (a long evaluation time) by
comparing them with the more frequently searched solutions near the local
optimum. To overcome this problem, the proposed method depicted in Fig. 1b
stores the search frequency of solutions ($s.freq$) and attempts to preserve
the uniformity of these frequencies. This may avoid eliminating solutions with
a long evaluation time due to its slow search progress.
### 3.2 Algorithm
The proposed method introduces a new parameter to store the search frequency
of each solution. Let the search frequency of a solution $s$ be $s.freq$.
First, the frequency parameter $s.freq$ for all solutions is initialized to 1.
After that, when a solution is selected as a parent, the frequency parameter
is incremented as $s.freq\leftarrow s.freq+1$. In addition, generated
offspring $s_{new}$ inherits the frequency parameter as the mean of its
parents. In particular, when an offspring solution $s_{new}$ is generated from
two parents, $p_{1}$ and $p_{2}$, the search frequency parameter of $s_{new}$
is calculated as $s_{new}.freq\leftarrow(p_{1}.freq+p_{2}.freq)/2$. This
allows us to store the search progress of each search region as additional
information for each solution.
Unlike the conventional parent selection, the proposed method selects only
solutions with fewer search frequencies. In particular, when the parent
selection, the proposed method preliminary selects a candidate pool from the
current population according to the search frequency parameter. This can
reduce the search opportunities for solutions with a short evaluation time and
lead solutions to be uniformly selected as the parents.
Algorithm 1 A pseudo-code of a simple APEA with the proposed method. The
underlined texts are specific to the proposed method.
1:Generate $S$ random solutions
2: $\ignorespaces\triangleright$ $S$ is the number of worker nodes
3:Send solutions to worker nodes
4:$P_{0}\leftarrow\emptyset$
5:while $\lvert P_{0}\rvert<N$ do $\ignorespaces\triangleright$ $N$ is the
population size
6: $s\leftarrow$wait for a solution from worker nodes
7: $P_{0}\leftarrow P_{0}\cup\\{s\\}$
8: Generate a random solution $s$
9: $s.freq\leftarrow 1$
10: Send $s$ to an idling worker node
11:end while
12:$t\leftarrow 0$
13:while Terminal conditions do
14: $s_{c}\leftarrow$wait for a solution from worker nodes
15: $P_{t+1}\leftarrow$ select $N$ solutions from $P_{t}\cup\\{s_{c}\\}$
16: $\ignorespaces\triangleright$ Any replacement strategy
17: Sort $P_{t+1}$ in ascending order according to $s.freq\>(s\in P_{t+1})$
18: $P_{t+1}^{\prime}\leftarrow$ the top $r_{s}\lvert P_{t+1}\rvert$ solutions
in $P_{t+1}$
19: Select two parents, $p_{1}$ and $p_{2}$, from $P_{t+1}^{\prime}$
20: $s_{new}\leftarrow$a new solution generated from $p_{1}$ and $p_{2}$
21: $p_{i}.freq\leftarrow p_{i}.freq+1\>(i=\\{1,2\\})$
22: $s_{new}.freq\leftarrow(p_{1}.freq+p_{2}.freq)/2$
23: Send $s_{new}$ to the idling worker node
24: $t\leftarrow t+1$
25:end while
Algorithm 1 shows a pseudo-code of an APEA with the proposed parent selection.
Additional procedures from a simple APEA are underlined. The search frequency
parameters of the initial solutions are set to 1 (Step 8). The population is
sorted in ascending order of the frequency parameters when selecting parent
solutions (Step 15). Then, the top $r_{s}\lvert P_{t+1}\rvert$ solutions in
$P_{t+1}$ are extracted as a parent candidate pool $P_{t+1}^{\prime}$ in Step
16. Here, $r_{s}\>(0\leq r_{s}\leq 1)$ is a selection ratio parameter that
determines how the uniformity of search frequency is prioritized. Since this
may affect the search capability of the proposed method, its effect will be
discussed in Section 6. Then, parents are selected from $P_{t+1}^{\prime}$
according to the algorithm-specific selection method (e.g., tournament
selection, roulette-wheel selection). After generating an offspring, the
frequency parameters of the parents are incremented by one (Step 19), and a
newly generated solution inherits the frequencies of the parents (Step 20).
The difference between the conventional APEA and the proposed method is that:
the conventional one selects parents from the entire population regardless of
the search frequency. This induces that solutions having short evaluation
times frequently get opportunities to be selected as parents, and the search
direction is biased. On the other hand, the proposed method considers the
search frequency of solutions and selects parents from less selected solutions
for the offspring generation. This mechanism can allow selection as parents
for all solutions and prevent the asynchronous evolution from being affected
by the evaluation time bias.
### 3.3 An example of the proposed method with NSGA-III
NSGA-III (NSGAIII, ) is one of the most well-known and successful MOEA methods
combining dominance and decomposition strategies. This section shows an
example of applying the proposed method to the asynchronous parallel NSGA-III,
which will be used in experiments in Sections 6 and 7. Please see the detailed
algorithm in (NSGAIII, ).
Algorithm 2 An algorithm of the asynchronous parallel NSGA-III with the
proposed frequency-based parent selection
1:$t\leftarrow 0$.
2:$P_{0}\leftarrow\texttt{Initialization}()$.
3:$s.freq=1\>(s\in P_{0})$
4:Send all solutions to worker nodes.
5:Wait for evaluations of all solutions.
6:while Termination conditions do
7: $P_{t}^{\prime}\leftarrow$ Sort $P_{t}$ in ascending order of
$s.freq\>(s\in P_{t})$
8: $P_{t}^{\prime\prime}\leftarrow$ The first $r_{s}\lvert P_{t}\rvert$
solutions of $P^{\prime}$
9: $p_{1},p_{2}\leftarrow\texttt{RandomSelection}(P_{t}^{\prime\prime})$
10: $s_{new}\leftarrow\texttt{GeneticOperators}(p_{1},p_{2})$
11: $p_{i}.freq\leftarrow p_{i}.freq+1\>(i={1,2})$
12: $s_{new}.freq\leftarrow(p_{1}.freq+p_{2}.freq)/2$
13: Send $s_{new}$ to an idling worker node.
14: $s\leftarrow$ wait for the next evaluation.
15: $R_{t}\leftarrow P_{t}\cup\\{s\\}$.
16: $P_{t+1}\leftarrow\texttt{Selection}(R_{t})$.
17: $t\leftarrow t+1$.
18:end while
Algorithm 2 describes the brief flow of the asynchronous parallel NSGA-III
assisted by the frequency-based selection (FS-NSGA-III). The master node
initializes the population (Step 2) and assigns the search frequency parameter
$s.freq=1$ for all solutions in the initial population $P_{0}$ (Step 3). After
the initialization, the master node sends all solutions to worker nodes (Step
4), and the main procedure is repeated until satisfying the termination
condition. When generating offspring, the proposed method sorts the population
in ascending order of the search frequency parameter (Step 7) and selects the
top $r_{s}\lvert P_{t}\rvert$ solutions (candidate pool
$P_{t}^{\prime\prime}$) from the current population $P_{t}^{\prime}$ (Step 8).
In contrast with NSGA-III randomly selecting two parent solutions from the
entire population, FS-NSGA-III selects parents from the candidate pool
$P_{t}^{\prime\prime}$ (Step 9). After generating offspring, the proposed
method increments the frequency parameters of the parents as
$p_{i}.freq\leftarrow p_{i}.freq+1$ (Step 11) and inherits the frequency
parameter of the generated offspring as the mean of its parents as
$s_{new}.freq\leftarrow(p_{1}.freq+p_{2}.freq)/2$ (Step 12). Then, the master
node sends the generated offspring to an idling worker node (Step 13) and
waits for the subsequent evaluation of a solution (Step 14). When receiving an
evaluation, NSGA-III selects the next population from the current population
and a newly evaluated solution (Step 16). NSGA-III uses the front ranking and
the niche-preservation operation based on the reference point in the selection
procedure.
## 4 Test problems with evaluation time bias
This work designs multi-objective optimization test problems with the
evaluation time bias to deeply analyze the behavior of the proposed method. In
particular, this work uses multimodal multi-objective test functions (MMFs)
(MMF2018, ), which are bi-objective optimization problems with multiple
separate Pareto sets (PS) in different regions of the decision space. One of
the notable features of MMFs is that the Pareto front in the objective
function space can be entirely approximated by only acquiring one of the
separated Pareto sets. This study uses two-dimensional MMF2–6 and MMF8111This
work does not use MMF1 and MMF7 because they have a continuous, non-separate
Pareto set., which have two separated Pareto sets. Fig. 2 depicts the Pareto
set for each problem (see (MMF2018, ) for more detailed problem definitions).
(a) MMF2 | (b) MMF3
---|---
(c) MMF4 | (d) MMF5
(e) MMF6 | (f) MMF8
Figure 2: The Pareto set of MMFs used in this work
The Pareto set with a smaller $x_{2}$ value for each $x_{1}$ is defined as
PS1, while another one is defined as PS2 as follows:
$\displaystyle PS1$ $\displaystyle=\\{\bm{x}\mid\bm{x}=\\{x_{1},x_{2}\\}\in
P^{*}\land x_{2}<\theta(x_{1})\\},$ $\displaystyle PS2$
$\displaystyle=\\{\bm{x}\mid\bm{x}=\\{x_{1},x_{2}\\}\in P^{*}\land
x_{2}\geq\theta(x_{1})\\},$ $\displaystyle\theta(x_{1})$
$\displaystyle=0.5\times\left(c_{l}(x_{1})+c_{s}(x_{1})\right),$
where $x_{1}$ and $x_{2}$ are the first and second design variables of
$\bm{x}$, while $\theta(x_{1})$ is a problem-dependent function that returns a
boundary plane calculated by $x_{1}$. The functions $c_{s}(x)$ and $c_{l}(x)$
are defined for each problem as shown in Table 1, which is determined from the
definition of the Pareto set.
Table 1: The definition of functions $c_{s}$ and $c_{l}$, and a parameter $\sigma$ Problem | Functions | $\sigma$
---|---|---
MMF2 | $\begin{array}[]{rcl}c_{s}(x)&=&\sqrt{x}\\\ c_{l}(x)&=&1+\sqrt{x}\end{array}$ | 0.25
MMF3 | $\begin{array}[]{rcl}c_{s}(x)&=&\sqrt{x}\\\ c_{l}(x)&=&0.5+\sqrt{x}\end{array}$ | 0.175
MMF4 | $\begin{array}[]{rcl}c_{s}(x)&=&\sin(\pi\lvert x\rvert)\\\ c_{l}(x)&=&1+\sin(\pi\lvert x\rvert)\end{array}$ | 0.25
MMF5 | $\begin{array}[]{rcl}c_{s}(x)&=&\sin(6\pi\lvert x-2\rvert+\pi)\\\ c_{l}(x)&=&\sin(6\pi\lvert x-2\rvert+\pi)+2\end{array}$ | 0.25
MMF6 | $\begin{array}[]{rcl}c_{s}(x)&=&\sin(6\pi\lvert x-2\rvert+\pi)\\\ c_{l}(x)&=&\sin(6\pi\lvert x-2\rvert+\pi)+1\end{array}$ | 0.375
MMF8 | $\begin{array}[]{rcl}c_{s}(x)&=&\sin(\lvert x\rvert)+\lvert x\rvert\\\ c_{l}(x)&=&\sin(\lvert x\rvert)+\lvert x\rvert+4\end{array}$ | 1.125
Based on the Pareto set in MMFs, this work designs the evaluation time
function so that the optimal solutions in PS2 require a longer evaluation time
than those in PS1. Specifically, the biased evaluation time is defined as:
$t_{bias}(\bm{x})=t_{mean}\left(1-\exp\left(-\frac{(x_{2}-c_{s}(x_{1}))^{2}}{2\sigma^{2}}\right)\right.\\\
+\left.\exp\left(-\frac{(x_{2}-c_{l}(x_{1}))^{2}}{2\sigma^{2}}\right)\right).$
(1)
This work names $t_{bias}$ Bias. For each problem, $\sigma$ determines the
variance of the Gaussian function, and the value of $\sigma$ is shown in Table
1.
An example of the evaluation time distribution of MMF2 is shown in Fig. 3. The
horizontal axis represents $x_{1}$, while the vertical axis represents
$x_{2}$. The color bar indicates the evaluation time. In this setting,
solutions in the PS1 have a shorter evaluation time than those in the PS2. It
is expected that APEA will converge more quickly to the PS1 in such a
situation.
Figure 3: A biased evaluation time in MMF2 calculated by Eq. (1) where PS1 has
a shorter evaluation time than PS2
In addition to Bias, this experiment uses a non-biased evaluation time
function that returns a random value sampled from the normal distribution as
$t_{norm}(\bm{x})\sim N(t_{p},c_{v}t_{p})$. The variable $t_{p}$ denotes the
mean evaluation time, while $c_{v}$ determines the variance of the evaluation
time. Since $t_{norm}(\bm{x})$ is independent of the decision variable, there
is no bias in the evaluation time — name this No-bias.
## 5 Experimental setting
This paper conducts experiments on the simulated parallel computational
environment to investigate the effectiveness of the proposed method. The
proposed method is applied to NSGA-III as a concrete algorithm shown in
Section 3.3. The experiments compare three parallel NSGA-IIIs, synchronous
parallelization (SP-NSGA-III), asynchronous parallelization (AP-NSGA-III), and
the proposed parallelization (FS-NSGA-III). Note that this paper does not aim
to solve multimodal multi-objective optimization problems efficiently but uses
them to analyze the influence of evaluation time bias. Thus, this study does
not uses specific techniques for finding niches.
This section first explains the simulation environment used in the experiment,
and then the parameter settings used in the experiment are shown. The final
subsection provides evaluation criteria for assessing the competitive methods.
### 5.1 Simulated parallel computational environment
The experiments use a simulated parallel computational environment based on
the computational time model proposed in the work of (SSAMSPS, ). This model
consists of a single master node and $\lambda$ worker nodes. The master node
computes the main procedure of the EA algorithm in $t_{s}=1$ simulation time.
In contrast, the worker nodes evaluate one solution and return their
evaluation results. This experiment simulates $\lambda=100$ worker nodes where
100 solutions are simultaneously evaluated. The evaluation times on the worker
nodes depend on the Bias and No-bias functions. In Bias, the value of
$t_{mean}$ is set to $1000$. In such a setting, the maximum evaluation time is
almost $2000$, while the minimum one is almost one, so the longest evaluation
time is 2000 times longer than the shortest one. On the other hand, in No-
bias, the mean evaluation time $t_{p}=1000$, while the variance parameter
$c_{v}=0.2$.
### 5.2 Parameters
The experiments were conducted for 31 independent runs for each
parallelization method. The population size is 100, which means all solutions
in the population can be evaluated simultaneously in SP-NSGA-III. The maximum
number of evaluations is $8.0\times 10^{4}$, corresponding to 800 generations
in SP-NSGA-III. As the genetic operator, the simulated binary crossover (SBX)
with the probability of $p_{c}=1.0$ and the distribution index of
$\eta_{c}=30.0$ is used, and the polynomial mutation (PM) with the probability
of $p_{m}=1/D$ and the distribution index of $\eta_{m}=20.0$.
### 5.3 Evaluation criteria
This experiment uses the inverted generational distance ($IGD$) indicator
(IGD, ) to assess the quality of the obtained solutions in the objective
space. The $IGD$ value is calculated as:
$IGD(P^{*},P)=\frac{1}{\lvert P^{*}\rvert}\sum_{\bm{a}\in
P^{*}}\min_{\bm{p}\in P}d(\bm{f}(\bm{a}),\bm{f}(\bm{p}))$ (2)
where $P^{*}$ denotes a reference point set (the true Pareto solution set),
while $P$ denotes the non-dominated solutions obtained by the algorithm. The
function $d(\bm{x},\bm{y})$ calculates the Euclidean distance between $\bm{x}$
and $\bm{y}$. The solutions obtained by the algorithm are worthful if the
$IGD$ value is small.
In addition, the $IGDX$ indicator (IGDX, ) is used to evaluate the quality of
solutions in the design variable space. The $IGDX$ value is calculated as:
$IGDX(P^{*},P)=\frac{1}{\lvert P^{*}\rvert}\sum_{\bm{a}\in
P^{*}}\min_{\bm{p}\in P}d(\bm{a},\bm{p})$ (3)
where $P^{*}$ and $P$ denote the true Pareto solution set and the obtained one
by the algorithm. When calculating $IGD$, the distance is calculated on the
objective space. On the other hand, when calculating $IGDX$, the distance on
the design variable is calculated.
This work independently calculates the $IGDX$ values for two separate Pareto
sets to confirm whether both Pareto sets are obtained simultaneously. The
$IGDX$ values for PS1 and PS2 are denoted as $IGDX_{1}$ and $IGDX_{2}$,
respectively. To assess if both Pareto sets are equally obtained, the
difference between two $IGDX$ values is defined as:
$\Delta IGDX(P^{*},P)\\\ =IGDX(PS1,P)-IGDX(PS2,P).$ (4)
If $\Delta IGDX=0$, both Pareto sets are equally obtained. On the other hand,
if $\Delta IGDX<0$, since $IGDX_{1}<IGDX_{2}$, the algorithm is biased to PS1,
and vice versa. In the experiment using Bias, the $\Delta IGDX$ value of SP-
NSGA-III is expected to be 0, while that of AP-NSGA-III may be less than 0
because its search direction is biased to PS1. It can be expected that the
proposed method shows similar behavior to the synchronous method by reducing
the effect of the evaluation time bias.
The Kruskal-Wallis test will be performed to confirm a statistical difference
between the three parallelization methods for each criterion. If a significant
difference is found with the Kruskal-Wallis test, we perform the post-hoc
pairwise comparisons using the Wilcoxon rank-sum test with the Bonferroni
adjustments.
## 6 Comparison of Selection Ratios
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 4: $IGD$ with No-bias after the maximum fitness evaluations (different
$r_{s}$)
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 5: $IGD$ with Bias after the maximum fitness evaluations (different
$r_{s}$)
This section analyzes how the selection ratio of the proposed method ($r_{s}$
in Algorithm 1) affects the search capability and computational efficiency.
The experiments compare five selection ratios
$r_{s}=\\{0.1,0.3,0.5,0.7,0.9\\}$. The following subsections first show the
results from the search capability ($IGD$) viewpoints. Then, the effect of the
evaluation time bias is analyzed using the $\Delta IGDX$ value. Finally, the
computational efficiency of different selection ratios is evaluated by
comparing the simulation execution time until a particular quality of
solutions is obtained.
### 6.1 Search capability
Figures 5 and 5 show the boxplot of the $IGD$ value after the maximum number
of evaluations for No-bias and Bias, and the bottom table summarizes the
median and IQR values (the difference between the third and the first
quartiles). The horizontal axis shows the selection ratio $r_{s}$, while the
vertical axis shows the $IGD$ value. The two boxes are connected with the “*”
symbol if a significant difference with a significance level of 5% is found,
and the “**” symbol if the significance level is 1%.
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 6: $\Delta IGDX$ with No-bias after the maximum fitness evaluations
(different $r_{s}$)
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 7: $\Delta IGDX$ with Bias after the maximum fitness evaluations
(different $r_{s}$)
These results show that $r_{s}=0.1$ obtains a significantly worse $IGD$ value
in MMF4, MMF5, and MMF6. Moreover, the selection ratio of $r_{s}=0.1$ shows
relatively worse $IGD$ values than the other settings in other problems. These
results indicate that a small $r_{s}$ negatively affects the search capability
in No-bias and Bias. When using $r_{s}=0.1$, the proposed method selects
parents from 10% of solutions in the current population, which restricts the
diversity of the parents and reduces the search capability.
On the other hand, the results with $r_{s}\geq 0.3$ show no significant
difference in all problems and both evaluation times. These results indicate
that a small selection ratio should be avoided, but larger selection ratios do
not essentially affect the search capability.
### 6.2 Effect of evaluation time bias
Figures 7 and 7 show the boxplot of the $\Delta IGDX$ value for No-bias and
Bias, respectively, and the bottom table summarizes the median and IQR values.
The horizontal axis shows the selection ratio $r_{s}$, while the vertical axis
shows the $\Delta IGDX$ value. Like figures 5 and 5, the two boxes with a
significant difference are connected with the “*” or “**” symbols.
First, the results with No-bias show that there is no significant difference
between different selection ratios. On the other hand, Fig. 7 shows that the
$\Delta IGDX$ value decreases as the selection ratio $r_{s}$ increases on
Bias. Specifically, the selection ratio of $r_{s}=0.9$ is significantly biased
toward the search region with a shorter evaluation time (a negative $\Delta
IGDX$ value). Since a large selection ratio selects parent individuals from a
large candidate pool containing solutions with a large search frequency, it
gets close to the standard asynchronous method. Therefore, the larger the
selection ratio $r_{s}$, the more susceptible the evaluation time bias. These
results indicate that a large selection ratio should be avoided to reduce the
effect of the evaluation time bias.
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 8: The simulation time until reaching a particular $IGD$ value with No-
bias (different $r_{s}$)
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 9: The simulation time until reaching a particular $IGD$ value with
Bias (different $r_{s}$)
### 6.3 Computational efficiency
This subsection analyzes the computational efficiency of different selection
ratios by comparing the simulation time until obtaining a particular quality
of the Pareto front. Concretely, the target $IGD$ value is decided for each
problem from the previous results as follows: $2.0\times 10^{-3}$ in MMF2 and
MMF3, $2.0\times 10^{-4}$ in MMF4, $4.5\times 10^{-4}$ in MMF5, $3.5\times
10^{-4}$ in MMF6, and $1.5\times 10^{-4}$ in MMF8.
Figures 9 and 9 show the simulation time until the target $IGD$ value is
reached for No-bias and Bias, and the bottom tables summarize the median and
IQR values of simulation time until reaching the target $IGD$ value. The
horizontal axis shows the selection ratio, while the vertical axis shows the
simulation time. As with the previous results, two boxes with a significant
difference are connected with the “*” symbols.
First, no significant difference is found in all test problems when using No-
bias. However, the selection ratio $r_{s}=0.1$ requires a relatively longer
simulation time than the others in all problems. For the other selection
ratios of $r_{s}\geq 0.3$, all selection ratios take almost equal simulation
time in No-bias. These results can be explained because a small selection
ratio of $r_{s}=0.1$ shows the lower search capability, as demonstrated in
Section 6.1.
From the results with Bias, on the other hand, the larger the selection ratio
is used, the shorter the simulation time is obtained. Here, it is necessary to
consider the effect of the evaluation time bias on the execution time.
Specifically, when using the selection ratios of $r_{s}=0.9$, the search
direction is biased toward a region with a shorter evaluation time, as
demonstrated in Section 6.2, resulting in a shorter execution time. In fact,
it can be seen that the evaluation time of the selection ratios of $r_{s}=0.9$
is the shortest in all problems, and some are significantly shorter than
others. For the other selection ratios, the selection ratio of $r_{s}=0.1$
obtains the significantly longest execution time in MMF4, MMF5, and MMF6. This
is due to a combination of the fact that the small selection ratio decreases
its search capability (shown in Section 6.1), and it obtains solutions with
both longer and shorter evaluation times by reducing the effect of the
evaluation time bias (shown in Section 6.2).
In contrast, the selection ratios of $r_{s}=0.5,0.7$ acquire stably shorter
execution times in both evaluation times. These ratios acquire comparable
search capability to the large selection ratios (e.g., $r_{s}=0.9$) and
decrease the influence of the evaluation time bias by using a smaller ratio
(e.g., $r_{s}=0.1$). For this fact, it is indicated that such moderate
selection ratios are appropriate.
## 7 Comparison of Different Parallelization Schemes
This section compares the performance of different parallelization schemes,
SP-NSGA-III, AP-NSGA-III, and FS-NSGA-III. Since the results in the previous
section suggested the selection ratio such as $r_{s}=0.5,0.7$, the following
experiments use $r_{s}=0.5$ in the proposed method.
### 7.1 Search capability
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 10: $IGD$ with No-bias after the maximum fitness evaluations (different
parallelization schemes)
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 11: $IGD$ with Bias after the maximum fitness evaluations (different
parallelization schemes)
Figures 11 and 11 show the boxplot of the $IGD$ value after the maximum number
of evaluations for No-bias and Bias, and the bottom tables summarize the
median and IQR values. The horizontal axis shows the different parallelization
methods, while the vertical axis shows the $IGD$ value. As in the previous
section, a significant difference is depicted with “*” symbols.
From these results, when using No-bias, there is no significant difference
between the three parallelization methods. On the other hand, from Fig. 11, no
significant difference is found except for MMF8 when using Bias. FS-NSGA-III
produces a comparable $IGD$ value in other problems compared with other
methods. In MMF8, FS-NSGA-III obtains a significantly larger (worse) $IGD$
value than AP-NSGA-III. This result can be explained in Fig. 13. Since AP-
NSGA-III is biased toward the search region with a short evaluation time, it
precisely obtains the Pareto front by only solutions in PS1. In contrast,
since FS-NSGA-III and SP-NSGA-III obtain both Pareto sets equally, the $IGD$
values are inferior to those of AP-NSGA-III that approximates the Pareto front
elaborated by PS1 only.
This result indicates that FS-NSGA-III does not negatively affect the search
capability of AP-NSGA-III, even though selecting the parents from the limited
candidate pool.
### 7.2 Effect of evaluation time bias
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 12: $\Delta IGDX$ with No-bias after the maximum fitness evaluations
(different parallelization schemes)
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 13: $\Delta IGDX$ with Bias after the maximum fitness evaluations
(different parallelization schemes)
Figures 13 and 13 show the boxplot of the $\Delta IGDX$ value after the
maximum number of fitness evaluations for No-bias and Bias, and the bottom
tables summarize the median and IQR values. The horizontal axis shows the
different methods, while the vertical axis shows the $\Delta IGDX$ value. As
in the previous section, a significant difference is depicted with “*”
symbols.
First, the No-bias results show no significant difference in the $\Delta IGDX$
value between the three parallelization methods. In addition, since the
$\Delta IGDX$ value is almost zero in all problems, it is revealed that all
parallelization schemes can obtain the separated Pareto sets equally if the
evaluation time is not biased.
On the other hand, when using Bias, significant differences are found in MMF2,
MMF4, MMF5, and MMF8, while no significant difference is found in MMF3 and
MMF6. In particular, AP-NSGA-III obtains a significantly smaller (negative)
$\Delta IGDX$ value than SP-NSGA-III in MMF2, MMF4, MMF5, and MMF8. This
brings out the effect of the evaluation time bias in the asynchronous method.
From the results of the proposed method, a significant difference in MMF4 and
MMF5 can be found. In these problems, the $\Delta IGDX$ value of FS-NSGA-III
is not significantly different from that of SP-NSGA-III. In contrast, AP-NSGA-
III is significantly biased toward PS1 (shorter evaluation time) than FS-NSGA-
III and SP-NSGA-III. On the other hand, in MMF2 and MMF8, FS-NSGA-III shows a
significantly smaller (negative) $\Delta IGDX$ value than SP-NSGA-III.
Moreover, no difference between FS-NSGA-III and AP-NSGA-III is found, though
the distribution of the $\Delta IGDX$ value of FS-NSGA-III is close to zero
compared with AP-NSGA-III.
These results can be classified into three categories that are; (1) MMF3 and
MMF6, where the $\Delta IGDX$ values are almost equal between the three
methods ($\text{Proposed}\approx\text{Sync.}\approx\text{Async.}$); (2) MMF4
and MMF5, where the asynchronous method is significantly biased toward the
region with a shorter evaluation time than the others
($\text{Proposed}\approx\text{Sync.}\gg\text{Async.}$); and (3) MMF2 and MMF8,
where the proposed method is also biased
($\text{Sync.}\gg\text{Proposed}\approx\text{Async.}$).
The difference between these categories can be explained from the perspective
of the distribution of the Pareto set shown in Fig. 2. In MMF3 and MMF6, two
Pareto sets are overlapped in the $x_{2}$ dimension, and a solution in one
Pareto set is easily generated from a solution in another Pareto set. Thus,
the evaluation time bias effect is small, and all methods are not biased. On
the other hand, two Pareto sets are completely separated in the $x_{2}$
dimension in the other benchmarks, but they are close in MMF4 and MMF5
compared with MMF2 and MMF8. When the regions of Pareto sets are separated and
their evaluation times are biased, the asynchronous method results in a biased
search toward regions with short evaluation times. On the other hand, the
proposed method can reduce the effect of evaluation time bias even when
optimal solutions exist in separate regions with the biased evaluation time.
These results indicate that the proposed method can reduce the effect of the
evaluation time bias despite being asynchronous. In contrast, the asynchronous
method without the proposed method easily converges to a Pareto set with a
shorter evaluation time.
### 7.3 Computational efficiency
This subsection analyzes the computational efficiency of three methods by
comparing the simulation time until obtaining the target $IGD$ values defined
in Section 6.3.
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 14: The simulation time until reaching a particular $IGD$ value with
No-bias (different parallelization schemes)
(a) MMF2 | (b) MMF3 | (c) MMF4 | (d) MMF5 | (e) MMF6 | (f) MMF8
---|---|---|---|---|---
Figure 15: The simulation time until reaching a particular $IGD$ value with
Bias (different parallelization schemes)
Figures 15 and 15 show the simulation time until obtaining the target $IGD$
value for No-bias and Bias, and the median and IQR values are summarized at
the bottom of the figures. The horizontal axis shows the different
parallelization methods, while the vertical axis shows the simulation time. As
in the previous section, a significant difference is depicted with “*”
symbols.
From these results, FS-NSGA-III significantly reduces the execution time
compared with the SP-NSGA-III when using Bias. Meanwhile, there is no
significant difference between FS-NSGA-III and AP-NSGA-III. This indicates
that the proposed method retains the computational efficiency of the
asynchronous one.
On the other hand, from the results with the evaluation time of Bias, FS-NSGA-
III also acquires a shorter execution time than SP-NSGA-III. In particular,
the proposed method significantly reduces the execution time in MMF4, MMF5,
and MMF6. Although no significant difference is found in MMF2, MMF3, and MMF8,
the proposed method obtains enough better performance in half execution time
than SP-NSGA-III. Since the result in Fig. 13 showed that FS-NSGA-III and SP-
NSGA-III equally obtain two Pareto sets with different evaluation times, it
can be said that the proposed method can reduce the execution time while
reducing the effect of evaluation time bias.
The comparison of FS-NSGA-III and AP-NSGA-III indicates that the proposed
method requires a significantly longer execution time when using Bias.
However, this behavior can be explained because AP-NSGA-III is biased toward
searching for solutions with shorter evaluation times (PS1), as indicated in
Fig. 13. On the other hand, since FS-NSGA-III obtains solutions with longer
evaluation times, its execution time increases compared with AP-NSGA-III.
This result indicates that the proposed method obtains the computational
efficiency of the asynchronous method while avoiding the effect of the
evaluation time bias.
## 8 Conclusion
This paper proposed a new parent selection method for reducing the effect of
evaluation time bias in APEAs. In particular, the proposed method considers
the search frequency of each solution and selects parents from the pre-
selected candidate pool. This paper conducted experiments on multi-objective
optimization test problems based on MMFs to analyze the effect of the
evaluation time bias deeply. The proposed method was applied to the parallel
NSGA-III and was compared with the synchronous and the asynchronous
parallelizations.
The experiments first analyzed the impact of the selection ratio in the
proposed method using the same test problems. This analysis indicated that the
selection ratio in $0.5\leq r_{s}\leq 0.7$ acquires an appropriate balance
between the search capability and the computational efficiency while reducing
the effect of the evaluation time bias. Then, the experimental results
indicated that the proposed method could reduce the negative influence of the
evaluation time bias. The proposed method also does not adversely affect the
search capability of APEAs while reducing the execution time significantly
from SPEAs. These results revealed that the proposed method possesses high
search capability and high computational efficiency for problems with
heterogeneous evaluation time.
It should be addressed to further analyze the proposed method on other
benchmarks and with other EA methods shortly. In addition, although this paper
only compared the proposed method with the synchronous and the asynchronous
method, it should be compared with or integrated into a semi-asynchronous
method (Harada2020, ) to adapt any characteristics of the evaluation time.
## Declarations
Funding
This work was supported by Japan Society for the Promotion of Science Grant-
in-Aid for Young Scientists Grant Number JP19K20362.
Conflict of interest The author declares that he has no conflict of interest.
## References
* * (1) Alba, E., Luque, G., Nesmachnow, S.: Parallel metaheuristics: recent advances and new trends. International Transactions in Operational Research 20(1), 1–48 (2013). https://doi.org/10.1111/j.1475-3995.2012.00862.x
* (2) Harada, T., Alba, E.: Parallel genetic algorithms: A useful survey. ACM Computing Surveys 53(4) (2020). https://doi.org/10.1145/3400031
* (3) Raghul, S., Jeyakumar, G.: Parallel and distributed computing approaches for evolutionary algorithms—a review. In: Sharma, T.K., Ahn, C.W., Verma, O.P., Panigrahi, B.K. (eds.) Soft Computing: Theories and Applications, pp. 433–445. Springer, Singapore (2022)
* (4) Durillo, J.J., Nebro, A.J., Luna, F., Alba, E.: A study of master-slave approaches to parallelize nsga-ii. In: 2008 IEEE International Symposium on Parallel and Distributed Processing, pp. 1–8 (2008). https://doi.org/10.1109/IPDPS.2008.4536375
* (5) Depolli, M., Trobec, R., Filipič, B.: Asynchronous master-slave parallelization of differential evolution for multi-objective optimization. Evol. Comput. 21(2), 261–291 (2013). https://doi.org/10.1162/EVCO_a_00076
* (6) Scott, E.O., De Jong, K.A.: Evaluation-time bias in asynchronous evolutionary algorithms. In: Proceedings of the Companion Publication of the 2015 Annual Conference on Genetic and Evolutionary Computation. GECCO Companion ’15, pp. 1209–1212. ACM, New York, NY, USA (2015). https://doi.org/10.1145/2739482.2768482
* (7) Scott, E.O., De Jong, K.A.: Understanding simple asynchronous evolutionary algorithms. In: Proceedings of the 2015 ACM Conference on Foundations of Genetic Algorithms XIII. FOGA ’15, pp. 85–98. ACM, New York, NY, USA (2015). https://doi.org/10.1145/2725494.2725509
* (8) Harada, T.: Search progress dependent parent selection for avoiding evaluation time bias in asynchronous parallel multi-objective evolutionary algorithms. In: 2020 IEEE Symposium Series on Computational Intelligence (SSCI), pp. 1013–1020 (2020). https://doi.org/10.1109/SSCI47803.2020.9308152
* (9) Yue, C., Qu, B., Liang, J.: A multiobjective particle swarm optimizer using ring topology for solving multimodal multiobjective problems. IEEE Transactions on Evolutionary Computation 22(5), 805–817 (2018). https://doi.org/10.1109/TEVC.2017.2754271
* (10) Nguyen, T., Bui, T., Fujita, H., Hong, T.-P., Loc, H.D., Snasel, V., Vo, B.: Multiple-objective optimization applied in extracting multiple-choice tests. Engineering Applications of Artificial Intelligence 105, 104439 (2021). https://doi.org/10.1016/j.engappai.2021.104439
* (11) Soufan, O., Kleftogiannis, D., Kalnis, P., Bajic, V.B.: Dwfs: A wrapper feature selection tool based on a parallel genetic algorithm. PLOS ONE 10(2), 1–23 (2015). https://doi.org/10.1371/journal.pone.0117988
* (12) Shayeghi, A., Gotz, D., Davis, J.B.A., Schafer, R., Johnston, R.L.: Pool-bcga: a parallelised generation-free genetic algorithm for the ab initio global optimisation of nanoalloy clusters. Phys. Chem. Chem. Phys. 17, 2104–2112 (2015). https://doi.org/10.1039/C4CP04323E
* (13) Abbasi, M., Rafiee, M., Khosravi, M.R., Jolfaei, A., Menon, V.G., Koushyar, J.M.: An efficient parallel genetic algorithm solution for vehicle routing problem in cloud implementation of the intelligent transportation systems. Journal of cloud Computing 9(1), 6 (2020)
* (14) Luna, F., Zavala, G.R., Nebro, A.J., Durillo, J.J., Coello, C.A.C.: Distributed multi-objective metaheuristics for real-world structural optimization problems. The Computer Journal 59(6), 777–792 (2016). https://doi.org/%****␣sn-article.bbl␣Line␣275␣****10.1093/comjnl/bxu082
* (15) P., G., Nanda, S.J., Yadav, R.P.: A parallel chaotic sailfish optimization algorithm for estimation of doa in wireless sensor array. Physical Communication 51, 101536 (2022). https://doi.org/10.1016/j.phycom.2021.101536
* (16) Chitty, D.M.: A partially asynchronous global parallel genetic algorithm. In: Proceedings of the Genetic and Evolutionary Computation Conference Companion. GECCO ’21, pp. 1771–1778. Association for Computing Machinery, New York, NY, USA (2021). https://doi.org/10.1145/3449726.3463190
* (17) Zhabitskaya, E., Zhabitsky, M.: Asynchronous differential evolution with restart. In: Dimov, I., Faragó, I., Vulkov, L. (eds.) Numerical Analysis and Its Applications, pp. 555–561. Springer, Berlin, Heidelberg (2013)
* (18) Harada, T., Takadama, K.: Asynchronous evaluation based genetic programming: Comparison of asynchronous and synchronous evaluation and its analysis. In: Krawiec, K., Moraglio, A., Hu, T., Etaner-Uyar, A.Ş., Hu, B. (eds.) Genetic Programming, pp. 241–252. Springer, Berlin, Heidelberg (2013)
* (19) Wessing, S., Rudolph, G., Menges, D.A.: Comparing asynchronous and synchronous parallelization of the sms-emoa. In: Handl, J., Hart, E., Lewis, P.R., López-Ibáñez, M., Ochoa, G., Paechter, B. (eds.) Parallel Problem Solving from Nature – PPSN XIV, pp. 558–567. Springer, Cham (2016)
* (20) Deb, K., Jain, H.: An evolutionary many-objective optimization algorithm using reference-point-based nondominated sorting approach, part i: Solving problems with box constraints. IEEE Transactions on Evolutionary Computation 18(4), 577–601 (2014). https://doi.org/10.1109/TEVC.2013.2281535
* (21) Zăvoianu, A.-C., Lughofer, E., Koppelstätter, W., Weidenholzer, G., Amrhein, W., Klement, E.P.: Performance comparison of generational and steady-state asynchronous multi-objective evolutionary algorithms for computationally-intensive problems. Knowledge-Based Systems 87(C), 47–60 (2015). https://doi.org/10.1016/j.knosys.2015.05.029
* (22) Coello, C.A.C., Cortés, N.C.: Solving multiobjective optimization problems using an artificial immune system. Genetic Programming and Evolvable Machines 6(2), 163–190 (2005). https://doi.org/10.1007/s10710-005-6164-x
* (23) Zhou, A., Zhang, Q., Jin, Y.: Approximating the set of pareto-optimal solutions in both the decision and objective spaces by an estimation of distribution algorithm. IEEE Transactions on Evolutionary Computation 13(5), 1167–1189 (2009). https://doi.org/10.1109/TEVC.2009.2021467
* (24) Harada, T., Takadama, K.: Analysis of semi-asynchronous multi-objective evolutionary algorithm with different asynchronies. Soft Computing 24(4), 2917–2939 (2020). https://doi.org/10.1007/s00500-019-04071-7
| arxiv-papers | 2021-07-26T09:20:55 | 2024-09-04T03:07:18.082773 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Tomohiro Harada",
"submitter": "Tomohiro Harada",
"url": "https://arxiv.org/abs/2107.12053"
} |
2107.12054 | # On equivariant index of a generalized Bott manifold
Yuki Sugiyama
Abstract. In this paper, we consider the equivariant index of a generalized
Bott manifold. We show the multiplicity function of the equivariant index is
given by the density function of a generalized twisted cube. In addition, we
give a Demazure-type character formula of this representation.
## 1 Introduction
A Bott tower of height $n$ is a sequence:
$M_{n}\stackrel{{\scriptstyle\pi_{n}}}{{\to}}M_{n-1}\stackrel{{\scriptstyle\pi_{n-1}}}{{\to}}\cdots\stackrel{{\scriptstyle\pi_{2}}}{{\to}}M_{1}\stackrel{{\scriptstyle\pi_{1}}}{{\to}}M_{0}=\\{\textup{a
point}\\}$
of complex manifolds $M_{j}=\mathbb{P}(\underline{\mathbb{C}}\oplus E_{j})$,
where $\underline{\mathbb{C}}$ is the trivial line bundle over $M_{j-1}$,
$E_{j}$ is a holomorphic line bundle over $M_{j-1}$, $\mathbb{P}(\cdot)$
denotes the projectivization, and $\pi_{j}:M_{j}\to M_{j-1}$ is the projection
of the $\mathbb{C}P^{1}$-bundle. We call $M_{j}$ a $j$-stage Bott manifold.
The notion of a Bott tower was introduced by Grossberg and Karshon ([6]).
A generalized Bott tower is a generalization of a Bott tower. A generalized
Bott tower of height $m$ is a sequence:
$B_{m}\stackrel{{\scriptstyle\pi_{m}}}{{\to}}B_{m-1}\stackrel{{\scriptstyle\pi_{m-1}}}{{\to}}\cdots\stackrel{{\scriptstyle\pi_{2}}}{{\to}}B_{1}\stackrel{{\scriptstyle\pi_{1}}}{{\to}}B_{0}=\\{\textup{a
point}\\},$
of complex manifolds $B_{j}=\mathbb{P}(\underline{\mathbb{C}}\oplus
E_{j}^{(1)}\oplus\cdots\oplus E_{j}^{(n_{j})})$, where
$\underline{\mathbb{C}}$ is the trivial line bundle over $B_{j-1}$,
$E_{j}^{(k)}$ is a holomorphic line bundle over $B_{j-1}$ for
$k=1,\dots,n_{j}$. We call $B_{j}$ a $j$-stage generalized Bott manifold. A
generalized Bott tower has been studied from various points of view (see,
e.g., [2, 3, 8]). A generalized Bott manifold is a certain class of toric
manifold, so it is interesting to investigate the properties of generalized
Bott towers.
In [6], Grossberg and Karshon showed the multiplicity function of the
equivariant index (see $\S 2.4$) for a holomorphic line bundle over a Bott
manifold is given by the density function of a twisted cube, which is
determined by the structure of the Bott manifold and the line bundle over it.
From this, they derived a Demazure-type character formula.
The purpose of this paper is to generalize the results in [6] to generalized
Bott manifolds. We generalize the twisted cube, and we call it the generalized
twisted cube. It is a special case of twisted polytope introduced by Karshon
and Tolman [9] for the presymplectic toric manifold, and it is a special case
of multi-polytope introduced by Hattori and Masuda [7] for the torus manifold.
We show the multiplicity function of the equivariant index for the holomorphic
line bundle over the generalized Bott manifold is given by the density
function of the generalized twisted cube. From this, we derive a Demazure-type
character formula. In order to state the main results, we give some notation.
Let $\mathbf{L}$ be a holomorphic line bundle over a generalized Bott manifold
$B_{m}$, which is constructed from integers $\\{\ell_{i}\\}$ and
$\\{c_{i,j}^{(k)}\\}$ (see $\S 2.1$). Let $N=\sum_{j=1}^{m}n_{j}$, and let
$T^{N}=S^{1}\times\cdots\times S^{1}$. We consider the action of $T^{N}$ on
$B_{m}$ as follows:
$(\mathbf{t}_{1},\dots,\mathbf{t}_{m})\cdot[\mathbf{z}_{1},\dots,\mathbf{z}_{m}]=[\mathbf{t}_{1}\mathbf{z}_{1},\dots,\mathbf{t}_{m}\mathbf{z}_{m}],$
where
$\mathbf{t}_{i}=(t_{i,1},\dots,t_{i,n_{i}}),\mathbf{z}_{i}=(z_{i,0},\dots,z_{i,n_{i}}),\mathbf{t}_{i}\mathbf{z}_{i}=(z_{i,0},t_{i,1}z_{i,1},\dots,t_{i,n_{i}}z_{i,n_{i}})$
for $i=1,\dots,m$. Also we consider the action of $T=T^{N}\times S^{1}$ on
$\mathbf{L}$ as follows:
$(\mathbf{t}_{1},\dots,\mathbf{t}_{m},t_{m+1})\cdot[\mathbf{z}_{1},\dots,\mathbf{z}_{m},v]=[\mathbf{t}_{1}\mathbf{z}_{1},\dots,\mathbf{t}_{m}\mathbf{z}_{m},t_{m+1}v].$
(1.1)
We define the generalized twisted cube as follows. It is defined to be the set
of $x=(x_{1,1},\dots,x_{m,n_{m}})\in\mathbb{R}^{N}$ which satisfies
$\displaystyle A_{i}(x)\leq\sum_{k=1}^{n_{i}}x_{i,k}\leq 0,\,\,x_{i,k}\leq
0\,\,\,\,(1\leq k\leq n_{i})$ $\displaystyle{\rm
or}\,\,0<\sum_{k=1}^{n_{i}}x_{i,k}<A_{i}(x),\,\,x_{i,k}>0\,\,\,\,(1\leq k\leq
n_{i}),$
for $1\leq i\leq m$, where
$A_{i}(x)=\begin{cases}-\ell_{m}&(i=m)\\\
-(\ell_{i}+\sum_{j=i+1}^{m}\sum_{k=1}^{n_{j}}c_{i,j}^{(k)}x_{j,k})&(1\leq
i\leq m-1).\end{cases}$
We denote the generalized twisted cube by $C$. We also define ${\rm
sgn}(x_{i,k})=1$ for $x_{i,k}>0$ and ${\rm sgn}(x_{i,k})=-1$ for $x_{i,k}\leq
0$. The density function of the generalized twisted cube is defined to be
$\rho(x)=(-1)^{N}\prod_{i,k}{\rm sgn}(x_{i,k})$ when $x\in C$ and $0$
elsewhere.
Let $\mathfrak{t}$ be the Lie algebra of $T$ and let $\mathfrak{t}^{\ast}$ be
its dual space. Let $\ell^{\ast}\subset i\mathfrak{t}^{\ast}$ be the integral
weight lattice and let ${\rm mult}:\ell^{\ast}\to\mathbb{Z}$ be the
multiplicity function of the equivariant index. The first main result of this
paper is the following:
###### Theorem 1.1
Fix integers $\\{c_{i,j}^{(k)}\\}$ and $\\{\ell_{j}\\}$. Let $\mathbf{L}\to
B_{m}$ be the corresponding line bundle over a generalized Bott manifold. Let
$\rho\,:\,\mathbb{R}^{N}\to\\{-1,0,1\\}$ be the density function of the
generalized twisted cube $C$ which is determined by these integers. Consider
the torus action of $T=T^{N}\times S^{1}$ as in (1.1). Then the multiplicity
function for $\ell^{\ast}\cong\mathbb{Z}^{N}\times\mathbb{Z}$ is given by
${\rm mult}(x,k)=\begin{cases}\rho(x)&(k=1)\\\ 0&(k\neq 1).\end{cases}$
Karshon and Tolman found a toric manifold for which the multiplicities of the
equivariant index are $0,-1$, or $-2$ ([9, Example 6.7]). A generalized Bott
manifold is different from this case by Theorem 1.1.
Next, we give our character formula. Let
$\\{e_{1,1},\dots,e_{m,n_{m}},e_{m+1}\\}$ be the standard basis in
$\mathbb{R}^{N+1}$, $x_{i}=(x_{i,1},\dots,x_{i,n_{i}})$, and
$e_{i}=(e_{i,1},\dots,e_{i,n_{i}})$. Let
$\Delta_{n,r}^{-}=\left\\{z=(z_{1},\dots,z_{n})\in\mathbb{Z}_{\leq
0}^{n}\,\middle|\,\right.$
$\left.z_{1}+\cdots+z_{n}=-r\right\\}$, and let
$\Delta_{n,r}^{+}=\left\\{z=(z_{1},\dots,z_{n})\in\mathbb{Z}_{>0}^{n}\,\middle|\,z_{1}+\cdots+z_{n}=r-1\right\\}$.
For every integral weight $\mu\in\ell^{\ast}$ we have a homomorphism
$\lambda^{\mu}:T\to S^{1}$. We denote the integral combinations of these
$\lambda^{\mu}$’s by $\mathbb{Z}[T]$. Then the operators
$D_{i}:\mathbb{Z}[T]\to\mathbb{Z}[T]$ are defined using $c_{i,j}^{(k)}$ and
$\ell_{j}$ in the following way:
$D_{i}(\lambda^{\mu})=\begin{cases}\displaystyle\sum_{0\leq r\leq
k_{i}}\sum_{x_{i}\in\Delta_{n_{i},r}^{-}}\lambda^{\mu+\langle
x_{i},e_{i}\rangle}&\text{if}\,\,k_{i}\geq 0\\\ 0&\text{if}\,\,-n_{i}\leq
k_{i}\leq-1\\\ \displaystyle\sum_{n_{i}+1\leq r\leq-
k_{i}}\sum_{x_{i}\in\Delta_{n_{i},r}^{+}}(-1)^{n_{i}}\lambda^{\mu+\langle
x_{i},e_{i}\rangle}&\text{if}\,\,k_{i}\leq-n_{i}-1,\end{cases}$
where the functions $k_{i}$ are defined as follows: if
$\mu=e_{m+1}+\sum_{j=i+1}^{m}\sum_{k=1}^{n_{j}}x_{j,k}e_{j,k}$, then
$k_{i}(\mu)=\ell_{i}+\sum_{j=i+1}^{m}\sum_{k=1}^{n_{j}}c_{i,j}^{(k)}x_{j,k}$.
From Theorem 1.1, we obtain the following theorem:
###### Theorem 1.2
Consider the action of the torus $T$ on $\mathbf{L}\to B_{m}$ as in (1.1).
Denote the $(N+1)$-th component of the standard basis in $\mathbb{R}^{N+1}$ by
$e_{m+1}$. Then the character is given by the following element of
$\mathbb{Z}[T]$:
$\chi=D_{1}\cdots D_{m}(\lambda^{e_{m+1}}).$
This is a Demazure-type character formula. On the other hand, the character is
also given by the localization formula with respect to the action of $T$ ([7,
Corollary 7.4]). We compare our formula and the localization formula (see
Remark 3.8).
This paper is organized as follows. In Section 2, we recall the equivariant
index and the generalized Bott towers, and we give the definition of
generalized twisted cubes. In Section 3, we prove the main theorems.
## 2 Preliminaries
In this section, we set up the tools to prove the main theorems.
### 2.1 Generalized Bott manifolds
###### Definition 2.1 ([2])
A generalized Bott tower of height $m$ is a sequence:
$B_{m}\stackrel{{\scriptstyle\pi_{m}}}{{\to}}B_{m-1}\stackrel{{\scriptstyle\pi_{m-1}}}{{\to}}\cdots\stackrel{{\scriptstyle\pi_{2}}}{{\to}}B_{1}\stackrel{{\scriptstyle\pi_{1}}}{{\to}}B_{0}=\\{\textup{a
point}\\},$
of manifolds $B_{j}=\mathbb{P}(\underline{\mathbb{C}}\oplus
E_{j}^{(1)}\oplus\cdots\oplus E_{j}^{(n_{j})})$, where
$\underline{\mathbb{C}}$ is the trivial line bundle over $B_{j-1}$,
$E_{j}^{(k)}$ is a holomorphic line bundle over $B_{j-1}$ for $k=1,\dots
n_{j}$, and $\mathbb{P}(\cdot)$ denotes the projectivization. We call $B_{j}$
a $j$-stage generalized Bott manifold.
The construction of the generalized Bott tower is as follows. A 1-step
generalized Bott tower can be written as
$B_{1}=\mathbb{C}P^{n_{1}}=(\mathbb{C}^{n_{1}+1})^{\times}/\mathbb{C}^{\times}$,
where $\mathbb{C}^{\times}$ acts diagonally. We construct a line bundle over
$B_{1}$ by
$E_{2}^{(k)}=(\mathbb{C}^{n_{1}+1})^{\times}\times_{\mathbb{C}^{\times}}\mathbb{C}$
for $k=1,\dots,n_{2}$, where $\mathbb{C}^{\times}$ acts on $\mathbb{C}$ by
$a:v\mapsto a^{-c_{k}}v$ for some integer $c_{k}$. In $E_{2}^{(k)}$ we have
$[z_{1,0},\dots,z_{1,n_{1}},v]=[z_{1,0}a,\dots,z_{1,n_{1}}a,a^{c_{k}}v]$ for
all $a\in\mathbb{C}^{\times}$. A 2-step generalized Bott tower
$B_{2}=\mathbb{P}(\underline{\mathbb{C}}\oplus E_{2}^{(1)}\oplus\cdots\oplus
E_{2}^{(n_{2})})$ can be written as
$B_{2}=((\mathbb{C}^{n_{1}+1})^{\times}\times(\mathbb{C}^{n_{2}+1})^{\times})/G$,
where the right action of $G=(\mathbb{C}^{\times})^{2}$ is given by
$(\mathbf{z}_{1},\mathbf{z}_{2})\cdot(a_{1},a_{2})=(z_{1,0}a_{1},z_{1,1}a_{1},\dots,z_{1,n_{1}}a_{1},z_{2,0}a_{2},a_{1}^{c_{1}}z_{2,1}a_{2},\dots,a_{1}^{c_{n_{2}}}z_{2,n_{2}}a_{2}),$
where $\mathbf{z}_{j}=(z_{j,0},z_{j,1},\dots,z_{j,n_{j}})$ for $j=1,2$.
We can construct higher generalized Bott tower in a similar way. In this way
we get an $m$-step generalized Bott manifold
$B_{m}=\mathbb{P}(\underline{\mathbb{C}}\oplus E_{m}^{(1)}\oplus\cdots\oplus
E_{m}^{(n_{m})})$ from any collection of integers $\\{c_{i,j}^{(k)}\\}$:
$B_{m}=((\mathbb{C}^{n_{1}+1})^{\times}\times\cdots\times(\mathbb{C}^{n_{m}+1})^{\times})/G,$
where the right action of $G=(\mathbb{C}^{\times})^{m}$ is given by
$(\mathbf{z}_{1},\dots,\mathbf{z}_{m})\cdot\mathbf{a}=(\mathbf{z}_{1}^{\prime},\mathbf{z}_{2}^{\prime},\dots,\mathbf{z}_{m}^{\prime}),$
where $\mathbf{z}_{i}=(z_{i,0},\dots,z_{i,n_{i}})$ for $i=1,\dots,m$,
$\mathbf{a}=(a_{1},\dots,a_{m})\in(\mathbb{C}^{\times})^{m}$,
$\mathbf{z}_{1}^{\prime}=(z_{1,0}a_{1},z_{1,1}a_{1},\dots,z_{1,n_{1}}a_{1})$
and $\mathbf{z}_{j}^{\prime}=(z_{j,0}a_{j},a_{1}^{c_{1,j}^{(1)}}\cdots
a_{j-1}^{c_{j-1,j}^{(1)}}z_{j,1}a_{j},\dots,a_{1}^{c_{1,j}^{(n_{j})}}\cdots
a_{j-1}^{c_{j-1,j}^{(n_{j})}}z_{j,n_{j}}a_{j})$ for $j=2,\dots,m$. We can
construct a line bundle over $B_{m}$ from the integers
$(\ell_{1},\dots,\ell_{m})$ by
$\mathbf{L}=((\mathbb{C}^{n_{1}+1})^{\times}\times\cdots\times(\mathbb{C}^{n_{m}+1})^{\times})\times_{G}\mathbb{C},$
where $G=(\mathbb{C}^{\times})^{m}$ acts by
$((\mathbf{z}_{1},\dots,\mathbf{z}_{m}),v)\cdot\mathbf{a}=(\mathbf{z}_{1}^{\prime},\mathbf{z}_{2}^{\prime},\dots,\mathbf{z}_{m}^{\prime},a_{1}^{\ell_{1}}\cdots
a_{m}^{\ell_{m}}v).$ (2.1)
### 2.2 Torus action on generalized Bott towers
Let $N=\sum_{j=1}^{m}n_{j}$ and let $T^{N}=S^{1}\times\cdots\times S^{1}$. We
consider the action of $T^{N}$ on $B_{m}$ as follows:
$(\mathbf{t}_{1},\dots,\mathbf{t}_{m})\cdot[\mathbf{z}_{1},\dots,\mathbf{z}_{m}]=[\mathbf{t}_{1}\cdot\mathbf{z}_{1},\dots,\mathbf{t}_{m}\cdot\mathbf{z}_{m}],$
where $\mathbf{t}_{i}=(t_{i,1},\dots,t_{i,n_{i}})$ and
$\mathbf{t}_{i}\cdot\mathbf{z}_{i}=(z_{i,0},t_{i,1}z_{i,1},\dots,t_{i,n_{i}}z_{i,n_{i}})$
for $i=1,\dots,m$. Also we consider the action of $T=T^{N}\times S^{1}$ on
$\mathbf{L}$ as follows:
$(\mathbf{t}_{1},\dots,\mathbf{t}_{m},t_{m+1})\cdot[\mathbf{z}_{1},\dots,\mathbf{z}_{m},v]=[\mathbf{t}_{1}\cdot\mathbf{z}_{1},\dots,\mathbf{t}_{m}\cdot\mathbf{z}_{m},t_{m+1}v].$
(2.2)
### 2.3 Generalized twisted cubes
###### Definition 2.2
A generalized twisted cube $C$ is defined to be the set of
$x=(x_{1,1},\dots,x_{m,n_{m}})$
$\in\mathbb{R}^{N}$ which satisfies
$\displaystyle A_{i}(x)\leq\sum_{k=1}^{n_{i}}x_{i,k}\leq 0,\,\,x_{i,k}\leq
0\,\,\,\,(1\leq k\leq n_{i})$ $\displaystyle{\rm
or}\,\,0<\sum_{k=1}^{n_{i}}x_{i,k}<A_{i}(x),\,\,x_{i,k}>0\,\,\,\,(1\leq k\leq
n_{i}),$ (2.3)
for all $1\leq i\leq m$, where
$A_{i}(x)=\begin{cases}-\ell_{m}&(i=m)\\\
-(\ell_{i}+\sum_{j=i+1}^{m}\sum_{k=1}^{n_{j}}c_{i,j}^{(k)}x_{j,k})&(1\leq
i\leq m-1).\end{cases}$
###### Remark 2.3
(i) The generalized twisted cube is a special case of multi-polytope defined
in [7]. In particular, it is a special case of twisted polytope defined in
[9].
(ii) When $n_{i}=1$ for all $1\leq i\leq m$, the generalized twisted cube is
the twisted cube given in [6, (2.21)].
###### Definition 2.4
We define ${\rm sgn}(x_{i,k})=1$ for $x_{i,k}>0$ and ${\rm sgn}(x_{i,k})=-1$
for $x_{i,k}\leq 0$. The density function of the generalized twisted cube is
then defined to be $\rho(x)=(-1)^{N}\prod_{i,k}{\rm sgn}(x_{i,k})$ when $x\in
C$ and $0$ elsewhere.
###### Example 2.5
Suppose that $m=2,n_{1}=1,n_{2}=2,\ell_{1}=1$, and $\ell_{2}=2$. We set
$c_{1,2}^{(1)}=2$ and $c_{1,2}^{(2)}=-1$. Then the generalized twisted cube is
the set of $x=(x_{1,1},x_{2,1},x_{2,2})$ which satisfies
* •
$-2\leq x_{2,1}+x_{2,2}\leq 0,\,\,x_{2,1},x_{2,2}\leq 0,$
* •
$-1-2x_{2,1}+x_{2,2}\leq x_{1,1}\leq
0\,\,\textup{or}\,\,0<x_{1,1}<-1-2x_{2,1}+x_{2,2}.$
In Figure 1, the black dots represent the lattice points of the sign $+1$ and
the white dots represent the sign $-1$.
O$(0,0,-2)$$(-3,0,-2)$$(x_{1,1},x_{2,1},x_{2,2})=(0,-2,0)$$(3,-2,0)$
Figure 1
###### Example 2.6
Suppose that $m=2,n_{1}=2,n_{2}=1,\ell_{1}=2$, and $\ell_{2}=-6$. We set
$c_{1,2}^{(1)}=-1$. Then the generalized twisted cube is the set of
$x=(x_{1,1},x_{1,2},x_{2,1})$ which satisfies
* •
$0<x_{2,1}<6,$
* •
$-2+x_{2,1}\leq x_{1,1}+x_{1,2}\leq 0,\,\,x_{1,1},x_{1,2}\leq
0\,\,\textup{or}\,\,0<x_{1,1}+x_{1,2}<-2+x_{2,1},\,\,x_{1,1},x_{1,2}>0.$
In Figure 2, the white dots represent the sign $-1$.
O$(0,-2,0)$$(0,0,2)$$(x_{1,1},x_{1,2},x_{2,1})=(0,0,6)$$(0,4,6)$$(4,0,6)$$(1,1,5)$
Figure 2
###### Example 2.7
Suppose that $m=2,n_{1}=n_{2}=2,\ell_{1}=1$, and $\ell_{2}=2$. We set
$c_{1,2}^{(1)}=2$ and $c_{1,2}^{(2)}=-1$. Then the generalized twisted cube is
the set of $x=(x_{1,1},x_{1,2},x_{2,1},x_{2,2})$ which satisfies
* •
$-2\leq x_{2,1}+x_{2,2}\leq 0,\,\,x_{2,1},x_{2,2}\leq 0,$
* •
$-1-2x_{2,1}+x_{2,2}\leq x_{1,1}+x_{1,2}\leq 0,\,\,x_{1,1},x_{1,2}\leq 0\\\
\textup{or}\,\,0<x_{1,1}+x_{1,2}<-1-2x_{2,1}+x_{2,2},\,\,x_{1,1},x_{1,2}>0.$
The lattice points in the generalized twisted cube represent the sign $-1$.
### 2.4 Equivariant index
Let $\mathbf{L}$ be a holomorphic line bundle over a generalized Bott manifold
$B_{m}$ with the action of the torus $T$ as in (2.2). Let
$\mathcal{O}_{\mathbf{L}}$ be the sheaf of holomorphic sections. The
equivariant index of a generalized Bott manifold is the formal sum of
representation of $T$:
${\rm
index}(B_{m},\mathcal{O}_{\mathbf{L}})=\sum(-1)^{i}H^{i}(B_{m},\mathcal{O}_{\mathbf{L}})$
The character of the equivariant index is the function $\chi:T\to\mathbb{C}$
which is given by $\chi=\sum(-1)^{i}\chi^{i}$ where
$\chi^{i}(a)=\text{trace}\\{a:H^{i}(B_{m},\mathcal{O}_{\mathbf{L}})\to
H^{i}(B_{m},\mathcal{O}_{\mathbf{L}})\\}$ for $a\in T$. Let $\mathfrak{t}$ be
the Lie algebra of $T$ and let $\mathfrak{t}^{\ast}$ be its dual space. Every
$\mu$ in the integral weight lattice $\ell^{\ast}\subset i\mathfrak{t}^{\ast}$
defines a homomorphism $\lambda^{\mu}:T\to S^{1}$. We can write
$\chi=\sum_{\mu\in\ell^{\ast}}m_{\mu}\lambda^{\mu}$. The coefficients are
given by a function $\text{mult}\,:\ell^{\ast}\to\mathbb{Z}$, sending
$\mu\mapsto m_{\mu}$, called the multiplicity function for the equivariant
index.
## 3 Main theorems
### 3.1 Multiplicity function of the equivariant index
We will show that the multiplicity function of the equivariant index of a
generalized Bott manifold is given by the density function of a generalized
twisted cube $C$. In particular, all the weights occur with a multiplicity
$-1,0$, or $1$.
###### Theorem 3.1
Fix integers $\\{c_{i,j}^{(k)}\\}$ and $\\{\ell_{j}\\}$. Let $\mathbf{L}\to
B_{m}$ be the corresponding line bundle over a generalized Bott manifold. Let
$\rho\,:\,\mathbb{R}^{N}\to\\{-1,0,1\\}$ be the density function of the
generalized twisted cube $C$ which is determined by these integers as in
(2.2). Consider the torus action of $T=T^{N}\times S^{1}$ as in (2.2). Then
the multiplicity function for $\ell^{\ast}\cong\mathbb{Z}^{N}\times\mathbb{Z}$
is given by
${\rm mult}(x,k)=\begin{cases}\rho(x)&(k=1)\\\ 0&(k\neq 1).\end{cases}$
Proof ; We compute $H^{\ast}(B_{m},\mathcal{O}_{\mathbf{L}})$. Take the
covering $\tilde{\mathcal{U}}=\\{U_{r_{1}}\times\cdots\times U_{r_{m}}\\}$ of
$(\mathbb{C}^{n_{1}+1})^{\times}\times\cdots\times(\mathbb{C}^{n_{m}+1})^{\times}$
for $r_{1},\dots,r_{m}\in\\{0,1,\dots,n_{\ell}\\}\,\,(\ell=1,\dots,m)$, where
$U_{r_{j}}=\underbrace{\mathbb{C}\times\cdots\times\mathbb{C}}_{r_{j}}\times\mathbb{C}^{\times}\times\underbrace{\mathbb{C}\times\cdots\times\mathbb{C}}_{n_{\ell}-r_{j}}$.
This descends to the covering $\mathcal{U}$ of $B_{m}$; every intersection of
sets in $\mathcal{U}$ is isomorphic to a product of $\mathbb{C}$’s and
$\mathbb{C}^{\times}$’s. The coverings $\tilde{\mathcal{U}}$ and $\mathcal{U}$
are the Leray coverings ([5]).
Let $\mathcal{O}$ be the sheaf of holomorphic functions, and let
$G=(\mathbb{C}^{\times})^{m}$. Since holomorphic sections of
$\mathcal{O}_{\mathbf{L}}$ are given by holomorphic sections of $\mathcal{O}$
which are $G$-invariant with respect to the action (2.1) ([9]),
$H^{\ast}(\mathcal{U},\mathcal{O}_{\mathbf{L}})$ is isomorphic to the
$G$-invariant part of $H^{\ast}(\tilde{\mathcal{U}},\mathcal{O})$. By the
Leray theorem, $H^{\ast}(B_{m},\mathcal{O}_{\mathbf{L}})$ is isomorphic to the
$G$-invariant part of
$H^{\ast}((\mathbb{C}^{n_{1}+1})^{\times}\times\cdots\times(\mathbb{C}^{n_{m}+1})^{\times},\mathcal{O})$.
In order to compute
$H^{\ast}((\mathbb{C}^{n_{1}+1})^{\times}\times\cdots\times(\mathbb{C}^{n_{m}+1})^{\times},\mathcal{O})$,
we compute $H^{\ast}((\mathbb{C}^{n+1})^{\times},\mathcal{O})$. Let
$\mathcal{U}^{\prime}=\\{U_{0},U_{1},\dots,U_{n}\\}$ be the covering of
$(\mathbb{C}^{n+1})^{\times}$, let
$j_{0},j_{1},\dots,j_{k}\in\\{0,1,\dots,n\\}$ for $k=0,1,\dots,n$ and let
$U_{j_{0}j_{1}\cdots j_{k}}=U_{j_{0}}\cap U_{j_{1}}\cap\cdots\cap U_{j_{k}}$.
Let $I=(i_{0},i_{1},\dots,i_{n})\in\mathbb{Z}^{n+1}$. The holomorphic
functions on $U_{j_{0}j_{1}\cdots j_{k}}$ are given by
$\Gamma_{\rm hol}(U_{j_{0}j_{1}\cdots
j_{k}})=\left\\{\sum_{I\in\mathbb{Z}^{n+1},i_{\ell}\geq 0(\ell\neq
j_{0},j_{1},\dots,j_{k})}a_{I}z_{0}^{i_{0}}z_{1}^{i_{1}}\cdots
z_{n}^{i_{n}}\right\\}.$
Consider the Čech cochain complex
$0\to\check{C}^{0}(\mathcal{U}^{\prime},\mathcal{O})\stackrel{{\scriptstyle\delta^{0}}}{{\to}}\check{C}^{1}(\mathcal{U}^{\prime},\mathcal{O})\stackrel{{\scriptstyle\delta^{1}}}{{\to}}\cdots\stackrel{{\scriptstyle\delta^{n-1}}}{{\to}}\check{C}^{n}(\mathcal{U}^{\prime},\mathcal{O})\stackrel{{\scriptstyle\delta^{n}}}{{\to}}0,$
where $\check{C}^{i}(\mathcal{U}^{\prime},\mathcal{O})=\oplus\Gamma_{\rm
hol}(U_{j_{0}j_{1}\cdots j_{i}})\,\,(i=0,\dots,n)$. The map
$\delta^{p}:\check{C}^{p}(\mathcal{U}^{\prime},\mathcal{O})\to\check{C}^{p+1}(\mathcal{U}^{\prime},\mathcal{O})$
is given by $\\{f_{j_{0}j_{1}\cdots j_{p}}\\}\mapsto\\{g_{j_{0}j_{1}\cdots
j_{p+1}}\\},g_{j_{0}j_{1}\cdots
j_{p+1}}=\sum(-1)^{k}f_{j_{0}j_{1}\cdots\hat{j_{k}}\cdots j_{p+1}}$. Recall
that
$H^{0}((\mathbb{C}^{n+1})^{\times},\mathcal{O})={\rm Ker}\,\delta^{0}$, and
$H^{n}((\mathbb{C}^{n+1})^{\times},\mathcal{O})={\rm Coker}\,\delta^{n-1}$.
The torus $T^{n+1}=(S^{1})^{n+1}$ acts on the holomorphic functions by
$((t_{0},\dots,t_{n})\cdot
f)(z_{0},\dots,z_{n})=f(t_{0}^{-1}z_{0},\dots,t_{n}^{-1}z_{n})$. This action
descends to the cohomology. The corresponding weight spaces for the weight
$I\in\mathbb{Z}^{n+1}$ are
$\displaystyle H^{0}((\mathbb{C}^{n+1})^{\times},\mathcal{O})_{I}$
$\displaystyle=\begin{cases}{\rm span}(z_{0}^{-i_{0}}\cdots
z_{n}^{-i_{n}})&(I\in\mathbb{Z}_{\leq 0}^{n+1})\\\
0&\text{otherwise}\end{cases}$ $\displaystyle
H^{n}((\mathbb{C}^{n+1})^{\times},\mathcal{O})_{I}$
$\displaystyle=\begin{cases}{\rm span}(z_{0}^{-i_{0}}\cdots
z_{n}^{-i_{n}})&(I\in\mathbb{Z}_{>0}^{n+1})\\\ 0&\text{otherwise}.\end{cases}$
We now prove $H^{q}((\mathbb{C}^{n+1})^{\times},\mathcal{O})=0$ for $1\leq
q\leq n-1$. Let $\Delta$ be the fan of $(\mathbb{C}^{n+1})^{\times}$, and let
$|\Delta|=\cup_{\sigma\in\Delta}\sigma$. Let
$Z(I):=\\{v\in|\Delta|\,;\,\langle I,v\rangle\leq\varphi(v)\\},$
where $\varphi$ is the support function. From [4],
$H^{q}((\mathbb{C}^{n+1})^{\times},\mathcal{O})_{I}=H^{q}(|\Delta|,|\Delta|\setminus
Z(I)\,;\,\mathbb{C}).$
Since $\mathcal{O}$ is the sheaf of holomorphic function, $\varphi(v)=0$ for
all $v\in|\Delta|$. In the case that $i_{j}\leq 0$ for all $j$, since
$|\Delta|$ is contractible,
$H^{q}((\mathbb{C}^{n+1})^{\times},\mathcal{O})_{I}=0\,\,(q\geq 1).$
In the case that $i_{j}>0$ for all $j$, $Z(I)=\\{0\\}$. Since
$|\Delta|\setminus\\{0\\}$ is homotopic to $S^{n-1}$,
$H^{q}((\mathbb{C}^{n+1})^{\times},\mathcal{O})_{I}=0\,\,(q\neq n).$
In other case, since $|\Delta|\setminus Z(I)$ is path-connected and
contractible,
$H^{q}((\mathbb{C}^{n+1})^{\times},\mathcal{O})_{I}=0$
for all $q$.
We now compute
$H^{\ast}((\mathbb{C}^{n_{1}+1})^{\times}\times\cdots\times(\mathbb{C}^{n_{m}+1})^{\times},\mathcal{O})$.
Consider the natural action of $T^{N+m}=(S^{1})^{N+m}$ on the holomorphic
function. The weights are multi-indices $I^{\prime}\in\mathbb{Z}^{N+m}$; we
write $I^{\prime}=(\mathbf{i}^{\prime}_{1},\dots,\mathbf{i}^{\prime}_{m})$,
where $\mathbf{i}^{\prime}_{j}=(i_{j,0},i_{j,1},\dots,i_{j,n_{j}})$ for
$j=1,\dots,m$. From the cohomology of $(\mathbb{C}^{n+1})^{\times}$ that we
have computed and from the Künneth formula ([1]), it follows that
$H^{q}((\mathbb{C}^{n_{1}+1})^{\times}\times\cdots\times(\mathbb{C}^{n_{m}+1})^{\times},\mathcal{O})_{I^{\prime}}=\begin{cases}\text{span}(z_{1,0}^{-i_{1,0}}z_{1,1}^{-i_{1,1}}\cdots
z_{m,n_{m}}^{-i_{m,n_{m}}})\\\ 0.\end{cases}$
The former occurs if for all $\ell$ we have ${\rm sgn}(i_{\ell,0})={\rm
sgn}(i_{\ell,1})=\cdots={\rm sgn}(i_{\ell,n_{\ell}})=:\varepsilon_{\ell}$,
here $q=\sum_{\\{\ell\,|\,\varepsilon_{\ell}=1,1\leq\ell\leq m\\}}n_{\ell}$,
and $q=0$ when $\varepsilon_{\ell}=-1$ for all $\ell$. In particular,
$(-1)^{q}=(-1)^{N}\prod_{1\leq\ell\leq m,1\leq p\leq n_{\ell}}{\rm
sgn}(i_{\ell,p})$.
The action (2.1) induces an action on functions given by
$\displaystyle(a_{k}f)(z_{1,0},\dots,z_{m,n_{m}})=a_{k}^{\ell_{k}}f(z_{1,0},\dots,z_{k-1,n_{k-1}},$
$\displaystyle
z_{k,0}a_{k}^{-1},z_{k,1}a_{k}^{-1},\dots,z_{k,n_{k}}a_{k}^{-1},$
$\displaystyle\dots,z_{\ell,0},a_{k}^{-c_{k,\ell}^{(1)}}z_{\ell,1},\dots,a_{k}^{-c_{k,\ell}^{(n_{\ell})}}z_{\ell,n_{\ell}},\dots).$
The monomial $z_{1,0}^{-i_{1,0}}z_{1,1}^{-i_{1,1}}\cdots
z_{m,n_{m}}^{-i_{m,n_{m}}}$ is then a weight vector with a weight whose $k$-th
coordinate is
$\ell_{k}+i_{k,0}+\cdots+i_{k,n_{k}}+\sum_{\ell=k+1}^{m}\sum_{p=1}^{n_{\ell}}c_{k,\ell}^{(p)}i_{\ell,p}$.
Thus the $G$-invariant part of
$H^{\ast}((\mathbb{C}^{n_{1}+1})^{\times}\times\cdots\times(\mathbb{C}^{n_{m}+1})^{\times},\mathcal{O})$
consists of those monomials $z_{1,0}^{-i_{1,0}}z_{1,1}^{-i_{1,1}}\cdots
z_{m,n_{m}}^{-i_{m,n_{m}}}$ for which
$\displaystyle\ell_{1}+i_{1,0}+\cdots+i_{1,n_{1}}+\sum_{\ell=2}^{m}\sum_{p=1}^{n_{\ell}}c_{1,\ell}^{(p)}i_{\ell,p}$
$\displaystyle=0$
$\displaystyle\ell_{2}+i_{2,0}+\cdots+i_{2,n_{2}}+\sum_{\ell=3}^{m}\sum_{p=1}^{n_{\ell}}c_{2,\ell}^{(p)}i_{\ell,p}$
$\displaystyle=0$ (3.1) $\displaystyle\vdots$
$\displaystyle\ell_{m}+i_{m,0}+\cdots+i_{m,n_{m}}$ $\displaystyle=0.$
The action (2.2) induces a $T$ action on the functions given by
$\displaystyle((t_{1,1},\dots,t_{m,n_{m}},t_{m+1})\cdot
f)(z_{1,0},\dots,z_{m,n_{m}})$
$\displaystyle=t_{m+1}f(z_{1,0},t_{1,1}^{-1}z_{1,1},\dots,z_{m,0},t_{m,1}^{-1}z_{m,1},\dots,t_{m,n_{m}}^{-1}z_{m,n_{m}}).$
The weight of the monomial $z_{1,0}^{-i_{1,0}}z_{1,1}^{-i_{1,1}}\cdots
z_{m,n_{m}}^{-i_{m,n_{m}}}$ with respect to this $T$ action is
$(\mathbf{i}_{1},\mathbf{i}_{2},\dots,\mathbf{i}_{m},1)$, where
$\mathbf{i}_{j}=(i_{j,1},\dots,i_{j,n_{j}})$ for $j=1,\dots,m$. Thus the index
of $(B_{m},\mathcal{O}_{\mathbf{L}})$ is given by the set of
$x=(x_{1,1},\dots,x_{m,n_{m}},1)=(i_{1,1},\dots,i_{m,n_{m}},1)$ for which
there exist $(i_{1,0},\dots,i_{m,0})$ such that (3.1) is satisfied and such
that ${\rm sgn}(i_{\ell,0})={\rm sgn}(i_{\ell,1})=\cdots={\rm
sgn}(i_{\ell,n_{\ell}})$ for all $\ell$. This is exactly the set (2.2).
Therefore the multiplicity of the equivariant index is
$(-1)^{N}\prod_{1\leq\ell\leq m,1\leq p\leq n_{\ell}}{\rm
sgn}(i_{\ell,p})=(-1)^{N}\prod_{1\leq\ell\leq m,1\leq p\leq n_{\ell}}{\rm
sgn}(x_{\ell,p})=\rho(x)$. $\square$
### 3.2 Character formula for the equivariant index
In the following the theorem we give a formula for the character
$\chi\,:\,T\to\mathbb{C}$ of the equivariant index of a generalized Bott
manifold. For every integral weight $\mu\in\ell^{\ast}$ we have a homomorphism
$\lambda^{\mu}\,:\,T\to S^{1}$. We denote the integral combinations of these
$\lambda^{\mu}$’s by $\mathbb{Z}[T]$. Then $\chi\in\mathbb{Z}[T]$ is given by
$\chi=\sum_{\mu\in\ell^{\ast}}m_{\mu}\lambda^{\mu}$ where $m_{\mu}={\rm
mult}(\mu)$.
###### Definition 3.2
Let $\\{e_{1,1},\dots,e_{m,n_{m}},e_{m+1}\\}$ be the standard basis in
$\mathbb{R}^{N+1}$, $x_{i}=\left(x_{i,1},\dots,\right.$
$\left.x_{i,n_{i}}\right)$ and $e_{i}=(e_{i,1},\dots,e_{i,n_{i}})$. Let
$\Delta_{n,r}^{-}=\left\\{z=(z_{1},\dots,z_{n})\in\mathbb{Z}_{\leq
0}^{n}\,\middle|\,z_{1}+\cdots+z_{n}=-r\right\\}$, and let
$\Delta_{n,r}^{+}=\left\\{z=(z_{1},\dots,z_{n})\in\mathbb{Z}_{>0}^{n}\,\middle|\,z_{1}+\cdots+z_{n}=r-1\right\\}$.
Then the operators $D_{i}:\mathbb{Z}[T]\to\mathbb{Z}[T]$ are defined using
$c_{i,j}^{(k)}$ and $\ell_{j}$ in the following way:
$D_{i}(\lambda^{\mu})=\begin{cases}\displaystyle\sum_{0\leq r\leq
k_{i}}\sum_{x_{i}\in\Delta_{n_{i},r}^{-}}\lambda^{\mu+\langle
x_{i},e_{i}\rangle}&\text{if}\,\,k_{i}\geq 0\\\ 0&\text{if}\,\,-n_{i}\leq
k_{i}\leq-1\\\ \displaystyle\sum_{n_{i}+1\leq r\leq-
k_{i}}\sum_{x_{i}\in\Delta_{n_{i},r}^{+}}(-1)^{n_{i}}\lambda^{\mu+\langle
x_{i},e_{i}\rangle}&\text{if}\,\,k_{i}\leq-n_{i}-1,\end{cases}$
where the functions $k_{i}$ are defined as follows: if
$\mu=e_{m+1}+\sum_{j=i+1}^{m}\sum_{k=1}^{n_{j}}x_{j,k}e_{j,k}$, then
$k_{i}(\mu)=\ell_{i}+\sum_{j=i+1}^{m}\sum_{k=1}^{n_{j}}c_{i,j}^{(k)}x_{j,k}$.
From Theorem 3.1, we immediately obtain the following theorem.
###### Theorem 3.3
Consider the action of the torus $T$ on $\mathbf{L}\to B_{m}$ as in (2.2).
Denote the $(N+1)$-th component of the standard basis in $\mathbb{R}^{N+1}$ by
$e_{m+1}$. Then the character is given by the following element of
$\mathbb{Z}[T]$:
$\chi=D_{1}\cdots D_{m}(\lambda^{e_{m+1}}).$
###### Remark 3.4
When $n_{i}=1$ for all $i$, the operator $D_{i}$ is given by
$D_{i}(\lambda^{\mu})=\begin{cases}\lambda^{\mu}+\lambda^{\mu-
e_{i,1}}+\cdots+\lambda^{\mu-k_{i}e_{i,1}}&{\it if}\,\,k_{i}\geq 0\\\ 0&{\it
if}\,\,k_{i}=-1\\\
-\lambda^{\mu+e_{i,1}}-\lambda^{\mu+2e_{i,1}}-\cdots-\lambda^{\mu-(k_{i}+1)e_{i,1}}&{\it
if}\,\,k_{i}\leq-2.\end{cases}$
We can check that this operator agrees with the one in [6, Proposition 2.32].
###### Example 3.5
Suppose that $m=2,n_{1}=1$, and $n_{2}=2$. We set
$\ell_{1}=1,\ell_{2}=2,c_{1,2}^{(1)}=2$, and $c_{1,2}^{(2)}=-1$ as in Example
2.5. Then the corresponding character $\chi$ is given by
$\displaystyle\chi$ $\displaystyle=D_{1}D_{2}(\lambda^{e_{3}})$
$\displaystyle=D_{1}(\lambda^{e_{3}}+\lambda^{e_{3}-e_{2,1}}+\lambda^{e_{3}-e_{2,2}}+\lambda^{e_{3}-2e_{2,1}}+\lambda^{e_{3}-e_{2,1}-e_{2,2}}+\lambda^{e_{3}-2e_{2,2}})$
$\displaystyle=\lambda^{e_{3}}+\lambda^{e_{3}-e_{1,1}}+\lambda^{e_{3}-e_{2,2}}+\lambda^{e_{3}-e_{2,2}-e_{1,1}}+\lambda^{e_{3}-e_{2,2}-2e_{1,1}}-\lambda^{e_{3}-2e_{2,1}+e_{1,1}}-\lambda^{e_{3}-2e_{2,1}+2e_{1,1}}$
$\displaystyle\,\,\,\,+\lambda^{e_{3}-e_{2,1}-e_{2,2}}+\lambda^{e_{3}-2e_{2,2}}+\lambda^{e_{3}-2e_{2,2}-e_{1,1}}+\lambda^{e_{3}-2e_{2,2}-2e_{1,1}}+\lambda^{e_{3}-2e_{2,2}-3e_{1,1}}.$
###### Example 3.6
Suppose that $m=2,n_{1}=2$, and $n_{2}=1$. We set $\ell_{1}=2,\ell_{2}=-6$,
and $c_{1,2}^{(1)}=-1$ as in Example 2.6. Then the corresponding character
$\chi$ is given by
$\displaystyle\chi$ $\displaystyle=D_{1}D_{2}(\lambda^{e_{3}})$
$\displaystyle=D_{1}(-\lambda^{e_{3}+e_{2,1}}-\lambda^{e_{3}+2e_{2,1}}-\lambda^{e_{3}+3e_{2,1}}-\lambda^{e_{3}+4e_{2,1}}-\lambda^{e_{3}+5e_{2,1}})$
$\displaystyle=-\lambda^{e_{3}+e_{2,1}}-\lambda^{e_{3}+e_{2,1}-e_{1,1}}-\lambda^{e_{3}+e_{2,1}-e_{1,2}}-\lambda^{e_{3}+2e_{2,1}}-\lambda^{e_{3}+5e_{2,1}+e_{1,1}+e_{1,2}}.$
###### Example 3.7
Suppose that $m=2,n_{1}=2$, and $n_{2}=2$. We set
$\ell_{1}=1,\ell_{2}=2,c_{1,2}^{(1)}=2$, and $c_{1,2}^{(2)}=-1$ as in Example
2.7. Then the corresponding character $\chi$ is given by
$\displaystyle\chi$ $\displaystyle=D_{1}D_{2}(\lambda^{e_{3}})$
$\displaystyle=D_{1}(\lambda^{e_{3}}+\lambda^{e_{3}-e_{2,1}}+\lambda^{e_{3}-e_{2,2}}+\lambda^{e_{3}-2e_{2,1}}+\lambda^{e_{3}-e_{2,1}-e_{2,2}}+\lambda^{e_{3}-2e_{2,2}})$
$\displaystyle=\lambda^{e_{3}}+\lambda^{e_{3}-e_{1,1}}+\lambda^{e_{3}-e_{1,2}}+\lambda^{e_{3}-e_{2,2}}+\lambda^{e_{3}-e_{2,2}-e_{1,1}}+\lambda^{e_{3}-e_{2,2}-e_{1,2}}+\lambda^{e_{3}-e_{2,2}-2e_{1,1}}$
$\displaystyle\,\,\,\,+\lambda^{e_{3}-e_{2,2}-e_{1,1}-e_{1,2}}+\lambda^{e_{3}-e_{2,2}-2e_{1,2}}+\lambda^{e_{3}-2e_{2,1}+e_{1,1}+e_{1,2}}+\lambda^{e_{3}-e_{2,1}-e_{2,2}}+\lambda^{e_{3}-2e_{2,2}}$
$\displaystyle\,\,\,\,+\lambda^{e_{3}-2e_{2,2}-e_{1,1}}+\lambda^{e_{3}-2e_{2,2}-e_{1,2}}+\lambda^{e_{3}-2e_{2,2}-2e_{1,1}}+\lambda^{e_{3}-2e_{2,2}-e_{1,1}-e_{1,2}}+\lambda^{e_{3}-2e_{2,2}-2e_{1,2}}$
$\displaystyle\,\,\,\,+\lambda^{e_{3}-2e_{2,2}-3e_{1,1}}+\lambda^{e_{3}-2e_{2,2}-2e_{1,1}-e_{1,2}}+\lambda^{e_{3}-2e_{2,2}-e_{1,1}-2e_{1,2}}+\lambda^{e_{3}-2e_{2,2}-3e_{1,2}}.$
###### Remark 3.8
We gave the formula for the character using the Demazure-type operators. On
the other hand, the character is also given by the localization formula ([7,
Corollary 7.4]). For example, when we set the parameters as in Example 3.5,
the character is computed using the localization formula as follows:
$\displaystyle\chi=\lambda^{e_{3}}$
$\displaystyle\left(\frac{1}{(1-\lambda^{-e_{1,1}})(1-\lambda^{-e_{2,1}})(1-\lambda^{-e_{2,2}})}+\frac{\lambda^{-2e_{2,2}}}{(1-\lambda^{-e_{1,1}})(1-\lambda^{-e_{2,1}+e_{2,2}})(1-\lambda^{e_{2,2}})}\right.$
$\displaystyle\left.+\frac{\lambda^{-2e_{2,1}}}{(1-\lambda^{-e_{1,1}})(1-\lambda^{e_{2,1}-e_{2,2}})(1-\lambda^{e_{2,1}})}+\frac{\lambda^{-e_{1,1}}}{(1-\lambda^{e_{1,1}})(1-\lambda^{2e_{1,1}-e_{2,1}})(1-\lambda^{-e_{1,1}-e_{2,2}})}\right.$
$\displaystyle\left.+\frac{\lambda^{-3e_{1,1}-2e_{2,2}}}{(1-\lambda^{e_{1,1}})(1-\lambda^{3e_{1,1}-e_{2,1}+e_{2,2}})(1-\lambda^{e_{1,1}+e_{2,2}})}\right.$
$\displaystyle\left.+\frac{\lambda^{3e_{1,1}-2e_{2,1}}}{(1-\lambda^{e_{1,1}})(1-\lambda^{-3e_{1,1}+e_{2,1}-e_{2,2}})(1-\lambda^{-2e_{1,1}+e_{2,1}})}\right).$
We can check that this result agrees with the result in Example 3.5.
## References
* [1] A. Andreotti, and F. Norguet, Cycles of algebraic manifolds and $\partial\bar{\partial}$-cohomology, Annali della Scuola Normale Superiore di Pisa, Classe di Scienze $3^{e}$ série, 25(1) (1971), 59–114.
* [2] S. Choi, M. Masuda, and D. Y. Suh, Quasitoric manifolds over a product of simplices, Osaka J. Math., 47(1) (2010), 109–129.
* [3] S. Choi, M. Masuda, and D. Y. Suh, Topological classification of generalized Bott towers, Trans. Amer. Math. Soc., 362(2) (2010), 1097–1112.
* [4] W. Fulton, Introduction to Toric Varieties, Ann. of Math. Studies, Princeton University Press, 1993.
* [5] P. Griffiths, and J. Harris, Principles of Algebraic Geometry, Wiley, New York, 1978.
* [6] M. Grossberg, and Y. Karshon, Bott towers, complete integrability, and the extended character of representations, Duke math. J., 76(1) (1994), 23–58.
* [7] A. Hattori, and M. Masuda, Theory of multi-fans, Osaka J. Math., 40 (2003), 1–68.
* [8] T. Hwang, E. Lee, and D. Y. Suh, The Gromov width of generalized Bott manifolds, International Math. Research Notices, 2021(9) (2019), 7096–7131.
* [9] Y. Karshon, and S. Tolman, The moment map and line bundles over presymplectic toric manifolds, J. Differential geometry, 38 (1993), 465–484.
Yuki Sugiyama
Department of Mathematics, Graduate School of Science and Engineering, Chuo
University,
Kasuga, Bunkyo-Ku, Tokyo, 112–8551 Japan.
e-mail : [email protected]
| arxiv-papers | 2021-07-26T09:21:45 | 2024-09-04T03:07:18.096681 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yuki Sugiyama",
"submitter": "Yuki Sugiyama",
"url": "https://arxiv.org/abs/2107.12054"
} |
2107.12056 | # Gravitational Collapse for Polytropic Gaseous Stars: Self-similar Solutions
Yan Guo, Mahir Hadžić, Juhi Jang, and Matthew Schrecker Division of Applied
Mathematics, Brown University, Providence, RI 02912, USA, Email:
[email protected] of Mathematics, University College London, London
WC1E 6XA, UK. Email: [email protected] of Mathematics, University
of Southern California, Los Angeles, CA 90089, USA, and Korea Institute for
Advanced Study, Seoul, Korea. Email: [email protected] of
Mathematics, University College London, London WC1E 6XA, UK. Email:
[email protected].
###### Abstract
In the supercritical range of the polytropic indices
$\gamma\in(1,\frac{4}{3})$ we show the existence of smooth radially symmetric
self-similar solutions to the gravitational Euler-Poisson system. These
solutions exhibit gravitational collapse in the sense that the density blows-
up in finite time. Some of these solutions were numerically found by Yahil in
1983 and they can be thought of as polytropic analogues of the Larson-Penston
collapsing solutions in the isothermal case $\gamma=1$. They each contain a
sonic point, which leads to numerous mathematical difficulties in the
existence proof.
###### Contents
1. 1 Introduction and the main result
1. 1.1 Methodology
2. 2 The sonic point
1. 2.1 The formal Taylor expansion
2. 2.2 Branch selection
3. 2.3 Larson-Penston-Hunter- (LPH-) type solutions
4. 2.4 The induction argument and the series convergence
3. 3 Solution to the right of the sonic point
4. 4 Solution to the left of the sonic point
1. 4.1 Continuity properties
2. 4.2 Invariant structures
3. 4.3 Properties of the fundamental set $Y$
4. 4.4 Asymptotics at the scaling origin, $y=0$
5. 5 Proof of the main theorem
6. A Well-posedness away from singular points
7. B Combinatorial bootstrap - convergence of the series at the sonic point
8. C Interval arithmetic
1. C.1 Proofs of $s(\omega_{0})>0$ and Proposition 2.9
2. C.2 Proof of (2.89)–(2.91)
3. C.3 Proof of Lemma 3.4
9. D Proof of Proposition 4.7
## 1 Introduction and the main result
The rigorous description of stellar collapse in the context of Newtonian
gravity is a fundamental mathematical problem. It is believed, at least for
some classes of initial data, that on approach to singularity a self-
gravitating gaseous star will enter an approximately self-similar regime [19,
25, 27, 29, 14], which will intertwine the spatial and the time scales in a
universal manner dictated by the scaling symmetries of the problem. The
purpose of this paper is to construct radially symmetric examples of exactly
self-similar imploding solutions for the full range of the supercritical
polytropic pressure laws.
A self-gravitating Newtonian star is described using the gravitational Euler-
Poisson equations, coupling the isentropic compressible Euler equations to a
gravitational potential. In three spatial dimensions, under the assumption of
radial symmetry, these equations take the form
$\displaystyle\partial_{t}\rho+\partial_{r}(\rho u)+\frac{2}{r}\rho u$
$\displaystyle=0,$ (1.1)
$\displaystyle\rho\big{(}\partial_{t}u+u\partial_{r}u\big{)}+\partial_{r}p+\frac{1}{r^{2}}\rho
m$ $\displaystyle=0,$ (1.2)
where the principal unknowns $\rho(t,r)$ and $u(t,r)$ are the density and
radial velocity of the star, respectively, and depend only on time $t$ and the
radial coordinate $r=|x|$. Equation (1.1) gives the conservation of mass and
(1.2) is the conservation of momentum with the given pressure law and
gravitational force. We will assume throughout that the pressure $p=P(\rho)$
satisfies the polytropic equation of state
$P(\rho)=\kappa\rho^{\gamma},\quad\gamma\in(1,\frac{4}{3}),\>\kappa>0,$ (1.3)
and the mass function $m(t,r)$ is defined by
$m(t,r)=4\pi\int_{0}^{r}\sigma^{2}\rho(t,\sigma)\,\operatorname{d}\\!\sigma.$
(1.4)
Notice that the term $\frac{m}{r^{2}}$ appearing in the momentum equation
(1.2) corresponds to the radial component of the gravitational force field
$\nabla\phi$ generated by the gravitational potential $\phi$, which by
definition solves the Poisson equation
$\Delta\phi=4\pi\rho,\quad\lim_{|x|\to\infty}\phi(t,x)=0.$
This is easily checked under the assumptions of radial symmetry.
A natural criticality scale is introduced in the problem by varying the
polytropic index $\gamma$ in the pressure law (1.3). It is easily checked that
the nonlinear flow associated with (1.1)–(1.3) is invariant under the scaling
transformation
$\displaystyle\rho(t,r)\mapsto\lambda^{-\frac{2}{2-\gamma}}\rho\Big{(}\frac{t}{\lambda^{\frac{1}{2-\gamma}}},\frac{r}{\lambda}\Big{)},$
(1.5) $\displaystyle
u(t,r)\mapsto\lambda^{-\frac{\gamma-1}{2-\gamma}}u\Big{(}\frac{t}{\lambda^{\frac{1}{2-\gamma}}},\frac{r}{\lambda}\Big{)}.$
This scaling is in fact the only invariant scaling for the compressible Euler-
Poisson system, by contrast to the compressible Euler equations which allow
for a 2-parameter family of invariant scalings, see for example [22].
When $\gamma>\frac{4}{3}$ the problem is mass-subcritical with respect to the
scaling (1.5), see [13]. In this case, under the assumption of finite total
mass and energy, it is known that no collapsing solutions can exist, see [5].
In the mass-critical case, there is a well-known finite-dimensional family of
collapsing stars discovered by Goldreich and Weber [8], see also [21, 7, 6].
The goal of this paper is to prove the existence of self-similar solutions
describing gravitational collapse in the mass-supercritical regime
$\gamma\in(1,\frac{4}{3})$.
Motivated by (1.5), we define the self-similar variable
$y=\frac{r}{\sqrt{\kappa}(-t)^{2-\gamma}}$ (1.6)
and formally look for solutions to (1.1)–(1.3) of the form
$\displaystyle\rho(t,r)=(-t)^{-2}\tilde{\rho}(y),$ (1.7) $\displaystyle
u(t,r)=\sqrt{\kappa}(-t)^{1-\gamma}\tilde{u}(y).$
Substituting this ansatz into the continuity equation (1.1) and dropping the
tilde-s, we derive
$\displaystyle\rho^{\prime}\big{(}u+(2-\gamma)y)+\rho
u^{\prime}+2\rho+\frac{2}{y}\rho u=0.$ (1.8)
Multiplying through by $y^{2}$, we simplify to find
$(4-3\gamma)y^{2}\rho=\big{(}y^{2}\rho(u+(2-\gamma)y)\big{)}^{\prime}$
which we integrate to get a representation for the self-similar local mass as
$\int_{0}^{y}z^{2}\rho(z)\,\operatorname{d}\\!z=\frac{y^{2}\rho(u+(2-\gamma)y)}{4-3\gamma}.$
(1.9)
Thus we derive from the momentum equation (1.2) the second self-similar
equation
$\rho(u+(2-\gamma)y)u^{\prime}+\gamma\rho^{\gamma-1}\rho^{\prime}+(\gamma-1)\rho
u+\frac{4\pi}{4-3\gamma}\rho^{2}(u+(2-\gamma)y)=0.$ (1.10)
It will be convenient in what follows to work with the re-scaled relative
velocity, rather than working directly with the velocity $u$. The new relative
velocity is defined as
$\omega=\frac{u+(2-\gamma)y}{y}.$ (1.11)
We therefore arrive at the self-similar ODE system
$\displaystyle y\omega\rho^{\prime}+y\rho\omega^{\prime}=$
$\displaystyle\,(4-3\gamma)\rho-3\rho\omega,$ (1.12) $\displaystyle
y\rho\omega\big{(}y\omega^{\prime}+\omega-(2-\gamma))+\gamma\rho^{\gamma-1}\rho^{\prime}=$
$\displaystyle\,-(\gamma-1)y\rho\omega-(\gamma-1)(\gamma-2)y\rho-\frac{4\pi}{4-3\gamma}\rho^{2}y\omega.$
Equivalently we may rewrite the system in the form
$\displaystyle\rho^{\prime}=$
$\displaystyle\frac{y\rho\left(2\omega^{2}+(\gamma-1)\omega-\frac{4\pi\rho\omega}{4-3\gamma}+(\gamma-1)(2-\gamma)\right)}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}},$
(1.13) $\displaystyle\omega^{\prime}=$
$\displaystyle\,\frac{4-3\gamma-3\omega}{y}-\frac{y\omega\left(2\omega^{2}+(\gamma-1)\omega-\frac{4\pi\rho\omega}{4-3\gamma}+(\gamma-1)(2-\gamma)\right)}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}.$
We refer to (1.13) as the self-similar Euler-Poisson system. Clearly, this
system has a singularity at $y=0$. However, there is a further singularity
which occurs whenever $\gamma\rho^{\gamma-1}-y^{2}\omega^{2}=0$. This is of
fundamental importance and the presence of such singularities, as we shall
explain below, is unavoidable in the study of smooth self-similar solutions to
(1.13) satisfying physically reasonable boundary conditions. This motivates
the following definition.
###### Definition 1.1 (Sonic point).
Let $(\rho(\cdot),\omega(\cdot))$ be a $C^{1}$-solution to the self-similar
Euler-Poisson system (1.13) on the interval $(0,\infty)$. A point
$y_{*}\in(0,\infty)$ such that
$\gamma\rho^{\gamma-1}(y_{*})-y_{*}^{2}\omega^{2}(y_{*})=0$
is called a sonic point.
If $y_{\ast}$ is a sonic point, then the hypersurface defined by the relation
$r(t)=\sqrt{\kappa}y_{\ast}(-t)^{2-\gamma}$ corresponds to the backward
acoustic cone emanating from the origin $(0,0)$ ([1, 22]). It satisfies the
relation $\dot{r}(t)=u(t,r(t))-c_{s}(t,r(t))$, where $c_{s}$ is the sound
speed $c_{s}=\frac{dP}{d\rho}=\sqrt{\kappa\gamma\rho^{\gamma-1}}$.
We are looking for smooth solutions which are both regular at the (scaling)
origin $y=0$ and satisfy suitable decay conditions as $y\to\infty$. Taking
Taylor expansions at the origin and in the far-field (as $y\to\infty$), we see
that we require the initial and asymptotic boundary conditions
$\displaystyle\rho(0)>0,\quad$ $\displaystyle\omega(0)=\frac{4-3\gamma}{3},$
(1.14) $\displaystyle\rho(y)\sim y^{-\frac{2}{2-\gamma}}\text{ as
}y\to\infty,\quad$ $\displaystyle\lim_{y\to\infty}\omega(y)=2-\gamma.$ (1.15)
From these conditions, it is clear that any continuous solution of (1.13) and
(1.14)–(1.15) must have at least one sonic point $y_{*}>0$.
In the isothermal case $\gamma=1$, the existence of global solutions
satisfying (1.13) and (1.14)–(1.15) has a long history in the astrophysics
literature, primarily relying on numerical methods. In their seminal works,
Larson [19] and Penston [25] independently showed (numerically) the existence
of a globally defined solution satisfying (1.14)–(1.15) and with only a single
sonic point present. Subsequently, Hunter [15] numerically constructed a full
sequence of further solutions, commonly referred to as Hunter-type solutions,
each of which also has a single sonic point (see also the work of Shu [27] and
the later work of Whitworth and Summers [28]). Despite the physically
simplifying assumption $\gamma=1$, these families of solutions attracted a lot
of attention in the physics literature as possible prototype models for the
behaviour of the core in late stages of gravitational collapse. In fact, the
Larson-Penston (henceforth, LP) solutions were judged to be the more stable
solutions under subsequent numerical analysis [1, 20, 24]. They also play an
important role in the Newtonian criticality theory and the resolution of the
so-called self-similarity hypothesis, see [14].
However, the assumption that the flow is isothermal received criticism on
physical grounds, for example by Yahil [29], who pointed out that the physical
condition of finite energy is violated unless $\gamma>\frac{6}{5}$. The value
$\gamma=\frac{6}{5}$ plays the role of the energy-critical exponent with
respect to the scaling (1.5), see [13]. More importantly, different values of
$\gamma>1$ allow us to encode stars with different thermodynamic properties
and it is therefore important to understand the space of self-similar flows in
the range $\gamma\in(1,\frac{4}{3})$. In the range
$\gamma\in(\frac{6}{5},\frac{4}{3})$, Yahil [29] constructed a family of
numerical self-similar solutions to (1.13) with finite energy. These solutions
share certain characteristics with the isothermal LP solution. For example,
the physical radial velocity remains strictly negative (except at the origin,
where it vanishes) up to the collapse time in both the Yahil solutions and the
LP solutions. This property does not hold for Hunter solutions and has been
tied to the possible dynamic instabilities of such solutions by Maeda–Harada
[20]. This leads us to the following definition.
###### Definition 1.2 (Yahil-type solution).
Let $\gamma\in(1,\frac{4}{3})$. A pair of $C^{1}$ functions $(\rho,\omega)$
defined on a connected interval $I\subset[0,\infty)$ satisfying the self-
similar Euler-Poisson system (1.13) is said to be of Yahil-type if
* (i)
There exists a unique sonic point $y_{*}\in I$;
* (ii)
For all $y\in I$, $\rho(y)>0$ and for all $y\in I\setminus\\{0\\}$, $u(y)<0$.
Recently, the first three authors were able to construct LP solutions in the
case $\gamma=1$ in [11]. The main result of this paper is to show that Yahil
solutions exist for the full physical range $\gamma\in(1,\frac{4}{3})$,
including the finite energy range ($\gamma>\frac{6}{5}$).
###### Theorem 1.3.
For each $\gamma\in(1,\frac{4}{3})$, there exists a global, real-analytic,
Yahil-type solution $(\rho,\omega)$ of (1.13), (1.14)–(1.15) with a single
sonic point $y_{*}$ and satisfying the natural, physical conditions
$\displaystyle\rho(y)>0\text{ for all
}y\in[0,\infty),\quad-\frac{2}{3}y<u(y)<0\text{ for all }y\in(0,\infty).$
(1.16)
In addition, both $\rho$ and $\omega$ are strictly monotone on their domain of
definition:
$\displaystyle\rho^{\prime}(y)<0\text{ for all
}y\in(0,\infty),\quad\omega^{\prime}(y)>0\text{ for all }y\in(0,\infty).$
(1.17)
The proof of this theorem is a consequence of a delicate analysis of the
nonautonomous dynamical system (1.13) in the regions separated by the sonic
point $y_{\ast}$, presented in Sections 3 and 4. The combination of results
derived in these two sections gives Theorem 1.3 and the short argument is
given in Section 5.
The most famous class of special solutions to the radially symmetric Euler-
Poisson system are the Lane-Emden steady stars [3], known to be of finite mass
and energy if $\gamma\in[\frac{6}{5},2)$. Their dynamic stability is a
classical subject, and in the case $\gamma>\frac{4}{3}$ they are known to be
linearly stable and conditionally nonlinearly stable [26]. By contrast, when
$\gamma\in[\frac{6}{5},\frac{4}{3})$ the Lane-Emden stars are unstable [16,
17]. In the critical case $\gamma=\frac{4}{3}$, the Lane-Emden stars are
spectrally stable, but nonlinearly unstable. The latter statement follows by
observing that the above mentioned Goldreich-Weber (henceforth GW) collapsing
stars can be chosen initially to be arbitrarily close to the corresponding
steady Lane-Emden stars. In fact, due to the mass-critical nature of the
problem, the GW collapse is a consequence of an effective separation of
variables in the problem, where the solution corresponds to a time-modulated
spatial profile, which satisfies a Lane-Emden-like equation. By time-reversal,
there also exist global-in-time expanding GW-solutions, whose nonlinear
stability was shown in [13].
The solutions constructed in Theorem 1.3 ($1<\gamma<\frac{4}{3}$) are very
different from the GW solutions ($\gamma=\frac{4}{3}$), and owe their
existence to a subtle balancing of the three dominant forces in the problem:
inertia, pressure, and gravity. A completely different portion of the phase-
space is populated by the so-called dust-like collapsing stars, which have
been shown to exist in [12]. The solutions constructed in [12] do not honour
the scaling invariance implied by (1.5), but are instead to a leading order
approximated by the so-called dust solutions, which solve (1.1)–(1.2) without
the pressure term $p$.
As explained above, the most exciting physical feature of the self-similar
solutions that we construct is their behaviour in the inner core region, as a
possible model of typical stellar collapse scenario. Nevertheless, for
completeness we also discuss some global properties of the solution, in
particular the size of the total mass and total energy. The solutions
constructed in Theorem 1.3 have infinite total mass
$M[\rho]=4\pi\int_{0}^{\infty}\rho(t,r)r^{2}\operatorname{d}\\!r,$
as can easily be seen from the asymptotic behaviour (1.15). A short
calculation shows that for any fixed $t<0$, asymptotically as $r\to\infty$
$\displaystyle\rho(t,r)\sim_{r\to\infty}r^{-\frac{2}{2-\gamma}},\ \
m(t,r)\sim_{r\to\infty}r^{\frac{4-3\gamma}{2-\gamma}},\ \
\phi(t,r)\sim_{r\to\infty}r^{\frac{2(1-\gamma)}{2-\gamma}},$ (1.18)
where $m(t,r):=4\pi\int_{0}^{r}\rho(t,s)s^{2}\operatorname{d}\\!s$ is the mass
contained in a ball of radius $r$. On the other hand, the total energy
$E[\rho,u]=4\pi\int_{0}^{\infty}\left(\frac{1}{2}\rho
u^{2}+\frac{1}{\gamma-1}\rho^{\gamma}-\frac{1}{8\pi}|\partial_{r}\phi|^{2}\right)r^{2}\operatorname{d}\\!r$
of the solutions constructed in Theorem 1.3 is finite when
$\gamma\in(\frac{6}{5},\frac{4}{3})$ and infinite for
$\gamma\in(1,\frac{6}{5}]$. This can be easily seen from (1.18) and the
asymptotic behaviour $u(t,r)_{r\to\infty}\sim r^{\frac{1-\gamma}{2-\gamma}}$
for any fixed $t<0$, which is established later in Lemma 3.9.
A further surprising outcome of our work is the provision of a new context
within which to consider the above mentioned distinction between the LP- and
Hunter-type solution. In the context of the isothermal problem ($\gamma=1$),
the demand that the solution be regular produces two possible algebraic
“branches” for the Taylor expansion coefficients at the sonic point. The LP-
solution constructed in [11] belongs to one of them, all the Hunter solutions
to the other, and the branches intersect at exactly one point. When
$\gamma>1$, we will show that there are two analogous branches. Remarkably, in
the formal $\gamma\to 1$ limit one of them converges to two portions of the
two isothermal branches that together form a continuous curve containing both
the LP- and Hunter solutions in the isothermal case. We thus term the
solutions coming off this $\gamma>1$-branch the Larson-Penston-Hunter- (LPH-)
type solutions. We comment on this further in Section 1.1, while the detailed
analysis can be found in Section 2.
### 1.1 Methodology
Due to the importance that the sonic condition will play throughout all of the
subsequent analysis, we define here a function
$G(y;\rho,\omega)=\gamma\rho^{\gamma-1}-y^{2}\omega^{2}.$ (1.19)
###### Definition 1.4 (Sonic, supersonic, and subsonic).
We say that the flow is subsonic whenever $G(y;\rho,\omega)>0$, supersonic
when $G(y;\rho,\omega)<0$, and sonic when $G(y;\rho,\omega)=0$.
For convenience, we denote by $h(\rho,\omega)$ the function
$h(\rho,\omega)=2\omega^{2}+(\gamma-1)\omega-\frac{4\pi\rho\omega}{4-3\gamma}+(\gamma-1)(2-\gamma).$
(1.20)
The system (1.13) may then be written concisely as
$\displaystyle\rho^{\prime}=$ $\displaystyle\,\frac{y\rho
h(\rho,\omega)}{G(y;\rho,\omega)},$ (1.21) $\displaystyle\omega^{\prime}=$
$\displaystyle\,\frac{4-3\gamma-3\omega}{y}-\frac{y\omega
h(\rho,\omega)}{G(y;\rho,\omega)}.$
There are two known, explicit solutions to the system (1.21), the Friedman
solution
$\omega_{F}=\frac{4-3\gamma}{3},\quad\rho_{F}=\frac{1}{6\pi}$ (1.22)
which satisfies the initial condition (1.14) at the origin, but fails the
asymptotic boundary condition (1.15), and the far-field solution,
$\omega_{f}=2-\gamma,\quad\rho_{f}=ky^{-\frac{2}{2-\gamma}},\text{ where
}k=\Big{(}\frac{\gamma(4-3\gamma)}{2\pi(2-\gamma)^{2}}\Big{)}^{\frac{1}{2-\gamma}},$
(1.23)
which satisfies the asymptotic boundary condition (1.15) but fails the initial
condition (1.14) . Note that the constant $k>0$ is well-defined due to
$\gamma<4/3$.
The Friedman and far-field solutions have sonic points at $y_{F}(\gamma)$,
$y_{f}(\gamma)$, respectively, with
$\displaystyle
y_{F}(\gamma)=\frac{3}{4-3\gamma}\sqrt{\frac{\gamma}{(6\pi)^{(\gamma-1)}}},\quad
y_{f}(\gamma)=\frac{\sqrt{\gamma}}{2-\gamma}\Big{(}\frac{4-3\gamma}{2\pi}\Big{)}^{\frac{\gamma-1}{2}}.$
(1.24)
For all $\gamma\in(1,\frac{4}{3})$, we see that
$0<y_{f}(\gamma)<y_{F}(\gamma)<\infty$. Henceforth, we will drop the explicit
dependence on $\gamma$ for $y_{f}$ and $y_{F}$, emphasising that for each
$\gamma\in(1,\frac{4}{3})$, $[y_{f},y_{F}]$ is a compact interval.
The system of ODE (1.13) is challenging since, as explained above, the flow
must pass through a sonic point. The requirement of smoothness at such a point
then leads to a number of mathematical difficulties. Generically, if we
stipulate that some $y_{\ast}\in(0,\infty)$ be a sonic point, then the flow
around that point will not be global. It is only for special values of
$y_{\ast}$ where the corresponding solution is in fact globally defined on
$[0,\infty)$. In a recent pioneering study of self-similar solutions for the
compressible Euler system with the equation of state $P(\rho)=\rho^{\gamma}$
($\gamma>1$), Merle, Raphaël, Rodnianski, and Szeftel [22] systematically
developed the existence theory for $C^{\infty}$-self-similar solutions of the
Euler flow; the underlying $2\times 2$ system of ODE is in this case
autonomous (in contrast to (1.13)). The smoothness of the self-similar
solutions across the sonic point is in fact a crucial ingredient in the proof
of their (finite codimension) nonlinear stability [23].
We will seek a solution with sonic point at some $y_{*}\in(y_{f},y_{F})$.
Making the formal Taylor expansion around the sonic point $y_{*}$, we set
$\rho(y)=\sum_{N=0}^{\infty}\rho_{N}(y-y_{*})^{N},\quad\omega(y)=\sum_{N=0}^{\infty}\omega_{N}(y-y_{*})^{N}.$
(1.25)
In order to have a smooth solution through $y_{*}$, we require that the values
$\rho_{0}=\rho(y_{*})$ and $\omega_{0}=\omega(y_{*})$ are constrained by the
two identities
$G(y_{*};\rho_{0},\omega_{0})=0,\quad h(\rho_{0},\omega_{0})=0.$ (1.26)
For all $y_{*}\in[y_{f},y_{F}]$, we will show below that there is a unique
pair $(\rho_{0},\omega_{0})$ satisfying these two conditions. When we come to
solve for the first order coefficients $(\rho_{1},\omega_{1})$, however, we
see that the picture becomes more complicated. In fact, there are again two
possible branches from which the coefficients may be chosen. However, as we
next explain, it is natural to view the $\gamma=1$-case as a degenerate case.
Namely, the possible pairs lie on graphs as shown in Figure 1, parametrised by
$\omega_{0}$ (equivalently, by $y_{*}$). In this case, the LP solution
constructed in [11] lies in the region of the LP branch for which
$\omega_{0}<\frac{1}{2}$ (equivalently $y_{*}>2$) while the numerically
constructed Hunter solutions all lie in the region $\omega_{0}>\frac{1}{2}$
(equivalently $y_{*}<2$), compare also [15, Fig. 2].
Figure 1: Plot of possible values $R=y_{*}\rho_{1}/\rho_{0}$ as a function of
$\omega_{0}\in[\frac{1}{3},1]$ in case $\gamma=1$.
However, as soon as we increase $\gamma>1$, a bifurcation occurs. The picture
then looks like one of the cases in Figure 2. The Hunter and LP solutions in
the case $\gamma=1$ actually live on the same branch of the solutions, a
feature that is concealed in the isothermal case by the degeneracy that makes
the branches coalesce at this value. For $\gamma>1$, the analogue of the
isothermal LP solution is the global solution with a unique sonic point
$y_{*}$ such that the first order coefficient $\rho_{1}$ lies on the joint LP-
Hunter (henceforth LPH) branch, and with maximal $y_{*}$ (equivalently minimal
$\omega_{0}$) - this is the lower (blue) branch in Figure 2. Such a solution
which will be shown to correspond to the Yahil solution that we are looking to
construct, see Definition 1.2.
Figure 2: Plot of possible values $R=y_{*}\rho_{1}/\rho_{0}$ as functions of
$\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma]$ for $\gamma=1.01$ and $1.3$
Once we have correctly identified the branch of solutions on which the LPH-
type solution should lie, we seek the globally defined Yahil-type solution
whose Taylor expansion at the sonic point is of LPH-type (see Definition 2.12
for the precise meaning). We then proceed in four key steps, as in the earlier
work of the first three authors, [11].
Step 1: The first step is to complete the Taylor expansion at each potential
sonic point $y_{*}\in[y_{f},y_{F}]$ to obtain a local, analytic solution
around $y_{*}$, denoted by
$(\rho(y;y_{\ast}),\omega(y;y_{\ast})).$
When clear from the context, we shall occasionally drop the dependence on
$y_{\ast}$ in the notation above. In comparison to [11], the convergence of
the Taylor series is significantly complicated by the presence of the term
$\rho^{\gamma-1}$ with its non-integer power. Various technical tricks are
employed, using the Faà di Bruno formula, to control the size of the
coefficients arising in the expansion, while interval arithmetic is employed
to control rigorously the sign of three key quantities (see (2.89)–(2.91) and
Appendix C.2 below).
Step 2: Second, we show that the local solution arising from each
$y_{*}\in[y_{f},y_{F}]$ may be extended globally to the right, remains
supersonic, and satisfies the correct asymptotic boundary condition (1.15).
This is based on the identification of several invariant regions to the right.
Compared with the isothermal case, the key property to show is that the flow
remains supersonic, a fact that is no longer trivially true. The asymptotics
follow easily from the global existence and bounds obtained.
Step 3: The third, and key, step, is to show that there exists a critical
value $\bar{y}_{*}$ for which the local analytic solution extends smoothly up
to the singular point at the origin with limit
$\omega(y)\to\frac{4-3\gamma}{3}$ as $y\to 0$. Similarly to [11], this
$\bar{y}_{*}$ is found as the infimum of a fundamental set
$Y=\Big{\\{}y_{*}\in(y_{f},y_{F})\,|\,\text{ there exists }y\text{ such that
}\omega(y;\tilde{y}_{*})=\frac{4-3\gamma}{3}\text{ for all
}\tilde{y}_{*}\in[y_{*},y_{F})\Big{\\}}.$
It is here that many of the additional complications arising from the choice
$P(\rho)=\rho^{\gamma}$, $\gamma>1$ make themselves felt. Many of the
invariances that were easily available in the case $\gamma=1$ are either
significantly harder to prove or fail altogether. For example, we no longer
have that the region $\\{\omega>\frac{1}{2}\\}$ is invariant as $y$ decreases.
These losses are due to the non-linear structure of the quantities
$h(\rho,\omega)$ and $G(y;\rho,\omega)$. Whereas, for $\gamma=1$, the sets in
phase space in which $h$ or $G$ have a constant sign are simply half-spaces
(parametrised by $y$ due to the non-autonomous nature of the system), for
$\gamma>1$, they have a much more complicated structure, with a change in the
geometry of the set $\\{h(\rho,\omega)=0\\}$ especially at
$\gamma=\frac{10}{9}$, see Lemma 2.1. This makes itself felt at a number of
levels. For example, the sets $\\{\omega^{\prime}(y)=0\\}$ and
$\\{\omega^{\prime\prime}(y)=0\\}$ in the $(\rho,\omega)$ have an intersection
in the region $\\{h<0,G>0\\}$, something which cannot happen for $\gamma=1$,
while there are no obvious invariant regions for $G$ either.
To resolve the difficulties caused by these features, we prove a new and
stronger property for the relative velocity $\omega(y;y_{*})$ for all
$y_{*}\in Y$: monotonicity with respect to $y$. By a careful analysis of the
phase plane and a continuity argument, we are able to show that for all
$y_{*}\in Y$, the function $\omega(y;y_{*})$ is strictly monotone as long as
it remains above the Friedman solution. This property, which is proved in the
key Proposition 4.14 below, allows us to propagate a lower bound for the
quantity $G$ to the left, preventing the formation of additional sonic points
and allowing us to extend the solution as far as the origin, $y=0$.
Step 4: The final step in the scheme is to show that the solution
$(\rho(y;\bar{y}_{*}),\omega(y;\bar{y}_{*}))$ connects smoothly to the origin.
More precisely, we show that the solution is analytic on $(0,\infty)$ and
$C^{1}$ at the scaling origin $y=0$. With a little extra work, one can show
that the solution is in fact smooth at $y=0$, but the argument is not included
in this paper, as it is not central to our proof of the existence of Yahil-
type solutions. This is achieved by exploiting again the monotonicity proved
for $\omega$ to demonstrate that $\omega(\cdot;\bar{y}_{*})$ attains the
boundary condition $\omega(0;\bar{y}_{*})=\frac{4-3\gamma}{3}$ and that the
density remains both bounded and monotone. This greatly simplifies the proof
of the equivalent step in [11] and removes the need for a topological upper-
and lower solution argument of the kind used in [11].
At three points throughout the proof (twice in the Taylor expansion at the
sonic point in Propositions 2.9 and 2.10 and then once more in extending the
solution to the right in the technical Lemma 3.4), we require an understanding
of the sign of key quantities depending polynomially on $\omega$ and $\gamma$.
As the quantities are significantly too complicated to control by hand, we
employ rigorous interval arithmetic, a means of computer-assisted proof that
has been used several times recently to resolve open questions in the theory
of PDE, see for example [2, 4, 9]. A useful overview of the method and its
applications, along with a wealth of references to recent applications, can be
found in [10]. Unlike in these works, our use of interval arithmetic is
elementary, as we perform most of the analysis directly, only employing
interval arithmetic to find bounds for the maxima and minima of certain
explicit polynomial quantities.
The paper is organised as follows. Details of the sonic point expansion, the
definition of the LPH-type solutions, and the local existence of real-analytic
solutions in the vicinity of the sonic point are presented in Section 2. In
Section 3 we show that for any $y_{\ast}\in[y_{f},y_{F}]$ there exists an LPH-
type solution on $[y_{\ast},\infty)$ and provide a detailed asymptotic
description of the solution as $y\to\infty$. Section 4 is devoted to the
existence problem to the left of the sonic point, and contains some of the key
conceptual insights of the paper. In particular, Proposition 4.17 shows that
there exists a $\bar{y}_{\ast}\in[y_{f},y_{F}]$ such that the associated local
LPH-type solution extends to the whole interval $(0,\bar{y}_{\ast}]$. The main
theorem is then easily obtained by gluing together the constructed left- and
right solutions, and the proof is presented in Section 5.
Several technical lemmas are stated and proved in Appendices A and B. Appendix
A contains the standard existence and uniqueness argument away from the sonic
points, while Appendix B contains the details of an involved combinatorial
argument used to prove the existence of real-analytic solution in a
neighbourhood of a sonic point. Several of our arguments in Sections 3 and 4
involve complicated multinomial expressions depending on $\gamma$,
$\omega_{0}$, and $y_{\ast}$. Their signs play a crucial role in the proofs
and we resort to rigorous, computer-assisted proofs by way of interval
arithmetic to check the relevant signs. Appendix C contains all the details of
such arguments including the associated interval arithmetic code. Finally,
Appendix D contains a detailed proof of some of the key continuity properties
of the LPH-type solutions, used heavily in Section 4. Such a proof is not
standard in the literature, but is quite similar to a related proof in [11],
and the details are therefore moved to an appendix.
Acknowledgments. Y. Guo’s research is supported in part by NSF DMS-grant
2106650. M. Hadžić’s and M. Schrecker’s research is supported by the EPSRC
Early Career Fellowship EP/S02218X/1. J. Jang’s research is supported by the
NSF DMS-grant 2009458 and the Simons Fellowship (grant number 616364).
## 2 The sonic point
As discussed in the introduction, our strategy for constructing a solution to
the system (1.13) is to begin from a sonic point $y_{*}$, obtain a solution
locally around this point, and then to extend to both the left and to the
right. The purpose of this section is to provide the solution locally around
the sonic point. This is a difficult endeavour, as it requires us to first
clarify how the condition of smoothness (in fact analyticity) at the sonic
point affects our definition of the solution we seek after. This will lead us
to the notion of the Larson-Penston-Hunter (LPH) branch. The next step
involves a combinatorial argument that shows that locally around the sonic
point there indeed exist analytic solutions of the LPH-type.
### 2.1 The formal Taylor expansion
Any smooth solution to the flow (1.13) must satisfy that, at any sonic point,
$y_{*}$, the values $\rho_{0}=\rho(y_{*})$, $\omega_{0}=\omega(y_{*})$ satisfy
the constraint
$h(\rho_{0},\omega_{0})=2\omega_{0}^{2}+\big{(}\gamma-1-\frac{4\pi\rho_{0}}{4-3\gamma}\big{)}\omega_{0}+(\gamma-1)(2-\gamma)=0.$
(2.27)
For notational reasons, we define
$f_{1}(\omega)=\frac{4-3\gamma}{4\pi\omega}\big{(}2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma)\big{)},$
(2.28)
so that $h(\rho,\omega)=0$ corresponds to $\rho=f_{1}(\omega)$. The structure
of the level set $h(\rho,\omega)=0$, equivalently $\rho=f_{1}(\omega)$, will
play an important role, both in solving for the Taylor coefficients at the
sonic point (see Lemma 2.2 below), but also in demonstrating certain crucial
invariances along the flow in Section 4.2.
###### Lemma 2.1.
Let $\gamma\in(1,\frac{4}{3})$ and consider the function $f_{1}(\omega)$ on
the domain $\omega\in(0,2-\gamma)$. On this domain, $f_{1}$ is uniformly
convex with a global minimum at
$\omega_{*}=\sqrt{\frac{(\gamma-1)(2-\gamma)}{2}}.$ (2.29)
For $\gamma\in(1,\frac{10}{9})$, the inequality
$\omega_{*}<\frac{4-3\gamma}{3}$ holds while the inequality is reversed if
$\gamma\in(\frac{10}{9},\frac{4}{3})$ and equality holds at
$\gamma=\frac{10}{9}$.
In particular, $f_{1}^{\prime}(\omega_{*})=0$ and, if
$\gamma\in(1,\frac{10}{9}]$, we have $f_{1}^{\prime}(\omega)\geq 0$ for all
$\omega\in[\frac{4-3\gamma}{3},2-\gamma]$ (with strict inequality if at least
one of $\gamma<\frac{10}{9}$ or $\omega>\frac{4-3\gamma}{3}$ holds). If
$\gamma\in(\frac{10}{9},\frac{4}{3})$, then for
$\omega\in[\frac{4-3\gamma}{3},\omega_{*})$, $f_{1}^{\prime}(\omega)<0$ and
for $\omega\in(\omega_{*},2-\gamma]$, $f_{1}^{\prime}(\omega)>0$.
The proof is by a simple, direct calculation, and so we omit it.
Figure 3: $\\{h(\rho,\omega)=0\\}$ level sets for $\gamma=1.08$,
$\frac{10}{9}$, and $1.2$
Figure 3 plots the level set $h(\rho,\omega)=0$ in the $(\rho,\omega)$ plane
for the cases $\gamma=1.08,\frac{10}{9},1.2$ and
$\omega\in\big{[}\frac{4-3\gamma}{3},2-\gamma\big{]}$. The minimum for
$\gamma\geq\frac{10}{9}$ occurs at
$\omega=\sqrt{\frac{(\gamma-1)(2-\gamma)}{2}}\geq\frac{4-3\gamma}{3}$ as
stated in Lemma 2.1.
###### Lemma 2.2.
For any $y_{*}\in[y_{f},y_{F}]$, where $y_{f}$, $y_{F}$ are defined as in
(1.24), there exists a unique pair $(\rho_{0}(y_{*}),\omega_{0}(y_{*}))$ with
$\rho_{0}(y_{*})\geq\underline{\rho}>0$, where $\bar{\rho}$ depends only on
$\gamma$, satisfying
$G(y_{*},\rho_{0},\omega_{0})=0,\quad h(\rho_{0},\omega_{0})=0.$ (2.30)
Moreover, the mapping $y_{*}\mapsto\omega_{0}(y_{*})$ is a strictly decreasing
function for $y_{*}\in[y_{f},y_{F}]$ with
$\omega_{0}(y_{f})=2-\gamma,\quad\omega_{0}(y_{F})=\frac{4-3\gamma}{3}.$
###### Proof.
We begin by recalling the definition of the function $f_{1}(\omega)$ from
(2.28) and define also a function $f_{2}(\omega;y_{*})$ so that
$\displaystyle f_{1}(\omega)=$
$\displaystyle\,\frac{4-3\gamma}{4\pi\omega}\big{(}2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma)\big{)},$
(2.31) $\displaystyle f_{2}(\omega;y_{*})=$
$\displaystyle\,\Big{(}\frac{y_{*}^{2}\omega^{2}}{\gamma}\Big{)}^{\frac{1}{\gamma-1}}.$
As discussed above, the constraint $h(\rho_{0},\omega_{0})=0$ is equivalent to
$\rho_{0}=f_{1}(\omega_{0})$, while we see easily that
$G(y_{*},\rho_{0},\omega_{0})=0$ if and only if
$\rho_{0}=f_{2}(\omega_{0};y_{*})$. So we seek $\omega_{0}(y_{*})$ such that
$f_{1}(\omega_{0}(y_{*}))=f_{2}(\omega_{0}(y_{*});y_{*})$. This value is then
defined to be $\rho_{0}(y_{*})$. We easily check that
$f_{1}(\frac{4-3\gamma}{3})=\frac{1}{6\pi},\quad
f_{1}(2-\gamma)=\frac{4-3\gamma}{2\pi}.$
Moreover, as $y_{f}$, $y_{F}$ are the sonic points corresponding to the far-
field and Friedman solutions, respectively, we also know that
$f_{2}(\frac{4-3\gamma}{3};y_{F})=f_{1}(\frac{4-3\gamma}{3})=\frac{1}{6\pi},\quad
f_{2}(2-\gamma;y_{f})=f_{1}(2-\gamma)=\frac{4-3\gamma}{2\pi}.$
Noting then that
$\partial_{\omega}f_{2}(\omega;y_{*}),\partial_{y_{*}}f_{2}(\omega;y_{*})>0,$
(2.32)
we see that for any $y_{*}\in(y_{f},y_{F})$, we have
$\displaystyle
f_{2}(\frac{4-3\gamma}{3};y_{*})<f_{2}(\frac{4-3\gamma}{3};y_{F})=\frac{1}{6\pi},\quad
f_{2}(2-\gamma;y_{*})>f_{2}(2-\gamma;y_{f})=\frac{4-3\gamma}{2\pi},$
and so
$\displaystyle(f_{2}(\cdot;y_{*})-f_{1})(\frac{4-3\gamma}{3})<0<(f_{2}(\cdot;y_{*})-f_{1})(2-\gamma).$
Thus, by the intermediate value theorem, we see that $\omega_{0}(y_{*})$
exists as required, and hence so does $\rho_{0}(y_{*})$. The uniqueness
follows from the following observations:
$\displaystyle f_{1}^{\prime}(\omega)=$
$\displaystyle\,-\frac{f_{1}(\omega)}{\omega}+\frac{4-3\gamma}{4\pi\omega}\big{(}4\omega+(\gamma-1)\big{)},$
$\displaystyle\partial_{\omega}f_{2}(\omega;y_{*})=$
$\displaystyle\,\frac{2\omega
y_{*}^{2}}{(\gamma-1)\gamma}\Big{(}\frac{y_{*}^{2}\omega^{2}}{\gamma}\Big{)}^{\frac{1}{\gamma-1}-1}=\frac{2f_{2}(\omega;y_{*})}{(\gamma-1)\omega}.$
Thus at any point $\omega>0$ such that $f_{2}(\omega;y_{*})\geq
f_{1}(\omega)$, we have
$\displaystyle\partial_{\omega}\big{(}f_{2}(\omega;y_{*})-f_{1}(\omega)\big{)}=$
$\displaystyle\,\frac{2f_{2}(\omega;y_{*})}{(\gamma-1)\omega}+\frac{f_{1}(\omega)}{\omega}-\frac{4-3\gamma}{4\pi\omega}\big{(}4\omega+(\gamma-1)\big{)}$
$\displaystyle\geq$
$\displaystyle\,\frac{1}{\omega}\Big{(}\frac{\gamma+1}{\gamma-1}f_{1}(\omega)-\frac{4-3\gamma}{4\pi}\big{(}4\omega+(\gamma-1)\big{)}\Big{)}$
$\displaystyle\geq$
$\displaystyle\,\frac{4-3\gamma}{4\pi\omega}\Big{(}\frac{\gamma+1}{\gamma-1}\big{(}2\omega+(\gamma-1)+\frac{(\gamma-1)(2-\gamma)}{\omega}\big{)}-\big{(}4\omega+(\gamma-1)\big{)}\Big{)}$
$\displaystyle\geq$
$\displaystyle\,\frac{4-3\gamma}{4\pi\omega}\Big{(}2\omega\frac{3-\gamma}{\gamma-1}+2+\frac{(\gamma+1)(2-\gamma)}{\omega}\Big{)}>0$
and so the uniqueness follows easily. The monotonicity properties of
$y_{*}\mapsto\omega_{0}(y_{*})$ then follow directly from (2.32) and
$f_{2,\omega}(\omega_{0}(y_{*}),y_{*})-f_{1,\omega}(\omega_{0}(y_{*}))>0$ as
$\displaystyle 0=$
$\displaystyle\,\frac{\operatorname{d}\\!}{\operatorname{d}\\!{y_{*}}}(f_{2}(\omega_{0}(y_{*});y_{*})-f_{1}(\omega_{0}(y_{*}))$
$\displaystyle=$
$\displaystyle\,\big{(}f_{2,\omega}(\omega_{0}(y_{*}),y_{*})-f_{1,\omega}(\omega_{0}(y_{*}))\big{)}\omega_{0}^{\prime}(y_{*})+f_{2,y_{*}}(\omega_{0}(y_{*}),y_{*}).$
To find the uniform lower bound $\rho_{0}\geq\underline{\rho}>0$, we note that
$\rho_{0}=f_{1}(\omega_{0})$. As
$\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma]$, we easily obtain
$f_{1}(\omega_{0})\geq\frac{(4-3\gamma)(\gamma-1)}{4\pi}>0$ as required. ∎
We seek a local solution around a sonic point $y_{*}\in[y_{f},y_{F}]$ via a
Taylor expansion. To that end, we now derive the necessary relations for the
coefficients of the expansion. Suppose we have an analytic solution of system
(1.13). Then, after rearranging, we have
$\displaystyle\big{(}\gamma\rho^{\gamma-1}-y^{2}\omega^{2}\big{)}\rho^{\prime}=$
$\displaystyle\,{(\gamma-1)y\rho(\omega+2-\gamma)}-{2y\rho\omega}\big{(}\frac{2\pi\rho}{4-3\gamma}-\omega\big{)},$
(2.33)
$\displaystyle\big{(}\gamma\rho^{\gamma-1}-y^{2}\omega^{2}\big{)}\omega^{\prime}=$
$\displaystyle\,\frac{4-3\gamma-3\omega}{y}\big{(}\gamma\rho^{\gamma-1}-y^{2}\omega^{2}\big{)}-{(\gamma-1)y\omega(\omega+2-\gamma)}+{2y\omega^{2}}\big{(}\frac{2\pi\rho}{4-3\gamma}-\omega\big{)}.$
(2.34)
We write the formal power series
$\rho(y)=\sum_{N=0}^{\infty}\rho_{N}(y-y_{*})^{N},\quad\omega(y)=\sum_{N=0}^{\infty}\omega_{N}(y-y_{*})^{N}.$
(2.35)
By Lemma 2.2, we see that a choice of $y_{*}\in[y_{f},y_{F}]$ defines a unique
pair of values $(\rho_{0},\omega_{0})$ for the Taylor series. We define the
obvious notation
$\displaystyle(\omega^{2})_{N}=\sum_{k+j=N}\omega_{k}\omega_{j},$
$\displaystyle\quad(\rho\omega)_{N}=\sum_{k+j=N}\rho_{k}\omega_{j},$ (2.36)
$\displaystyle(\rho^{2}\omega)_{N}=\sum_{k+j+l=N}\rho_{k}\rho_{j}\omega_{l},$
$\displaystyle\quad(\rho\omega^{2})_{N}=\sum_{k+j+l=N}\rho_{k}\omega_{j}\omega_{l}.$
We recall the Faà di Bruno formula for the $N$-th derivative of a composition,
$\frac{\operatorname{d}\\!^{N}}{\operatorname{d}\\!y^{N}}\big{(}f(g(y))\big{)}=\sum_{(\lambda_{1},\ldots,\lambda_{N})\in
M_{N}}\frac{N!}{\lambda_{1}!\cdots\lambda_{N}!}f^{(\lambda_{1}+\cdots+\lambda_{N})}(g(y))\prod_{j=1}^{N}\Big{(}\frac{g^{(j)}(y)}{j!}\Big{)}^{\lambda_{j}},$
(2.37)
where
$M_{N}=\\{(\lambda_{1},\ldots,\lambda_{N})\in(\mathbb{Z}_{\geq
0})^{N}\,|\,\sum_{j=1}^{N}j\lambda_{j}=N\\}.$
Taking $f(x)=x^{\gamma-1}$, $g(y)=\rho(y)$ in this formula, we therefore
obtain
$\displaystyle\frac{\frac{\operatorname{d}\\!^{N}}{\operatorname{d}\\!y^{N}}\big{(}\rho^{\gamma-1}(y)\big{)}\big{|}_{y=y_{*}}}{N!}$
(2.38) $\displaystyle=\sum_{(\lambda_{1},\ldots,\lambda_{N})\in
M_{N}}\frac{(\gamma-1)\cdots(\gamma-(\lambda_{1}+\cdots+\lambda_{N}))\rho_{0}^{\gamma-(\lambda_{1}+\cdots+\lambda_{N})-1}}{\lambda_{1}!\cdots\lambda_{N}!}\prod_{j=1}^{N}\rho_{j}^{\lambda_{j}}=:P_{N}$
and thus we have the power series
$\displaystyle\rho^{\gamma-1}=$
$\displaystyle\,\sum_{N=0}^{\infty}\frac{\frac{\operatorname{d}\\!^{N}}{\operatorname{d}\\!y^{N}}\big{(}\rho^{\gamma-1}(y)\big{)}\big{|}_{y=y_{*}}}{N!}(y-y_{*})^{N}=\sum_{N=0}^{\infty}P_{N}(y-y_{*})^{N}.$
(2.39)
Throughout this section, for $N<0$, we set coefficients $\rho_{N},\omega_{N}$
etc to be zero.
###### Lemma 2.3.
For each $N\geq 1$, the power series coefficients satisfy the relations
$\displaystyle\sum_{k+j=N}$ $\displaystyle(k+1)\rho_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle=$
$\displaystyle(\gamma-1)(2-\gamma)\big{(}y_{*}\rho_{N}+\rho_{N-1}\big{)}+(\gamma-1)\big{(}y_{*}(\rho\omega)_{N}+(\rho\omega)_{N-1}\big{)}$
(2.40)
$\displaystyle-\frac{4\pi}{4-3\gamma}\big{(}y_{*}(\rho^{2}\omega)_{N}+(\rho^{2}\omega)_{N-1}\big{)}+2\big{(}y_{*}(\rho\omega^{2})_{N}+(\rho\omega^{2})_{N-1}\big{)},$
$\displaystyle\sum_{k+j=N}$ $\displaystyle(k+1)\omega_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle=$
$\displaystyle\frac{4-3\gamma}{y_{*}}\sum_{k+j=N}\frac{(-1)^{k}}{y_{*}^{k}}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
(2.41)
$\displaystyle-\frac{3}{y_{*}}\sum_{k+j+l=N}\omega_{l}\frac{(-1)^{k}}{y_{*}^{k}}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle-(\gamma-1)(2-\gamma)\big{(}y_{*}\omega_{N}+\omega_{N-1}\big{)}-(\gamma-1)\big{(}y_{*}(\omega^{2})_{N}+(\omega^{2})_{N-1}\big{)}$
$\displaystyle+\frac{4\pi}{4-3\gamma}\big{(}y_{*}(\rho\omega^{2})_{N}+(\rho\omega^{2})_{N-1}\big{)}-2\big{(}y_{*}(\omega^{3})_{N}+(\omega^{3})_{N-1}\big{)}.$
###### Proof.
We begin the proof by noting the identities, for a general power series,
$\displaystyle y\sum_{N=0}^{\infty}b_{N}(y-y_{*})^{N}=$
$\displaystyle\,\sum_{N=0}^{\infty}\big{(}y_{*}b_{N}+b_{N-1}\big{)}(y-y_{*})^{N},$
$\displaystyle y^{2}\sum_{N=0}^{\infty}b_{N}(y-y_{*})^{N}=$
$\displaystyle\,\sum_{N=0}^{\infty}\big{(}y_{*}^{2}b_{N}+2y_{*}b_{N-1}+b_{N-2}\big{)}(y-y_{*})^{N},$
where we define $b_{N}=0$ for any $N<0$.
Step 1: Derive (2.40).
We begin by substituting the power series into (2.33). The left hand side of
this equation then becomes
$\displaystyle\Big{(}\sum_{N=0}^{\infty}\big{(}\gamma
P_{N}-y_{*}^{2}(\omega^{2})_{N}-2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}(y-y_{*})^{N}\Big{)}\Big{(}\sum_{N=0}^{\infty}(N+1)\rho_{N+1}(y-y_{*})^{N}\Big{)}$
(2.42)
$\displaystyle=\sum_{N=0}^{\infty}\Big{(}\sum_{k+j=N}(k+1)\rho_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}\Big{)}(y-y_{*})^{N}.$
The right hand side of (2.33) becomes
$\displaystyle(\gamma-1)\sum_{N=0}^{\infty}\Big{(}(2-\gamma)\big{(}y_{*}\rho_{N}+\rho_{N-1}\big{)}+y_{*}(\rho\omega)_{N}+(\rho\omega)_{N-1}\Big{)}(y-y_{*})^{N}$
(2.43)
$\displaystyle-2\sum_{N=0}^{\infty}\Big{(}\frac{2\pi}{4-3\gamma}\big{(}y_{*}(\rho^{2}\omega)_{N}+(\rho^{2}\omega)_{N-1}\big{)}-\big{(}y_{*}(\rho\omega^{2})_{N}+(\rho\omega^{2})_{N-1}\big{)}\Big{)}(y-y_{*})^{N}.$
Equating the $N$-th order terms of (LABEL:eq:powerseries1) and
(LABEL:eq:powerseries2), we have the claimed relation (2.40), that is, for all
$N\in\mathbb{N}\cup\\{0\\}$,
$\displaystyle\sum_{k+j=N}$ $\displaystyle(k+1)\rho_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
(2.44) $\displaystyle=$
$\displaystyle(\gamma-1)(2-\gamma)\big{(}y_{*}\rho_{N}+\rho_{N-1}\big{)}+(\gamma-1)\big{(}y_{*}(\rho\omega)_{N}+(\rho\omega)_{N-1}\big{)}$
$\displaystyle-\frac{4\pi}{4-3\gamma}\big{(}y_{*}(\rho^{2}\omega)_{N}+(\rho^{2}\omega)_{N-1}\big{)}+2\big{(}y_{*}(\rho\omega^{2})_{N}+(\rho\omega^{2})_{N-1}\big{)}.$
Step 2: Derive (2.41).
To prove from (2.34), we begin by expanding the term
$\frac{4-3\gamma-3\omega}{y}\big{(}\gamma\rho^{\gamma-1}-y^{2}\omega^{2}\big{)}$
by noting first that
$\frac{1}{y}=\frac{1}{y_{*}}\sum_{N=0}^{\infty}\frac{(-1)^{N}}{y_{*}^{N}}(y-y_{*})^{N}.$
Then we find
$\displaystyle\frac{4-3\gamma-3\omega}{y}$
$\displaystyle\big{(}\gamma\rho^{\gamma-1}-y^{2}\omega^{2}\big{)}$
$\displaystyle=$
$\displaystyle\Big{(}\frac{1}{y_{*}}\sum_{N=0}^{\infty}\frac{(-1)^{N}}{y_{*}^{N}}(y-y_{*})^{N}\Big{)}\Big{(}4-3\gamma-3\sum_{N=0}^{\infty}\omega_{N}(y-y_{*})^{N}\Big{)}$
$\displaystyle\times\Big{(}\sum_{N=0}^{\infty}\big{(}\gamma
P_{N}-y_{*}^{2}(\omega^{2})_{N}-2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}(y-y_{*})^{N}\Big{)}$
$\displaystyle=$
$\displaystyle\frac{4-3\gamma}{y_{*}}\sum_{N=0}^{\infty}\Big{(}\sum_{k+j=N}\frac{(-1)^{k}}{y_{*}^{k}}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}\Big{)}(y-y_{*})^{N}$
$\displaystyle-\frac{3}{y_{*}}\sum_{N=0}^{\infty}\Big{(}\sum_{k+j+l=N}\omega_{l}\frac{(-1)^{k}}{y_{*}^{k}}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}\Big{)}(y-y_{*})^{N}.$
Thus, expanding (2.34) and equating terms of the same order, we find
$\displaystyle\sum_{k+j=N}$ $\displaystyle(k+1)\omega_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
(2.45) $\displaystyle=$
$\displaystyle\frac{4-3\gamma}{y_{*}}\sum_{k+j=N}\frac{(-1)^{k}}{y_{*}^{k}}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle-\frac{3}{y_{*}}\sum_{k+j+l=N}\omega_{l}\frac{(-1)^{k}}{y_{*}^{k}}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle-(\gamma-1)(2-\gamma)\big{(}y_{*}\omega_{N}+\omega_{N-1}\big{)}-(\gamma-1)\big{(}y_{*}(\omega^{2})_{N}+(\omega^{2})_{N-1}\big{)}$
$\displaystyle+\frac{4\pi}{4-3\gamma}\big{(}y_{*}(\rho\omega^{2})_{N}+(\rho\omega^{2})_{N-1}\big{)}-2\big{(}y_{*}(\omega^{3})_{N}+(\omega^{3})_{N-1}\big{)}.$
This is (2.41). ∎
Before studying the solvability of this system for the higher order
coefficients, we first collect a pair of identities satisfied by the first
order coefficients, $(\rho_{1},\omega_{1}$).
###### Lemma 2.4 (First order Taylor coefficients).
Let $\gamma\in(1,\frac{4}{3})$ and consider the formal Taylor expansion
(2.35). Let
$R:=\frac{y_{*}\rho_{1}}{\rho_{0}}\text{ and }\ W:=y_{*}\omega_{1}.$ (2.46)
Then the pair $(R,W)$ satisfies the following system of algebraic equations:
$\displaystyle(\gamma-1)\omega_{0}^{2}R^{2}-2\omega_{0}WR+(\gamma-1)(\omega_{0}+2-\gamma)R-2\omega_{0}W+(\gamma-1)(2-\gamma)\frac{W}{\omega_{0}}=0,$
(2.47) $\displaystyle
2\omega_{0}W^{2}-(\gamma-1)\omega_{0}^{2}RW+W\big{(}-2(4-3\gamma-3\omega_{0})\omega_{0}+(\gamma-1)(2-\gamma)\big{)}$
$\displaystyle+\big{(}(5-3\gamma)\omega_{0}^{2}+(5-3\gamma)(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\omega_{0}R-2(4-3\gamma-3\omega_{0})\omega_{0}^{2}=0,$
(2.48)
with the additional constraint
$R\omega_{0}+W=4-3\gamma-3\omega_{0}.$ (2.49)
###### Proof.
In the case $N=1$, we note that $P_{1}=(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}$,
$(\omega^{2})_{1}=2\omega_{1}\omega_{0}$ etc to find from (2.40)
$\displaystyle\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}^{2}-2y_{*}^{2}\rho_{1}\omega_{1}\omega_{0}-2y_{*}\rho_{1}\omega_{0}^{2}$
(2.50)
$\displaystyle=(\gamma-1)(2-\gamma)(y_{*}\rho_{1}+\rho_{0})+(\gamma-1)(y_{*}\rho_{0}\omega_{1}+y_{*}\rho_{1}\omega_{0}+\rho_{0}\omega_{0})$
$\displaystyle-\frac{4\pi}{4-3\gamma}(y_{*}\rho_{0}^{2}\omega_{1}+2y_{*}\rho_{0}\omega_{0}\rho_{1}+\rho_{0}^{2}\omega_{0})+2(y_{*}\rho_{1}\omega_{0}^{2}+2y_{*}\rho_{0}\omega_{0}\omega_{1}+\rho_{0}\omega_{0}^{2})$
$\displaystyle=(\gamma-1)y_{*}\rho_{0}\omega_{1}-\frac{4\pi}{4-3\gamma}(y_{*}\rho_{0}^{2}\omega_{1}+y_{*}\rho_{0}\omega_{0}\rho_{1})+4y_{*}\rho_{0}\omega_{0}\omega_{1},$
where we have used (2.27) twice.
From (2.41) we get
$\displaystyle\omega_{1}$
$\displaystyle\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}-2y_{*}^{2}\omega_{1}^{2}\omega_{0}-2y_{*}\omega_{1}\omega_{0}^{2}$
(2.51) $\displaystyle=$
$\displaystyle\,\frac{4-3\gamma-3\omega_{0}}{y_{*}}\big{(}\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}-2y_{*}^{2}\omega_{1}\omega_{0}-2y_{*}\omega_{0}^{2}\big{)}-(\gamma-1)(2-\gamma)(y_{*}\omega_{1}+\omega_{0})$
$\displaystyle-(\gamma-1)(2y_{*}\omega_{0}\omega_{1}+\omega_{0}^{2})+\frac{4\pi}{4-3\gamma}(y_{*}\omega_{0}^{2}\rho_{1}+2y_{*}\rho_{0}\omega_{0}\omega_{1}+\rho_{0}\omega_{0}^{2})-2(3y_{*}\omega_{0}^{2}\omega_{1}+\omega_{0}^{3})$
$\displaystyle=$
$\displaystyle\,\frac{4-3\gamma-3\omega_{0}}{y_{*}}\big{(}\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}-2y_{*}^{2}\omega_{1}\omega_{0}-2y_{*}\omega_{0}^{2}\big{)}+\frac{4\pi}{4-3\gamma}y_{*}\rho_{1}\omega_{0}^{2}-2y_{*}\omega_{0}^{2}\omega_{1}$
$\displaystyle+(\gamma-1)(2-\gamma)y_{*}\omega_{1},$
where we have used (2.27) again. Rearranging (LABEL:eq:rho1quad1), we can use
(2.27) further to write
$\displaystyle 0=$
$\displaystyle\,\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}^{2}-2y_{*}^{2}\omega_{0}\omega_{1}\rho_{1}-2y_{*}\omega_{0}^{2}\rho_{1}-(\gamma-1)y_{*}\rho_{0}\omega_{1}$
$\displaystyle+\frac{4\pi}{4-3\gamma}y_{*}\rho_{0}^{2}\omega_{1}+\frac{4\pi}{4-3\gamma}y_{*}\rho_{0}\omega_{0}\rho_{1}-4y_{*}\rho_{0}\omega_{0}\omega_{1}$
$\displaystyle=$
$\displaystyle\,\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}^{2}-2y_{*}^{2}\omega_{0}\omega_{1}\rho_{1}+(\gamma-1)(\omega_{0}+2-\gamma)y_{*}\rho_{1}-2y_{*}\rho_{0}\omega_{0}\omega_{1}$
$\displaystyle+(\gamma-1)(2-\gamma)y_{*}\frac{\rho_{0}}{\omega_{0}}\omega_{1}.$
Thus, using also the sonic condition to replace
$\gamma\rho_{0}^{\gamma-2}=\frac{y_{*}^{2}\omega_{0}^{2}}{\rho_{0}}$ and
dividing through by $\rho_{0}$, we recall the definitions of $R$, $W$ and
arrive at
$(\gamma-1)\omega_{0}^{2}R^{2}-2\omega_{0}RW+(\gamma-1)(\omega_{0}+2-\gamma)R-2\omega_{0}W+(\gamma-1)(2-\gamma)\frac{W}{\omega_{0}}=0,$
(2.52)
that is, we have (2.47).
Working now from (2.51), we rearrange to find
$\displaystyle 0=$
$\displaystyle\,2\omega_{0}W^{2}-(\gamma-1)\omega_{0}^{2}RW+2\omega_{0}^{2}W+(4-3\gamma-3\omega_{0})\big{(}(\gamma-1)\omega_{0}^{2}R-2\omega_{0}W-2\omega_{0}^{2}\big{)}$
$\displaystyle+\frac{4\pi}{4-3\gamma}\rho_{0}\omega_{0}^{2}R-2\omega_{0}^{2}W+(\gamma-1)(2-\gamma)W$
$\displaystyle=$
$\displaystyle\,2\omega_{0}W^{2}+W\big{(}-(\gamma-1)\omega_{0}^{2}R-2(4-3\gamma-3\omega_{0})\omega_{0}+(\gamma-1)(2-\gamma)\big{)}$
$\displaystyle+(4-3\gamma-3\omega_{0})(\gamma-1)\omega_{0}^{2}R+\omega_{0}\big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}R$
$\displaystyle-2(4-3\gamma-3\omega_{0})\omega_{0}^{2},$
which is exactly (2.48).
To show (2.49), we work from (1.21). Multiplying the first equation by $\rho$,
the second by $\omega$ and summing, we obtain
$(\rho\omega)^{\prime}=\frac{4-3\gamma-3\omega}{y}\rho.$
Substituting in the formal Taylor expansion and grouping the terms at order
zero, we find
$\rho_{1}\omega_{0}+\rho_{0}\omega_{1}=\frac{4-3\gamma-3\omega_{0}}{y_{*}}\rho_{0}.$
Multiplying through by $\frac{y_{*}}{\rho_{0}}$ and recalling (2.46) we arrive
at (2.49). ∎
###### Remark 2.5.
The coefficients of the quadratics in (2.47)–(2.48) depend only on $\gamma$
and on $\omega_{0}$ (hence also on $y_{*}$).
Our next lemma establishes the key recursive relation that will allow us to
compute the $N$-th order Taylor coefficients in terms of
$(\rho_{k},\omega_{k})$, $0\leq k\leq N-1$.
###### Lemma 2.6.
Let $N\geq 2$ and define the matrix $\mathcal{A}_{N}$ by
$\mathcal{A}_{N}=\begin{pmatrix}\mathcal{A}^{N}_{11}&\mathcal{A}^{N}_{12}\\\
\mathcal{A}^{N}_{21}&\mathcal{A}^{N}_{22},\end{pmatrix},$ (2.53)
where the matrix coefficients $\mathcal{A}^{N}_{ij}$, $i,j\in\\{1,2\\}$ depend
on $N$, $\gamma$, $\omega_{0}$, $\rho_{1}$ and $\omega_{1}$ and are given
explicitly by (2.67)–(2.70) below. Then the coefficients
$(\rho_{N},\omega_{N})$ in the formal series expansion (2.35) satisfy the
algebraic equation
$\mathcal{A}_{N}\begin{pmatrix}\rho_{N}\\\
\omega_{N}\end{pmatrix}=\begin{pmatrix}\mathcal{F}_{N}\\\
\mathcal{G}_{N}\end{pmatrix},$ (2.54)
where the polynomials $\mathcal{F}_{N}$ and $\mathcal{G}_{N}$ are given by
(2.58) and (2.65) below.
###### Proof.
We begin from (2.40) and group the terms on the left hand side as follows.
$\displaystyle N\rho_{N}\big{(}\gamma
P_{1}-2y_{*}^{2}\omega_{1}\omega_{0}-2y_{*}\omega_{0}^{2}\big{)}+\rho_{1}\big{(}\gamma
P_{N}-2y_{*}^{2}\omega_{N}\omega_{0}\big{)}-\rho_{1}y_{*}^{2}\sum_{\begin{subarray}{c}j+k=N,\\\
j,k\neq N\end{subarray}}\omega_{j}\omega_{k}$ (2.55)
$\displaystyle-\rho_{1}\big{(}2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}+\sum_{\begin{subarray}{c}k+j=N\\\
j\neq 0,1,N\end{subarray}}(k+1)\rho_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle=\rho_{N}\Big{(}N\big{(}\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}-2y_{*}^{2}\omega_{1}\omega_{0}-2y_{*}\omega_{0}^{2}\big{)}+\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}\Big{)}-2y_{*}^{2}\rho_{1}\omega_{0}\omega_{N}$
$\displaystyle\quad+\mathcal{F}_{N}^{I},$
where
$\displaystyle\mathcal{F}_{N}^{I}=$
$\displaystyle-\rho_{1}y_{*}^{2}\sum_{\begin{subarray}{c}j+k=N,\\\ j,k\neq
N\end{subarray}}\omega_{j}\omega_{k}-\rho_{1}\big{(}2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}$
$\displaystyle+\sum_{\begin{subarray}{c}k+j=N\\\ j\neq
0,1,N\end{subarray}}(k+1)\rho_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle+\gamma\rho_{1}\sum_{\begin{subarray}{c}(\lambda_{1},\ldots,\lambda_{N})\in
M_{N}\\\
\lambda_{N}=0\end{subarray}}\frac{(\gamma-1)\cdots(\gamma-(\lambda_{1}+\cdots+\lambda_{N}))\rho_{0}^{\gamma-1-(\lambda_{1}+\cdots+\lambda_{N})}}{\lambda_{1}!\cdots\lambda_{N}!}\prod_{j=1}^{N}\rho_{j}^{\lambda_{j}},$
and we have applied the definition of $P_{j}$ to isolate the term with a
$\rho_{N}$ contribution as
$\displaystyle P_{N}=$
$\displaystyle\,(\gamma-1)\rho_{0}^{\gamma-2}\rho_{N}+\sum_{\begin{subarray}{c}(\lambda_{1},\ldots,\lambda_{N})\in
M_{N}\\\
\lambda_{N}=0\end{subarray}}\frac{(\gamma-1)\cdots(\gamma-(\lambda_{1}+\cdots+\lambda_{N}))\rho_{0}^{\gamma-1-(\lambda_{1}+\cdots+\lambda_{N})}}{\lambda_{1}!\cdots\lambda_{N}!}\prod_{j=1}^{N}\rho_{j}^{\lambda_{j}}$
and also recalled
$P_{1}=(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}.$
Studying the right hand side of (2.40), we find expand to isolate terms at
order $N$ and then apply (2.27) to eliminate terms with factors of
$\frac{4\pi}{4-3\gamma}$ as follows:
$\displaystyle(\gamma-1)(2-\gamma)y_{*}\rho_{N}+(\gamma-1)y_{*}\rho_{N}\omega_{0}+(\gamma-1)y_{*}\rho_{0}\omega_{N}-2\frac{4\pi}{4-3\gamma}y_{*}\rho_{N}\omega_{0}\rho_{0}-\frac{4\pi}{4-3\gamma}y_{*}\rho_{0}^{2}\omega_{N}$
(2.56)
$\displaystyle+2y_{*}\rho_{N}\omega_{0}^{2}+4y_{*}\rho_{0}\omega_{0}\omega_{N}+(\gamma-1)(2-\gamma)\rho_{N-1}+(\gamma-1)\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j=N\\\
k\neq 0,N\end{subarray}}\rho_{k}\omega_{j}+(\rho\omega)_{N-1}\Big{)}$
$\displaystyle-\frac{4\pi}{4-3\gamma}\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\
k,j,l\neq
N\end{subarray}}\rho_{k}\rho_{j}\omega_{l}+(\rho^{2}\omega)_{N-1}\Big{)}+2\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\
k,j,l\neq
N\end{subarray}}\rho_{k}\omega_{j}\omega_{l}+(\rho\omega^{2})_{N-1}\Big{)}$
$\displaystyle=-\big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}y_{*}\rho_{N}+2y_{*}\rho_{0}\omega_{0}\omega_{N}-(\gamma-1)(2-\gamma)y_{*}\frac{\rho_{0}}{\omega_{0}}\omega_{N}+\mathcal{F}_{N}^{II},$
where
$\displaystyle\mathcal{F}_{N}^{II}=$
$\displaystyle\,(\gamma-1)(2-\gamma)\rho_{N-1}+(\gamma-1)\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j=N\\\
k\neq 0,N\end{subarray}}\rho_{k}\omega_{j}+(\rho\omega)_{N-1}\Big{)}$
$\displaystyle-\frac{4\pi}{4-3\gamma}\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\
k,j,l\neq
N\end{subarray}}\rho_{k}\rho_{j}\omega_{l}+(\rho^{2}\omega)_{N-1}\Big{)}+2\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\
k,j,l\neq
N\end{subarray}}\rho_{k}\omega_{j}\omega_{l}+(\rho\omega^{2})_{N-1}\Big{)},$
where we have applied (2.27). Thus, as (LABEL:eq:rhoNeq1) is equal to
(LABEL:eq:rhoNeq2), we rearrange to arrive at
$\displaystyle\rho_{N}\Big{(}N\big{(}\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}-2y_{*}^{2}\omega_{1}\omega_{0}-2y_{*}\omega_{0}^{2}\big{)}$
$\displaystyle\qquad+\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}+y_{*}\big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle+\omega_{N}\Big{(}-2y_{*}^{2}\rho_{1}\omega_{0}-2y_{*}\rho_{0}\omega_{0}+(\gamma-1)(2-\gamma)y_{*}\frac{\rho_{0}}{\omega_{0}}\Big{)}$
$\displaystyle=\mathcal{F}_{N}^{II}-\mathcal{F}_{N}^{I}$ (2.57)
$\displaystyle=:\mathcal{F}_{N}(\rho_{0},\ldots,\rho_{N-1},\omega_{0},\ldots,\omega_{N-1})$
(2.58)
Thus we have found
$\displaystyle\rho_{N}\Big{(}(N+1)(\gamma-1)\frac{y_{*}^{2}\omega_{0}^{2}}{\rho_{0}}\rho_{1}-2Ny_{*}^{2}\omega_{1}\omega_{0}-2(N-1)y_{*}\omega_{0}^{2}+y_{*}\big{(}(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
(2.59)
$\displaystyle+\omega_{N}\Big{(}-2y_{*}^{2}\rho_{1}\omega_{0}-2y_{*}\rho_{0}\omega_{0}+(\gamma-1)(2-\gamma)y_{*}\frac{\rho_{0}}{\omega_{0}}\Big{)}$
$\displaystyle=\mathcal{F}_{N}.$
Considering now (2.41), we expand the left hand side as above as
$\displaystyle N\omega_{N}\big{(}\gamma
P_{1}-2y_{*}^{2}\omega_{1}\omega_{0}-2y_{*}\omega_{0}^{2}\big{)}+\omega_{1}\big{(}\gamma
P_{N}-2y_{*}^{2}\omega_{N}\omega_{0}\big{)}-\omega_{1}y_{*}^{2}\sum_{\begin{subarray}{c}j+k=N,\\\
j,k\neq N\end{subarray}}\omega_{j}\omega_{k}$ (2.60)
$\displaystyle-\omega_{1}\big{(}2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}+\sum_{\begin{subarray}{c}k+j=N\\\
j\neq 0,1,N\end{subarray}}(k+1)\omega_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle=\omega_{N}\Big{(}N\big{(}\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}-2y_{*}^{2}\omega_{1}\omega_{0}-2y_{*}\omega_{0}^{2}\big{)}-2y_{*}^{2}\omega_{1}\omega_{0}\Big{)}+\rho_{N}\gamma(\gamma-1)\rho_{0}^{\gamma-2}\omega_{1}$
$\displaystyle\quad+\mathcal{G}_{N}^{I},$
where
$\displaystyle\mathcal{G}_{N}^{I}=-\omega_{1}\big{(}2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}-\omega_{1}y_{*}^{2}\sum_{\begin{subarray}{c}j+k=N,\\\
j,k\neq N\end{subarray}}\omega_{j}\omega_{k}$ (2.61)
$\displaystyle+\sum_{\begin{subarray}{c}k+j=N\\\ j\neq
0,1,N\end{subarray}}(k+1)\omega_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle+\gamma\omega_{1}\sum_{\begin{subarray}{c}(\lambda_{1},\ldots,\lambda_{N})\in
M_{N}\\\
\lambda_{N}=0\end{subarray}}\frac{(\gamma-1)\cdots(\gamma-(\lambda_{1}+\cdots+\lambda_{N}))\rho_{0}^{\gamma-1-(\lambda_{1}+\cdots+\lambda_{N})}}{\lambda_{1}!\cdots\lambda_{N}!}\prod_{j=1}^{N}\rho_{j}^{\lambda_{j}},$
where we have applied the definition of $P_{j}$ to isolate the term with a
$\rho_{N}$ contribution.
Working with the right hand side of (2.41), we have
$\displaystyle\frac{4-3\gamma-3\omega_{0}}{y_{*}}\big{(}\gamma
P_{N}-y_{*}^{2}(\omega^{2})_{N}\big{)}-(\gamma-1)(2-\gamma)y_{*}\omega_{N}-2(\gamma-1)y_{*}\omega_{N}\omega_{0}$
(2.62)
$\displaystyle+\frac{4\pi}{4-3\gamma}y_{*}\rho_{N}\omega_{0}^{2}+2\frac{4\pi}{4-3\gamma}y_{*}\rho_{0}\omega_{0}\omega_{N}-6y_{*}\omega_{0}^{2}\omega_{N}$
$\displaystyle+\widetilde{\mathcal{G}}_{N}^{II},$
where
$\displaystyle\widetilde{\mathcal{G}}_{N}^{II}=\frac{4-3\gamma-3\omega_{0}}{y_{*}}\big{(}-2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}$
(2.63) $\displaystyle+\frac{4-3\gamma}{y_{*}}\sum_{\begin{subarray}{c}k+j=N\\\
j\neq N\end{subarray}}\frac{(-1)^{k}}{y_{*}^{k}}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle-\frac{3}{y_{*}}\sum_{\begin{subarray}{c}k+j+l=N\\\ j\neq
N\end{subarray}}\omega_{l}\frac{(-1)^{k}}{y_{*}^{k}}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}-(\gamma-1)(2-\gamma)\omega_{N-1}$
$\displaystyle-(\gamma-1)\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j=N\\\ k\neq
0,N\end{subarray}}\omega_{k}\omega_{j}+(\omega^{2})_{N-1}\Big{)}+\frac{4\pi}{4-3\gamma}\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\
k,j,l\neq
N\end{subarray}}(\rho_{k}\omega_{j}\omega_{l})+(\rho\omega^{2})_{N-1}\Big{)}$
$\displaystyle-2\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\ k,j,l\neq
N\end{subarray}}(\omega_{k}\omega_{j}\omega_{l})+(\omega^{3})_{N-1}\Big{)}.$
Grouping the terms on the first two lines here, we again expand $P_{N}$ to
find the contribution
$\displaystyle\frac{4-3\gamma-3\omega_{0}}{y_{*}}\big{(}\rho_{N}\gamma(\gamma-1)\rho_{0}^{\gamma-2}-2y_{*}^{2}\omega_{0}\omega_{N}\big{)}+(\gamma-1)(2-\gamma)y_{*}\omega_{N}-2y_{*}\omega_{0}^{2}\omega_{N}$
(2.64)
$\displaystyle+\frac{\omega_{0}}{\rho_{0}}\big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}y_{*}\rho_{N}-\frac{4-3\gamma-3\omega_{0}}{y_{*}}y_{*}^{2}\sum_{\begin{subarray}{c}j+k=N\\\
j,k\neq N\end{subarray}}\omega_{j}\omega_{k}$
$\displaystyle+\frac{4-3\gamma-3\omega_{0}}{y_{*}}\gamma\sum_{\begin{subarray}{c}(\lambda_{1},\ldots,\lambda_{N})\in
M_{N}\\\
\lambda_{N}=0\end{subarray}}\frac{(\gamma-1)\cdots(\gamma-(\lambda_{1}+\cdots+\lambda_{N}))\rho_{0}^{\gamma-1-(\lambda_{1}+\cdots+\lambda_{N})}}{\lambda_{1}!\cdots\lambda_{N}!}\prod_{j=1}^{N}\rho_{j}^{\lambda_{j}},$
where we have again applied (2.27). Setting
$\displaystyle\mathcal{G}_{N}^{II}=$
$\displaystyle\,\widetilde{\mathcal{G}}_{N}^{II}-\frac{4-3\gamma-3\omega_{0}}{y_{*}}y_{*}^{2}\sum_{\begin{subarray}{c}j+k=N\\\
j,k\neq N\end{subarray}}\omega_{j}\omega_{k}$
$\displaystyle+\frac{4-3\gamma-3\omega_{0}}{y_{*}}\gamma\sum_{\begin{subarray}{c}(\lambda_{1},\ldots,\lambda_{N})\in
M_{N}\\\
\lambda_{N}=0\end{subarray}}\frac{(\gamma-1)\cdots(\gamma-(\lambda_{1}+\cdots+\lambda_{N}))\rho_{0}^{\gamma-1-(\lambda_{1}+\cdots+\lambda_{N})}}{\lambda_{1}!\cdots\lambda_{N}!}\prod_{j=1}^{N}\rho_{j}^{\lambda_{j}},$
we substitute (LABEL:eq:omNeq3) back into (LABEL:eq:omNeq2) and equate with
(LABEL:eq:omNeq1) to arrive at
$\displaystyle\omega_{N}\Big{(}N\big{(}\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}-2y_{*}^{2}\omega_{1}\omega_{0}-2y_{*}\omega_{0}^{2}\big{)}-2y_{*}^{2}\omega_{1}\omega_{0}\Big{)}+\rho_{N}\gamma(\gamma-1)\rho_{0}^{\gamma-2}\omega_{1}$
$\displaystyle-\frac{4-3\gamma-3\omega_{0}}{y_{*}}\big{(}\rho_{N}\gamma(\gamma-1)\rho_{0}^{\gamma-2}-2y_{*}^{2}\omega_{0}\omega_{N}\big{)}-(\gamma-1)(2-\gamma)y_{*}\omega_{N}+2y_{*}\omega_{0}^{2}\omega_{N}$
$\displaystyle-\frac{\omega_{0}}{\rho_{0}}\big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}y_{*}\rho_{N}$
$\displaystyle=\mathcal{G}_{N}^{II}-\mathcal{G}_{N}^{I}$
$\displaystyle=:\mathcal{G}_{N}.$ (2.65)
Thus we have
$\displaystyle\omega_{N}\Big{(}N(\gamma-1)\frac{y_{*}^{2}\omega_{0}^{2}}{\rho_{0}}\rho_{1}-2(N+1)y_{*}^{2}\omega_{1}\omega_{0}-2(N+2)y_{*}\omega_{0}^{2}+2(4-3\gamma)y_{*}\omega_{0}-(\gamma-1)(2-\gamma)y_{*}\Big{)}$
(2.66)
$\displaystyle+\rho_{N}\Big{(}\frac{y_{*}^{2}\omega_{0}^{2}}{\rho_{0}}(\gamma-1)\big{(}\omega_{1}-\frac{4-3\gamma-3\omega_{0}}{y_{*}}\big{)}-y_{*}\frac{\omega_{0}}{\rho_{0}}\big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle=\mathcal{G}_{N}.$
So we have found the claimed identity with
$\displaystyle\mathcal{A}_{11}=y_{*}\Big{(}(N+1)(\gamma-1)\omega_{0}^{2}\frac{y_{*}\rho_{1}}{\rho_{0}}-2Ny_{*}\omega_{1}\omega_{0}-2(N-1)\omega_{0}^{2}+\big{(}(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)},$
(2.67)
$\displaystyle\mathcal{A}_{12}=y_{*}\rho_{0}\Big{(}-2\frac{y_{*}\rho_{1}}{\rho_{0}}\omega_{0}-2\omega_{0}+\frac{(\gamma-1)(2-\gamma)}{\omega_{0}}\Big{)},$
(2.68)
$\displaystyle\mathcal{A}_{21}=\frac{y_{*}}{\rho_{0}}\Big{(}\omega_{0}^{2}(\gamma-1)\big{(}y_{*}\omega_{1}-(4-3\gamma-3\omega_{0})\big{)}-\omega_{0}\big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)},$
(2.69)
$\displaystyle\mathcal{A}_{22}=y_{*}\Big{(}N(\gamma-1)\omega_{0}^{2}\frac{y_{*}\rho_{1}}{\rho_{0}}-2(N+1)y_{*}\omega_{1}\omega_{0}-2(N+2)\omega_{0}^{2}+2(4-3\gamma)\omega_{0}-(\gamma-1)(2-\gamma)\Big{)}.$
(2.70)
∎
###### Lemma 2.7.
Consider the formal series expansion (2.35) and recall the definitions
$R=\frac{y_{*}\rho_{1}}{\rho_{0}}\text{ and }W=y_{*}\omega_{1}.$ (2.71)
Then the map $N\mapsto\det(\mathcal{A}_{N})$ is a quadratic polynomial of the
form
$\det\mathcal{A}_{N}=\sum_{j=0}^{2}A_{j}N^{j},$ (2.72)
where $A_{0}$, $A_{1}$, and $A_{2}$ are $(\gamma,\omega_{0},R,W)$-dependent
functions given by the formulas:
$\displaystyle A_{2}=$
$\displaystyle\,\big{(}-2(3-\gamma)\omega_{0}^{2}+\omega_{0}(\gamma-1)(5\gamma-9)-(\gamma-1)(2-\gamma)(\gamma+1)\big{)}\omega_{0}^{2}R$
$\displaystyle+8\omega_{0}^{3}W+4\omega_{0}^{4},$ (2.73) $\displaystyle
A_{1}=$
$\displaystyle\,-\big{(}2(3-\gamma)\omega_{0}^{2}+2\omega_{0}(\gamma-1)+(\gamma-1)(2-\gamma)(\gamma+1)\big{)}\omega_{0}^{2}R$
$\displaystyle+\big{(}8\omega_{0}^{2}-4(4-3\gamma)\omega_{0}-2(\gamma-1)\omega_{0}\big{)}\omega_{0}W+\big{(}4\omega_{0}^{4}-14\omega_{0}^{3}+10\gamma\omega_{0}^{3}\big{)},$
(2.74) $\displaystyle A_{0}=$
$\displaystyle\,2\big{(}\omega_{0}^{2}(\gamma-1)-\omega_{0}(\gamma-1)-\gamma(\gamma-1)(2-\gamma)\big{)}\omega_{0}^{2}R$
$\displaystyle+\big{(}-16\omega_{0}^{2}+4(\gamma-1)\omega_{0}^{2}+4(4-3\gamma)\omega_{0}-2(\gamma-1)\omega_{0}-2(\gamma-1)(2-\gamma)(\gamma+1)\big{)}\omega_{0}W$
$\displaystyle+(6\gamma-30)\omega_{0}^{4}+(6\gamma^{2}-44\gamma+46)\omega_{0}^{3}+(3\gamma^{3}-12\gamma^{2}+11\gamma-2)\omega_{0}^{2}$
$\displaystyle+(3\gamma^{4}-10\gamma^{3}+5\gamma^{2}+10\gamma-8)\omega_{0}$
(2.75)
###### Proof.
We begin with the following identity. Multiplying (2.47) by
$(\gamma-1)\omega_{0}^{2}$ and (2.48) by $2\omega_{0}$ and summing, we get
$\displaystyle(\gamma-1)^{2}\omega_{0}^{4}R^{2}-4(\gamma-1)\omega_{0}^{3}RW+4\omega_{0}^{2}W^{2}$
(2.76)
$\displaystyle=-(\gamma-1)^{2}\omega_{0}^{2}(\omega_{0}+2-\gamma)R+2(\gamma-1)\omega_{0}^{3}W-(\gamma-1)^{2}(2-\gamma)W\omega_{0}$
$\displaystyle-2\omega_{0}W\big{(}-2(4-3\gamma-3\omega_{0})\omega_{0}+(\gamma-1)(2-\gamma)\big{)}$
$\displaystyle-2\big{(}(5-3\gamma)\omega_{0}^{2}+(5-3\gamma)(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\omega_{0}^{2}R+4(4-3\gamma-3\omega_{0})\omega_{0}^{3}$
$\displaystyle=\omega_{0}^{2}R\Big{(}-(\gamma-1)^{2}(\omega_{0}+2-\gamma)-2\big{(}(5-3\gamma)\omega_{0}^{2}+(5-3\gamma)(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle+\omega_{0}W\Big{(}2(\gamma-1)\omega_{0}^{2}-(\gamma-1)^{2}(2-\gamma)-2\big{(}-2(4-3\gamma-3\omega_{0})\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle+4(4-3\gamma-3\omega_{0})\omega_{0}^{3}.$
Now we expand the determinant as
$\displaystyle y_{*}^{-2}$ $\displaystyle\det\mathcal{A}$ $\displaystyle=$
$\displaystyle\,\Big{(}(N+1)(\gamma-1)\omega_{0}^{2}R-2NW\omega_{0}-2(N-1)\omega_{0}^{2}+\big{(}(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle\>\times\Big{(}N(\gamma-1)\omega_{0}^{2}R-2(N+1)W\omega_{0}-2(N+2)\omega_{0}^{2}+2(4-3\gamma)\omega_{0}-(\gamma-1)(2-\gamma)\Big{)}$
$\displaystyle-\Big{(}-2R\omega_{0}-2\omega_{0}+\frac{(\gamma-1)(2-\gamma)}{\omega_{0}}\Big{)}$
$\displaystyle\>\times\Big{(}\omega_{0}^{2}(\gamma-1)\big{(}W-(4-3\gamma-3\omega_{0})\big{)}-\omega_{0}\big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle=$
$\displaystyle\,N(N+1)(\gamma-1)^{2}\omega_{0}^{4}R^{2}-\big{(}2N^{2}+2(N+1)^{2}\big{)}(\gamma-1)\omega_{0}^{3}RW+4N(N+1)\omega_{0}^{2}W^{2}$
$\displaystyle+R\Big{(}(N+1)(\gamma-1)\omega_{0}^{2}\big{(}-2(N+2)\omega_{0}^{2}+2(4-3\gamma)\omega_{0}-(\gamma-1)(2-\gamma)\big{)}$
$\displaystyle\hskip
25.60747pt+N(\gamma-1)\omega_{0}^{2}\big{(}-2(N-1)\omega_{0}^{2}+\big{(}(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\big{)}\Big{)}$
$\displaystyle+W\Big{(}-2N\omega_{0}\big{(}-2(N+2)\omega_{0}^{2}+2(4-3\gamma)\omega_{0}-(\gamma-1)(2-\gamma)\big{)}$
$\displaystyle\hskip
25.60747pt-2(N+1)\omega_{0}\big{(}-2(N-1)\omega_{0}^{2}+\big{(}(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\big{)}\Big{)}$
(2.77)
$\displaystyle+\Big{(}-2(N-1)\omega_{0}^{2}+\big{(}(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle\quad\times\Big{(}-2(N+2)\omega_{0}^{2}+2(4-3\gamma)\omega_{0}-(\gamma-1)(2-\gamma)\Big{)}$
$\displaystyle+2\omega_{0}^{3}(\gamma-1)RW$
$\displaystyle+R\Big{(}-2\omega_{0}^{3}(\gamma-1)(4-3\gamma-3\omega_{0})-\omega_{0}^{2}\big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle+W\Big{(}-\omega_{0}^{2}(\gamma-1)\big{(}-2\omega_{0}+(\gamma-1)(2-\gamma)\frac{1}{\omega_{0}}\big{)}\Big{)}$
$\displaystyle+\big{(}2\omega_{0}-(\gamma-1)(2-\gamma)\frac{1}{\omega_{0}}\big{)}$
$\displaystyle\quad\times\Big{(}-\omega_{0}^{2}(\gamma-1)(4-3\gamma-3\omega_{0})-\omega_{0}\big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}.$
We first re-group the quadratic terms in $(R,W)$ and substitute
(LABEL:eq:quadsubstitute) to get
$\displaystyle N($ $\displaystyle
N+1)(\gamma-1)^{2}\omega_{0}^{4}R^{2}-\big{(}2N^{2}+2(N+1)^{2}-2\big{)}(\gamma-1)\omega_{0}^{3}RW+4N(N+1)\omega_{0}^{2}W^{2}$
$\displaystyle=$ $\displaystyle
N(N+1)\big{(}(\gamma-1)^{2}\omega_{0}^{4}R^{2}-4(\gamma-1)\omega_{0}^{3}RW+4\omega_{0}^{2}W^{2}\big{)}$
$\displaystyle=$ $\displaystyle N(N+1)$
$\displaystyle\times\Big{(}\omega_{0}^{2}R\Big{(}-(\gamma-1)^{2}(\omega_{0}+2-\gamma)-2\big{(}(5-3\gamma)\omega_{0}^{2}+(5-3\gamma)(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle\quad+\omega_{0}W\Big{(}2(\gamma-1)\omega_{0}^{2}-(\gamma-1)^{2}(2-\gamma)-2\big{(}-2(4-3\gamma-3\omega_{0})\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle\quad+4(4-3\gamma-3\omega_{0})\omega_{0}^{3}\Big{)}.$
Substituting this into (2.77), we group the terms by order in $N$ as
$\displaystyle\det$
$\displaystyle\mathcal{A}_{N}=y_{*}^{2}\Big{(}A_{2}N^{2}+A_{1}N+A_{0}\Big{)},$
(2.78)
where
$\displaystyle A_{2}=$
$\displaystyle\,\omega_{0}^{2}R\Big{(}-(\gamma-1)^{2}(\omega_{0}+2-\gamma)-2\big{(}(5-3\gamma)\omega_{0}^{2}+(5-3\gamma)(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle+\omega_{0}W\Big{(}2(\gamma-1)\omega_{0}^{2}-(\gamma-1)^{2}(2-\gamma)-2\big{(}-2(4-3\gamma-3\omega_{0})\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle+4(4-3\gamma-3\omega_{0})\omega_{0}^{3}-4(\gamma-1)\omega_{0}^{4}R+8\omega_{0}^{3}W+4\omega_{0}^{4},$
$\displaystyle A_{1}=$
$\displaystyle\,\omega_{0}^{2}R\Big{(}-(\gamma-1)^{2}(\omega_{0}+2-\gamma)-2\big{(}(5-3\gamma)\omega_{0}^{2}+(5-3\gamma)(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle+\omega_{0}W\Big{(}2(\gamma-1)\omega_{0}^{2}-(\gamma-1)^{2}(2-\gamma)-2\big{(}-2(4-3\gamma-3\omega_{0})\omega_{0}+(\gamma-1)(2-\gamma)\big{)}\Big{)}$
$\displaystyle+4(4-3\gamma-3\omega_{0})\omega_{0}^{3}-4(\gamma-1)\omega_{0}^{4}R+(\gamma-1)\omega_{0}^{2}R\big{(}2(4-3\gamma)\omega_{0}+(\gamma-1)\omega_{0}\big{)}$
$\displaystyle-8\omega_{0}^{3}W-2\omega_{0}W\big{(}2(4-3\gamma)\omega_{0}+(\gamma-1)\omega_{0}\big{)}$
$\displaystyle+2\omega_{0}^{2}\Big{(}4\omega_{0}^{2}-2(4-3\gamma)\omega_{0}+(\gamma-1)(2-\gamma)\Big{)}-2\omega_{0}^{2}\Big{(}2\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\Big{)}$
and $A_{0}$ is the remainder. Simplifying these expressions and that for
$A_{0}$ results in (2.73)–(2.75) to conclude the proof. ∎
### 2.2 Branch selection
To find solutions that are smooth through the sonic point, we must first
calculate the first order Taylor coefficients $(\rho_{1},\omega_{1})$ as
functions of the parameters $\gamma$ and $y_{\ast}$.
###### Lemma 2.8 (The two solution branches).
Let $\gamma\in(1,\frac{4}{3})$ be given and let
$y_{\ast}\in[y_{f}(\gamma),y_{F}(\gamma)]$. There exist exactly two pairs
$(R_{i},W_{i})$, $i=1,2$ solving the system of algebraic equations (2.47),
(2.48), (2.49). The functions $R_{i}$ are given by
$\displaystyle
R_{1}=\frac{(9-7\gamma)\omega_{0}^{2}-8\omega_{0}^{3}-\sqrt{\omega_{0}^{3}s(\omega_{0})}}{2\omega_{0}^{3}(\gamma+1)},$
(2.79) $\displaystyle
R_{2}=\frac{(9-7\gamma)\omega_{0}^{2}-8\omega_{0}^{3}+\sqrt{\omega_{0}^{3}s(\omega_{0})}}{2\omega_{0}^{3}(\gamma+1)},$
(2.80)
where
$\displaystyle s(\omega_{0})=$
$\displaystyle\,-4(4-3\gamma)(\gamma+1)(\gamma-1)(2-\gamma)+\big{(}(\gamma-1)(\gamma^{2}-5\gamma+5)+\gamma^{2}+6\gamma-3\big{)}\omega_{0}$
(2.81)
$\displaystyle-8(3\gamma^{2}-15\gamma+14)\omega_{0}^{2}+8(5-3\gamma)\omega_{0}^{3}$
is strictly positive for all $\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma]$,
$\gamma\in(1,\frac{4}{3})$.
For any $i=1,2$, $W_{i}$ is determined by $R_{i}$ through the formula
$W_{i}=4-3\gamma-3\omega_{0}-\omega_{0}R_{i}.$ (2.82)
###### Proof.
By rearranging (2.47), we see
$\Big{(}2\omega_{0}(R+1)-\frac{(\gamma-1)(2-\gamma)}{\omega_{0}}\Big{)}W=(\gamma-1)\omega_{0}^{2}R^{2}+(\gamma-1)(\omega_{0}+2-\gamma)R.$
(2.83)
Rearranging (2.49) to solve for $W$ as
$W=4-3\gamma-3\omega_{0}-\omega_{0}R,$ (2.84)
we obtain the claimed relation (2.82). We then substitute this into (2.83) to
obtain the following quadratic for $R$:
$\displaystyle\Big{(}2\omega_{0}(R+1)-\frac{(\gamma-1)(2-\gamma)}{\omega_{0}}\Big{)}\Big{(}4-3\gamma-3\omega_{0}-\omega_{0}R\Big{)}=(\gamma-1)\omega_{0}^{2}R^{2}+(\gamma-1)(\omega_{0}+2-\gamma)R$
(2.85)
with roots $R_{1}$, $R_{2}$ as claimed in (2.79)–(2.80) from the quadratic
formula. We postpone the verification that $s(\omega_{0})>0$ to Appendix C.1.
One can check that equation (2.48) is also satisfied by these roots by
similarly substituting (2.82) into (2.48) then simplifying. This again yields
a quadratic in $R$ which, on inspection, turns out to be exactly (2.85) up to
a factor of $\omega_{0}$, and hence has the same roots. ∎
We will see in the following Subsection 2.3 that the physically relevant
solution branch is that given by $(R_{1},W_{1})$. We therefore collect some
useful estimates on the coefficients derived from this branch.
###### Proposition 2.9.
Let $\gamma\in(1,\frac{4}{3})$ be given and let
$y_{\ast}\in[y_{f}(\gamma),y_{F}(\gamma)]$ and consider the branch
$(R_{1},W_{1})$ defined in Lemma 2.8. Then
$-\frac{4}{(4-3\gamma)(2-\gamma)}<R_{1}<-\frac{1}{2-\gamma}.$ (2.86)
Moreover, if $\gamma\in[\frac{10}{9},\frac{4}{3})$, then the upper bound on
$R_{1}$ may be taken to satisfy
$R_{1}\leq-\frac{2\gamma}{(2-\gamma)(\gamma+1)},$ (2.87)
where the inequality is strict provided either $\gamma>\frac{10}{9}$ or
$y_{*}<y_{F}$.
Finally,
$W_{1}>0\text{ for }y_{*}>y_{f}\text{ and }W_{1}|_{y_{*}=y_{f}}=0.$ (2.88)
###### Proof.
The proof relies in part on interval arithmetic and it is presented in detail
in Appendix C.1. ∎
###### Proposition 2.10 (Positivity of $\det\mathcal{A}_{N}$).
Let $\gamma\in(1,\frac{4}{3})$ be given and let
$y_{\ast}\in[y_{f}(\gamma),y_{F}(\gamma)]$. Let $A_{0},A_{1},A_{2}$ be
functions of $\rho_{0},\omega_{0},R,W$ given by (2.73)–(2.75) and assume that
$R=R_{1}$ and $W=W_{1}$, where the branch $(R_{1},W_{1})$ is defined in Lemma
2.8.
1. (i)
The following inequalities hold:
$\displaystyle A_{2}$ $\displaystyle>0,$ (2.89) $\displaystyle 4A_{2}+A_{1}$
$\displaystyle>0,$ (2.90) $\displaystyle 4A_{2}+2A_{1}+A_{0}$
$\displaystyle>0$ (2.91)
2. (ii)
There exist constants $c_{1},c_{2}>0$, depending only on $\gamma$, so that
$c_{1}N^{2}\leq\det\mathcal{A}_{N}\leq c_{2}N^{2},\ \ N\geq 2.$ (2.92)
In particular, the matrix $\mathcal{A}_{N}$ is invertible for all $N\geq 2$
and the formal Taylor coefficients $(\rho_{N},\omega_{N})$ are well-defined
through the formula
$\begin{pmatrix}\rho_{N}\\\
\omega_{N}\end{pmatrix}=\mathcal{A}_{N}^{-1}\begin{pmatrix}\mathcal{F}_{N}\\\
\mathcal{G}_{N}\end{pmatrix},\ \ N\geq 2,$ (2.93)
where the source terms $\mathcal{F}_{N}$, $\mathcal{G}_{N}$ are defined in
Lemma 2.6.
3. (iii)
There exists a constant $\beta_{0}=\beta_{0}(y_{\ast},\gamma)>0$ such that
$\displaystyle|\rho_{N}|\leq\frac{\beta_{0}}{N}\left(|\mathcal{F}_{N}|+\frac{1}{N}|\mathcal{G}_{N}|\right)$
(2.94)
$\displaystyle|\omega_{N}|\leq\frac{\beta_{0}}{N}\left(|\mathcal{G}_{N}|+\frac{1}{N}|\mathcal{F}_{N}|\right).$
(2.95)
###### Proof.
Proof of part (i). The proof of (2.89)–(2.91) relies on interval arithmetic
and it is presented in detail in Appendix C.2.
Proof of part (ii). Since
$\det\mathcal{A}_{2}=y_{*}^{2}\big{(}4A_{2}+2A_{1}+A_{0}\big{)}>0$ by (2.91)
and, for $N\geq 2$,
$\frac{\operatorname{d}\\!}{\operatorname{d}\\!N}\det\mathcal{A}_{N}=y_{*}^{2}\big{(}2NA_{2}+A_{1}\big{)}\geq
y_{*}^{2}\big{(}4A_{2}+A_{1}\big{)}$, it follows from (2.90)–(2.91) that
$\displaystyle\det\mathcal{A}_{2}=y_{*}^{2}\big{(}4A_{2}+2A_{1}+A_{0}\big{)}>0,$
(2.96)
$\displaystyle\frac{\operatorname{d}\\!}{\operatorname{d}\\!N}\det\mathcal{A}_{N}=y_{*}^{2}\big{(}2NA_{2}+A_{1}\big{)}\geq
y_{*}^{2}\big{(}4A_{2}+A_{1}\big{)}>0.$ (2.97)
These estimates then easily imply (2.92). Claim (2.93) is an obvious
consequence of the invertibility of $\mathcal{A}_{N}$ and Lemma 2.6.
Proof of part (iii). From (2.93) it follows that
$\displaystyle\rho_{N}=\frac{\mathcal{A}_{22}}{\det\mathcal{A}_{N}}\mathcal{F}_{N}-\frac{\mathcal{A}_{12}}{\det\mathcal{A}_{N}}\mathcal{G}_{N},$
(2.98)
$\displaystyle\omega_{N}=\frac{\mathcal{A}_{11}}{\det\mathcal{A}_{N}}\mathcal{G}_{N}-\frac{\mathcal{A}_{21}}{\det\mathcal{A}_{N}}\mathcal{F}_{N},$
(2.99)
and thus (2.94)–(2.95) follow directly from (2.92) and (2.67)–(2.70).
∎
### 2.3 Larson-Penston-Hunter- (LPH-) type solutions
In order to distinguish the relevant solution branch for the first order
Taylor coefficients, we compare directly to the situation in the case
$\gamma=1$.
###### Lemma 2.11.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f}(\gamma),y_{F}(\gamma)]$, and
consider the functions $R_{i}$, $i=1,2$ as in Lemma 2.8 as functions of both
$\omega_{0}(y_{*})$ and $\gamma$. As $\gamma\to 1$, these coefficients satisfy
the limits
$\displaystyle R_{1}(\omega_{0})\to$
$\displaystyle\,\frac{1-4\omega_{0}-|1-2\omega_{0}|}{2\omega_{0}},$ (2.100)
$\displaystyle R_{2}(\omega_{0})\to$
$\displaystyle\,\frac{1-4\omega_{0}+|1-2\omega_{0}|}{2\omega_{0}}.$
###### Proof.
The identities for the limit as $\gamma\to 1^{+}$ for $R_{i}$ follow directly
from the identities (2.79)–(2.80). ∎
Thus, to maintain compatibility with the LP solution in the case $\gamma=1$,
we note that, in that case, the sonic point lies in the interval $(2,3)$ with
$\frac{\rho^{\prime}(y_{*})y_{*}}{\rho(y_{*})}=-1$ (compare [11]), and hence
the LP-type branch, for $\gamma>1$, should be chosen to be the $1$-branch. In
this case, we find that the limit of $W_{1}(\omega_{0})$ as $\gamma\to 1$ is
$1-2\omega_{0}$, again in compatibility with the $\gamma=1$ case resolved in
[11].
This motivates the following definition.
###### Definition 2.12 (Larson-Penston-Hunter (LPH) type solutions).
Let $\gamma\in(1,\frac{4}{3})$ be given and let
$y_{\ast}\in[y_{f}(\gamma),y_{F}(\gamma)]$. We say that a sequence
$(\rho_{N},\omega_{N})$, $N\in\mathbb{N}$ associated with a formal power
series expansion
$\displaystyle\rho(y)=\sum_{N=0}^{\infty}\rho_{N}(y-y_{*})^{N},\quad\omega(y)=\sum_{N=0}^{\infty}\omega_{N}(y-y_{*})^{N},$
(2.101)
is of Larson-Penston-Hunter (LPH) type if the following properties are
satisfied
1. (i)
$G(y_{*},\rho_{0},\omega_{0})=0,\quad h(\rho_{0},\omega_{0})=0.$ (2.102)
2. (ii)
$\rho_{1}:=\frac{\rho_{0}R_{1}}{y_{\ast}},\ \ \ \
\omega_{1}:=\frac{W_{1}}{y_{*}},$ (2.103)
where the pair $(R_{1},W_{1})$ corresponds to the branch defined by (2.79) and
(2.82) from Lemma 2.8.
3. (iii)
For any $N\geq 2$, the coefficients $(\rho_{N},\omega_{N})$ satisfy the
recursive relation (2.93).
If the series (2.101) converge, we say that the functions $\rho$ and $\omega$
are of LPH-type.
###### Remark 2.13.
As shown in Proposition 2.10, the matrix $\mathcal{A}_{N}$ defined in Lemma
2.6 is indeed invertible for all $N\geq 2$ and therefore for any LPH-type
sequence the coefficients $(\rho_{N},\omega_{N})$, $N\geq 2$ are therefore
uniquely determined as functions of $\rho_{0},\omega_{0},\rho_{1},\omega_{1}$.
### 2.4 The induction argument and the series convergence
In order to prove the convergence of the formal power series (2.35) we prove
the crucial lemma, which establishes favourable growth bounds for the
coefficients $(\rho_{N},\omega_{N})$. The proof is based on involved
combinatorial arguments that are presented in Appendix B, culminating in Lemma
B.6.
###### Lemma 2.14.
Let $\gamma\in(1,\frac{4}{3})$ and $\alpha\in(1,2)$ be given. Let
$(\rho_{N},\omega_{N})$, $N\in\mathbb{N}$ be the coefficients in the formal
Taylor expansion of $\rho,\omega$ about $y=y_{\ast}$ given by Proposition
2.10. Then there exists a constant $C>1$ such that for any
$y_{\ast}\in[y_{f}(\gamma),y_{F}(\gamma)]$ the bounds
$\displaystyle\left|\rho_{N}\right|\leq\frac{C^{N-\alpha}}{N^{3}},$ (2.104)
$\displaystyle\left|\omega_{N}\right|\leq\frac{C^{N-\alpha}}{N^{3}},$ (2.105)
hold for all $N\geq 2$.
###### Proof.
We use mathematical induction to prove the lemma. When $N=2$ clearly there
exists a constant $\bar{C}=\bar{C}(y_{\ast},\alpha)>0$ such that the claimed
bounds hold true as the recursive relation (2.93) defining
$(\rho_{2},\omega_{2})$ involves only products of continuous functions
composed with $(y_{*},\rho_{0},\omega_{0},\rho_{1},\omega_{1})$, all of which
are bounded.
Suppose now that for some $N\geq 3$, (2.104)–(2.105) hold for all $2\leq m\leq
N-1$. This implies that the assumptions (B.227)–(B.228) hold true and thus by
Lemma B.6 we conclude that (B.229)–(B.230) hold. Therefore, from Proposition
2.10 and (B.229)–(B.230) we obtain
$\displaystyle\left|\rho_{N}\right|\leq\frac{c\beta_{0}\beta
C^{N-\alpha}}{N^{3}}\left(\frac{1}{C^{\alpha-1}}+\frac{1}{C^{2-\alpha}}+\frac{1}{CN}\right),$
(2.106)
for some universal constant $c>0$. Similarly,
$\displaystyle\left|\omega_{N}\right|\leq\frac{c\beta_{0}\beta
C^{N-\alpha}}{N^{3}}\left(\frac{1}{C^{\alpha-1}}+\frac{1}{C^{2-\alpha}}+\frac{1}{CN}\right).$
(2.107)
It is now clear that we can choose $C=C(\gamma,y_{\ast})$ sufficiently large
so that the claimed estimates (2.104)–(2.105) hold at $N$. Since $y_{\ast}$
ranges over a compact interval and all the constants involved vary
continuously in $y_{\ast}$, we may choose the constant $C$ above uniformly in
$y_{\ast}\in[y_{f}(\gamma),y_{F}(\gamma)]$. We conclude by induction on $N$. ∎
###### Theorem 2.15.
Let $\gamma\in(1,\frac{4}{3})$ be given and for any
$y_{\ast}\in[y_{f}(\gamma),y_{F}(\gamma)]$ consider the sequence
$(\rho_{N},\omega_{N})$, $N\in\mathbb{N}$ which corresponds to the formal
Taylor coefficients associated with an LPH-type solution. Then there exists a
$\nu>0$ independent of $y_{\ast}$ such that the series
$\rho(y;y_{\ast}):=\sum_{N=0}^{\infty}\rho_{N}(y-y_{\ast})^{N},\ \
\omega(y;y_{\ast}):=\sum_{N=0}^{\infty}\omega_{N}(y-y_{\ast})^{N}$
converge absolutely and the functions
$(\rho(\cdot;y_{*}),\omega(\cdot;y_{*}))$ are real analytic solutions to
(1.13) on the interval $(y_{*}-\nu,y_{*}+\nu)$. Moreover, $y_{*}$ is a sonic
point for the flow, there are no other sonic points on the interval, and the
solutions are continuous with respect to $y_{*}\in[y_{f},y_{F}]$.
###### Proof.
Let $\alpha\in(1,2)$ be fixed. By Lemma 2.14 there exists a constant
$C=C(\gamma,\alpha)$ such that
$\displaystyle\left|\sum_{N=2}^{\infty}\rho_{N}(y-y_{\ast})^{N}\right|\leq\sum_{N=2}^{\infty}|\rho_{N}||y-y_{\ast}|^{N}\leq\sum_{N=2}^{\infty}\frac{|C(y-y_{\ast})|^{N}}{C^{\alpha}N^{3}}<\infty,$
(2.108)
and therefore the formal power series
$\sum_{N=0}^{\infty}\rho_{N}(y-y_{\ast})^{N}$ converges absolutely as long as
$|y-y_{\ast}|<\nu$, for any $0<\nu<\frac{1}{C}$. Similarly, the power series
$\sum_{N=0}^{\infty}\omega_{N}(y-y_{\ast})^{N}$ also converges absolutely as
long as $|y-y_{\ast}|<\nu$. The real analyticity is clear. Recalling (1.19) we
have
$\displaystyle G(y;\rho,\omega)$
$\displaystyle=\gamma\rho(y)^{\gamma-1}-y^{2}\omega(y)^{2}$
$\displaystyle=\gamma\left(\sum_{N=0}^{\infty}\rho_{N}(y-y_{\ast})^{N}\right)^{\gamma-1}-(y_{\ast}+(y-y_{\ast}))^{2}\left(\sum_{N=0}^{\infty}\omega_{N}(y-y_{\ast})^{N}\right)^{2}$
$\displaystyle=\left(\gamma(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}-2y_{\ast}\omega_{0}(1+y_{\ast}\omega_{1})\right)(y-y_{\ast})+O(|y-y_{\ast}|^{2})$
$\displaystyle=\left((\gamma-1)y_{\ast}^{2}\omega_{0}^{2}\frac{\rho_{1}}{\rho_{0}}-2y_{\ast}\omega_{0}(1+y_{\ast}\omega_{1})\right)(y-y_{\ast})+O(|y-y_{\ast}|^{2})$
$\displaystyle=y_{\ast}\omega_{0}\left((\gamma-1)y_{\ast}\omega_{0}\frac{\rho_{1}}{\rho_{0}}-2-2y_{\ast}\omega_{1}\right)(y-y_{\ast})+O(|y-y_{\ast}|^{2})$
$\displaystyle=y_{\ast}\omega_{0}\left((\gamma-1)\omega_{0}R_{1}-2-2W_{1}\right)(y-y_{\ast})+O(|y-y_{\ast}|^{2}),$
(2.109)
where we have used the sonic condition $G(y_{\ast},\rho,\omega)=0$ in the
second and the third line, and the notation $(R_{1},W_{1})$, see Lemma 2.8.
Now observe that $\omega_{0}>0$ by Lemma 2.2, and $R_{1}<0$, $W_{1}\geq 0$ by
Proposition 2.9. Therefore $(\gamma-1)\omega_{0}R_{1}-2-2W_{1}<0$ and
therefore, upon possibly choosing a smaller $\nu>0$, it follows that
$G(y;\rho,\omega)$ is strictly positive for $y\in(y_{\ast}-\nu,y_{\ast})$ and
strictly negative for $y\in(y_{\ast},y_{\ast}+\nu)$. In particular, the right-
hand side of (1.13) is well-defined and it is straightforward to verify that
$(\rho,\omega)$ is a solution to (1.13). ∎
In the final proposition of this section, we collect some remaining facts
concerning the LPH Taylor expansions.
###### Proposition 2.16.
Let $\gamma\in(1,\frac{4}{3})$. For $y_{*}\in[y_{f}(\gamma),y_{F}(\gamma)]$,
the following properties hold at the sonic point:
* (i)
The branch $(R_{1},W_{1})$ that we take for the re-scaled first derivatives at
the sonic point $y_{*}$ satisfies
$(R_{1},W_{1})(y_{f})=(-\frac{2}{2-\gamma},0)$, $W_{1}(y_{*})>0$ for all
$y_{*}\in(y_{f},y_{F}]$.
* (ii)
The local LPH-type solution obtained by Theorem 2.15 with $y_{*}=y_{f}$ is
exactly the far-field solution
$(\rho(y;y_{f}),\omega(y;y_{f}))\equiv(\rho_{f}(y),\omega_{f}(y))=(ky^{-\frac{2}{2-\gamma}},2-\gamma).$
* (iii)
The local LPH-type solution obtained by Theorem 2.15 with $y_{*}=y_{F}$ is not
the Friedman solution:
$(\rho(\cdot;y_{F}),\omega(\cdot;y_{F}))\neq(\rho_{F},\omega_{F})$.
###### Proof.
(i) By Lemma 2.2, we know
$\omega_{0}(y_{*})\in[\frac{4-3\gamma}{3},2-\gamma]$. Then, by Proposition
2.9, we have $W_{1}(\omega_{0})\geq 0$ for all
$\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma]$ with equality if and only if
$\omega_{0}=2-\gamma$. In addition, $R_{1}(2-\gamma)=-\frac{2}{2-\gamma}$ by
direct computation from (2.79).
(ii) To see that the solution obtained at $y_{f}$ is the far-field solution,
it is enough to note that $\rho_{0}$ is uniquely determined by $y_{*}$ also
through the relation $\rho_{0}=f_{1}(\omega_{0}(y_{*}))$, and hence we have
that $\omega_{0}(y_{f})=2-\gamma=\omega_{f}(y_{f})$ and
$\rho_{0}(y_{f})=\rho_{f}({y}_{f})$. Thus the solution locally around the
sonic point is determined entirely by the choice of the branch $(R_{1},W_{1})$
for the first order terms in the Taylor expansion. As $W_{1}=0$,
$R_{1}=-\frac{2}{2-\gamma}$ are equal to the corresponding values for the far-
field solution, the Taylor expansions of the solution derived from the choice
$y_{*}=y_{f}$ and the far-field solution are equal. Thus the solutions are
locally equal (as both are analytic functions) and, by uniqueness theory for
the ODE system away from the sonic point and $y=0$, therefore globally equal
on all of $(0,\infty)$.
(iii) As in item (i), we know that $W_{1}(y_{F})>0$ by Proposition 2.9, hence
$\omega_{1}(y_{F})>0$ also. As the Friedman solution satisfies
$\omega_{F}^{\prime}(y)\equiv 0$ for all $y$, the two solutions are not equal.
∎
## 3 Solution to the right of the sonic point
Now that we have established the existence of a local solution to (1.13)
around each choice of sonic point $y_{*}\in[y_{f},y_{F}]$, we show in this
section that the local solution can be extended to the right on the whole
interval $(y_{*},\infty)$ while remaining strictly supersonic and satisfying
suitable asymptotics. For $y_{*}=y_{f}$, we know from Proposition 2.16 the
obtained solution is simply the far-field solution $(\rho_{f},\omega_{f})$
which is globally defined and supersonic for all $y>y_{f}$. We will therefore
restrict in the sequel to the case $y_{*}\in(y_{f},y_{F}]$.
The strategy of the section is to identify certain inequalities that propagate
along the flow to the right and provide qualitative control on the solutions.
Because the system (1.13) is non-autonomous, we cannot argue simply from a
fixed phase plane analysis, but instead we make use of dynamical arguments
that prevent the crossing of certain critical values by particular quantities
fundamental to the flow. After a number of technical lemmas, we prove the key
continuation estimates in Proposition 3.5. We then demonstrate that the flow
remains strictly supersonic to the right and so deduce that it exists globally
on $(y_{*},\infty)$ in Lemma 3.8. Finally, in Lemmas 3.9 and 3.10, we study
the asymptotics and monotonicity of the solution.
For each $y_{*}\in[y_{f}(\gamma),y_{F}(\gamma)]$, let
$(\rho,\omega)=(\rho(\cdot;y_{*}),\omega(\cdot;y_{*}))$ be the local LPH-type
solution of Theorem 2.15. We define the maximal extension time to the right as
$y_{\max}(y_{*}):=\sup\\{y>y_{*}\,|\,(\rho,\omega)\text{ extends as a strictly
supersonic solution of \eqref{eq:EPSS} on }(y_{*},y)\\},$ (3.110)
where we recall the definition of supersonicity from Definition 1.4.
The first lemma in this section states and proves the basic estimates that we
will use to propagate the solution and verifies that they hold in a small
neighbourhood of the sonic point.
###### Lemma 3.1 (Initial inequalities).
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in(y_{f},y_{F}]$ (recall that we
suppress the dependence of $y_{f}$, $y_{F}$ on $\gamma$ where clear) and let
$(\rho,\omega)$ be the unique LPH-type solution to (1.13) to the right of
$y_{*}$ given by Theorem 2.15. Then there exists $\bar{\nu}>0$ (depending on
$y_{*}$) such that for $y\in(y_{*},y_{*}+\bar{\nu})$, the strictly supersonic
flow satisfies also the inequalities
$\frac{4-3\gamma}{3}<\omega(y)<2-\gamma,\quad\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}>0,\quad-\frac{4}{(4-3\gamma)(2-\gamma)}<\frac{\rho^{\prime}y}{\rho}<-\frac{1}{2-\gamma}.$
(3.111)
###### Proof.
By Theorem 2.15, the existence of $\nu>0$ such that the solution remains
supersonic on $(y_{*},y_{*}+\nu)$ is clear. Moreover, by Lemma 2.2, we know
that if $y_{*}\in(y_{f},y_{F})$, we have
$\frac{4-3\gamma}{3}<\omega(y_{*})<2-\gamma$, and hence, as $\omega$ is
continuous on $[y_{*},y_{*}+\nu]$, there exists $\bar{\nu}\in(0,\nu)$ such
that
$\frac{4-3\gamma}{3}<\omega(y)<2-\gamma\text{ for
}y\in(y_{*},y_{*}+\bar{\nu}).$
On the other hand, if $y_{*}=y_{F}$, then $\omega(y_{*})=\frac{4-3\gamma}{3}$
and, by Proposition 2.9, $\omega^{\prime}(y_{*})>0$, hence by possibly
shrinking $\bar{\nu}$, we again have the claimed estimate.
Similarly, by Proposition 2.9 and smoothness of the flow, by possibly
shrinking $\bar{\nu}$, we retain the final inequality of (3.111)
$-\frac{4}{(4-3\gamma)(2-\gamma)}<\frac{\rho^{\prime}y}{\rho}<-\frac{1}{2-\gamma}.$
Finally, we check the second condition in (3.111) through the following
observation:
$\displaystyle\frac{4\pi
y_{*}^{2}\rho_{0}\omega_{0}}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho_{0}^{\gamma-1}=$
$\displaystyle\,\frac{4\pi
y_{*}^{2}\rho_{0}\omega_{0}}{4-3\gamma}-\frac{2}{2-\gamma}y_{*}^{2}\omega_{0}^{2}$
(3.112) $\displaystyle=$
$\displaystyle\,y_{*}^{2}\Big{(}\frac{2-2\gamma}{2-\gamma}\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)\Big{)}>0$
for $\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma)$, where we have used
$\rho_{0}=f_{1}(\omega_{0})$ (compare (2.28)) in the second line to eliminate
$\rho_{0}$, and observe that the quadratic function of $\omega_{0}$ in the
parentheses factorises as
$\frac{2-2\gamma}{2-\gamma}\omega_{0}^{2}+(\gamma-1)\omega_{0}+(\gamma-1)(2-\gamma)=-(\gamma-1)(2-\gamma-\omega)\big{(}\frac{2\omega}{2-\gamma}+1\big{)}$
to deduce the sign. By again exploiting continuity of the flow and possibly
shrinking $\bar{\nu}$, we conclude. ∎
We will also need the following two lemmas.
###### Lemma 3.2.
Let $\gamma\in(1,\frac{4}{3})$. For any $C^{1}$ solution $(\rho,\omega)$ of
(1.13), the following identities hold along the flow at any point $y>0$ such
that $y$ is not a sonic point:
$\displaystyle\omega^{\prime}$
$\displaystyle=\frac{4-3\gamma-3\omega}{y}-\frac{\omega}{\rho}\rho^{\prime},$
(3.113) $\displaystyle\big{(}\rho\omega
y^{\frac{2}{2-\gamma}}\big{)}^{\prime}=$
$\displaystyle\,y^{\frac{2}{2-\gamma}}\frac{\rho}{y}(4-3\gamma)\big{(}1-\frac{\omega}{2-\gamma}\big{)},$
(3.114) $\displaystyle\big{(}\omega y^{\frac{2}{2-\gamma}}\big{)}^{\prime}=$
$\displaystyle\,y^{\frac{2}{2-\gamma}}\Big{(}\frac{(4-3\gamma)(1-\frac{\omega}{2-\gamma})}{y}-\frac{\omega}{\rho}\rho^{\prime}\Big{)},$
(3.115)
$\displaystyle\big{(}\omega^{2}y^{\frac{2}{2-\gamma}}\big{)}^{\prime}=$
$\displaystyle\,y^{\frac{2}{2-\gamma}}\Big{(}2\omega\frac{(4-3\gamma)(1-\frac{\omega}{2-\gamma})}{y}-\frac{2}{2-\gamma}\frac{\omega^{2}}{y}-2\frac{\omega^{2}}{\rho}\rho^{\prime}\Big{)}.$
(3.116)
###### Proof.
Identity (3.113) is a trivial consequence of (1.21). Identity (3.114) follows
from using (3.113) in the following:
$\displaystyle\big{(}\rho\omega y^{\frac{2}{2-\gamma}}\big{)}^{\prime}=$
$\displaystyle\,(\rho\omega)^{\prime}y^{\frac{2}{2-\gamma}}+\frac{2}{2-\gamma}y^{\frac{2}{2-\gamma}}\frac{\rho}{y}\omega$
$\displaystyle=$
$\displaystyle\,y^{\frac{2}{2-\gamma}}\frac{4-3\gamma-3\omega}{y}\rho+\frac{2}{2-\gamma}y^{\frac{2}{2-\gamma}}\frac{\rho}{y}\omega$
and grouping the $\omega$ terms.
To obtain (3.115), we again apply (3.113) to find
$\displaystyle\big{(}\omega y^{\frac{2}{2-\gamma}}\big{)}^{\prime}=$
$\displaystyle\,y^{\frac{2}{2-\gamma}}\big{(}\frac{4-3\gamma-3\omega}{y}-\frac{\omega}{\rho}\rho^{\prime}+\frac{2}{2-\gamma}\frac{\omega}{y}\big{)}$
and group terms. The proof of (3.116) is similar. ∎
###### Lemma 3.3.
Let $\gamma\in(1,\frac{4}{3})$, let $(\rho,\omega)$ be a $C^{1}$ solution of
(1.13) and suppose that $y>0$ is not a sonic point of the flow.
* (i)
For any $m\geq 0$, the derivative of $\rho$ may be expressed through the
following relation:
$\frac{\rho^{\prime}y}{\rho}+\frac{m}{2-\gamma}=y^{-\frac{2(\gamma-1)}{2-\gamma}}\frac{P_{m}(y,\rho,\omega)}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}},$
(3.117)
where
$\displaystyle P_{m}(y,\rho,\omega)=$
$\displaystyle-\frac{4-m-2\gamma}{2-\gamma}y^{\frac{2}{2-\gamma}}\omega^{2}-(\gamma-1)y^{\frac{2}{2-\gamma}}\big{(}\omega+(2-\gamma)\big{)}$
(3.118)
$\displaystyle-\frac{m}{2-\gamma}\gamma\big{(}y^{\frac{2}{2-\gamma}}\rho\big{)}^{\gamma-1}+\frac{4\pi
y^{\frac{2}{2-\gamma}}\omega\rho}{4-3\gamma}.$
We usually suppress the explicit dependence of $P_{m}$ on $(\rho,\omega)$,
writing instead $P_{m}(y)=P_{m}(y,\rho(y),\omega(y))$ where clear.
* (ii)
At any point $y_{1}$ at which the flow is smooth and not sonic and where
$P_{m}(y_{1})=0$, the derivative of $P_{m}$ satisfies the identity
$\displaystyle{P}_{m}^{\prime}(y_{1})=\frac{y_{1}^{\frac{2}{2-\gamma}}}{y_{1}\omega(y_{1})}Q_{m}\Big{(}\omega(y_{1}),\frac{\gamma\rho(y_{1})^{\gamma-1}}{y_{1}^{2}}\Big{)},$
(3.119)
where
$\displaystyle Q_{m}(\omega,\mathcal{R})=\bigg{(}$
$\displaystyle\big{(}1-\frac{\omega}{2-\gamma}\big{)}\Big{(}-\frac{4-m-2\gamma}{2-\gamma}(4-3\gamma)\omega^{2}+(\gamma-1)(4-3\gamma)(2-\gamma)\Big{)}$
(3.120)
$\displaystyle-\frac{2(4-m-2\gamma)(m-1)\omega^{3}}{(2-\gamma)^{2}}-\frac{m(\gamma-1)}{2-\gamma}\omega^{2}-2(\gamma-1)\omega$
$\displaystyle+\mathcal{R}\frac{m}{(2-\gamma)^{2}}\Big{(}(4-3\gamma)(2-\gamma)-\omega\big{(}4-3\gamma+(\gamma-1)(2-m)\big{)}\Big{)}\bigg{)}\Big{|}_{y_{1}}.$
###### Proof.
(i) To show (3.117), we let $m\geq 0$. Then, rearranging the first equation of
(1.13), we find
$\displaystyle\frac{\rho^{\prime}y}{\rho}=$
$\displaystyle\,\frac{-2y^{2}\omega^{2}-(\gamma-1)y^{2}(\omega+2-\gamma)+\frac{4\pi
y^{2}\omega\rho}{4-3\gamma}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}$ (3.121)
$\displaystyle=$
$\displaystyle\,\frac{-\frac{m}{2-\gamma}\big{(}y^{2}\omega^{2}-\gamma\rho^{\gamma-1}\big{)}+\big{(}\frac{m}{2-\gamma}-2\big{)}y^{2}\omega^{2}-\frac{m}{2-\gamma}\gamma\rho^{\gamma-1}-(\gamma-1)y^{2}(\omega+2-\gamma)+\frac{4\pi
y^{2}\omega\rho}{4-3\gamma}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}$
$\displaystyle=$
$\displaystyle\,-\frac{m}{2-\gamma}+\frac{-\frac{4-m-2\gamma}{2-\gamma}y^{2}\omega^{2}-(\gamma-1)y^{2}\big{(}\omega+(2-\gamma)\big{)}-\frac{m}{2-\gamma}\gamma\rho^{\gamma-1}+\frac{4\pi
y^{2}\omega\rho}{4-3\gamma}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}},$
and pulling out a factor of $y^{-\frac{2(\gamma-1)}{2-\gamma}}$ leaves us with
the claimed identity.
(ii) By (3.114)–(3.116), as the flow is smooth at $y_{1}$,
$\displaystyle{P}_{m}^{\prime}(y)=y^{\frac{2}{2-\gamma}}\bigg{(}$
$\displaystyle-\frac{4-m-2\gamma}{2-\gamma}\Big{(}2\omega\frac{(4-3\gamma)(1-\frac{\omega}{2-\gamma})}{y}-\frac{2}{2-\gamma}\frac{\omega^{2}}{y}-\frac{2\omega^{2}}{\rho}\rho^{\prime}\Big{)}$
(3.122)
$\displaystyle-(\gamma-1)\Big{(}\frac{(4-3\gamma)(1-\frac{\omega}{2-\gamma})}{y}-\frac{\omega}{\rho}\rho^{\prime}\Big{)}-\frac{2(\gamma-1)}{y}$
$\displaystyle+4\pi\frac{\rho}{y}\big{(}1-\frac{\omega}{2-\gamma})-\frac{m(\gamma-1)}{2-\gamma}\gamma\big{(}y^{\frac{2}{2-\gamma}}\rho\big{)}^{\gamma-2}\big{(}\rho^{\prime}+\frac{2}{2-\gamma}\frac{\rho}{y}\big{)}\bigg{)}.$
From the identity $P_{m}(y_{1})=0$, we rearrange to find
$4\pi\rho=\frac{(4-m-2\gamma)(4-3\gamma)}{2-\gamma}\omega+(\gamma-1)(4-3\gamma)\big{(}1+\frac{2-\gamma}{\omega}\big{)}+\frac{m(4-3\gamma)}{2-\gamma}\gamma\frac{\rho^{\gamma-1}}{\omega
y_{1}^{2}},$ (3.123)
where all functions are evaluated at $y_{1}$. In addition, by (3.117), as
$P_{m}(y_{1})=0$ we also have
$\frac{\rho^{\prime}y}{\rho}=-\frac{m}{2-\gamma}$.
Substituting (3.123) and $\frac{\rho^{\prime}y}{\rho}=-\frac{m}{2-\gamma}$
into (3.122), we have
$\displaystyle{P}_{m}^{\prime}(y_{1})=y^{\frac{2}{2-\gamma}}\bigg{(}$
$\displaystyle\frac{1-\frac{\omega}{2-\gamma}}{y}\Big{(}-\frac{4-m-2\gamma}{2-\gamma}(4-3\gamma)\omega+(\gamma-1)(4-3\gamma)\frac{2-\gamma}{\omega}\Big{)}$
$\displaystyle-\frac{2(4-m-2\gamma)(m-1)\omega^{2}}{(2-\gamma)^{2}y}-\frac{m(\gamma-1)}{2-\gamma}\frac{\omega}{y}-\frac{2(\gamma-1)}{y}$
$\displaystyle+\frac{m(4-3\gamma)}{2-\gamma}\big{(}1-\frac{\omega}{2-\gamma}\big{)}\frac{\gamma\rho^{\gamma-1}}{\omega
y^{3}}-\frac{m(\gamma-1)(2-m)}{(2-\gamma)^{2}}\gamma\rho^{\gamma-1}\frac{1}{y^{3}}\bigg{)}\Big{|}_{y_{1}}$
$\displaystyle=y^{\frac{2}{2-\gamma}-1}\bigg{(}$
$\displaystyle\big{(}1-\frac{\omega}{2-\gamma}\big{)}\Big{(}-\frac{4-m-2\gamma}{2-\gamma}(4-3\gamma)\omega+(\gamma-1)(4-3\gamma)\frac{2-\gamma}{\omega}\Big{)}$
$\displaystyle-\frac{2(4-m-2\gamma)(m-1)\omega^{2}}{(2-\gamma)^{2}}-\frac{m(\gamma-1)}{2-\gamma}{\omega}-2(\gamma-1)$
$\displaystyle+\frac{\gamma\rho^{\gamma-1}}{y^{2}}\frac{m}{(2-\gamma)^{2}\omega}\Big{(}(4-3\gamma)(2-\gamma)-\omega\big{(}4-3\gamma+(\gamma-1)(2-m)\big{)}\Big{)}\bigg{)}\Big{|}_{y_{1}},$
which yields the required inequality after factoring out $\omega^{-1}$. ∎
With these identities, we will show that as long as the flow remains strictly
supersonic, the inequalities of Lemma 3.1 above also hold strictly. For the
proof, we will require also the following technical lemma containing
properties of the functions $Q_{m}$.
###### Lemma 3.4.
Define the functions
$Q_{m}^{+}(\omega)=Q_{m}(\omega,0),\quad
Q_{m}^{-}(\omega)=Q_{m}(\omega,\omega^{2}),$ (3.124)
where we recall the definition of $Q_{m}$ from Lemma 3.3. Then, for any
$\gamma\in(1,\frac{4}{3})$, there exists $\delta_{0}>0$ such that for all
$\omega\in[\frac{4-3\gamma}{3},2-\gamma]$, we have
$\displaystyle Q_{m}^{\pm}(\omega)<0$ $\displaystyle\text{ for all
}m\in\Big{[}1,\frac{2\gamma}{\gamma+1}+\delta_{0}\Big{]},$ (3.125)
$\displaystyle Q_{\frac{4}{4-3\gamma}}^{\pm}(\omega)>0.$ (3.126)
The proof is deferred to Appendix C.3.
We are now able to state and prove the continuation estimates for the
extension of the LPH-type solutions on their maximal supersonic interval of
existence, $(y_{*},y_{\max}(y_{*}))$.
###### Proposition 3.5.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$, and let
$(\rho,\omega)$ be the extension of the unique LPH-type solution obtained from
Theorem 2.15 to $(y_{*},y_{\max}(y_{*}))$. Then the following strict
inequalities hold on the whole interval $(y_{*},y_{\max}(y_{*}))$:
$\frac{4-3\gamma}{3}<\omega<2-\gamma,\quad\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}>0,\quad-\frac{4}{(4-3\gamma)(2-\gamma)}\frac{\rho}{y}<\rho^{\prime}<-\frac{1}{2-\gamma}\frac{\rho}{y}.$
(3.127)
Moreover, on this interval, we retain $\rho>0$.
###### Proof.
We begin the proof by observing that the upper and lower bounds on
$\rho^{\prime}$ of (3.127) guarantee that as long as the flow lives to the
right of $y_{*}$ and satisfies the weak forms of these inequalities, we always
retain $|(\log\rho)^{\prime}|\leq C$, and hence $\rho>0$. Thus we assume this
throughout the following.
By (3.111), we know that all of the inequalities (3.127) hold on the interval
$(y_{*},y_{*}+\bar{\nu})$. By the smoothness and extendability of the flow
guaranteed by Proposition A.1 and Theorem 2.15, the set
$\mathfrak{Y}:=\big{\\{}y_{1}\in(y_{*},y_{\max})\,|\,\text{\eqref{ineq:rightinvariance}
holds on }(y_{*},y_{1}]\big{\\}}$
is clearly relatively open in $(y_{*},y_{\max})$.
We therefore work to show that $\mathfrak{Y}$ is also relatively closed. We
therefore suppose $(y_{*},y_{1})\subset\mathfrak{Y}$, i.e., we assume that
(3.127) holds on the interval $(y_{*},y_{1})$ with $y_{1}<y_{\max}$. Showing
that (3.127) holds strictly at $y_{1}$ also is then sufficient to conclude the
proof. Clearly the weak versions of (3.127) hold on $(y_{*},y_{1}]$ and the
flow is strictly supersonic on this whole interval. As we have guaranteed
already that $\rho_{0}>\rho(y_{1})>0$ and $\omega(y_{1})$ is bounded, we may
apply again the local existence theorem, Proposition A.1, to deduce that the
flow can be smoothly extended past $y_{1}$, and hence is smooth at $y_{1}$
itself.
From (3.113), we see that as $\rho^{\prime}\leq 0$ and
$\omega\geq\frac{4-3\gamma}{3}>0$, then
$\omega^{\prime}\geq\frac{4-3\gamma-3\omega}{y},$
and hence
$(y^{3}\omega)^{\prime}\geq(4-3\gamma)y^{2},$
leading to
$y^{3}\omega(y)\geq\frac{4-3\gamma}{3}y^{3}+y_{*}^{3}\big{(}\omega(y_{*}+\frac{\bar{\nu}}{2})-\frac{4-3\gamma}{3}\big{)}>\frac{4-3\gamma}{3}y^{3},$
for all $y\in[y_{*},y_{1}]$. Clearly then $\omega(y_{1})>\frac{4-3\gamma}{3}$
also, as required.
To close the upper bound on $\omega$, we first rearrange the first equation of
(1.13) as
$\displaystyle\frac{\rho^{\prime}y}{\rho}=$
$\displaystyle\,\frac{-2y^{2}\omega^{2}-(\gamma-1)y^{2}(\omega+2-\gamma)+\frac{4\pi
y^{2}\omega\rho}{4-3\gamma}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}$ (3.128)
$\displaystyle=$
$\displaystyle\,-\frac{2}{2-\gamma}+\frac{(\gamma-1)y^{2}\big{(}\frac{2\omega^{2}}{2-\gamma}-\omega-(2-\gamma)\big{)}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}+\frac{4\pi
y^{2}\omega\rho}{4-3\gamma}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}.$
Note that, by assumption, on $[y_{*}+\bar{\nu},y_{1}]$,
$y^{2}\omega^{2}-\gamma\rho^{\gamma-1}>0$. We apply also (3.113) to calculate
$\displaystyle\big{(}2$
$\displaystyle-\gamma-\omega\big{)}^{\prime}=-\frac{\frac{4-3\gamma}{2-\gamma}\big{(}2-\gamma-\omega\big{)}}{y}+\frac{\omega}{y}\Big{(}\frac{\rho^{\prime}y}{\rho}+\frac{2}{2-\gamma}\Big{)}$
(3.129) $\displaystyle=$
$\displaystyle\,-\frac{\frac{4-3\gamma}{2-\gamma}\big{(}2-\gamma-\omega\big{)}}{y}-\frac{\omega}{y}\frac{(\gamma-1)y^{2}\big{(}\frac{2\omega}{2-\gamma}+1\big{)}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}(2-\gamma-\omega)+\frac{\omega}{y}\frac{\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}.$
Defining
$W(y)=\exp\Big{(}\int^{y}_{y_{*}+\bar{\nu}}\frac{1}{\tilde{y}}\Big{(}\frac{4-3\gamma}{2-\gamma}+\omega\frac{(\gamma-1)\tilde{y}^{2}\big{(}\frac{2\omega}{2-\gamma}+1\big{)}}{\tilde{y}^{2}\omega^{2}-\gamma\rho^{\gamma-1}}\Big{)}\operatorname{d}\\!\tilde{y}\Big{)},$
we have
$\big{(}W(2-\gamma-\omega)\big{)}^{\prime}=W\frac{\omega}{y}\frac{\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}.$
As $\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}\geq 0$ on
$[y_{*}+\bar{\nu},y_{1}]$, we have
$W(2-\gamma-\omega)\geq W(2-\gamma-\omega)\big{|}_{y_{*}+\bar{\nu}}>0,$
and hence
$\omega<2-\gamma\text{ on }[y_{*},y_{1}].$ (3.130)
Turning now to $\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}$, we
suppose for a contradiction that
$\frac{4\pi
y_{1}^{2}\rho(y_{1})\omega(y_{1})}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho(y_{1})^{\gamma-1}=0.$
From (3.128), at $y_{1}$, we therefore have
$\frac{\rho^{\prime}(y_{1})y_{1}}{\rho(y_{1})}+\frac{2}{2-\gamma}=\frac{(\gamma-1)y_{1}^{2}\big{(}\frac{2\omega(y_{1})}{2-\gamma}+1\big{)}\big{(}\omega(y_{1})-(2-\gamma)\big{)}}{y_{1}^{2}\omega(y_{1})^{2}-\gamma\rho(y_{1})^{\gamma-1}}<0$
(3.131)
due to $\omega<2-\gamma$. Note now the simple scaled identity
$\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}=y^{-\frac{2(\gamma-1)}{2-\gamma}}\Big{(}\frac{4\pi
y^{\frac{2}{2-\gamma}}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\big{(}y^{\frac{2}{2-\gamma}}\rho\big{)}^{\gamma-1}\Big{)}.$
Differentiating the term in the bracket, we use (3.114) to see
$\displaystyle\Big{(}$ $\displaystyle\frac{4\pi
y^{\frac{2}{2-\gamma}}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\big{(}y^{\frac{2}{2-\gamma}}\rho\big{)}^{\gamma-1}\Big{)}^{\prime}\Big{|}_{y=y_{1}}$
$\displaystyle=4\pi
y^{\frac{2}{2-\gamma}}\frac{\rho}{y}\big{(}1-\frac{\omega}{2-\gamma}\big{)}-\frac{2\gamma(\gamma-1)}{2-\gamma}\big{(}y^{\frac{2}{2-\gamma}}\rho\big{)}^{\gamma-2}y^{\frac{2}{2-\gamma}}\big{(}\rho^{\prime}+\frac{2}{2-\gamma}\frac{\rho}{y}\big{)}\Big{|}_{y=y_{1}}$
$\displaystyle\geq 4\pi
y^{\frac{2}{2-\gamma}}\frac{\rho}{y}\big{(}1-\frac{\omega}{2-\gamma}\big{)}\Big{|}_{y=y_{1}}>0,$
where we have used (3.131) in the first inequality on the last line and
$\omega(y_{1})<2-\gamma$ in the second. But this contradicts the assumption
that $y_{1}$ is the first point at which $\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}=0$, hence
the derivative must be non-positive. So
$\Big{(}\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}\Big{)}\Big{|}_{y_{1}}>0.$
Next, we consider the quantity
$\frac{\rho^{\prime}y}{\rho}+\frac{1}{2-\gamma}.$
Applying (3.117) in the case $m=1$, we get
$\displaystyle\frac{\rho^{\prime}y}{\rho}+\frac{1}{2-\gamma}=y^{-\frac{2(\gamma-1)}{2-\gamma}}\frac{P_{1}(y,\rho,\omega)}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}},$
(3.132)
where we recall from (3.118) that
$P_{1}=-\frac{(3-2\gamma)}{2-\gamma}y^{\frac{2}{2-\gamma}}\omega^{2}-(\gamma-1)y^{\frac{2}{2-\gamma}}\big{(}\omega+(2-\gamma)\big{)}-\frac{1}{2-\gamma}\gamma\big{(}y^{\frac{2}{2-\gamma}}\rho\big{)}^{\gamma-1}+\frac{4\pi
y^{\frac{2}{2-\gamma}}\omega\rho}{4-3\gamma}.$
By assumption, we have $P_{1}(y)<0$ for all $y\in(y_{*},y_{1})$. By
Proposition 3.5 and Proposition A.1, as the flow is assumed supersonic, the
flow may be extended smoothly to the right of $y_{1}$, and hence is smooth at
$y_{1}$. Suppose now that at $y_{1}$,
$\frac{\rho^{\prime}y}{\rho}=-\frac{1}{2-\gamma}$ for the first time
(otherwise we are done). Then we must also have that
$P_{1}^{\prime}(y_{1})\geq 0$, $P_{1}(y_{1})=0$, and hence, at $y_{1}$, by
(3.119),
$\displaystyle
P_{1}^{\prime}(y_{1})=\frac{y^{\frac{2}{2-\gamma}}}{y\omega}Q_{1}$
$\displaystyle\Big{(}\omega(y_{1}),\frac{\gamma\rho(y_{1})^{\gamma-1}}{y_{1}^{2}}\Big{)}.$
Note that $Q_{m}(\omega,\mathcal{R})$ is linear in $\mathcal{R}$ and that, as
the flow is supersonic, we have always
$0\leq\frac{\gamma\rho^{\gamma-1}}{y^{2}}\leq\omega^{2}$. Thus,
$Q_{1}\Big{(}\omega(y_{1}),\frac{\gamma\rho(y_{1})^{\gamma-1}}{y_{1}^{2}}\Big{)}\leq\max\big{\\{}Q_{1}(\omega(y_{1}),0),Q_{1}(\omega(y_{1}),\omega(y_{1})^{2})\big{\\}}<0$
by Lemma 3.4. Thus $P^{\prime}(y_{1})<0$, contradicting $P^{\prime}(y_{1})\geq
0$. So we obtain
$\frac{\rho^{\prime}y}{\rho}+\frac{1}{2-\gamma}<0,\text{ for
}y\in[y_{*},y_{1}].$
To conclude the final inequality, the lower bound for
$\frac{\rho^{\prime}y}{\rho}$, we let $m=\frac{4}{4-3\gamma}$ and apply again
(3.117) to find
$\displaystyle\frac{\rho^{\prime}y}{\rho}+\frac{4}{(4-3\gamma)(2-\gamma)}=y^{-\frac{2(\gamma-1)}{2-\gamma}}\frac{P_{m}(y,\rho,\omega)}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}.$
(3.133)
If $y_{1}$ is the first point where
$\frac{\rho^{\prime}y}{\rho}=-\frac{4}{(4-3\gamma)(2-\gamma)}$, then
$P_{m}(y_{1})=0$, $P_{m}^{\prime}(y_{1})\leq 0$ and so, at $y_{1}$, by
(3.119), we have
$\displaystyle
P_{m}^{\prime}(y_{1})=\frac{y^{\frac{2}{2-\gamma}}}{y\omega}Q_{m}\Big{(}\omega(y_{1}),\frac{\gamma\rho(y_{1})^{\gamma-1}}{y_{1}^{2}}\Big{)}.$
Again, as $Q_{m}(\omega,\mathcal{R})$ is linear in $\mathcal{R}$ and
$0\leq\frac{\gamma\rho^{\gamma-1}}{y^{2}}\leq\omega^{2}$, we have
$Q_{m}\Big{(}\omega(y_{1}),\frac{\gamma\rho(y_{1})^{\gamma-1}}{y_{1}^{2}}\Big{)}\geq\min\big{\\{}Q_{m}(\omega(y_{1}),0),Q_{m}(\omega(y_{1}),\omega(y_{1})^{2})\big{\\}}<0$
by Lemma 3.4. This contradicts the assumption $P_{m}(y_{1})=0$, and hence we
have
$\frac{\rho^{\prime}y}{\rho}+\frac{4}{(4-3\gamma)(2-\gamma)}>0.$ (3.134)
∎
To show that the flow remains supersonic to the right, and hence the global
existence to the right, we need a slightly sharper upper bound on the
derivative of the density, provided by the following lemma.
###### Lemma 3.6.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$ and define
$R_{1}=\frac{\rho_{1}y_{*}}{\rho_{0}}$ as in Proposition 2.9. Let
$(\rho,\omega)$ be the extension of the unique LPH-type solution obtained from
Theorem 2.15 to $(y_{*},y_{\max}(y_{*}))$. Then there exists $\delta>0$ such
that, for any
$R>\max\\{R_{1},-\frac{2\gamma}{(2-\gamma)(\gamma+1)}-\delta\\}$, we retain
the inequality $\frac{\rho^{\prime}y}{\rho}<R$ on the whole of
$(y_{*},y_{\max})$.
###### Remark 3.7.
In effect, this says that if
$\frac{\rho_{1}y_{*}}{\rho_{0}}<-\frac{2\gamma}{(2-\gamma)(\gamma+1)}$, then
we retain $\frac{\rho^{\prime}y}{\rho}<-\frac{2\gamma}{(2-\gamma)(\gamma+1)}$
as long as the flow stays supersonic. If, on the other hand, we only have
$\frac{\rho_{1}y_{*}}{\rho_{0}}\geq-\frac{2\gamma}{(2-\gamma)(\gamma+1)}$,
then we will at least keep
$\frac{\rho^{\prime}y}{\rho}\leq\frac{\rho_{1}y_{*}}{\rho_{0}}$ as long as the
flow stays supersonic.
###### Proof.
Choose $\delta>0$ such that $\delta(2-\gamma)<\delta_{0}$ with $\delta_{0}$
the constant defined in Lemma 3.4 and let
$m\in(1,\frac{2\gamma}{\gamma+1}+\delta(2-\gamma))$ be such that
$R_{1}<-\frac{m}{2-\gamma}$. Applying again (3.117), we find
$\displaystyle\frac{\rho^{\prime}y}{\rho}+\frac{m}{2-\gamma}=y^{-\frac{2(\gamma-1)}{2-\gamma}}\frac{{P_{m}}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}},$
(3.135)
where
$P_{m}=-\frac{(4-m-2\gamma)}{2-\gamma}y^{\frac{2}{2-\gamma}}\omega^{2}-(\gamma-1)y^{\frac{2}{2-\gamma}}\big{(}\omega+(2-\gamma)\big{)}-\frac{m}{2-\gamma}\gamma\big{(}y^{\frac{2}{2-\gamma}}\rho\big{)}^{\gamma-1}+\frac{4\pi
y^{\frac{2}{2-\gamma}}\omega\rho}{4-3\gamma}.$
Suppose now that at $y_{1}$, $\frac{\rho^{\prime}y}{\rho}=-\frac{m}{2-\gamma}$
for the first time, so that ${P_{m}}(y)<0$ for all $y\in(y_{*},y_{1})$. By
Proposition 3.5 and Proposition A.1, as the flow is assumed supersonic, the
flow may be extended smoothly to the right of $y_{1}$, and hence is smooth at
$y_{1}$. Suppose now that at $y_{1}$,
$\frac{\rho^{\prime}y}{\rho}=-\frac{m}{2-\gamma}$ for the first time
(otherwise we are done). Then we must also have that
$P_{m}^{\prime}(y_{1})\geq 0$, $P_{m}(y_{1})=0$, and hence, at $y_{1}$, by
(3.119),
$\displaystyle
P_{m}^{\prime}(y_{1})=\frac{y^{\frac{2}{2-\gamma}}}{y\omega}Q_{m}$
$\displaystyle\Big{(}\omega(y_{1}),\frac{\gamma\rho(y_{1})^{\gamma-1}}{y_{1}^{2}}\Big{)}.$
Note that $Q_{m}(\omega,\mathcal{R})$ is linear in $\mathcal{R}$ and that, as
the flow is supersonic, we have always
$0\leq\frac{\gamma\rho^{\gamma-1}}{y^{2}}\leq\omega^{2}$. Then,
$Q_{m}\Big{(}\omega(y_{1}),\frac{\gamma\rho(y_{1})^{\gamma-1}}{y_{1}^{2}}\Big{)}\leq\max\big{\\{}Q_{m}(\omega(y_{1}),0),Q_{m}(\omega(y_{1}),\omega(y_{1})^{2})\big{\\}}.$
Applying Lemma 3.4, for $m\in[1,\frac{2\gamma}{\gamma+1}+\delta(2-\gamma)]$,
$\omega\in[\frac{4-3\gamma}{3},2-\gamma]$ this is strictly negative, leading
to the desired contradiction. ∎
With this, we may prove that the flow remains supersonic to the right for all
$y>y_{*}$, concluding the proof of existence to the right.
###### Lemma 3.8.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$. Then
$y_{\max}(y_{*})=\infty$, i.e. the unique LPH-type solution $(\rho,\omega)$ to
the right of $y_{*}$ obtained from Theorem 2.15 extends smoothly as a strictly
supersonic solution of (1.13) to the whole of $(y_{*},\infty)$.
###### Proof.
Let now
$S=y^{\frac{2}{2-\gamma}}\omega^{2}-\gamma\big{(}y^{\frac{2}{2-\gamma}}\rho\big{)}^{\gamma-1}.$
By Theorem 2.15, there exists $\delta>0$ such that $S>0$ on
$(y_{*},y_{*}+\delta]$.
By Proposition 3.5 and the local existence and uniqueness Proposition A.1, the
only obstruction to continuing the solution to the right is if strict
supersonicity fails.
Suppose for a contradiction that $y_{\max}(y_{*})<\infty$. Then there exists
$y_{0}\in(y_{*},y_{\max}]$ such that $\liminf_{y\to y_{0}^{-}}S(y)=0$ where
$S(y)>0$ on $(y_{*},y_{0})$. The flow is then smooth on $(y_{*},y_{0})$, but
may not extend smoothly up to $y_{0}$.
A simple calculation using (3.114)–(3.116) shows that, for all
$y\in(y_{*},y_{0})$,
$\displaystyle S^{\prime}(y)=$
$\displaystyle\,y^{\frac{2}{2-\gamma}}\Big{(}2\omega\frac{(4-3\gamma)(1-\frac{\omega}{2-\gamma})}{y}-\frac{2}{2-\gamma}\frac{\omega^{2}}{y}-2\frac{\omega^{2}\rho^{\prime}}{\rho}\Big{)}$
$\displaystyle-(\gamma-1)\gamma\big{(}y^{\frac{2}{2-\gamma}}\rho\big{)}^{\gamma-2}y^{\frac{2}{2-\gamma}}\big{(}\rho^{\prime}+\frac{2}{2-\gamma}\frac{\rho}{y}\big{)}$
$\displaystyle=$
$\displaystyle\,y^{\frac{2}{2-\gamma}}\Big{(}2\omega\frac{(4-3\gamma)(1-\frac{\omega}{2-\gamma})}{y}-\frac{2}{2-\gamma}\frac{\omega^{2}}{y}-2\frac{\omega^{2}\rho^{\prime}}{\rho}\Big{)}$
$\displaystyle+(\gamma-1)(S-y^{\frac{2}{2-\gamma}}\omega^{2})\big{(}\frac{\rho^{\prime}}{\rho}+\frac{2}{2-\gamma}\frac{1}{y}\big{)}.$
Rearranging this identity, we obtain
$\displaystyle S^{\prime}(y)=$
$\displaystyle\,y^{\frac{2}{2-\gamma}}\Big{(}2\omega\frac{(4-3\gamma)(1-\frac{\omega}{2-\gamma})}{y}-\frac{2}{2-\gamma}\frac{\omega^{2}}{y}-2\omega^{2}\frac{\rho^{\prime}}{\rho}-(\gamma-1)\omega^{2}\frac{\rho^{\prime}}{\rho}-2\frac{(\gamma-1)}{2-\gamma}\frac{\omega^{2}}{y}\Big{)}$
(3.136)
$\displaystyle+S(y)(\gamma-1)\big{(}\frac{\rho^{\prime}}{\rho}+\frac{2}{2-\gamma}\frac{1}{y}\big{)}$
$\displaystyle=$ $\displaystyle\,y^{\frac{2}{2-\gamma}-1}\omega
F(\omega,\frac{\rho^{\prime}y}{\rho})+S(y)(\gamma-1)\big{(}\frac{\rho^{\prime}}{\rho}+\frac{2}{2-\gamma}\frac{1}{y}\big{)},$
where
$F(\omega,R):=2(4-3\gamma)\big{(}1-\frac{\omega}{2-\gamma}\big{)}-\omega(\gamma+1)\big{(}R+\frac{2\gamma}{(2-\gamma)(\gamma+1)}\big{)}.$
As the flow is smooth (analytic) through $y_{*}$ by construction, then this
identity also holds at $y_{*}$, where $S(y_{*})=0$. In particular, this gives
us the inequality
$F(\omega_{0},R_{1})=\delta^{*}>0,$ (3.137)
where we have defined, as usual, $R_{1}=\frac{\rho_{1}y_{*}}{\rho_{0}}$. We
distinguish now two cases: $R_{1}<-\frac{2\gamma}{(2-\gamma)(\gamma+1)}$ and
$R_{1}\geq-\frac{2\gamma}{(2-\gamma)(\gamma+1)}$.
Case 1: Suppose that $R_{1}<-\frac{2\gamma}{(2-\gamma)(\gamma+1)}$. Then, by
Lemma 3.6, there exists $\delta>0$ such that
$\frac{\rho^{\prime}y}{\rho}\leq-\frac{2\gamma}{(2-\gamma)(\gamma+1)}-\delta\text{
for all }y\in(y_{*},y_{0}).$
Thus as we have also $\frac{4-3\gamma}{3}<\omega<2-\gamma$, we obtain
$F(\omega,\frac{\rho^{\prime}y}{\rho})\geq\omega(\gamma+1)\delta\geq\delta\frac{(\gamma+1)(4-3\gamma)}{3}=:\tilde{\delta}>0.$
By the estimates of Proposition 3.5, there exists $M>0$, depending only on
$y_{*}$, $y_{0}$ and $\gamma$, such that, for all $y\in(y_{*},y_{0})$,
$y^{1-\frac{2}{2-\gamma}}\omega^{-1}\Big{|}(\gamma-1)\big{(}\frac{\rho^{\prime}}{\rho}+\frac{2}{2-\gamma}\frac{1}{y}\big{)}\Big{|}\leq
M.$
Thus, if $S(y)\leq\frac{\tilde{\delta}}{2M}$, we obtain from (3.136)
$S^{\prime}(y)>0$, contradicting $\liminf_{y\to y_{0}}S(y)=0$.
Case 2: Suppose now that $R_{1}\geq-\frac{2\gamma}{(2-\gamma)(\gamma+1)}$. By
Proposition 2.9, this forces $\gamma\leq\frac{10}{9}$. As $\rho^{\prime}<0$ by
Proposition 3.5, we know that on $(y_{*}+\bar{\nu},y_{0})$ ($\bar{\nu}$ taken
as in Lemma 3.1), we have $\rho<\rho_{0}-\delta$ for some small $\delta>0$. By
Lemma 2.1 (compare also Figure 3), there exists $\bar{\varepsilon}>0$,
depending on $\delta$, $\rho_{0}$ and $\gamma\leq\frac{10}{9}$, such that if
$0\leq h(\rho,\omega)<\bar{\varepsilon}$, $\omega>\frac{4-3\gamma}{3}$ and
$\rho<\rho_{0}-\delta$, then $\omega<\omega_{0}$. Here $h(\rho,\omega)$ is as
defined above in (1.20).
By Proposition 3.5, we have a bound $M>0$, depending only on $y_{*}$, $y_{0}$
and $\gamma$, such that
$\big{|}\frac{\rho^{\prime}}{y\rho}\big{|}+y^{1-\frac{2}{2-\gamma}}\omega^{-1}\Big{|}(\gamma-1)\big{(}\frac{\rho^{\prime}}{\rho}+\frac{2}{2-\gamma}\frac{1}{y}\big{)}\Big{|}\leq
M\text{ on }(y_{*},y_{0}).$ (3.138)
Let $\varepsilon>0$ be such that $\varepsilon
M<\min\\{\delta^{*},\bar{\varepsilon}\\}$. As $S$ is differentiable on
$(y_{*},y_{0})$, there exists $y_{1}\in(y_{*},y_{0})$ such that
$S^{\prime}(y_{1})\leq 0\text{ and }S(y_{1})=\varepsilon.$
From the first equation of (1.21), we obtain
$\big{|}h(\rho(y_{1}),\omega(y_{1}))\big{|}=\Big{|}-S(y_{1})\frac{\rho^{\prime}(y_{1})}{y_{1}\rho(y_{1})}\Big{|}\leq\varepsilon
M<\bar{\varepsilon}.$
Thus, by construction of $\bar{\varepsilon}$, we also obtain
$\omega(y_{1})<\omega_{0}$.
We use Lemma 3.6 to see that $\frac{\rho^{\prime}y}{\rho}\leq R_{1}$ on
$(y_{*},y_{0})$ and so, noting that $\frac{\partial}{\partial
R}F(\omega,R)<0$, we have
$F(\omega(y_{1}),\frac{\rho^{\prime}(y_{1})y_{1}}{\rho(y_{1})})\geq
F(\omega(y_{1}),R_{1})$. Now as
$R_{1}\geq-\frac{2\gamma}{(2-\gamma)(\gamma+1)}$, it is clear from the
definition of $F$ that $\frac{\partial}{\partial\omega}F(\omega,R_{1})<0$, and
so, as $\omega(y_{1})<\omega_{0}$, we obtain
$F(\omega(y_{1}),\frac{\rho^{\prime}(y_{1})y_{1}}{\rho(y_{1})})\geq
F(\omega(y_{1}),R_{1})>F(\omega_{0},R_{1})=\delta^{*}>0,$
and so, using (3.138) and $\varepsilon M<\delta^{*}$ in (3.136), we find
$S^{\prime}(y_{1})>0$, a contradiction to the definition of $y_{1}$. ∎
###### Lemma 3.9 (Asymptotics).
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$. Then the local LPH-
type solution $(\rho,\omega)$ obtained from Theorem 2.15 may be extended to
the right as a Yahil-type solution of (1.13) on the whole interval
$[y_{*},\infty)$.
Moreover, as $y\to\infty$, the asymptotics of $(\rho,\omega)$ are as follows.
There exist constants $\bar{k}_{1}>0$ and $\bar{k}_{2}>0$ such that
$y^{\frac{1}{2-\gamma}}(2-\gamma-\omega(y))\to\bar{k}_{1},\quad
y^{\frac{2}{2-\gamma}}\rho(y)\to\bar{k}_{2}\quad\text{ as }y\to\infty.$
###### Proof.
The global existence to the right follows from Proposition 3.5 and Lemma 3.8,
while the negativity of $u(y)$ follows directly from the bounds
$\frac{4-3\gamma}{3}<\omega(y)<2-\gamma$.
We begin by showing the asymptotics for $\omega$. Recall from (3.129) the
identity
$\displaystyle\big{(}2$ $\displaystyle-\gamma-\omega\big{)}^{\prime}=$ (3.139)
$\displaystyle-\frac{\frac{4-3\gamma}{2-\gamma}\big{(}2-\gamma-\omega\big{)}}{y}-\frac{\omega}{y}\frac{(\gamma-1)y^{2}\big{(}\frac{2\omega}{2-\gamma}+1\big{)}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}(2-\gamma-\omega)+\frac{\omega}{y}\frac{\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}.$
From Lemma 3.6 and the initial estimate
$\frac{y_{*}\rho_{1}}{\rho_{0}}<-\frac{1}{2-\gamma}$, we see that there exists
$\epsilon>0$ such that
$\rho^{\prime}\leq\big{(}-\frac{1}{2-\gamma}-\epsilon\big{)}\frac{\rho}{y}$.
As also $\rho>0$, we easily see that
$0<\rho(y)\leq Cy^{-\frac{1}{2-\gamma}-\epsilon},$
and so, for $y$ large, we may estimate
$\Big{|}\frac{\omega}{y}\frac{\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}\Big{|}\leq
Cy^{-1-\frac{1}{2-\gamma}-\epsilon}.$
We re-write the middle term of (3.139) as
$\displaystyle-\frac{\omega}{y}$
$\displaystyle\frac{(\gamma-1)y^{2}\big{(}\frac{2\omega}{2-\gamma}+1\big{)}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}(2-\gamma-\omega)$
$\displaystyle=$
$\displaystyle\,-\frac{1}{y}(\gamma-1)\big{(}\frac{2}{2-\gamma}+\frac{1}{\omega}\big{)}(2-\gamma-\omega)+\frac{\omega}{y}\frac{\gamma\rho^{\gamma-1}(\gamma-1)y^{2}\big{(}\frac{2\omega}{2-\gamma}+1\big{)}}{y^{2}\omega^{2}(y^{2}\omega^{2}-\gamma\rho^{\gamma-1})}(2-\gamma-\omega)$
$\displaystyle=$
$\displaystyle\,-\frac{\frac{3(\gamma-1)}{2-\gamma}(2-\gamma-\omega)}{y}-\frac{(\gamma-1)(2-\gamma-\omega)^{2}}{y\omega(2-\gamma)}+O\big{(}y^{-3-\frac{1}{2-\gamma}}\big{)}.$
Thus, we find
$\displaystyle\big{(}2$
$\displaystyle-\gamma-\omega\big{)}^{\prime}\leq-\frac{\frac{1}{2-\gamma}(2-\gamma-\omega)}{y}+Cy^{-1-\frac{1}{2-\gamma}-\epsilon},$
leading to the desired estimate
$0<2-\gamma-\omega(y)\leq Cy^{-\frac{1}{2-\gamma}},$
as claimed. With this quantitative decay established, it is easier to see that
this decay is also sharp by using this estimate to treat the quadratic term in
$(2-\gamma-\omega)$ as higher order and so obtain a lower bound of the same
form: $2-\gamma-\omega\geq cy^{-\frac{1}{2-\gamma}}$. Indeed, we easily see
that the quantity
$\big{(}y^{\frac{1}{2-\gamma}}(2-\gamma-\omega)\big{)}^{\prime}$ is integrable
as $y\to\infty$, giving the existence of $\bar{k}_{1}$ as claimed.
Treating now $\rho$, we see from (3.128) that
$\displaystyle\big{(}y^{\frac{2}{2-\gamma}}\rho\big{)}^{\prime}=$
$\displaystyle\,\frac{y^{\frac{2}{2-\gamma}}\rho}{y}\big{(}\frac{\rho^{\prime}y}{\rho}+\frac{2}{2-\gamma}\big{)}$
$\displaystyle=$
$\displaystyle\,\frac{y^{\frac{2}{2-\gamma}}\rho}{y}\Big{(}\frac{-(\gamma-1)y^{2}\big{(}\frac{2\omega}{2-\gamma}+1\big{)}(2-\gamma-\omega)+\frac{4\pi
y^{2}\omega\rho}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}}{y^{2}\omega^{2}-\gamma\rho^{\gamma-1}}\Big{)}$
and the asymptotics just obtained for $2-\gamma-\omega$ and $\rho$ immediately
yield that $y^{\frac{2}{2-\gamma}}\rho$ remains bounded as $y\to\infty$. In
particular, the right hand side of this identity is integrable as
$y\to\infty$, giving the claimed convergence of $y^{\frac{2}{2-\gamma}}\rho$.
∎
###### Lemma 3.10.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$, and let
$(\rho,\omega)$ be the global Yahil-type solution to the right of (1.13)
obtained as the extension of the LPH-type solution from Theorem 2.15. Then the
solution remains monotone (strictly monotone for $y_{*}>y_{f}$) in both $\rho$
and $\omega$.
###### Proof.
In the case $y_{*}=y_{f}$, we know that the solution to the right is exactly
the far-field solution
$(\rho_{f},\omega_{f})=(ky^{-\frac{2}{2-\gamma}},2-\gamma)$. We therefore need
only to consider the case $y_{*}>y_{f}$ for which $\omega^{\prime}(y_{*})>0$.
Moreover, by the estimate $\rho^{\prime}<-\frac{1}{2-\gamma}\frac{y}{\rho}$ of
Proposition 3.5 above, we have $\rho^{\prime}<0$ for all $y>y_{*}$. It remains
only to show that we retain also $\omega^{\prime}(y)>0$.
Suppose now that there exists a point $y_{0}>y_{*}$ such that
$\omega^{\prime}(y_{0})=0$. Then, from (1.13), we have
$\frac{4-3\gamma-3\omega(y_{0})}{y_{0}}=\frac{y_{0}\omega(y_{0})h(y_{0})}{G(y_{0})}.$
(3.140)
Differentiating $h(\rho,\omega)$, we obtain
$\displaystyle\frac{\operatorname{d}\\!}{\operatorname{d}\\!y}h(\rho,\omega)=$
$\displaystyle\,4\omega\omega^{\prime}+(\gamma-1)\omega^{\prime}-\frac{4\pi}{4-3\gamma}\omega\rho^{\prime}-\frac{4\pi}{4-3\gamma}\rho\omega^{\prime}$
(3.141) $\displaystyle=$
$\displaystyle\,2\omega\omega^{\prime}-\frac{4\pi}{4-3\gamma}\omega\rho^{\prime}+\frac{h(\rho,\omega)-(\gamma-1)(2-\gamma)}{\omega}\omega^{\prime}$
$\displaystyle=$
$\displaystyle\,\big{(}2\omega^{2}-(\gamma-1)(2-\gamma)+h(\rho,\omega)\big{)}\frac{4-3\gamma-3\omega}{y\omega}-y\frac{h(4\omega^{2}+(\gamma-1)\omega)}{G(\rho,\omega,y)}.$
Thus, at $y_{0}$,
$\displaystyle h^{\prime}(y_{0})=$
$\displaystyle\,-\frac{4\pi}{4-3\gamma}\omega\rho^{\prime}=-\frac{4\pi}{4-3\gamma}\frac{y\rho\omega
h}{G}.$ (3.142)
Arguing directly, we differentiate $G$ to obtain
$\displaystyle G^{\prime}=$
$\displaystyle\,(\gamma-1)\gamma\rho^{\gamma-2}\rho^{\prime}-2y\omega^{2}-2y^{2}\omega\omega^{\prime}$
$\displaystyle=$
$\displaystyle\,(\gamma-1)\gamma\rho^{\gamma-1}\frac{yh}{G}-2y\omega^{2}-2y^{2}\omega\omega^{\prime}.$
Thus, at $y_{0}$,
$\displaystyle G^{\prime}(y_{0})=$
$\displaystyle\,(\gamma-1)\gamma\rho^{\gamma-1}\frac{4-3\gamma-3\omega}{y\omega}-2y\omega^{2}.$
(3.143)
We now further differentiate the second equation of (1.21) to obtain
$\displaystyle\omega^{\prime\prime}=$
$\displaystyle\,-\frac{3\omega^{\prime}}{y}-\frac{4-3\gamma-3\omega}{y^{2}}-\frac{\omega
h}{G}-\frac{y\omega^{\prime}h}{G}-\frac{y\omega h^{\prime}}{G}+\frac{y\omega
hG^{\prime}}{G^{2}}.$
Hence, at $y_{0}$, we find
$\displaystyle\omega^{\prime\prime}(y_{0})=-\frac{4-3\gamma-3\omega}{y^{2}}-\frac{\omega
h}{G}-\frac{y\omega h^{\prime}}{G}+\frac{y\omega
hG^{\prime}}{G^{2}}=-2\frac{\omega h}{G}-\frac{y\omega
h^{\prime}}{G}+\frac{y\omega hG^{\prime}}{G^{2}},$
where we have used (3.140) in the second equality. Substituting (3.142) into
the second term and (3.143) into the third term, we get
$\displaystyle\omega^{\prime\prime}(y_{0})=$ $\displaystyle\,-\frac{2\omega
hG}{G^{2}}+\frac{\frac{4\pi}{4-3\gamma}y^{2}\omega^{2}\rho
h}{G^{2}}+\frac{y\omega
h\big{(}(\gamma-1)\gamma\rho^{\gamma-1}\frac{4-3\gamma-3\omega}{y\omega}-2y\omega^{2}\big{)}}{G^{2}}$
$\displaystyle\geq$ $\displaystyle\,\frac{\omega
h}{G^{2}}\Big{(}2(y^{2}\omega^{2}-\gamma\rho^{\gamma-1})+y^{2}\big{(}2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma)\big{)}$
$\displaystyle\quad+(\gamma-1)\gamma\rho^{\gamma-1}\frac{4-3\gamma-3\omega}{\omega}-2y^{2}\omega^{2}\Big{)},$
where we have used that $h>0$ (from $\rho^{\prime}<0$) to obtain
$\frac{4\pi}{4-3\gamma}\rho\omega>2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma)$.
Grouping terms, we then find
$\displaystyle\omega^{\prime\prime}(y_{0})\geq$ $\displaystyle\,\frac{\omega
h}{G^{2}}\Big{(}y^{2}\omega^{2}\big{(}2+\frac{\gamma-1}{\omega}+\frac{(\gamma-1)(2-\gamma)}{\omega^{2}}\big{)}+\gamma\rho^{\gamma-1}\big{(}-2+(\gamma-1)\frac{4-3\gamma-3\omega}{\omega}\big{)}\Big{)}$
$\displaystyle\geq$ $\displaystyle\,\frac{\omega
h}{G^{2}}y^{2}\omega^{2}\Big{(}2+\frac{\gamma-1}{\omega}+\frac{(\gamma-1)(2-\gamma)}{\omega^{2}}-2+(\gamma-1)\frac{4-3\gamma-3\omega}{\omega}\Big{)},$
where we have used that $\gamma\rho^{\gamma-1}<y^{2}\omega^{2}$ and
$-2+(\gamma-1)\frac{4-3\gamma-3\omega}{\omega}<0$ for
$\omega\in(\frac{4-3\gamma}{3},2-\gamma)$. Thus,
$\displaystyle\omega^{\prime\prime}(y_{0})\geq$
$\displaystyle\,\frac{y^{2}\omega
h}{G^{2}}\Big{(}(\gamma-1)\omega+(\gamma-1)(2-\gamma)+(\gamma-1)(4-3\gamma-3\omega)\omega\Big{)}>0$
for all $\omega\in(\frac{4-3\gamma}{3},2-\gamma)$ (indeed, one easily checks
that the roots of the quadratic on the right are $-\frac{1}{3}$ and $2-\gamma$
while the coefficient of the quadratic term is negative), a contradiction to
$\omega^{\prime}(y_{0})=0$. ∎
## 4 Solution to the left of the sonic point
To construct a global solution to (1.13), we now need to solve to the left of
the sonic point. This is the core of the construction of the global self-
similar solution and is the most challenging part of the proof analytically.
We develop an ad hoc shooting method, varying the sonic time $y_{*}$ as our
shooting parameter, to find a critical $\bar{y}_{*}$ for which the associated,
local, LPH-type solution given by Theorem 2.15 can be extended smoothly up to
the origin without meeting a second sonic point.
To proceed with this shooting argument, we partition the set of sonic times
into three parts, defined by the relation of the associated $\omega(y;y_{*})$
to the Friedman solution $\omega_{F}\equiv\frac{4-3\gamma}{3}$. The key set of
values $y_{*}$ is those for which $\omega(\cdot;y_{*})$ intersects
$\omega_{F}$ before a second sonic point occurs, which we call $\mathcal{Y}$
(see definition below). As we expect a global solution to agree with the
Friedman solution only at the origin, we find the critical $\bar{y}_{*}$ which
leads to the global solution as the infimum of a connected component of
$\mathcal{Y}$.
Throughout the section, the functions
$(\rho(\cdot;y_{*}),\omega(\cdot;y_{*}))$ will be taken to refer to the
extension of the unique LPH-type solution obtained from Theorem 2.15 as a
solution of (1.13).
Following the strategy of [11], we can first define the sonic time and then
partition the set $[y_{f},y_{F}]$ as follows.
###### Definition 4.1 (Sonic time, $\mathcal{X},\mathcal{Y},\mathcal{Z}$).
$s(y_{*})=\inf\\{y\in(0,y_{*})\,|\,(\rho(\cdot;y_{*}),\omega(\cdot;y_{*}))\text{
extends onto }(y,y_{*}]\text{ and
}\gamma\rho(y;y_{*})^{\gamma-1}-y^{2}\omega(y;y_{*})^{2}>0\\}$ (4.144)
and then the following sets:
$\displaystyle\mathcal{X}=$
$\displaystyle\,\\{y_{*}\in[y_{f},y_{F})\,|\,\inf_{y\in(s(y_{*}),y_{*})}\omega(y;y_{*})>\frac{4-3\gamma}{3}\\},$
(4.145) $\displaystyle\mathcal{Y}=$
$\displaystyle\,\\{y_{*}\in[y_{f},y_{F})\,|\,\text{ there exists
}y\in(s(y_{*}),y_{*})\text{ such that
}\omega(y;y_{*})=\frac{4-3\gamma}{3}\\},$ $\displaystyle\mathcal{Z}=$
$\displaystyle\,\\{y_{*}\in[y_{f},y_{F})\,|\,\omega(y;y_{*})>\frac{4-3\gamma}{3}\text{
for all }y\in(s(y_{*}),y_{*})\text{ and
}\inf_{y\in(s(y_{*}),y_{*})}\omega(y;y_{*})\leq\frac{4-3\gamma}{3}\\},$
as well as the fundamental set
$Y=\\{y_{*}\in[y_{f},y_{F})\,|\,\text{for all
}\tilde{y}_{*}\in[y_{*},y_{F}),\text{ there exists
}y\in(s(\tilde{y}_{*}),\tilde{y}_{*})\text{ such that
}\omega(y;y_{*})=\frac{4-3\gamma}{3}\\}.$ (4.146)
Finally, we define the value
$\bar{y}_{*}=\inf Y.$ (4.147)
Note that $y_{f}\in\mathcal{X}$ as
$(\rho(\cdot;y_{f}),\omega(\cdot;y_{f}))=(\rho_{f},\omega_{f})$.
###### Remark 4.2.
The unique extension of the local, unique LPH-type solution onto
$(s(y_{*}),y_{*})$ can be thought of as a maximal extension of the solution
obtained by Theorem 2.15, and for the rest of this section, we will take the
solution $(\rho(\cdot;y_{*}),\omega(\cdot;y_{*}))$ of (1.13) to be defined on
this maximal interval.
To show that the solution associated to $\bar{y}_{*}$ can be extended to the
origin to give a global solution, we require a number of further properties.
First, we will show various continuity properties along the flow, a priori
bounds away from the sonic time, upper semi-continuity of the sonic time and
the openness of $\mathcal{Y}$. Next, we will demonstrate some basic invariant
regions that hold as $y$ decreases. The key insight that will allow us to show
the global existence of the solution is that, for $y_{*}\in Y$, the solution
$\omega(\cdot;y_{*})$ must remain monotone as $y$ decreases until $\omega$
meets the Friedman value $\frac{4-3\gamma}{3}$. By propagating this property
along ${Y}$ to $\bar{y}_{*}$ in the key Proposition 4.14, we are able to show
that no second sonic point forms in the solution from $\bar{y}_{*}$, and hence
the solution may be extended to the origin. In the final part of this section,
we also conclude that the global solution indeed takes the value
$\omega(0)=\frac{4-3\gamma}{3}$ at the origin and that the density remains
bounded globally.
### 4.1 Continuity properties
We first show the simple positivity of the density to the left of the sonic
point.
###### Lemma 4.3.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$ and let
$(\rho,\omega)$ be the associated unique LPH-type solution on
$(s(y_{*}),y_{*})$. Then $\rho(y)>0$ for all $y\in(s(y_{*}),y_{*})$.
###### Proof.
From the first equation of (1.21), we rearrange to find
$\big{(}\log\rho\big{)}^{\prime}=\frac{yh(\rho,\omega)}{G(y;\rho,\omega)}.$
For any $y_{1}\in(s(y_{*}),y_{*}-\nu)$, where $\nu$ is as in Theorem 2.15, we
know that as the solution exists, is continuous, and $G>0$ on the closed
interval $[y_{1},y_{*}-\nu]$, we have a bound
$\Big{|}\frac{yh(\rho,\omega)}{G(y;\rho,\omega)}\Big{|}\leq C,$
where $C$ may depend on $y_{1}$, $y_{*}$ etc., and so, integrating, we see
that on $[y_{1},y_{*}-\nu]$, $\log\rho$ remains bounded, and hence $\rho>0$.
As $y_{1}\in(s(y_{*}),y_{*}-\nu)$ was arbitrary, we conclude that $\rho>0$
holds on the whole interval $(s(y_{*}),y_{*})$. ∎
###### Lemma 4.4.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$ and let
$(\rho,\omega)$ be the associated unique LPH-type solution on
$(s(y_{*}),y_{*})$ Then, if there exists $y_{0}\in(s(y_{*}),y_{*})$ such that
$\omega(y_{0})=0$, we have that
$\omega(y)<0\text{ and }\rho(y)<\rho(y_{0})\text{ for all
}y\in(s(y_{*}),y_{0}).$ (4.148)
###### Proof.
For any $y_{0}\in(s(y_{*}),y_{*})$ such that $\omega(y_{0})=0$, the second
equation of (1.21) gives $\omega^{\prime}(y_{0})=\frac{4-3\gamma}{y}>0$, which
is only possible if $\omega>0$ on an interval to the right of $y_{0}$. On the
other hand, if there exists $y_{0}\in(s(y_{*}),y_{*})$ such that
$\omega(y_{0})=0$, then as $\omega(y)<0$ for all $y\in(s(y_{*}),y_{0})$, we
obtain for all such $y$ that
$h(\rho,\omega)=2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma)-\frac{4\pi}{4-3\gamma}\rho\omega>0,$
where we have used that the quadratic function
$2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma)>0$ for all
$\omega\in\mathbb{R}$ and $\rho>0$. Thus, from the first equation of (1.21),
we have $\rho^{\prime}>0$ on $(s(y_{*}),y_{0})$ and so $\rho(y)<\rho(y_{0})$
on the whole interval. ∎
We begin by establishing some a priori estimates on the solution to the left
as long as it remains subsonic, i.e., as long as we remain on the interval
$(s(y_{*}),y_{*})$.
###### Lemma 4.5.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$ and let
$(\rho,\omega)$ be the associated unique LPH-type solution on
$(s(y_{*}),y_{*})$. Let $\alpha>\frac{4-3\gamma}{\gamma-1}>0$. Then there
exists $C>0$, depending on $\gamma$ and $\alpha$ but independent of
$y_{*}\in[y_{f},y_{F}]$, such that the solution $(\rho,\omega)$ satisfies the
a priori bounds
$\displaystyle\rho(y)<\frac{C}{y^{3+\alpha}},$ (4.149)
$\displaystyle|\omega(y)|\leq\frac{1}{y}\sqrt{\gamma}\frac{C^{\frac{\gamma-1}{2}}}{y^{\frac{(3+\alpha)(\gamma-1)}{2}}}.$
(4.150)
###### Proof.
Throughout the proof, constants will appear depending continuously on
$\rho_{0}$, $\omega_{0}$ and $y_{*}$. We will use the continuous dependence
with respect to $y_{*}\in[y_{f},y_{F}]$ of these parameters to make the
dependence on $\gamma$ only.
Step 1: Prove (4.150) assuming (4.149).
To prove the a priori bounds on $\omega$, we observe that it suffices to prove
the claimed upper bound (4.149) for $\rho$ on $(s(y_{*}),y_{*})$ as the
condition $G(y;\rho,\omega)>0$ then yields the simple bound
$|\omega(y)|\leq\frac{\sqrt{\gamma}\rho^{\frac{\gamma-1}{2}}}{y}$
which gives the claimed bound for $\omega$ of (4.150).
Step 2: Prove (4.149) in the region $\\{\omega\leq 0\\}$.
We first note that
$(\rho\omega)^{\prime}=\frac{4-3\gamma-3\omega}{y}\rho,$ (4.151)
which follows easily from (3.113). From here we see that for any
$y\in(s(y_{*}),y_{*})$, we have
$(\rho\omega)^{\prime}+\frac{3}{y}\rho\omega=\frac{4-3\gamma}{y}\rho>0,$
where we have used Lemma 4.3. We easily deduce $(y^{3}\rho\omega)^{\prime}>0$
and thus
$(\rho\omega)(y)<\frac{\rho_{0}\omega_{0}y_{*}^{3}}{y^{3}}.$ (4.152)
By Lemma 4.4, the region $\\{\omega\leq 0\\}$ is invariant under the dynamics
of the flow to the left and, if there exists $y_{1}\in(s(y_{*}),y_{*})$ such
that $\omega(y_{1})=0$, then $\rho(y)<\rho(y_{1})$ on the whole interval
$(s(y_{*}),y_{1})$. It is therefore sufficient to prove that (4.149) holds on
the interval $[y_{0},y_{*}-\nu]$, where
$y_{0}=\inf\\{y\in(s(y_{*}),y_{*})\,|\,\omega(y)>0\text{ on }(y,y_{*})\\}.$
Step 3: Conclude the bound (4.149) for $\rho$ on the remaining region,
$\\{\omega>0\\}$.
Let $\delta\in(0,\frac{4-3\gamma}{3})$ be fixed (and small). Then on the set
$\\{\omega\geq\delta y^{\alpha}\\}$, where $\delta$ and $\alpha>0$ are to be
chosen later, we have from (4.152)
$\rho(y)<\frac{\rho_{0}\omega_{0}y_{*}^{3}}{\delta
y^{3+\alpha}}=:C_{*}\frac{1}{\delta}y^{-(3+\alpha)}.$ (4.153)
By continuity of the flow away from the sonic point, the set
$A=\\{y\in(s(y_{*}),y_{*})\,|\,\omega(y)\in(0,\delta
y^{\alpha}),\>\rho(y)>\frac{1}{2}\frac{C_{*}}{\delta}y^{-(3+\alpha)}\\}$
is an open subset of $(y_{0},y_{*})$. If $A$ is empty, we are done. Suppose
$A$ is not empty. It may therefore be written as a (possibly countable) union
of disjoint, non-empty, open intervals. Taking such an interval,
$(y_{1},y_{2})$, note that by the invariance of the set $\\{\omega\leq 0\\}$,
we cannot have $\omega(y_{2})=0$. We must therefore have either
$\omega(y_{2})=\delta y_{2}^{\alpha}$ (in which case (4.153) applies) or
$\rho(y_{2})=\frac{1}{2}\frac{C_{*}}{\delta}y_{2}^{-(3+\alpha)}$ and hence, in
either case,
$\frac{C_{*}}{2\delta}y_{2}^{-(3+\alpha)}\leq\rho(y_{2})<\frac{C_{*}}{\delta}y_{2}^{-(3+\alpha)}.$
(4.154)
For $\delta$ sufficiently small, depending only on $\gamma$ and $\alpha$, on
$(y_{1},y_{2})$, we have
$\frac{\gamma}{2}\rho^{\gamma-1}\leq
G(y;\rho,\omega)\leq\gamma\rho^{\gamma-1}\text{ and
}h(\rho,\omega)\geq-\frac{4\pi}{4-3\gamma}\rho\omega\geq-\frac{4\pi}{4-3\gamma}\delta
y^{\alpha}\rho.$
Therefore, from the first equation of (1.21), we have the lower bound
$\rho^{\prime}\geq-\frac{\delta}{\gamma}\frac{8\pi}{4-3\gamma}y^{\alpha+1}\rho^{3-\gamma}=:-c_{*}\delta
y^{\alpha+1}\rho^{3-\gamma}\text{ on }(y_{1},y_{2}).$
Rearranging and integrating this differential inequality leads to
$\rho(y)^{-(2-\gamma)}\geq\rho(y_{2})^{-(2-\gamma)}-\frac{\delta
c_{*}(2-\gamma)}{2+\alpha}y_{2}^{2+\alpha}+\frac{\delta
c_{*}(2-\gamma)}{2+\alpha}y^{2+\alpha}\text{ for all }y\in(y_{1},y_{2}).$
(4.155)
Note now that, by (4.154), $\rho(y_{2})$ satisfies
$C_{1}\delta^{2-\gamma}y_{2}^{(3+\alpha)(2-\gamma)}\leq\rho(y_{2})^{-(2-\gamma)}\leq
C_{2}\delta^{2-\gamma}y_{2}^{(3+\alpha)(2-\gamma)},$
for some constants $C_{1}$, $C_{2}>0$ depending only on $\gamma$ (where we
have used that the constant $C_{*}$ depends continuously on
$y_{*}\in[y_{f},y_{F}]$ to remove dependence on $y_{*}$). Noting that
$2-\gamma<1$ so that $\delta\ll\delta^{2-\gamma}$ for $\delta\ll 1$, we now
choose $\alpha>\frac{4-3\gamma}{\gamma-1}>0$ so that
$(3+\alpha)(2-\gamma)<2+\alpha$, and hence, provided $\delta$ was chosen small
(depending on $\gamma$, $\alpha$), we have
$\rho(y_{2})^{-(2-\gamma)}-\frac{\delta
c_{*}(2-\gamma)}{2+\alpha}y_{2}^{2+\alpha}\geq\frac{1}{2}\rho(y_{2})^{-(2-\gamma)}.$
Thus, returning to (4.155), we obtain, for all $y\in(y_{1},y_{2})$,
$\rho(y)\leq\Big{(}\frac{1}{2}\rho(y_{2})^{-(2-\gamma)}\Big{)}^{-\frac{1}{2-\gamma}}\leq
C\delta^{-1}y_{2}^{-(3+\alpha)}\leq C\delta^{-1}y^{-(3+\alpha)},$
which yields the desired claim as the obtained estimate is independent of the
choice of component $(y_{1},y_{2})$ and $C$ depends on $\gamma$ and $\alpha$
only. ∎
The following lemma allows us to extend any solution further to the left from
a point $y_{0}\in(0,y_{*})$ provided the solution is uniformly subsonic, i.e.,
$G(y;\rho,\omega)\geq\eta>0$. Moreover, the time that we may extend by depends
only on $y_{0}$ and $\eta$.
###### Lemma 4.6.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$ and let
$(\rho,\omega)$ be the associated unique LPH-type solution on
$(s(y_{*}),y_{*})$. Suppose that, for some $y_{0}\in(s(y_{*}),y_{*}-\nu)$, we
have $G(y;\rho,\omega)\geq\eta>0$ for all $y\in[y_{0},y_{*}-\nu]$. Then there
exists $\tau>0$, depending only on $\gamma$, $y_{0}$ and $\eta$, such that the
solution may be extended onto the interval $[y_{0}-\tau,y_{*}]$ while
remaining subsonic, i.e., $s(y_{*})\leq y_{0}-\tau$. Moreover, on the extended
region, $[y_{0}-\tau,y_{0}]$, we retain the inequalities
$\displaystyle C_{\eta}\leq\rho\leq M,\quad|\omega|\leq M,\quad
G(y;\rho,\omega)\geq\frac{1}{2}\eta,$ (4.156)
where $C_{\eta}$ and $M$ depend only on $\gamma$, $y_{0}$ and $\eta$.
###### Proof.
By Lemma 4.5, we have a constant $M>0$, depending only on $\gamma$ and $y_{0}$
such that
$0<\rho\leq\frac{1}{2}M,\quad|\omega|\leq\frac{1}{2}M\text{ on
}[y_{0},y_{*}-\nu].$
As $G(y;\rho,\omega)\geq\eta$ on the whole interval, we make the trivial
estimate
$\rho\geq\frac{1}{\gamma}\Big{(}\eta+y^{2}\omega^{2}\Big{)}^{\frac{1}{\gamma-1}}\geq
2C_{\eta},$
where $C_{\eta}$ depends only on $\gamma$ and $\eta$.
We are therefore in the situation of Proposition A.1 with constant $M$ having
only the dependence claimed in the statement of the lemma. All of the
estimates then follow from Proposition A.1. ∎
Before we can continue, we need some continuity properties both of the sonic
time, and of the flow with respect to $y_{*}$ away from sonic points.
###### Proposition 4.7.
Let $\gamma\in(1,\frac{4}{3})$ and $y_{*}\in[y_{f},y_{F}]$. Then the following
hold.
* (i)
The sonic time is upper semi-continuous:
$\limsup_{\tilde{y}_{*}\to y_{*}}s(\tilde{y}_{*})\leq s(y_{*}).$
* (ii)
Suppose $(y_{*}^{n})_{n=1}^{\infty}\subset[y_{f},y_{F}]$ converge
$y_{*}^{n}\to y_{*}$. Suppose further that there exist $y_{0}\in(0,y_{*}-\nu)$
and $\eta>0$ such that $s(y_{*}^{n})<y_{0}$ for all $n$, $\rho(y;y_{*}^{n})$
and $\omega(y;y_{*}^{n})$ are uniformly bounded on $[y_{0},y_{*}]$, and
$G(y;\rho(y;y_{*}^{n}),\omega(y;y_{*}^{n}))\geq\eta\quad\text{ for all
}n\in\mathbb{N},\>y\in[y_{0},y_{*}-\nu].$
Then there exists $\tau=\tau(\eta,y_{0})>0$ such that
$s(y_{*})<y_{0}-\tau,\quad s(y_{*}^{n})<y_{0}-\tau\text{ for all
}n\in\mathbb{N}.$
* (iii)
Suppose that $s(y_{*})<y_{0}$. Then for any $\varepsilon>0$, there exist
$\delta>0$ and $\tau>0$ such that for all $\tilde{y}_{*}\in[y_{f},y_{F}]$
satisfying $|\tilde{y}_{*}-y_{*}|<\delta$, the estimate
$\big{|}(\rho(y;\tilde{y}_{*}),\omega(y;\tilde{y}_{*}))-(\rho(y;y_{*}),\omega(y;y_{*}))\big{|}<\varepsilon$
holds uniformly in $y$ on $[y_{0}-\tau,y_{*}-\nu]$.
###### Proof.
As the proof of this Proposition is substantially similar to the proof of [11,
Proposition 4.5], we defer the details to Appendix D. ∎
### 4.2 Invariant structures
###### Definition 4.8.
We define the critical time
$y_{c}(y_{*})=\inf\\{y\in(s(y_{*}),y_{*})\,|\,\omega(\tilde{y},y_{*})>\frac{4-3\gamma}{3}\text{
for all }\tilde{y}\in(y,y_{*})\\}.$ (4.157)
###### Lemma 4.9.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$ and let
$(\rho,\omega)$ be the associated unique LPH-type solution on
$(s(y_{*}),y_{*})$. Suppose that $y_{0}\in(s(y_{*}),y_{*})$ is such that on
$(y_{0},y_{*})$, we have $h(\rho,\omega)<0$ and $\omega>\frac{4-3\gamma}{3}$.
Then the following hold.
* (i)
At most one of the conditions $h(\rho,\omega)=0$ and
$\omega=\frac{4-3\gamma}{3}$ can occur at $y_{0}$.
* (ii)
If $h(\rho,\omega)=0$ at $y_{0}$, then
$\inf_{y\in(s(y_{*}),y_{*})}\omega>\frac{4-3\gamma}{3}$.
* (iii)
If there exists $y_{1}\in[y_{c}(y_{*}),y_{*}]$ such that $y_{1}>0$ and
$\lim_{y\searrow y_{1}}\omega(y)=\frac{4-3\gamma}{3}$, then we must have
$y_{1}>s(y_{*})$.
Thus if $\inf_{y\in(s(y_{*}),y_{*})}\omega\leq\frac{4-3\gamma}{3}$, we must
have that $h<0$ on $(y_{c}(y_{*}),y_{*})$.
###### Remark 4.10.
Recalling the notation of Definition 4.1, (i) if
$y_{*}\in\mathcal{Y}\cup\mathcal{Z}$ then $h<0$ on $(y_{c}(y_{*}),y_{*})$;
(ii) if $y_{*}\in[y_{f},y_{F}]\setminus\mathcal{Y}$ and
$y_{c}(y_{*})=s(y_{*})>0$, then
$\limsup_{y\searrow s(y_{*})+}\omega(y)>\frac{4-3\gamma}{3}.$
###### Proof.
(i) Suppose that at $y_{0}$ both $h=0$ and $\omega=\frac{4-3\gamma}{3}$.
Solving the condition $h(\rho,\frac{4-3\gamma}{3})=0$ leads directly to
$\rho=\frac{1}{6\pi}$. Using the local existence and uniqueness of the ODE
system around a non-sonic (and non-zero) point $y_{0}$ from Proposition A.1,
we therefore get that the solution is locally, and hence also globally, the
Friedman solution, $\omega_{F}\equiv\frac{4-3\gamma}{3}$,
$\rho_{F}\equiv\frac{1}{6\pi}$. In particular, at the sonic point $y_{*}$ we
must also have $(\rho_{0},\omega_{0})=(\frac{1}{6\pi},\frac{4-3\gamma}{3})$
and hence $y_{*}=y_{F}$. But this is a contradiction as the Friedman solution
is not of LPH-type by Proposition 2.16(iii).
(ii) Suppose that $h(\rho,\omega)=0$ at $y_{0}$ (for short, we will write
$h(y_{0})=0$). As $h<0$ on $(y_{0},y_{*})$, we must have
$h^{\prime}(y_{0})\leq 0$. Note also that, by part (i), we have
$\omega(y_{0})>\frac{4-3\gamma}{3}$. As $y_{0}$ is not a sonic point and
$h(y_{0})=0$, we have that $\rho^{\prime}(y_{0})=0$ also. Thus, at $y_{0}$,
from (3.141), we have
$\displaystyle\frac{\operatorname{d}\\!}{\operatorname{d}\\!y}h(\rho,\omega)\big{|}_{y=y_{0}}=$
$\displaystyle\,\big{(}2\omega-\frac{(\gamma-1)(2-\gamma)}{\omega}\big{)}\omega^{\prime}$
(4.158) $\displaystyle=$
$\displaystyle\,\frac{1}{\omega}\big{(}2\omega^{2}-(\gamma-1)(2-\gamma)\big{)}\big{(}\frac{4-3\gamma-3\omega}{y}\big{)},$
where we have again used that $h=0$ in the $\omega^{\prime}$ equation of
(1.21). Clearly as $\omega(y_{0})>\frac{4-3\gamma}{3}$, the second bracket is
strictly negative (and $\omega>0$). The first bracket satisfies
$2\omega^{2}-(\gamma-1)(2-\gamma)\begin{cases}<0&\text{ if
}|\omega|<\omega_{*},\\\ >0&\text{ if }|\omega|>\omega_{*},\end{cases}$
where we recall $\omega_{*}=\sqrt{\frac{(\gamma-1)(2-\gamma)}{2}}$ from Lemma
2.1.
Case 1: $\omega(y_{0})\in(\frac{4-3\gamma}{3},\omega_{*})$. In this case, we
arrive at a contradiction to $h^{\prime}(y_{0})\leq 0$.
(recall from Lemma 2.1 that $\omega_{*}\geq\frac{4-3\gamma}{3}$ only for
$\gamma>\frac{10}{9}$ with equality at $\gamma=\frac{10}{9}$.)
Case 2: $\omega(y_{0})\geq\omega_{*}$. In this case, we break the proof into
several steps.
Step 1: We first show that there exists $\delta>0$ such that for
$y\in(y_{0}-\delta,y_{0})$, we have $h>0$.
We treat this in two sub-cases. First, suppose that
$\omega(y_{0})>\omega_{*}$. Then we have $h^{\prime}(y_{0})<0$, and hence the
existence of such a $\delta>0$ is clear.
If, on the other hand, $\omega(y_{0})=\omega_{*}$, we have that
$h^{\prime}(y_{0})=h(y_{0})=0$. By part (i), we must have
$\omega_{*}>\frac{4-3\gamma}{3}$ (and hence we have $\gamma>\frac{10}{9}$).
Recall from (1.21) that
$\rho^{\prime}=\frac{y\rho h}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}.$
So
$\rho^{\prime\prime}=\Big{(}\frac{y\rho}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}\Big{)}h^{\prime}+\Big{(}\frac{y\rho}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}\Big{)}^{\prime}h.$
Thus also $\rho^{\prime\prime}(y_{0})=\rho^{\prime}(y_{0})=0$.
Differentiating the middle line of (3.141) further, we use again
$h(y_{0})=h^{\prime}(y_{0})=0$ to see
$\displaystyle h^{\prime\prime}(y_{0})=$
$\displaystyle\,\Big{(}2(\omega^{\prime})^{2}+2\omega\omega^{\prime\prime}-\frac{(\gamma-1)(2-\gamma)}{\omega}\omega^{\prime\prime}+\frac{(\gamma-1)(2-\gamma)}{\omega^{2}}(\omega^{\prime})^{2}\Big{)}\Big{|}_{y=y_{0}}$
$\displaystyle=$
$\displaystyle\,\Big{(}2+\frac{(\gamma-1)(2-\gamma)}{\omega(y_{0})^{2}}\Big{)}(\omega^{\prime}(y_{0}))^{2}>0,$
where we have used $\omega(y_{0})=\omega_{*}$ in the second line and
$\omega^{\prime}(y_{0})<0$. But this forces $h$ to have a minimum at $y_{0}$,
contradicting $h(y_{0})=0$ and $h(y)<0$ for $y>y_{0}$.
Step 2: Conclude the invariance of the region $\\{h>0\\}$.
Now for $y\in(y_{0}-\delta,y_{0})$, as $h>0$, we must have $\rho^{\prime}>0$
and $\omega^{\prime}<0$ as we also have $\omega>\frac{4-3\gamma}{3}$. Thus, as
we decrease $y$, we are in an invariant region as $\rho$ decreases and
$\omega$ increases, taking us further away from the level set $\\{h=0\\}$.
Compare Figure 3. Thus as long as the flow exists, we will retain in
particular for $y\in(s(y_{*}),y_{0})$ the inequality
$\omega(y)>\omega_{*}>\frac{4-3\gamma}{3}$.
(iii) Suppose $\limsup_{y\searrow y_{1}}\omega(y_{1})=\frac{4-3\gamma}{3}$.
Then we must have, for $y$ close to $y_{1}$,
$y^{2}\omega(y)^{2}<y_{*}^{2}\omega_{0}^{2}=\gamma\rho_{0}^{\gamma-1}<\gamma\rho(y)^{\gamma-1},$
where we have used that $\omega_{0}>\frac{4-3\gamma}{3}$ and also
$\rho^{\prime}<0$ on $(y_{c}(y_{*}),y_{*})$ by part (ii). Thus the flow is
still uniformly subsonic at $y_{1}$ and hence either $y_{1}=0$ or
$s(y_{*})<y_{1}$. ∎
### 4.3 Properties of the fundamental set $Y$
We begin by proving a basic topological property of $\mathcal{Y}$, and hence
of $Y$: that the set is open.
###### Lemma 4.11.
Let $\gamma\in(1,\frac{4}{3})$. The set $\mathcal{Y}$ is open. Therefore also
$Y$ is the open interval $(\bar{y}_{*},y_{F})$.
###### Proof.
Let $y_{*}\in\mathcal{Y}$. As $h(\cdot;y_{*})<0$ on $[y_{c}(y_{*}),y_{*}-\nu]$
by Lemma 4.9, we must have at $y_{c}(y_{*})$ that
$\omega^{\prime}(y_{c}(y_{*});y_{*})>0$, and so there exists $\tau>0$ such
that $\omega(y;y_{*})<\frac{4-3\gamma}{3}$ for
$y\in(y_{c}(y_{*})-2\tau,y_{c}(y_{*}))$ and $s(y_{*})<y_{c}(y_{*})-2\tau$ (by
definition of $\mathcal{Y}$, $y_{c}(y_{*})>s(y_{*})$, so this may be achieved
by taking $\tau$ smaller if necessary). Let $\varepsilon>0$ be sufficiently
small. By parts (i) and (iii) of Proposition 4.7, there exists $\delta>0$ such
that for all $\tilde{y}_{*}\in[y_{f},y_{F}]$ satisfying
$|\tilde{y}_{*}-y_{*}|<\delta$, we have
$s(\tilde{y}_{*})<y_{c}(y_{*})-\frac{3}{2}\tau$ and
$\big{|}(\rho(y;\tilde{y}_{*}),\omega(y;\tilde{y}_{*}))-(\rho(y;y_{*}),\omega(y;y_{*}))\big{|}<\varepsilon$
for all $y\in[y_{c}(y_{*})-\tau,y_{*}-\nu]$. By taking
$\varepsilon<\frac{4-3\gamma}{3}-\omega(y_{c}(y_{*})-\tau;y_{*})$, we get that
for all $\tilde{y}$ satisfying $|\tilde{y}_{*}-y_{*}|<\delta$,
$\omega(y_{c}(y_{*})-\tau;\tilde{y}_{*})<\frac{4-3\gamma}{3},$
and hence $\tilde{y}_{*}\in\mathcal{Y}$ also. We have shown that $\mathcal{Y}$
is open.
To show the claim for $Y$, we note that clearly $Y$ is a connected component
of $\mathcal{Y}$. As $\mathcal{Y}$ is open, $Y$ is therefore an open interval.
Moreover, by Lemma 2.8 and the continuity with respect to both $y$ and $y_{*}$
of Theorem 2.15, we have that there exist $\delta_{1}>0$ and $\epsilon>0$ such
that, for $y_{F}-\delta_{1}\leq y_{*}\leq y_{F}$ and $y\in[y_{*}-\nu,y_{*}]$
we have $\omega^{\prime}(y)\geq\epsilon$. A simple continuity argument then
reveals, as $\omega(y_{F};y_{F})=\frac{4-3\gamma}{3}$, there exists $\delta>0$
such that $(y_{F}-\delta,y_{F})\subset\mathcal{Y}$. Thus $Y$ is non-empty and
we have
$Y=(\bar{y}_{*},y_{F}).$
∎
We wish to prove that the LPH-type solution associated to $\bar{y}_{*}$ exists
on all of $(0,\bar{y}_{*})$, i.e., that $s(\bar{y}_{*})=0$. To prove this, we
show the stronger property that, for all $y_{*}\in Y$, the function
$\omega(\cdot;y_{*})$ remains strictly monotone on the set
$[y_{c}(y_{*}),y_{*}]$. This is not simply a technical observation but is a
key stage in constructing a globally defined LPH-type solution. In providing
the additional qualitative information of monotonicity for $\omega$, this
represents a significant advance over earlier work in the isothermal case. We
therefore make the following definition.
###### Definition 4.12.
Let $\gamma\in(1,\frac{4}{3})$. The set of $y_{*}\in Y$ for which the relative
velocity $\omega$ remains strictly monotone to the right of the critical time
$y_{c}(y_{*})$ is defined to be
$\mathcal{S}:=\\{y_{*}\in Y\,|\,\text{ for all
}\tilde{y}_{*}\in[y_{*},y_{F}),\>\omega^{\prime}(y;\tilde{y}_{*})>0\text{ for
all }y\in[y_{c}(\tilde{y}_{*}),\tilde{y}_{*}]\\}.$ (4.159)
Note that if $y_{*}$ is close to $y_{F}$, then the monotonicity holds on
$[y_{c}(y_{*}),y_{*}]$ and $y_{*}\in\mathcal{S}$.
The key property that we will now prove is that $\mathcal{S}=Y$. In addition
to giving the monotonicity of $\omega(\cdot;y_{*})$ for all $y_{*}\in Y$, this
also guarantees a uniform lower bound on the function $G$, and hence ensures
that the flow remains strictly subsonic. Before stating and proving this
result, we first note a technical lemma that will be essential for the proof.
###### Lemma 4.13.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$ and let
$(\rho,\omega)$ be the associated unique LPH-type solution on
$(s(y_{*}),y_{*})$. Suppose that at a point $y_{0}\in(s(y_{*}),y_{*})$ such
that $\omega(y_{0})\in(\frac{4-3\gamma}{3},2-\gamma)$, we have that
$\omega^{\prime}(y_{0})=\omega^{\prime\prime}(y_{0})=0$. Then
$\omega^{(3)}(y_{0})<0$.
The proof of this lemma is delayed until after Corollary 4.15 and the proof of
Proposition 4.14 further below.
###### Proposition 4.14.
Let $\gamma\in(1,\frac{4}{3})$. Then, for all $y_{*}\in Y$, the solution
$(\rho(\cdot;y_{*}),\omega(\cdot;y_{*}))$ defined by Theorem 2.15 and extended
to the interval $(s(y_{*}),y_{*})$ satisfies
$\omega^{\prime}(y;{y}_{*})>0\text{ for all }y\in[y_{c}({y}_{*}),{y}_{*}]$,
and so
$\mathcal{S}=Y.$
We note the following important corollary.
###### Corollary 4.15.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$ and let
$(\rho,\omega)$ be the associated unique LPH-type solution on
$(s(y_{*}),y_{*})$. There exists $\eta>0$ such that, for all $y_{*}\in Y$,
$G(y;\rho(y;y_{*}),\omega(y;y_{*}))\geq\eta>0\quad\text{ for all
}y\in[y_{c}(y_{*}),y_{*}-\nu].$
###### Proof.
By continuity properties at the sonic point $y_{*}$ (from Theorem 2.15), there
exist $\nu>0$ and $\eta>0$ (independent of $y_{*}$) such that
$G(y_{*}-\nu;y_{*})\geq\eta>0$ for all $y_{*}\in[y_{f},y_{F}]$. Then, for any
$y_{*}\in\mathcal{S}$, as $\omega^{\prime}>0$ and $\rho^{\prime}<0$ on
$[y_{c}(y_{*}),y_{*}]$, we retain $G(y;y_{*})\geq\eta$ on
$[y_{c}(y_{*}),y_{*}-\nu]$ as
$\frac{\operatorname{d}\\!}{\operatorname{d}\\!y}G(y;\rho,\omega)=\gamma(\gamma-1)\rho^{\gamma-2}\rho^{\prime}-2y\omega^{2}-2y^{2}\omega\omega^{\prime}<0.$
Thus we have a uniform lower bound on $G$ for $y_{*}\in\mathcal{S}$ and, as
$\mathcal{S}=Y$ by Proposition 4.14, we conclude. ∎
###### Proof of Proposition 4.14.
We note by the proof of Corollary 4.15 above that for $y_{*}\in\mathcal{S}$ we
have a uniform lower bound $G(y;y_{*})\geq\eta$ on $[y_{c}(y_{*}),y_{*}-\nu]$
for $y_{*}\in\mathcal{S}$.
Note in addition that (1.21) gives that
$\omega^{\prime}=\mathcal{W}(y,\omega,\rho)$ for some continuous function
$\mathcal{W}$ away from sonic points. Continuity (respectively uniform
continuity) of $\omega$, $\rho$ etc with respect to $y$ or $y_{*}$ then leads
to continuity (respectively uniform continuity) of $\omega^{\prime}$.
To conclude the proof of the Proposition, we will proceed in several steps to
show that $\mathcal{S}$ is both open and relatively closed in $Y$.
Step 1: We first show that $\mathcal{S}$ is open.
Take $y_{*}\in\mathcal{S}$. Then we have the lower bounds $G\geq\eta$,
$\omega^{\prime}\geq c_{1}$, $-h\geq c_{2}$ on $[y_{c}(y_{*}),y_{*}-\nu]$ for
some $c_{1},c_{2}>0$. By Lemma 4.6, we can therefore extend the solution onto
an interval $[y_{c}-\tau,y_{*}]$, where $\tau=\tau(\eta,y_{c})>0$, and retain
the inequality $\omega^{\prime}\geq\frac{1}{2}c_{1}>0$. By upper semi-
continuity of the sonic time, there exists $\delta>0$ such that if
$|\tilde{y}_{*}-y_{*}|<\delta$, we have
$s(\tilde{y}_{*})<s(y_{*})+\frac{\tau}{2}<y_{c}(y_{*})-\frac{\tau}{2}.$
Using that $\mathcal{S}\subset Y$ and open-ness of $Y$, by possibly shrinking
$\delta>0$, we may assume that if $|\tilde{y}_{*}-y_{*}|<\delta$, then
$\tilde{y}_{*}\in Y$ and that, by the uniform continuity property of
Proposition 4.7(iii), $y_{c}(\tilde{y}_{*})\geq y_{c}(y_{*})-\frac{\tau}{4}$
and, as $\omega^{\prime}$ is a continuous function of $(y,\rho,\omega)$, also
$\omega^{\prime}(\cdot;y_{*})>\frac{c_{1}}{4}$ on
$[y_{c}(y_{*})-\frac{\tau}{4},\tilde{y}_{*}]$, in particular,
$\tilde{y}_{*}\in\mathcal{S}$.
Step 2: We collect properties associated to a sequence of
$y_{*}^{n}\in\mathcal{S}$ with $y_{*}^{n}\to y_{*}\in Y$.
To show $\mathcal{S}$ is relatively closed in $Y$, first suppose
$y_{*}^{n}\in\mathcal{S}$ are such that $y_{*}^{n}\to y_{*}\in Y$. Clearly if
any of the $y_{*}^{n}\leq y_{*}$, then also $y_{*}\in\mathcal{S}$. It
therefore suffices to suppose that $y_{*}^{n}$ decreases monotonically to
$y_{*}$. Suppose for a contradiction that there exists
$y_{0}\in[y_{c}(y_{*}),y_{*}]$ such that $\omega^{\prime}(y_{0};y_{*})=0$.
Clearly, as $h<0$ on $[y_{c}(y_{*}),y_{*}-\nu]$ and
$\omega^{\prime}(y_{*}-\nu;y_{*})>0$, we must have
$y_{0}\in(y_{c}(y_{*}),y_{*}-\nu)$ (and we suppose without loss of generality
that we are working with the largest such $y_{0}$). Moreover, as each of the
$y_{*}^{n}\in\mathcal{S}$, we have the uniform lower bound
$G(y;y_{*}^{n})\geq\eta$ on $[y_{c}(y_{*}^{n}),y_{*}-\nu]$ (we have used the
monotonicity of $y_{*}^{n}$ to replace the upper limit on the interval with
$y_{*}-\nu$ rather than $y_{*}^{n}-\nu$).
Note that, by assumption, $y_{*}\in Y$. Therefore $y_{c}(y_{*})>s(y_{*})$.
Step 3: We show that there exists $\tau>0$ such that
$\omega^{\prime}(y;y_{*})<0$ on $(y_{0}-\tau,y_{0})$ and
$y_{0}-\tau>y_{c}(y_{*})+\tau$.
By definition of $y_{0}$, we must have $\omega^{\prime\prime}(y_{0};y_{*})\geq
0$. If $\omega^{\prime\prime}(y_{0};y_{*})>0$, the claim easily follows. On
the other hand, as $y_{*}\in(y_{f},y_{F})$, then
$\omega(y_{*};y_{*})\in(\frac{4-3\gamma}{3},2-\gamma)$ and, by definition of
$y_{0}\in(y_{c}(y_{*}),y_{*})$, we see that $\omega^{\prime}(y;y_{*})>0$ on
$(y_{0},y_{*})$, leading to
$\frac{4-3\gamma}{3}<\omega(y_{0};y_{*})<\omega(y_{*};y_{*})<2-\gamma$. Thus,
by Lemma 4.13, if
$\omega^{\prime}(y_{0};y_{*})=\omega^{\prime\prime}(y_{0};y_{*})=0$, we have
$\omega^{(3)}(y_{0};y_{*})<0$. This then forces
$\omega^{\prime}(\cdot;y_{*})<0$ on a punctured interval centred at $y_{0}$, a
contradiction. The existence of the claimed $\tau$ is proved.
Step 4: Apply uniform convergence to obtain a contradiction and deduce
$\mathcal{S}$ is relatively closed.
Upper semi-continuity of the sonic time from Proposition 4.7 again gives that,
for $n$ sufficiently large,
$s(y_{*}^{n})<s(y_{*})+\frac{\tau}{2}<y_{c}(y_{*})+\frac{\tau}{2}<y_{0}-\frac{3\tau}{2}$.
Suppose for a contradiction that
$\limsup_{n\to\infty}y_{c}(y_{*}^{n})=\bar{y}_{c}>y_{0}-\tau$. Without loss of
generality, we take a further subsequence $y_{*}^{n}$ such that
$y_{c}(y_{*}^{n})\to\bar{y}_{c}$. By Lemma 4.6, there exists
$T=T(\eta,\bar{y}_{c})\in(0,\tau)$ such that
$G(y;\rho(y;y_{*}^{n}),\omega(y;y_{*}^{n}))\geq\frac{1}{2}\eta\quad\text{ for
}y\in[\bar{y}_{c}-T,y_{*}-\nu],\text{ all }n\in\mathbb{N}.$
Therefore, applying the uniform convergence of Proposition 4.7(iii), we obtain
$\omega(\bar{y}_{c}-T;y_{*})=\lim_{n\to\infty}\omega(\bar{y}_{c}-T;y_{*}^{n})\leq\frac{4-3\gamma}{3},$
a contradiction to $y_{c}(y_{*})<y_{0}-2\tau$ as $\bar{y}_{c}>y_{0}-\tau$ and
$T<\tau$.
Thus, for $n$ sufficiently large, we obtain that $y_{c}(y_{*}^{n})\leq
y_{0}-\frac{\tau}{2}$ and hence $\omega^{\prime}(y;y_{*}^{n})>0$ on
$(y_{0}-\frac{\tau}{2},y_{0})$ as well as
$G(y;\rho(y;y_{*}^{n}),\omega(y;y_{*}^{n}))\geq\eta$ on
$[y_{0}-\frac{\tau}{2},y_{*}-\nu]$. But this gives a contradiction to the
convergence
$\omega^{\prime}(y_{0}-\frac{\tau}{4};y_{*}^{n})\to\omega^{\prime}(y_{0}-\frac{\tau}{4};y_{*})<0\text{
as }n\to\infty.$
Thus $y_{*}\in\mathcal{S}$ and so $\mathcal{S}$ is relatively closed in $Y$.
As $\mathcal{S}$ is relatively open and closed in $Y$ and $Y$ is connected, we
must therefore have $\mathcal{S}=Y$. ∎
###### Proof of Lemma 4.13.
Step 1: Derive identities for $\omega(y_{0})$, $h^{\prime}(y_{0})$ and
$G^{\prime}(y_{0})$.
We begin by recalling from (3.140) and (3.142) the identities
$\displaystyle\frac{4-3\gamma-3\omega(y_{0})}{y_{0}}=$
$\displaystyle\,\frac{y_{0}\omega(y_{0})h(y_{0})}{G(y_{0})},$ (4.160)
$\displaystyle\frac{h^{\prime}}{h}(y_{0})=$
$\displaystyle\,-\frac{4\pi}{4-3\gamma}\frac{y_{0}\rho(y_{0})\omega(y_{0})}{G(y_{0})}$
(4.161) $\displaystyle=$
$\displaystyle\,\frac{yh}{G}-\frac{y(2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma))}{G}$
$\displaystyle=$
$\displaystyle\,\frac{4-3\gamma-3\omega}{y\omega}-\frac{y(2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma))}{G}.$
(4.162)
Also, from (3.141), we recall that
$h^{\prime}(y)=2\omega\omega^{\prime}-\frac{4\pi}{4-3\gamma}\omega\rho^{\prime}+\frac{h-(\gamma-1)(2-\gamma)}{\omega}\omega^{\prime}.$
Arguing directly, we differentiate $G$ to obtain
$\displaystyle G^{\prime}=$
$\displaystyle\,(\gamma-1)\gamma\rho^{\gamma-2}\rho^{\prime}-2y\omega^{2}-2y^{2}\omega\omega^{\prime}$
$\displaystyle=$
$\displaystyle\,(\gamma-1)\gamma\rho^{\gamma-1}\frac{yh}{G}-2y\omega^{2}-2y^{2}\omega\omega^{\prime}$
$\displaystyle=$
$\displaystyle\,(\gamma-1)(G+y^{2}\omega^{2})\frac{yh}{G}-2y\omega^{2}-2y^{2}\omega\omega^{\prime}.$
Thus, at $y_{0}$,
$\displaystyle G^{\prime}(y_{0})=$
$\displaystyle\,(\gamma-1)(G+y^{2}\omega^{2})\frac{4-3\gamma-3\omega}{y\omega}-2y\omega^{2}.$
(4.163)
Step 2: Derive identities for $\omega^{\prime\prime}(y_{0})$ and solve for
$\rho(y_{0})$, $G(y_{0})$ and $h(y_{0})$.
We now further differentiate the ODE for $\omega$ to obtain
$\displaystyle\omega^{\prime\prime}=$
$\displaystyle\,-\frac{3\omega^{\prime}}{y}-\frac{4-3\gamma-3\omega}{y^{2}}-\frac{\omega
h}{G}-\frac{y\omega^{\prime}h}{G}-\frac{y\omega h^{\prime}}{G}+\frac{y\omega
hG^{\prime}}{G^{2}}.$
Hence, at $y_{0}$, we find
$\displaystyle\omega^{\prime\prime}(y_{0})=-\frac{4-3\gamma-3\omega}{y^{2}}-\frac{\omega
h}{G}-\frac{y\omega h^{\prime}}{G}+\frac{y\omega
hG^{\prime}}{G^{2}}=-2\frac{4-3\gamma-3\omega}{y^{2}}-\frac{y\omega
h^{\prime}}{G}+\frac{y\omega hG^{\prime}}{G^{2}},$ (4.164)
where we have used (4.160) in the second equality. Recalling that at $y_{0}$
we have $\omega^{\prime\prime}(y_{0})=0$, this gives the identity
$\frac{y\omega hG^{\prime}}{G^{2}}-\frac{y\omega
h^{\prime}}{G}=2\frac{4-3\gamma-3\omega}{y^{2}}.$ (4.165)
Applying (4.160), (4.162) and (4.163) to expand the left hand side, we find at
$y_{0}$
$\displaystyle 2\frac{4-3\gamma-3\omega}{y^{2}}=$
$\displaystyle\,\frac{y\omega
h}{G}\big{(}\frac{G^{\prime}}{G}-\frac{h^{\prime}}{h}\big{)}$ $\displaystyle=$
$\displaystyle\,\frac{4-3\gamma-3\omega}{y}\Big{(}(\gamma-1)(G+y^{2}\omega^{2})\frac{4-3\gamma-3\omega}{y\omega
G}-\frac{2y\omega^{2}}{G}$ $\displaystyle\hskip
65.44133pt-\frac{4-3\gamma-3\omega}{y\omega}+\frac{y(2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma))}{G}\Big{)}.$
Simplifying, we find
$\displaystyle\frac{2}{y}=$
$\displaystyle\,(\gamma-2)\frac{4-3\gamma-3\omega}{y\omega}+\frac{(\gamma-1)y\omega(4-3\gamma-3\omega)+y((\gamma-1)\omega+(\gamma-1)(2-\gamma))}{G}$
$\displaystyle=$
$\displaystyle\,(\gamma-2)\frac{4-3\gamma-3\omega}{y\omega}+(\gamma-1)y\frac{-3\omega^{2}+(5-3\gamma)\omega+2-\gamma}{G},$
which we rearrange to solve for $G(y_{0})$ as
$G(y_{0})\frac{(4-3\gamma)(2-\gamma-\omega)}{y\omega}=(\gamma-1)y\big{(}-3\omega^{2}+(5-3\gamma)\omega+2-\gamma\big{)}=y(\gamma-1)(2-\gamma-\omega)(3\omega+1),$
so that
$G(y_{0})=y^{2}\omega\frac{(\gamma-1)(3\omega+1)}{4-3\gamma}.$ (4.166)
Note therefore that
$\gamma\rho^{\gamma-1}(y_{0})=G(y_{0})+y_{0}^{2}\omega(y_{0})^{2}=y^{2}\omega(\frac{(\gamma-1)(3\omega+1)}{4-3\gamma}+\omega)=y^{2}\omega\frac{\omega+\gamma-1}{4-3\gamma}$
(4.167)
and, from (4.160),
$h(y_{0})=\frac{4-3\gamma-3\omega}{y^{2}\omega}G=\frac{(\gamma-1)(3\omega+1)(4-3\gamma-3\omega)}{4-3\gamma}.$
(4.168)
Therefore also
$\displaystyle\rho(y_{0})=$
$\displaystyle\,-\frac{(4-3\gamma)\big{(}h-2\omega^{2}-(\gamma-1)\omega-(\gamma-1)(2-\gamma)\big{)}}{4\pi\omega}$
(4.169) $\displaystyle=$
$\displaystyle\,\frac{\omega^{2}(3\gamma-1)+\omega(6\gamma-5)(\gamma-1)-(\gamma-1)^{2}(4-3\gamma)}{4\pi\omega}.$
Step 3: Collect necessary identities for $h^{\prime\prime}(y_{0})$ and
$G^{\prime\prime}(y_{0})$.
To compute $\omega^{(3)}(y_{0})$, we first need $h^{\prime\prime}(y_{0})$ and
$G^{\prime\prime}(y_{0})$. Clearly, from (3.141), we have
$\displaystyle h^{\prime\prime}(y_{0})=$
$\displaystyle\,-\frac{4\pi}{4-3\gamma}\omega\rho^{\prime\prime}=-\frac{4\pi}{4-3\gamma}\omega\Big{(}\frac{\rho
h+y\rho^{\prime}h}{G}+\frac{y\rho h^{\prime}}{G}-\frac{y\rho
hG^{\prime}}{G^{2}}\Big{)}$ $\displaystyle=$
$\displaystyle\,-\frac{4\pi}{4-3\gamma}\omega\Big{(}\frac{\rho(4-3\gamma-3\omega)}{y^{2}\omega}+\frac{4-3\gamma-3\omega}{y\omega}\frac{y\rho
h}{G}-2\frac{\rho(4-3\gamma-3\omega)}{y^{2}\omega}\Big{)}$ $\displaystyle=$
$\displaystyle\,-\frac{4\pi}{4-3\gamma}\rho\Big{(}-\frac{4-3\gamma-3\omega}{y^{2}}+\frac{(4-3\gamma-3\omega)^{2}}{y^{2}\omega}\Big{)},$
where we have used (4.165) in the middle line and (4.160) repeatedly.
Similarly, we compute $G^{\prime\prime}(y_{0})$ as
$\displaystyle G^{\prime\prime}$
$\displaystyle\,(y_{0})=\gamma(\gamma-1)^{2}\rho^{\gamma-1}\frac{y^{2}h^{2}}{G^{2}}+\gamma(\gamma-1)\rho^{\gamma-1}\Big{(}\frac{h}{G}+\frac{yh^{\prime}}{G}-\frac{yhG^{\prime}}{G^{2}}\Big{)}-2\omega^{2}$
$\displaystyle=$
$\displaystyle\,(G+y^{2}\omega^{2})\Big{(}(\gamma-1)^{2}\frac{(4-3\gamma-3\omega)^{2}}{y^{2}\omega^{2}}+(\gamma-1)\Big{(}\frac{4-3\gamma-3\omega}{y^{2}\omega}-2\frac{4-3\gamma-3\omega}{y^{2}\omega}\Big{)}\Big{)}-2\omega^{2}$
$\displaystyle=$
$\displaystyle\,\frac{(\omega+\gamma-1)(4-3\gamma-3\omega)}{(4-3\gamma)\omega}\big{(}(\gamma-1)^{2}(4-3\gamma-3\omega)-(\gamma-1)\omega\big{)}-2\omega^{2},$
where we have again used (4.165) in the middle line and (4.167) in the last
line.
Step 4: Conclude an identity for $\omega^{(3)}(y_{0})$ and prove the sign
condition.
Finally, we compute $\omega^{(3)}(y_{0})$:
$\displaystyle\omega^{(3)}(y_{0})=$
$\displaystyle\,2\frac{4-3\gamma-3\omega}{y^{3}}-2\frac{\omega
h^{\prime}}{G}+2\frac{\omega hG^{\prime}}{G^{2}}-\frac{y\omega
h^{\prime\prime}}{G}+2\frac{y\omega h^{\prime}G^{\prime}}{G^{2}}+\frac{y\omega
hG^{\prime\prime}}{G^{2}}-2\frac{y\omega h(G^{\prime})^{2}}{G^{3}}$
$\displaystyle=$
$\displaystyle\,6\frac{4-3\gamma-3\omega}{y^{3}}-4\frac{G^{\prime}}{G}\frac{4-3\gamma-3\omega}{y^{2}}-\frac{h^{\prime\prime}}{h}\frac{4-3\gamma-3\omega}{y}+\frac{G^{\prime\prime}}{G}\frac{4-3\gamma-3\omega}{y},$
by using again (4.165). Substituting in the identities for
$h^{\prime\prime}(y_{0})$, $G^{\prime\prime}(y_{0})$, we get
$\displaystyle\,\frac{\omega^{(3)}(y_{0})y_{0}^{3}}{4-3\gamma-3\omega}$
(4.170)
$\displaystyle\,=6-4y\big{(}(\gamma-1)(1+\frac{y^{2}\omega^{2}}{G})\frac{4-3\gamma-3\omega}{y\omega}-\frac{2y\omega^{2}}{G}\big{)}$
$\displaystyle\quad+\frac{4\pi}{4-3\gamma}\frac{y^{2}\rho}{h}\Big{(}-\frac{4-3\gamma-3\omega}{y^{2}}+\frac{(4-3\gamma-3\omega)^{2}}{y^{2}\omega}\Big{)}$
$\displaystyle\quad+\frac{y^{2}}{G}\Big{(}\frac{(\omega+\gamma-1)(4-3\gamma-3\omega)}{(4-3\gamma)\omega}\big{(}(\gamma-1)^{2}(4-3\gamma-3\omega)-(\gamma-1)\omega\big{)}-2\omega^{2}\Big{)}.$
By inserting (4.166) for $G(y_{0})$, (4.168) for $h(y_{0})$, and (4.169) for
$\rho(y_{0})$, this becomes a polynomial in $\omega$ with coefficients
depending on $y_{0}$. Taking it term-by-term, we substitute (4.166) into the
second term to find
$\displaystyle-$ $\displaystyle
4y\big{(}(\gamma-1)(1+\frac{y^{2}\omega^{2}}{G})\frac{4-3\gamma-3\omega}{y\omega}-\frac{2y\omega^{2}}{G}\big{)}$
(4.171)
$\displaystyle=-4y\bigg{(}(\gamma-1)\Big{(}1+\frac{(4-3\gamma)\omega}{(\gamma-1)(3\omega+1)}\Big{)}\frac{4-3\gamma-3\omega}{y\omega}-\frac{2\omega(4-3\gamma)}{y(\gamma-1)(3\omega+1)}\bigg{)}$
$\displaystyle=-4\frac{\omega^{3}(3\gamma-5)-\omega^{2}(6\gamma-7)(\gamma-1)+\omega(\gamma-1)^{2}(4-3\gamma)}{(\gamma-1)\omega^{2}(3\omega+1)}.$
For the third term, we use (4.168) and (4.169) to get
$\displaystyle\frac{4\pi}{4-3\gamma}\frac{y^{2}\rho}{h}\Big{(}-\frac{4-3\gamma-3\omega}{y^{2}}+\frac{(4-3\gamma-3\omega)^{2}}{y^{2}\omega}\Big{)}$
$\displaystyle\>=(4-3\gamma-4\omega)\frac{\omega^{2}(3\gamma-1)+\omega(6\gamma-5)(\gamma-1)-(\gamma-1)^{2}(4-3\gamma)}{(\gamma-1)\omega^{2}(3\omega+1)}$
$\displaystyle\>=\frac{-4(3\gamma-1)\omega^{3}-(33\gamma^{2}-59\gamma+24)\omega^{2}+(\gamma-1)(4-3\gamma)(10\gamma-9)\omega-(\gamma-1)^{2}(4-3\gamma)^{2}}{(\gamma-1)\omega^{2}(3\omega+1)}.$
For the last term, we again substitute (4.166) to get
$\displaystyle\frac{y^{2}}{G}$
$\displaystyle\Big{(}\frac{(\omega+\gamma-1)(4-3\gamma-3\omega)}{(4-3\gamma)\omega}\big{(}(\gamma-1)^{2}(4-3\gamma-3\omega)-(\gamma-1)\omega\big{)}-2\omega^{2}\Big{)}$
$\displaystyle=$
$\displaystyle\,\frac{4-3\gamma}{(\gamma-1)\omega(3\omega+1)}\Big{(}\frac{(\omega+\gamma-1)(4-3\gamma-3\omega)}{(4-3\gamma)\omega}\big{(}(\gamma-1)^{2}(4-3\gamma-3\omega)-(\gamma-1)\omega\big{)}-2\omega^{2}\Big{)}$
$\displaystyle=$
$\displaystyle\,\frac{(4-3\gamma)^{2}(\gamma-1)^{3}-9(\gamma-1)^{3}(4-3\gamma)\omega+\big{(}27(\gamma-1)^{3}-(\gamma-1)\big{)}\omega^{2}+\big{(}9\gamma^{2}-9\gamma-2\big{)}\omega^{3}}{(\gamma-1)\omega^{2}(3\omega+1)}.$
Substituting in all of these identities and simplifying, we find
$\displaystyle\frac{\omega^{(3)}(y_{0})y_{0}^{3}}{4-3\gamma-3\omega}$ (4.172)
$\displaystyle=\frac{-(4-3\gamma)}{(\gamma-1)\omega^{2}(1+3\omega)}$
$\displaystyle\quad\times\Big{(}(3\gamma-1)\omega^{3}+(9\gamma^{2}-18\gamma+7)\omega^{2}+(\gamma-1)(9\gamma^{2}-24\gamma+14)\omega+(\gamma-1)^{2}(2-\gamma)(4-3\gamma)\Big{)}$
It is simple to verify that the roots of the cubic in $\omega$ on the right
hand side are
$\omega=-(\gamma-1),\>\frac{(4-3\gamma)(\gamma-1)}{3\gamma-1},\>2-\gamma,$
and so, as $\frac{(4-3\gamma)(\gamma-1)}{3\gamma-1}<\frac{4-3\gamma}{3}$ for
all $\gamma\in(1,\frac{4}{3})$, we easily see that for
$\omega\in(\frac{4-3\gamma}{3},2-\gamma)$, the right hand side of this formula
is strictly positive. As $4-3\gamma-3\omega(y_{0})<0$, this yields
$\omega^{(3)}(y_{0})<0$, as required. ∎
###### Remark 4.16.
The arguments of Proposition 4.14 may be extended also to the isothermal case,
$\gamma=1$, treated previously in [11], to show that the obtained Larson-
Penston solution satisfies the inequality
$\omega^{\prime}>0.$
This can be seen by following the proof of Lemma 4.13 with $\gamma=1$. It can
be seen that it is impossible to have $\omega(y_{0})\in(\frac{1}{3},1)$ and
$\omega^{\prime}(y_{0})=\omega^{\prime\prime}(y_{0})=0$ simultaneously.
Indeed, computing as far as (4.165) and making the necessary substitutions as
in the following equation, the fact that $G$ is independent of $\rho$ when
$\gamma=1$ allows us to solve directly for $\omega(y_{0})$ and find either
$\omega(y_{0})=\frac{1}{3}$ or $\omega(y_{0})=1$. We then follow the proof of
Proposition 4.14 to obtain the monotonicity of $\omega$ in the isothermal case
$\gamma=1$.
The next key result in this section is to show that the LPH-type solution
associated to the critical value $\bar{y}_{*}$ exists on the whole of
$(0,\bar{y}_{*})$ and hence is a global solution of (1.13). This is the
content of the following proposition.
###### Proposition 4.17.
Let $\gamma\in(1,\frac{4}{3})$. The sonic time and critical time associated to
$\bar{y}_{*}$ satisfy $s(\bar{y}_{*})=y_{c}(\bar{y}_{*})=0$.
###### Proof.
As in [11, Proposition 4.12], there are 3 cases.
Case 1: $y_{c}(\bar{y}_{*})=0$. Then we are done as, by definition,
$s(\bar{y}_{*})\leq y_{c}(\bar{y}_{*})$.
Case 2: $y_{c}(\bar{y}_{*})>s(\bar{y}_{*})\geq 0$. Then by continuity of the
solution, we must have
$\omega(y_{c}(\bar{y}_{*});\bar{y}_{*})=\frac{4-3\gamma}{3}$, and hence
$\bar{y}_{*}\in Y$, a contradiction to $Y=(\bar{y}_{*},y_{F})$.
Case 3: $y_{c}(\bar{y}_{*})=s(\bar{y}_{*})>0$. Now take a sequence
$y_{*}^{n}\to\bar{y}_{*}$ such that all $y_{*}^{n}\in Y$. Then by definition
of $Y$, $y_{c}(y_{*}^{n})>s(y_{*}^{n})$ for all $n\in\mathbb{N}$. We define
$\bar{y}_{c}=\limsup(y_{c}(y_{*}^{n})).$
Without relabelling, we take a subsequence such that
$y_{c}(y_{*}^{n})\to\bar{y}_{c}$. Then from Lemma 4.6 and Proposition 4.14, we
know that there exist $\eta>0$ and $\tau=\tau(\eta,\bar{y}_{c})>0$ such that
for all $n$ sufficiently large
$G(y;\rho(y;y_{*}^{n}),\omega(y;y_{*}^{n}))\geq\eta>0\quad\text{ for all
}y\in[\bar{y}_{c}-\tau,y_{*}-\nu].$
From Proposition 4.7(ii), we therefore find that, possibly shrinking $\tau$,
we have $s(\bar{y}_{*}),s(y_{*}^{n})<\bar{y}_{c}-\tau$ for all $n$. Therefore,
using the uniform convergence of Proposition 4.7(iii) on the interval
$[\bar{y}_{c}-\tau,y_{*}-\nu]$, we find that the limit
$\omega(\bar{y}_{c};\bar{y}_{*})=\lim_{n\to\infty}\omega(y_{c}(y_{*}^{n}),y_{*}^{n})=\frac{4-3\gamma}{3},$
and thus $y_{c}(\bar{y}_{*})\geq\bar{y}_{c}>s(\bar{y}_{*})$, a contradiction
to the assumption $y_{c}(\bar{y}_{*})=s(\bar{y}_{*})$. ∎
### 4.4 Asymptotics at the scaling origin, $y=0$
It is straightforward to exploit the uniform convergence property of
Proposition 4.7 to obtain the weak monotonicity of
$\omega(\cdot;\bar{y}_{*})$. However, in order to obtain the strict
monotonicity and the correct boundary value at the origin, $y=0$, we must rule
out the possibility that $\bar{y}_{*}=y_{f}$.
###### Lemma 4.18.
Let $\gamma\in(1,\frac{4}{3})$. The critical sonic point $\bar{y}_{*}$ is not
equal to $y_{f}$. In particular, the global solution
$(\rho(\cdot;\bar{y}_{*}),\omega(\cdot;\bar{y}_{*}))$ is not the far-field
solution $(\rho_{f},\omega_{f})$, defined in (1.23).
Before presenting the proof of this lemma, we collect some identities for an
important auxiliary function.
###### Lemma 4.19.
Let $\gamma\in(1,\frac{4}{3})$, $y_{*}\in[y_{f},y_{F}]$ and let
$(\rho,\omega)$ be the associated unique LPH-type solution on
$(s(y_{*}),y_{*})$. We define a function
$f(y)=\frac{4\pi}{\gamma(4-3\gamma)}y^{2}\omega\rho^{2-\gamma}-\frac{2}{2-\gamma}.$
(4.173)
Then the following identity holds for $f(y)$:
$\displaystyle f^{\prime}(y)=$
$\displaystyle\,\frac{4\pi}{\gamma(4-3\gamma)}y\rho^{2-\gamma}\bigg{(}f(y)(\gamma-1)\Big{(}2-\gamma+\frac{y^{2}\omega^{3}}{G}\Big{)}$
(4.174)
$\displaystyle+(2-\gamma-\omega)\Big{(}1-(\gamma-1)\frac{4\pi}{\gamma(4-3\gamma)}y^{2}\omega\rho^{2-\gamma}-(\gamma-1)\frac{y^{2}\omega(\gamma-1)(\frac{2\omega}{2-\gamma}+1)}{G}\Big{)}\bigg{)}.$
###### Remark 4.20.
The principal utility of the function $f$ is in comparing the density of an
LPH-type solution to the density of the far-field solution, $\rho_{f}$.
Indeed, by construction (compare (1.23)),
$\frac{4\pi}{\gamma(4-3\gamma)}y^{2}\omega_{f}\rho_{f}^{2-\gamma}-\frac{2}{2-\gamma}\equiv
0.$
Moreover, for $y_{*}\in(y_{f},y_{F}]$, we have $f(y_{*})>0$ by (3.112).
###### Proof.
Let $y_{*}\in[y_{f},y_{F}]$ and let
$(\rho,\omega)=(\rho(\cdot;y_{*}),\omega(\cdot;y_{*}))$. Direct
differentiation yields
$\displaystyle f^{\prime}(y)=$
$\displaystyle\,\frac{4\pi}{\gamma(4-3\gamma)}\Big{(}2y\omega\rho^{2-\gamma}+y(4-3\gamma-3\omega)\rho^{2-\gamma}-\frac{y^{3}\omega
h\rho^{2-\gamma}}{G}+\frac{(2-\gamma)y^{3}\omega h\rho^{2-\gamma}}{G}\Big{)}$
(4.175) $\displaystyle=$
$\displaystyle\,\frac{4\pi}{\gamma(4-3\gamma)}y\rho^{2-\gamma}\Big{(}2-\gamma-\omega+(\gamma-1)\big{(}-\frac{y^{2}\omega
h}{G}-2\big{)}\Big{)}.$
Next, we rearrange the equation for $f^{\prime}$. We expand
$\displaystyle-$ $\displaystyle\frac{y^{2}\omega h}{G}-2$ $\displaystyle=$
$\displaystyle\,\frac{\frac{4\pi}{4-3\gamma}y^{2}\omega^{2}\rho-y^{2}\omega\big{(}2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma)\big{)}}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}-2$
$\displaystyle=$
$\displaystyle\,\frac{4\pi}{\gamma(4-3\gamma)}y^{2}\omega^{2}\rho^{2-\gamma}-2+\frac{y^{2}\omega^{2}\frac{4\pi}{4-3\gamma}y^{2}\omega^{2}\rho}{\gamma\rho^{\gamma-1}(\gamma\rho^{\gamma-1}-y^{2}\omega^{2})}-\frac{y^{2}\omega\big{(}2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma)\big{)}}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}$
$\displaystyle=$
$\displaystyle\,(2-\gamma)f(y)-(2-\gamma-\omega)\frac{4\pi}{\gamma(4-3\gamma)}y^{2}\omega\rho^{2-\gamma}$
$\displaystyle+\frac{y^{2}\omega^{3}\big{(}f(y)+\frac{2}{2-\gamma}\big{)}}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}-\frac{y^{2}\omega\big{(}2\omega^{2}+(\gamma-1)\omega+(\gamma-1)(2-\gamma)\big{)}}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}$
$\displaystyle=$
$\displaystyle\,(2-\gamma)f(y)-(2-\gamma-\omega)\frac{4\pi}{\gamma(4-3\gamma)}y^{2}\omega\rho^{2-\gamma}+\frac{y^{2}\omega^{3}f(y)}{G}$
$\displaystyle+\frac{y^{2}\omega\big{(}\frac{2(\gamma-1)}{2-\gamma}\omega^{2}-(\gamma-1)\omega-(\gamma-1)(2-\gamma)\big{)}}{G}.$
Note that
$\frac{2(\gamma-1)}{2-\gamma}\omega^{2}-(\gamma-1)\omega-(\gamma-1)(2-\gamma)=-(\gamma-1)(2-\gamma-\omega)(\frac{2\omega}{2-\gamma}+1).$
Therefore, substituting this into (4.175), we have
$\displaystyle f^{\prime}(y)=$
$\displaystyle\,\frac{4\pi}{\gamma(4-3\gamma)}y\rho^{2-\gamma}\bigg{(}f(y)(\gamma-1)\Big{(}2-\gamma+\frac{y^{2}\omega^{3}}{G}\Big{)}$
$\displaystyle+(2-\gamma-\omega)\Big{(}1-(\gamma-1)\frac{4\pi}{\gamma(4-3\gamma)}y^{2}\omega\rho^{2-\gamma}-(\gamma-1)\frac{y^{2}\omega(\gamma-1)(\frac{2\omega}{2-\gamma}+1)}{G}\Big{)}\bigg{)},$
that is, (4.174). ∎
###### Proof of Lemma 4.18.
Step 1: Setup for a contradiction argument.
Suppose for a contradiction that $\bar{y}_{*}=y_{f}$, so that
$Y=(y_{f},y_{F})$. We will use the fact that for any $y_{*}\in(y_{f},y_{F})$,
we have $\omega^{\prime}(\cdot;y_{*})\geq 0$ on $[y_{c}(y_{*}),y_{*}]$ by
Proposition 4.14, and so on this interval, $2-\gamma-\omega(\cdot;y_{*})>0$.
Along with (4.174), we also note
$\displaystyle\big{(}2$
$\displaystyle-\gamma-\omega\big{)}^{\prime}=-\frac{\frac{4-3\gamma}{2-\gamma}\big{(}2-\gamma-\omega\big{)}}{y}+\frac{\omega}{y}\frac{(\gamma-1)y^{2}\big{(}\frac{2\omega}{2-\gamma}+1\big{)}}{G}(2-\gamma-\omega)-\frac{\omega}{y}\frac{\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}}{G},$
(4.176)
which is a reformulation of (3.129).
Step 2: Collect initial estimates for $f$ and $2-\gamma-\omega$ and define the
basic set for a continuity argument to propagate the estimates.
Let $\varepsilon>0$, $\alpha>0$ and $y_{0}>0$ be sufficiently small (to be
fixed later), then by Proposition 4.7 there exists $\delta>0$ such that if
$y_{*}-y_{f}<\delta$, we have
$|2-\gamma-\omega(y_{0})|+A|f(y_{0})|<\varepsilon,\quad\rho(y_{0})>\rho_{f}(y_{0})-\varepsilon>M,$
(4.177)
where $A>\max\\{\frac{(2-\gamma)^{2}}{\gamma-1},1\\}$ is a fixed,
$\gamma$-dependent constant and $M$ is assumed sufficiently large so that
$\rho(y_{0})>M$ and $\omega(y_{0})\in(0,2-\gamma)$ implies
$\frac{1}{G(y_{0})}<\alpha$. Moreover, by upper semi-continuity of the sonic
time $s(y_{*})$ from Proposition 4.7(i), as $s(y_{f})=0$, we may take
$|y_{*}-y_{f}|<\delta$ with $\delta$ sufficiently small so that
$s(y_{*})\leq\frac{y_{0}}{8}$. Using now the uniform continuity from
Proposition 4.7(iii) for $y\geq\frac{y_{0}}{4}$, we may take $\delta$ smaller
if necessary to ensure $|\omega(y;y_{*})-(2-\gamma)|$ is small enough that
$\omega(y;y_{*})>\frac{4-3\gamma}{3}$ for $y\in[\frac{y_{0}}{4},y_{*}]$ and
hence also $y_{c}(y_{*})<\frac{y_{0}}{2}$ giving, in total,
$s(y_{*})<y_{c}(y_{*})<\frac{y_{0}}{2},$
where the first inequality follows from $y_{*}\in Y$ (so that
$y_{c}(y_{*})>s(y_{*})$).
We take $y_{0}$ small enough (depending only on $\gamma$) so that in all of
the (finitely many) positive constants $C=C(\gamma)$ below depending only on
$\gamma$, $y_{0}<C$.
Let the set $F$ be defined as
$F=\\{y\in(s(y_{*}),y_{0}]\,|\,\omega(\tilde{y})\geq 2-\gamma-
C_{0}\varepsilon,\>-C_{1}\varepsilon\leq f(\tilde{y})\leq|f(y_{0})|\text{ for
all }\tilde{y}\in[y,y_{0}]\\},$
where $C_{1}>C_{0}>1$ depend only on $\gamma$ (and are to be chosen later). By
taking $C_{0}>1$ and $C_{1}>\frac{1}{A}$, we have by (4.177) that $y_{0}\in
F$, so that $F$ is clearly non-empty and relatively closed.
We will assume $\varepsilon>0$ is small enough so that $2-\gamma-
C_{0}\varepsilon>\frac{3}{4}(2-\gamma)>\frac{4-3\gamma}{3}$. Note that if
$y\in F$, then as $y_{*}\in Y$ and $\omega(y)>\frac{4-3\gamma}{3}$, we must
have $\rho(y)>\rho(y_{0})$ by Lemma 4.9, and so also $\frac{1}{G(y)}<\alpha$.
Our goal is to prove that $F=(s(y_{*}),y_{0}]$ (by showing that $F$ is
relatively open in $(s(y_{*}),y_{0}]$). This then gives
$\inf_{(s(y_{*}),y_{*})}\omega(\cdot;y_{*})\geq 2-\gamma-
C_{0}\varepsilon>\frac{4-3\gamma}{3}$, a contradiction to $y_{*}\in Y$.
Step 3: Show that $f<0$ is an invariant property as $y$ decreases and
partition the set $F$.
Now for any $\bar{y}\in F$ such that $0\leq f(\bar{y})<\varepsilon$, we use
(4.174) along with the uniform bound on $\omega$ and the estimate
$G^{-1}<\alpha$ to see that
$\displaystyle f^{\prime}(\bar{y})=$
$\displaystyle\,\frac{4\pi}{\gamma(4-3\gamma)}\bar{y}\rho^{2-\gamma}\bigg{(}f(\bar{y})(\gamma-1)\Big{(}2-\gamma+O(\alpha\bar{y}^{2})\Big{)}$
(4.178)
$\displaystyle+(2-\gamma-\omega)\Big{(}1-(\gamma-1)\frac{4\pi}{\gamma(4-3\gamma)}y^{2}\omega\rho^{2-\gamma}+O(\alpha\bar{y}^{2})\Big{)}\bigg{)}$
$\displaystyle\geq$
$\displaystyle\frac{4\pi}{\gamma(4-3\gamma)}\bar{y}\rho^{2-\gamma}(2-\gamma-\omega)\Big{(}1-(\gamma-1)\frac{2}{2-\gamma}+O(|f(\bar{y})|+\alpha\bar{y}^{2})\Big{)}>0$
as $1-(\gamma-1)\frac{2}{2-\gamma}=\frac{4-3\gamma}{2-\gamma}>0$,
$|f(\bar{y})|<\varepsilon$ and $0<\bar{y}\leq y_{0}$ is small. Thus the region
$\\{f(y)<0\\}$ is an invariant region in $F$.
In particular, we may define a point $y_{1}$ such that $\inf F\leq y_{1}\leq
y_{0}$ as follows:
$y_{1}=\begin{cases}\inf\\{y\in F\,|\,f(y)>0\\}&\text{ if }f(y_{0})>0,\\\
y_{0}&\text{ if }f(y_{0})\leq 0.\end{cases}$ (4.179)
If $f(y_{0})>0$, we therefore have (by the invariance of $\\{f(y)<0\\}$) that
$f(y)<0$ for $y\in[\inf F,y_{1})$, $f(y)>0$ for $y\in(y_{1},y_{0}]$. On the
other hand, if $f(y_{0})\leq 0$, then $f(y)<0$ for all $y\in
F\setminus\\{y_{0}\\}$.
In addition, we conclude that $F$ is not a singleton set as follows: if
$f(y_{0})\geq 0$, then we have from the inequality just shown for
$f^{\prime}(y_{0})$ that there is an interval to the left of $y_{0}$ such that
$f(y)<f(y_{0})$ and the other defining inequalities of $F$ follow from simple
continuity considerations. If $f(y_{0})<0$, then the upper bound
$f(y)<|f(y_{0})|$ follows trivially on an open neighbourhood of $y_{0}$, while
the other defining estimates for $F$ likewise follow from simple continuity
considerations on an open neighbourhood of $y_{0}$. This yields in particular
that
$\inf F<y_{0}.$
Step 4: Obtain a uniform lower bound $f(y)>-C_{1}\varepsilon$ on $F$.
We note the identity
$\frac{\omega}{y}\frac{\frac{4\pi
y^{2}\rho\omega}{4-3\gamma}-\frac{2}{2-\gamma}\gamma\rho^{\gamma-1}}{G}=\frac{\omega}{y}f(y)+\frac{\omega}{y}f(y)\frac{y^{2}\omega^{2}}{G},$
(4.180)
and then use (4.176) along with $G>0$ and $\omega^{\prime}>0$ (as $y_{*}\in
Y=S$ by Proposition 4.14 gives $\omega^{\prime}>0$ on $[y_{c}(y_{*}),y_{*}]$
which contains $\overline{F}$) to see
$f(y)\geq-\frac{4-3\gamma}{2-\gamma}\frac{(2-\gamma-\omega)}{\omega}-f(y)\frac{y^{2}\omega^{2}}{G}\text{
for all }y\in F.$ (4.181)
Using now that $\omega(y)\in(\frac{3}{4}(2-\gamma),2-\gamma)$ and $G>0$, if
$f(y)<0$, then this estimate yields
$f(y)\geq-\frac{4-3\gamma}{2-\gamma}\frac{(2-\gamma-\omega)}{\omega},$ (4.182)
while if $f(y)\geq 0$, then this estimate holds trivially (as the right hand
side is negative due to $\omega(y)<2-\gamma$). Thus, we have obtained
$f(y)\geq-\frac{4-3\gamma}{2-\gamma}\frac{(2-\gamma-\omega)}{\omega}\geq-
C_{2}C_{0}\varepsilon\ \text{ for all }y\in F,$ (4.183)
where $C_{2}$ depends only on $\gamma$ as we have assumed the estimate
$\omega\geq\frac{3(2-\gamma)}{4}$, and $C_{1}$ was chosen originally so that
$C_{1}>C_{2}C_{0}$.
Step 5: Obtain the uniform bound $2-\gamma-\omega(y)<\varepsilon$ on
$[y_{1},y_{0}]$.
If $f(y_{0})\leq 0$, then, by definition of $y_{1}$, we have $y_{1}=y_{0}$ and
the inequality follows trivially.
Suppose that $f(y_{0})>0$. Then $y_{1}\in[\inf F,y_{0})$. We then have from
(4.178) that for all $y\in[y_{1},y_{0}]$, $f^{\prime}(y)>0$, and so $0\leq
f(y)<f(y_{0})<\varepsilon$ for all $y\in[y_{1},y_{0})\cap F$.
We recall the constant $A>\max\\{\frac{(2-\gamma)^{2}}{\gamma-1},1\\}$ is a
fixed, $\gamma$-dependent constant and consider the quantity
$g_{A}(y)=Af(y)+(2-\gamma-\omega(y)).$
Using (4.174), (4.176), and (4.180) we get
$\displaystyle g_{A}^{\prime}(y)=$
$\displaystyle\,A\frac{f(y)+\frac{2}{2-\gamma}}{y\omega}\bigg{(}f(y)(\gamma-1)\Big{(}2-\gamma+\frac{y^{2}\omega^{3}}{G}\Big{)}$
(4.184)
$\displaystyle+(2-\gamma-\omega)\Big{(}1-(\gamma-1)\frac{4\pi}{\gamma(4-3\gamma)}y^{2}\omega\rho^{2-\gamma}-(\gamma-1)\frac{y^{2}\omega(\gamma-1)(\frac{2\omega}{2-\gamma}+1)}{G}\Big{)}\bigg{)}$
$\displaystyle-\frac{\frac{4-3\gamma}{2-\gamma}\big{(}2-\gamma-\omega\big{)}}{y}+\frac{\omega}{y}\frac{(\gamma-1)y^{2}\big{(}\frac{2\omega}{2-\gamma}+1\big{)}}{G}(2-\gamma-\omega)-\frac{\omega}{y}f(y)\Big{(}1+\frac{y^{2}\omega^{2}}{G}\Big{)}.$
By writing $\omega^{-1}=\frac{1}{2-\gamma}+O(|2-\gamma-\omega|)$, we treat
terms that are quadratic in $f(y)$ and $2-\gamma-\omega(y)$ as higher order
and recall $0<\omega<2-\gamma$, $G^{-1}<\alpha$ where $\alpha$ is small to
rearrange this as
$\displaystyle g_{A}^{\prime}(y)=$
$\displaystyle\,\frac{Af(y)}{y}\Big{(}\frac{2(\gamma-1)}{2-\gamma}-\frac{2-\gamma}{A}+O\big{(}|f(y)|+|2-\gamma-\omega(y)|+y^{2}\big{)}\Big{)}$
(4.185)
$\displaystyle+\frac{2-\gamma-\omega}{y}\Big{(}\frac{2A(4-3\gamma)}{(2-\gamma)^{3}}-\frac{4-3\gamma}{2-\gamma}+O\big{(}|f(y)|+|2-\gamma-\omega(y)|+y^{2}\big{)}\Big{)}.$
For $y\in[y_{1},y_{0}]\cap F$, as $f(y)\geq 0$ and
$A>\frac{(2-\gamma)^{2}}{\gamma-1}$, this gives us $g_{A}^{\prime}(y)\geq 0$
(using both $|f(y)|+|2-\gamma-\omega|\leq C\varepsilon$ and $y_{0}$ small
relative to $\gamma$), and hence $g(y)\leq g(y_{0})$ on this interval. In
particular, we obtain
$2-\gamma-\omega(y)<\varepsilon\text{ for all }y\in[y_{1},y_{0}]\cap F,$
(4.186)
and so clearly $[y_{1},y_{0}]\subset F$ (using also (4.183)).
If $y_{1}=\inf F$, the strict inequality, along with (4.183) (recall
$C_{1}>C_{2}C_{0}$ by definition), shows that $F$ is also relatively open in
$(s(y_{*}),y_{0}]$, i.e., $F=(s(y_{*}),y_{0}]$, and hence we conclude
$y_{c}(y_{*})=s(y_{*})$ and
$\inf_{(s(y_{*}),y_{0})}\omega>\frac{4-3\gamma}{3}$, a contradiction to
$y_{*}\in Y$.
Step 6: Obtain the final remaining estimate $2-\gamma-\omega(y)<4\varepsilon$
on $[\inf F,y_{1}]$.
We now suppose that $y_{1}>\inf F$ (as we are already done by Step 5 if not)
and work with either the case $f(y_{0})>0$ or the alternative, $f(y_{0})\leq
0$. Then the interval $[\inf F,y_{1}]\cap F$ is non-empty and non-singleton.
By definition of $y_{1}$, for $y\in[\inf F,y_{1})$, we trivially have the
estimate $f(y)<0\leq|f(y_{0})|$.
Choosing $\tilde{A}=\frac{(2-\gamma)^{2}}{2}+a$, where $a>0$ will be taken
small depending only on $\gamma$, we obtain from (4.185) that
$\displaystyle g_{\tilde{A}}^{\prime}(y)=$
$\displaystyle\,\frac{\tilde{A}f(y)}{y}\Big{(}-2+O\big{(}a+|f(y)|+|2-\gamma-\omega(y)|+y^{2}\big{)}\Big{)}$
$\displaystyle+\frac{2-\gamma-\omega}{y}\Big{(}\frac{2a(4-3\gamma)}{(2-\gamma)^{3}}+O\big{(}|f(y)|+|2-\gamma-\omega(y)|+y^{2}\big{)}\Big{)}\geq
0,$
on $F$, where we have used that $f<0$ on $[\inf F,y_{1})$ and
$2-\gamma-\omega>0$.
Thus, for $y\in[\inf F,y_{1})$,
$\displaystyle(2-\gamma-\omega)(y)\leq$
$\displaystyle\,g_{\tilde{A}}(y_{1})-\big{(}\frac{(2-\gamma)^{2}}{2}+a\big{)}f(y)$
$\displaystyle\leq$
$\displaystyle\,(2-\gamma-\omega)(y_{1})+\big{(}\frac{(2-\gamma)^{2}}{2}+a\big{)}\frac{4-3\gamma}{2-\gamma}\frac{2-\gamma-\omega(y)}{\omega(y)},$
where we have used the first bound in (4.183). Noting that the coefficient
$\big{(}\frac{(2-\gamma)^{2}}{2}+a\big{)}\frac{4-3\gamma}{2-\gamma}\frac{1}{\omega}\leq\frac{3}{4}$
provided $\omega>\frac{3(2-\gamma)}{4}$ and $a$ is small, depending only on
$\gamma$, we absorb the last term on the right onto the left and conclude that
$(2-\gamma-\omega)(y)\leq 4(2-\gamma-\omega)(y_{1})<4\varepsilon,$
where the last estimate follows from (4.186) in the case $y_{1}<y_{0}$ and
(4.177) in the case $y_{1}=y_{0}$. So provided $C_{0}>4$ initially, we obtain
that $F$ is open. Applying again (4.183), we obtain the estimate
$0>f(y)>-C_{2}C_{0}\varepsilon>-C_{1}\varepsilon$, and hence we again find $F$
is relatively open, leading to a contradiction as before. ∎
We are now able to give a proof of the strict monotonicity of
$\omega(\cdot;\bar{y}_{*})$ and the correct boundary value at the origin,
$\omega(0;\bar{y}_{*})=\frac{4-3\gamma}{3}$. These two properties are proved
in the following two lemmas.
###### Lemma 4.21.
Let $\gamma\in(1,\frac{4}{3})$. Then the global solution
$(\rho(\cdot;\bar{y}_{*}),\omega(\cdot;\bar{y}_{*}))$ satisfies
$\omega^{\prime}(y;\bar{y}_{*})>0$ for all $y\in(0,\bar{y}_{*})$.
###### Proof.
For each $y\in(0,\bar{y}_{*})$, by the convergence with respect to $y_{*}$ of
$\omega^{\prime}(y;y_{*})$ from Proposition 4.7, as
$\omega^{\prime}(y,y_{*})>0$ for all $y_{*}\in Y$, we easily obtain
$\omega^{\prime}(y;\bar{y}_{*})\geq 0$. If we then suppose for a contradiction
that $\omega^{\prime}(y;\bar{y}_{*})=0$, $y$ is a local minimum of
$\omega^{\prime}$, and hence $\omega^{\prime\prime}(y;\bar{y}_{*})=0$. By
Lemma 4.18, we have that $\bar{y}_{*}\neq y_{f}$, and hence
$\omega(\bar{y}_{*};\bar{y}_{*})<2-\gamma$. By the weak monotonicity, this
yields moreover that $\omega(y;\bar{y}_{*})<2-\gamma$ for all
$y\in(0;\bar{y}_{*})$. In addition, from $y_{c}(\bar{y}_{*})=0$ from
Proposition 4.17, we obtain that, for all $y\in(0,\bar{y}_{*})$,
$\omega(y;\bar{y}_{*})>\frac{4-3\gamma}{3}$ and so we may apply Lemma 4.13 to
obtain $\omega^{(3)}(y;\bar{y}_{*})<0$, a contradiction. ∎
We therefore obtain that $\omega$ is strictly monotone decreasing as we
decrease $y$ towards the origin.
###### Proposition 4.22.
Let $\gamma\in(1,\frac{4}{3})$ and consider the global solution
$(\rho,\omega)=(\rho(\cdot;\bar{y}_{*}),\omega(\cdot;\bar{y}_{*}))$. The
relative velocity $\omega$ extends continuously up to the origin and satisfies
the limit
$\omega(0;\bar{y}_{*})=\lim_{y\to
0}\omega(y;\bar{y}_{*})=\frac{4-3\gamma}{3}.$
###### Proof.
Suppose that $\lim_{y\to 0^{+}}\omega(y;\bar{y}_{*})\neq\frac{4-3\gamma}{3}$.
We will derive a contradiction. Recall first of all that, by construction and
Lemma 4.18, we have $\omega(\bar{y}_{*})<2-\gamma$, and thus
$\omega(y;\bar{y}_{*})\in(\frac{4-3\gamma}{3},2-\gamma)$ for all
$y\in(0,\bar{y}_{*})$, where the strict lower bound comes from the fact that
$y_{c}(\bar{y}_{*})=0$, proved in Proposition 4.17.
Define
$\bar{\alpha}=\lim_{y\to 0^{+}}\frac{3\omega-(4-3\gamma)}{\omega}.$ (4.187)
(Note that the limit exists by monotonicity of $\omega$ and that
$\bar{\alpha}>0$.) One easily sees that the function
$\omega\mapsto A(\omega)=\frac{3\omega-(4-3\gamma)}{\omega}$
is monotone increasing on $(\frac{4-3\gamma}{3},2-\gamma)$ and achieves its
maximum value $\alpha_{\max}=\frac{2}{2-\gamma}$ at $\omega=2-\gamma$. We
therefore have the crucial inequality
$\bar{\alpha}<\frac{2}{2-\gamma}.$ (4.188)
Now from the inequality $\omega^{\prime}\geq 0$ from Lemma 4.21, we derive
$\displaystyle 0\leq$
$\displaystyle\,\omega^{\prime}=\frac{4-3\gamma-3\omega}{y}-\frac{y\omega
h}{G}=-\frac{A(\omega)\omega}{y}-\frac{y\omega
h}{G}\leq-\frac{\bar{\alpha}\omega}{y}-\frac{y\omega h}{G},$
where we have again used the monotonicity of $A(\omega)$ to see
$-A(\omega(y))\leq-A(\omega(0))=-\bar{\alpha}$ by the monotonicity of
$\omega$. Thus
$\frac{yh}{G}\leq-\frac{\bar{\alpha}}{y},$
and so, using now the equation for $\rho$ from (1.21), we find that
$\rho^{\prime}=\frac{y\rho h}{G}\leq-\frac{\bar{\alpha}\rho}{y}.$
Thus, for $y$ sufficiently small, we must have
$\rho\geq c_{1}y^{-\bar{\alpha}},\quad\text{for some }c_{1}>0.$ (4.189)
Recalling the definitions (1.20) and (1.19) of $h$ and $G$ respectively, this
then yields that, for some possibly different constant $\tilde{c}_{1}>0$, for
$y$ sufficiently small, we must have
$h\leq-\tilde{c}_{1}y^{-\bar{\alpha}},\quad
G\geq\tilde{c}_{1}y^{-(\gamma-1)\bar{\alpha}}.$
We recall (3.141):
$\displaystyle\frac{\operatorname{d}\\!}{\operatorname{d}\\!y}h(\rho,\omega)=$
$\displaystyle\,\big{(}2\omega^{2}-(\gamma-1)(2-\gamma)+h(\rho,\omega)\big{)}\frac{4-3\gamma-3\omega}{y\omega}-y\frac{h(4\omega^{2}+(\gamma-1)\omega)}{G(\rho,\omega,y)}$
(4.190) $\displaystyle=$
$\displaystyle\,h\Big{(}\frac{4-3\gamma-3\omega}{y\omega}-y\frac{4\omega^{2}+(\gamma-1)\omega}{G}\Big{)}+\big{(}2\omega^{2}-(\gamma-1)(2-\gamma)\big{)}\frac{4-3\gamma-3\omega}{y\omega}.$
Using the upper bound for $h$ and lower bound for $G$ just obtained, given
$\delta>0$ (to be chosen later), we may take $y$ sufficiently small so that
$-(1-\delta)\frac{\bar{\alpha}}{y}h\leq(1-\frac{1}{2}\delta)\frac{4-3\gamma-3\omega}{y\omega}h\leq
h^{\prime}\leq(1+\frac{1}{2}\delta)\frac{4-3\gamma-3\omega}{y\omega}h\leq-(1+\delta)\frac{\bar{\alpha}}{y}h.$
(4.191)
This allows us to get the complementary bound
$h\geq-\tilde{c}_{2}y^{-\bar{\alpha}(1+\delta)},\text{ and hence }\rho\leq
c_{2}y^{-\bar{\alpha}(1+\delta)},\quad
G\leq\bar{c}_{2}y^{-\bar{\alpha}(\gamma-1)(1+\delta)}.$ (4.192)
Thus we may make the estimate, for $y$ sufficiently small,
$\Big{|}\frac{y\omega h}{G}\Big{|}\leq
Cy^{1-\bar{\alpha}(1+\delta)+(\gamma-1)\bar{\alpha}}.$
Recall from (4.188) that, by construction, $\bar{\alpha}<\frac{2}{2-\gamma}$.
We take $\delta>0$ such that
$\delta<\frac{2-(2-\gamma)\bar{\alpha}}{\bar{\alpha}}.$
Then the exponent here is such that
$1-\bar{\alpha}(1+\delta)+(\gamma-1)\bar{\alpha}>-1$. Thus, again taking $y$
sufficiently small once more,
$\omega^{\prime}(y)=\frac{4-3\gamma-3\omega}{y}-\frac{y\omega
h}{G}\leq\frac{1}{2}\frac{4-3\gamma-3\omega}{y}<0,$
a contradiction to the fact that $\omega^{\prime}\geq 0$ for all
$y\in(0,\bar{y}_{*})$. Thus $\lim_{y\to 0^{+}}\omega(y)=\frac{4-3\gamma}{3}$.
∎
###### Lemma 4.23.
Let $\gamma\in(1,\frac{4}{3})$ and consider the global solution
$(\rho,\omega)=(\rho(\cdot;\bar{y}_{*}),\omega(\cdot;\bar{y}_{*}))$. The
density $\rho$ remains bounded and monotone as $y\to 0$, i.e. $\rho$ converges
monotonically to some $\rho(0)>\frac{1}{6\pi}$.
###### Proof.
The monotonicity of $\rho$ follows from the inequality
$\rho^{\prime}(y;y_{*})<0$ for all $y\in[y_{c}(y_{*}),y_{*}]$ for all
$y_{*}\in Y$ (by Lemma 4.9) and the strong convergence
$\rho^{\prime}(y;y_{*})\to\rho^{\prime}(y;\bar{y}_{*})$ for all
$y\in(0,\bar{y}_{*})$ as $y_{*}\to\bar{y}_{*}$ given by Proposition 4.7(iii).
To show that $\rho$ stays bounded, suppose for a contradiction that it is not.
Note that as $\omega$ is bounded (away from 0) and convergent as $y\to 0$, in
this limit,
$h(\rho,\omega)\sim-\frac{4\pi}{4-3\gamma}\rho\omega\sim-\frac{4\pi}{3}\rho\text{
in the sense that }\lim_{y\to
0^{+}}\frac{-h(\rho,\omega)}{\frac{4\pi}{3}\rho}=1.$
Moreover, we clearly also have the asymptotic form
$\lim_{y\to
0^{+}}\frac{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}{\gamma\rho^{\gamma-1}}=1.$
So, given $\delta>0$ the ODE for $\rho$ in (1.21) becomes, for $y$
sufficiently small,
$-\big{(}\frac{4\pi}{3\gamma}+\delta\big{)}y\rho^{3-\gamma}\leq\rho^{\prime}\leq-\big{(}\frac{4\pi}{3\gamma}-\delta\big{)}y\rho^{3-\gamma}.$
The solution to an ODE of the form
$f^{\prime}(x)=-axf(x)^{p}\quad\text{ is }\quad
f(x)=\Big{(}(p-1)\big{(}c_{1}+\frac{ax^{2}}{2}\big{)}\Big{)}^{\frac{1}{1-p}}.$
Thus solving this pair of ordinary differential inequalities lead to exactly
two possibilities: either $\rho$ remains bounded up to the origin, a
contradiction to the assumption that it is unbounded, or $\rho=\kappa
y^{-\frac{2}{2-\gamma}}\big{(}1+o(1)\big{)}$ as $y\to 0$. To see this, choose
$y\ll 1$ and rearrange the differential inequalities to yield
$(2-\gamma)\big{(}\frac{4\pi}{3\gamma}-\delta\big{)}y\leq\big{(}\rho^{\gamma-2}\big{)}^{\prime}\leq(2-\gamma)\big{(}\frac{4\pi}{3\gamma}+\delta\big{)}y.$
Thus, for $\tilde{y}\in(0,y)$, we have first from the lower bound, integrating
from $\tilde{y}$ to $y$,
$\rho^{\gamma-2}(\tilde{y})\leq\rho^{\gamma-2}(y)-\frac{2-\gamma}{2}\big{(}\frac{4\pi}{3\gamma}-\delta\big{)}y^{2}+\frac{2-\gamma}{2}\big{(}\frac{4\pi}{3\gamma}-\delta\big{)}\tilde{y}^{2}.$
Using that $\rho>0$ and sending $\tilde{y}\to 0$ (as
$\rho(\tilde{y})\to\infty$, we have $\rho(\tilde{y})^{\gamma-2}\to 0$), this
easily gives
$\rho(y)^{\gamma-2}\geq\frac{2-\gamma}{2}\big{(}\frac{4\pi}{3\gamma}-\delta\big{)}y^{2}.$
On the other hand, from the upper bound for $(\rho^{\gamma-2})^{\prime}$, we
get the inequality
$\rho(\tilde{y})\leq\Big{(}\rho^{\gamma-2}(y)-\frac{2-\gamma}{2}\big{(}\frac{4\pi}{3\gamma}+\delta\big{)}y^{2}+\frac{2-\gamma}{2}\big{(}\frac{4\pi}{3\gamma}+\delta\big{)}\tilde{y}^{2}\Big{)}^{-\frac{1}{2-\gamma}},$
and hence
$\rho^{\gamma-2}(y)\leq\frac{2-\gamma}{2}\big{(}\frac{4\pi}{3\gamma}+\delta\big{)}y^{2},$
else $\rho(\tilde{y})$ would be bounded as $\tilde{y}\to 0$. Combining these
inequalities, we have obtained that
$\Big{(}\frac{2-\gamma}{2}\big{(}\frac{4\pi}{3\gamma}+\delta\big{)}\Big{)}^{-\frac{1}{2-\gamma}}y^{-\frac{2}{2-\gamma}}\leq\rho(y)\leq\Big{(}\frac{2-\gamma}{2}\big{(}\frac{4\pi}{3\gamma}-\delta\big{)}\Big{)}^{-\frac{1}{2-\gamma}}y^{-\frac{2}{2-\gamma}},$
as required. Noting then that
$\frac{4-3\gamma}{3}\frac{4\pi}{3\gamma}y\rho^{2-\gamma}\geq\frac{c_{1}}{y}.$
We return to the ODE for $\omega$ from (1.21) to obtain that, for $y$
sufficiently small,
$\omega^{\prime}=\frac{4-3\gamma-3\omega}{y}-\frac{y\omega
h}{G}\geq\frac{4-3\gamma-3\omega}{y}+\frac{4-3\gamma}{6}\frac{4\pi}{3\gamma}y\rho^{2-\gamma}\geq\frac{c_{1}}{2y},$
for $y$ sufficiently small, using $\omega\to\frac{4-3\gamma}{3}$,
$\frac{G}{\gamma\rho^{\gamma-1}}\to 1$, $\frac{-h}{\frac{4\pi}{3}\rho}\to 1$.
But this leads to a contradiction as $\omega$ has a finite limit at the
origin.
Thus, as $\rho$ is both monotone and bounded, it has a finite limit
$\rho(0)=\lim_{y\to 0+}\rho(y)$.
To finish the proof, suppose that $\rho(0)\leq\frac{1}{6\pi}$. As we have
$h(\rho(y),\omega(y))<0$ for all $y\in(0,\bar{y}_{*})$ and
$\omega(y)\to\frac{4-3\gamma}{3}$, we must have $\rho(0)=\frac{1}{6\pi}$. In
this case, we may use that $\omega\geq\omega_{F}\equiv\frac{4-3\gamma}{3}$,
$\rho\leq\rho_{F}\equiv\frac{1}{6\pi}$ to get the following:
$\displaystyle|(\omega-\omega_{F})(y)|=$
$\displaystyle\,(\omega-\omega_{F})(\delta)-3\int_{\delta}^{y}\frac{\omega-\omega_{F}}{\tilde{y}}\operatorname{d}\\!\tilde{y}-\int_{\delta}^{y}\Big{(}\frac{\tilde{y}\omega
h(\rho,\omega)}{G(\tilde{y},\rho,\omega)}-\frac{\tilde{y}\omega_{F}h(\rho_{F},\omega_{F})}{G(\tilde{y},\rho_{F},\omega_{F})}\Big{)}\operatorname{d}\\!\tilde{y}$
(4.193)
$\displaystyle\,\leq|(\omega-\omega_{F})(\delta)|+\int_{\delta}^{y}\Big{|}\frac{\tilde{y}\omega
h(\rho,\omega)}{G(\tilde{y},\rho,\omega)}-\frac{\tilde{y}\omega_{F}h(\rho_{F},\omega_{F})}{G(\tilde{y},\rho_{F},\omega_{F})}\Big{|}\operatorname{d}\\!\tilde{y},$
$\displaystyle|(\rho_{F}-\rho)(y)|\leq$
$\displaystyle\,|(\rho_{F}-\rho)(\delta)|+\int_{\delta}^{y}\Big{|}\frac{\tilde{y}\rho
h(\rho,\omega)}{G(\tilde{y},\rho,\omega)}-\frac{\tilde{y}\rho_{F}h(\rho_{F},\omega_{F})}{G(\tilde{y},\rho_{F},\omega_{F})}\Big{|}\operatorname{d}\\!\tilde{y}.$
Sending $\delta\to 0$, and then applying a simple Gronwall argument using the
the Lipschitz continuity of the expression
$(\rho,\omega)\mapsto\frac{h(\rho,\omega)}{G(y;\rho,\omega)},$
on bounded sets of $(\rho,\omega)$ away from the sonic points $y_{*}$ and
$y_{F}$, we obtain that $\omega\equiv\omega_{F}$, $\rho\equiv\rho_{F}$, and so
conclude the contradiction as, by construction,
$(\rho(\cdot;\bar{y}_{*}),\omega(\cdot;\bar{y}_{*}))\neq(\rho_{F},\omega_{F})$.
∎
###### Lemma 4.24.
Let $\gamma\in(1,\frac{4}{3})$ and consider the global solution
$(\rho,\omega)=(\rho(\cdot;\bar{y}_{*}),\omega(\cdot;\bar{y}_{*}))$. The
derivatives of $\rho$ and $\omega$ converge to zero as $y\to 0$ and
$\rho^{\prime}(0)=\omega^{\prime}(0)=0$. Moreover, the density is $C^{2}$ up
to the origin.
###### Proof.
Now for the solution $(\rho,\omega)$ (suppressing the dependence on
$\bar{y}_{*}$), we may use the facts that $\omega^{\prime}(y)\geq 0$ for $y>0$
and $\omega\geq\frac{4-3\gamma}{3}$, to find
$0\leq\omega^{\prime}(y)=\frac{4-3\gamma-3\omega}{y}-\frac{y\omega
h}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}\leq-\frac{y\omega
h}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}\to 0\text{ as }y\to 0,$
leading to $\lim_{y\to 0+}\omega^{\prime}(y)=0$. In addition,
$-3\omega^{\prime}(0)=\lim_{y\to 0^{+}}\frac{4-3\gamma-3\omega(y)}{y}\to 0$
by the above inequalities.
Similarly,
$|\rho^{\prime}(y)|\leq\Big{|}\frac{y\rho
h}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}\Big{|}\leq Cy,$
so $\rho^{\prime}(0)=\lim_{y\to 0^{+}}\frac{\rho(y)-\rho(0)}{y}=\lim_{\xi\to
0^{+}}\rho^{\prime}(\xi)=0$ by the mean value theorem.
Finally,
$\rho^{\prime\prime}(0)=\lim_{y\to
0^{+}}\frac{\rho^{\prime}(y)-\rho^{\prime}(0)}{y}=\lim_{y\to 0^{+}}\frac{\rho
h}{\gamma\rho^{\gamma-1}-y^{2}\omega^{2}}=\frac{\rho(0)h(\rho(0),\omega(0))}{\gamma\rho(0)^{\gamma-1}}$
and one easily checks that this is also the limit of $\rho^{\prime\prime}(y)$
as $y\to 0$ as required. ∎
## 5 Proof of the main theorem
We now prove Theorem 1.3. Let $\gamma\in(1,\frac{4}{3})$ be fixed. Consider
the local real analytic solution associated with the sonic point
$\bar{y}_{\ast}\in(y_{f},y_{F})$:
$\displaystyle(\rho(\cdot;\bar{y}_{\ast}),\ \omega(\cdot;\bar{y}_{\ast})).$
(5.194)
By Lemma 3.8 the solution extends globally to the right, and by Proposition
4.17 the solution extends to the left to the whole interval
$[0,\bar{y}_{\ast}]$. We therefore obtain a global solution, which is real
analytic at $(0,\infty)$ and $C^{1}$ at $y=0$ by Lemma 4.24.
By Lemmas 3.10, 4.21 and 4.23, it follows that both
$\rho(\cdot;\bar{y}_{\ast})$ and $\omega(\cdot;\bar{y}_{\ast})$ are strictly
monotone on $(0,\infty)$: $\omega$ is increasing and $\rho$ is decreasing.
This proves (LABEL:E:MONOTONICITY). We now recall (1.11), which implies
$u(y)=y\omega(y)-(2-\gamma)y$. Since $\lim_{y\to
0}\omega(y)=\frac{4-3\gamma}{3}$ by Proposition 4.22 and
$\lim_{y\to\infty}\omega(y)=2-\gamma$ by Lemma 3.9, the strict monotonicity of
$\omega$ on $(0,\infty)$ implies the second claim of (LABEL:E:RHOOMBOUNDS).
The strict positivity of $\rho$ is obvious.
## Appendix A Well-posedness away from singular points
At several points throughout the paper, we make use of the following
straightforward local existence and uniqueness theorem for (1.21) provided the
solution is away from both the singularities $y=0$ and any sonic points.
Although the proof is essentially standard, we include it here to make
explicit the dependence of the time of existence on the uniform subsonicity or
supersonicity. This is made precise in the following proposition.
###### Proposition A.1.
Suppose that $y_{0}>0$ and $(\bar{\rho},\bar{\omega})$ are given such that
$\bar{\rho}>\frac{1}{M}$, $|\bar{\rho}|+|\bar{\omega}|\leq M$ and
$\big{|}G(y_{0},\bar{\rho},\bar{\omega})\big{|}\geq\eta>0$. Then there exists
$\delta>0$, depending on $y$, $M$ and $\eta$, such that the flow (1.21) has a
local, unique solution on the interval $[y_{0}-\delta,y_{0}+\delta]$.
Moreover, on $[y_{0}-\delta,y_{0}+\delta]$, we have the estimates
$\bar{\rho}\geq\frac{1}{2M},\quad|\bar{\rho}|+|\bar{\omega}|\leq 2M\text{ and
}\big{|}G(y_{0},\bar{\rho},\bar{\omega})\big{|}\geq\frac{\eta}{4}>0.$
###### Proof.
This follows directly from the usual existence and uniqueness theory for ODEs
with a locally Lipschitz right hand side. However, for the convenience of the
reader and to emphasise the dependence on $M$, $\eta$ and $y$, we provide a
proof.
By the local Lipschitz continuity of the map $y\mapsto G(y;\rho,\omega)$ on
the set $\\{|\rho|+|\omega|\leq 2M,\>\rho\geq\frac{1}{2M}\\}$, there exists
$\delta_{1}>0$ such that $G(y,\bar{\rho},\bar{\omega})\geq\frac{\eta}{2}$ for
all $y\in[y_{0}-\delta_{1},y_{0}+\delta_{1}]$. For any
$\tilde{\eta},\tilde{M},\tilde{\delta}>0$, we define the set
$\Omega_{\tilde{M},\tilde{\eta},\tilde{\delta}}=\big{\\{}(\rho,\omega)\in\mathbb{R}^{2}\,|\,\rho\geq\frac{1}{\tilde{M}},\>|\rho|+|\omega|\leq\tilde{M},\>G(y;\rho,\omega)\geq\tilde{\eta}\text{
for all }y\in[y_{0}-\tilde{\delta},y_{0}+\tilde{\delta}]\big{\\}}.$
Clearly by definition we have
$(\bar{\rho},\bar{\omega})\in\Omega_{M,\eta/2,\delta_{1}}$.
For notational convenience, we define two new functions,
$\displaystyle\overline{\mathcal{F}}(y,\rho,\omega)=$
$\displaystyle\,\frac{y\rho h(\rho,\omega)}{G(y;\rho,\omega)},$ (A.195)
$\displaystyle\overline{\mathcal{G}}(y,\rho,\omega)=$
$\displaystyle\,\frac{4-3\gamma-3\omega}{y}-\frac{y\omega
h(\rho,\omega)}{G(y;\rho,\omega)}.$ (A.196)
Then for given constants $M$, $\eta$, there exist constants $N>0$, $L>0$ and
$l>0$, depending also on $y_{0}$, such that
$\displaystyle|\overline{\mathcal{F}}(y,\rho_{1},\omega_{1})|+|\overline{\mathcal{G}}(y,\rho_{1},\omega_{1})|\leq
N,$ (A.197)
$\displaystyle|G(y;\rho_{1},\omega_{1})-G(y;\rho_{2},\omega_{2})|\leq
l\big{(}|\rho_{1}-\rho_{2}|+|\omega_{1}-\omega_{2}|\big{)},$
$\displaystyle|\overline{\mathcal{F}}(y,\rho_{1},\omega_{1})-\overline{\mathcal{F}}(y,\rho_{2},\omega_{2})|+|\overline{\mathcal{G}}(y,\rho_{1},\omega_{1})-\overline{\mathcal{G}}(y,\rho_{2},\omega_{2})|\leq
L\big{(}|\rho_{1}-\rho_{2}|+|\omega_{1}-\omega_{2}|\big{)}$
for all $y\in[y_{0}-\delta_{1},y_{0}+\delta_{1}]$,
$(\rho_{i},\omega_{i})\in\Omega_{2M,\eta/4,\delta_{1}}$, $i=1,2$.
We define a Picard operator via
$\mathcal{T}[\rho,\omega](y)=\begin{pmatrix}\bar{\rho}+\int_{y_{0}}^{y}\overline{\mathcal{F}}(\tilde{y},\rho(\tilde{y}),\omega(\tilde{y}))\operatorname{d}\\!\tilde{y}\\\
\bar{\omega}+\int_{y_{0}}^{y}\overline{\mathcal{G}}(\tilde{y},\rho(\tilde{y}),\omega(\tilde{y}))\operatorname{d}\\!\tilde{y}\end{pmatrix}.$
We fix $\delta\in(0,\delta_{1})$ such that
$\delta lN<\frac{\eta}{4},\quad\delta N\leq\frac{1}{2M}<M,\quad\delta
L\leq\frac{1}{2}.$
Then for any $(\rho,\omega)\in
C([y_{0}-\delta,y_{0}+\delta];\Omega_{2M,\eta/4,\delta})$, we let
$(\tilde{\rho},\tilde{\omega})=\mathcal{T}[\rho,\omega]$ and see that for any
$y\in[y_{0}-\delta,y_{0}+\delta]$ we have
$\displaystyle|\tilde{\rho}(y)|+|\tilde{\omega}(y)|\leq$
$\displaystyle\,|\bar{\rho}|+|\bar{\omega}|+\Big{|}\int_{y_{0}}^{y}\Big{(}\big{|}\overline{\mathcal{F}}(\tilde{y},\rho(\tilde{y}),\omega(\tilde{y}))\big{|}+\big{|}\overline{\mathcal{G}}(\tilde{y},\rho(\tilde{y}),\omega(\tilde{y}))\big{|}\Big{)}\operatorname{d}\\!\tilde{y}\Big{|}$
$\displaystyle\leq$ $\displaystyle\,M+N|y-y_{0}|\leq 2M.$
Moreover, estimating $\tilde{\rho}(y)$, we have
$\tilde{\rho}(y)\geq\bar{\rho}-\Big{|}\int_{y_{0}}^{y}\big{|}\overline{\mathcal{F}}(\tilde{y},\rho(\tilde{y}),\omega(\tilde{y}))\big{|}\operatorname{d}\\!\tilde{y}\Big{|}\geq\frac{1}{M}-\delta
N\geq\frac{1}{2M}.$
In addition, for all $y\in[y_{0}-\delta,y_{0}+\delta]$ such that
$(\tilde{\rho},\tilde{\omega})(y)\in\Omega_{2M,\eta/4,\delta}$ (note that this
set is non-empty and open by construction of $\mathcal{T}$ and continuity of
$G$ away from sonic points), we have that
$\displaystyle|G(y,$
$\displaystyle\tilde{\rho},\tilde{\omega})-G(y,\bar{\rho},\bar{\omega})|$
$\displaystyle\leq$
$\displaystyle\,l\big{(}|\tilde{\rho}-\bar{\rho}|+|\tilde{\omega}-\bar{\omega}|\big{)}$
$\displaystyle\leq$
$\displaystyle\,l\int_{y_{0}}^{y}\Big{(}\big{|}\overline{\mathcal{F}}(\tilde{y},\rho(\tilde{y}),\omega(\tilde{y}))\big{|}+\big{|}\overline{\mathcal{G}}(\tilde{y},\rho(\tilde{y}),\omega(\tilde{y}))\big{|}\Big{)}\operatorname{d}\\!\tilde{y}$
$\displaystyle\leq$ $\displaystyle\,l\delta N<\frac{\eta}{4},$
so that as $G(y,\bar{\rho},\bar{\omega})\geq\frac{\eta}{2}$ for every such $y$
(as $\delta<\delta_{1}$), a simple continuity argument shows that
$(\tilde{\rho},\tilde{\omega})(y)\in\Omega_{2M,\eta/4,\delta}$ for all
$y\in[y_{0}-\delta,y_{0}+\delta]$. Thus we have shown
$\mathcal{T}:C([y_{0}-\delta,y_{0}+\delta];\Omega_{2M,\eta/4,\delta})\to
C([y_{0}-\delta,y_{0}+\delta];\Omega_{2M,\eta/4,\delta}).$
We equip
$C\big{(}[y_{0}-\delta,y_{0}+\delta];\Omega_{2M,\eta/4,\delta}\big{)}$ with
the norm $\|(\rho,\omega)\|_{X}=\|\rho\|_{C^{0}}+\|\omega\|_{C^{0}}$ and
observe that it is a complete metric space. To see $\mathcal{T}$ is a
contraction, take $(\rho_{1},\omega_{1}),(\rho_{2},\omega_{2})\in
C([y_{0}-\delta,y_{0}+\delta];\Omega_{2M,\eta/4,\delta})$, write
$\mathcal{T}[\rho_{j},\omega_{j}]=(\tilde{\rho}_{j},\tilde{\omega}_{j})$ for
$j=1,2$, and observe
$\displaystyle\big{|}\tilde{\rho}_{1}-\tilde{\rho}_{2}\big{|}(y)+\big{|}\tilde{\omega}_{1}-\tilde{\omega}_{2}\big{|}(y)$
$\displaystyle\leq\int_{y_{0}}^{y}\Big{(}\big{|}\overline{\mathcal{F}}(\tilde{y},\rho_{1}(\tilde{y}),\omega_{1}(\tilde{y}))-\overline{\mathcal{F}}(\tilde{y},\rho_{2}(\tilde{y}),\omega_{2}(\tilde{y}))\big{|}+\big{|}\overline{\mathcal{G}}(\tilde{y},\rho_{1}(\tilde{y}),\omega_{1}(\tilde{y}))-\overline{\mathcal{G}}(\tilde{y},\rho_{2}(\tilde{y}),\omega_{2}(\tilde{y}))\big{|}\Big{)}\operatorname{d}\\!\tilde{y}$
$\displaystyle\leq
L\int_{y_{0}}^{y}\big{(}|\rho_{1}-\rho_{2}|(\tilde{y})+|\omega_{1}-\omega_{2}|(\tilde{y})\big{)}\operatorname{d}\\!\tilde{y}$
$\displaystyle\leq\delta
L\big{(}\|\rho_{1}-\rho_{2}\|_{C^{0}}+\|\omega_{1}-\omega_{2}\|_{C^{0}}\big{)}$
$\displaystyle\leq\frac{1}{2}\|(\rho_{1},\omega_{1})-(\rho_{2},\omega_{2})\|_{X},$
as required. Thus there is a fixed point of the operator $\mathcal{T}$,
$(\rho,\omega)\in C([y_{0}-\delta,y_{0}+\delta];\Omega_{2M,\eta/4,\delta})$
satisfying the ODE system and the claimed estimates. ∎
## Appendix B Combinatorial bootstrap - convergence of the series at the
sonic point
The central outcome of this section is Lemma B.6, which establishes that key
$N$-dependent growth bounds for the coefficients in the formal Taylor
expansion (2.35) around the sonic point can be bootstrapped. This is the key
ingredient of the induction argument used in Lemma 2.14. Our arguments are
combinatorial in nature and we first prove some technical lemmas. In the
following, $\lfloor\alpha\rfloor$ is the usual floor function, denoting the
greatest integer not bigger than $\alpha$, for any $\alpha\in\mathbb{R}$.
###### Lemma B.1.
There exists a universal constant $c>0$ such that for all $N\in\mathbb{N}$,
the following holds
$\displaystyle\sum_{\begin{subarray}{c}l+m=N\\\ l,m\geq
1\end{subarray}}\frac{1}{l^{3}m^{3}}\leq\frac{c}{N^{3}},$ (B.198)
$\displaystyle\sum_{\begin{subarray}{c}l+m=N\\\ l,m\geq
1\end{subarray}}\frac{1}{l^{2}m^{2}}\leq\frac{c}{N^{2}},$ (B.199)
$\displaystyle\sum_{\begin{subarray}{c}l+m=N\\\ l,m\geq
1\end{subarray}}\frac{1}{l^{3}m^{2}}\leq\frac{c}{N^{2}},$ (B.200)
$\displaystyle\sum_{\begin{subarray}{c}l+m+n=N\\\ l,m,n\geq
1\end{subarray}}\frac{1}{l^{3}m^{3}n^{3}}\leq\frac{c}{N^{3}},$ (B.201)
$\displaystyle\sum_{\begin{subarray}{c}l+m+n=N\\\ l,m,n\geq
1\end{subarray}}\frac{1}{l^{3}m^{2}n^{3}}\leq\frac{c}{N^{2}}.$ (B.202)
###### Proof.
The first bound (B.198) follows from
$\sum_{\begin{subarray}{c}l+m=N\\\ l,m\geq
1\end{subarray}}\frac{1}{l^{3}m^{3}}=\sum_{m=1}^{N-1}\frac{1}{(N-m)^{3}m^{3}}=\sum_{m=1}^{N-1}\frac{1}{N^{3}}\left(\frac{1}{N-m}+\frac{1}{m}\right)^{3}\leq\frac{2}{N^{3}}\sum_{m=1}^{\infty}\frac{1}{m^{3}}\lesssim
N^{-3}.$
The second bound (B.199) is entirely analogous. The third bound (B.200)
follows from
$\begin{split}\sum_{\begin{subarray}{c}l+m=N\\\ l,m\geq
1\end{subarray}}\frac{1}{l^{3}m^{2}}&=\sum_{m=1}^{N-1}\frac{1}{m^{2}}\frac{1}{(N-m)^{3}}=\sum_{m=1}^{N-1}\frac{1}{N^{2}}\left(\frac{1}{m}+\frac{1}{N-m}\right)^{2}\frac{1}{N-m}\\\
&\lesssim\frac{1}{N^{2}}\left(\sum_{m=1}^{\lfloor\frac{N}{2}\rfloor}\frac{1}{m^{3}}+\sum_{m=\lfloor\frac{N}{2}\rfloor}^{N-1}\frac{1}{(N-m)^{3}}\right)\lesssim{N^{-2}}.\end{split}$
For (B.201),
$\sum_{\begin{subarray}{c}l+m+n=N\\\ l,m,n\geq
1\end{subarray}}\frac{1}{l^{3}m^{3}n^{3}}\leq\sum_{l=1}^{N-1}\frac{1}{l^{3}}\sum_{\begin{subarray}{c}m+n=N-l\\\
m,n\geq
1\end{subarray}}\frac{1}{m^{3}n^{3}}\leq\sum_{l=1}^{N-1}\frac{1}{l^{3}}\frac{c}{(N-l)^{3}}\lesssim
N^{-3},$
where we have used (B.198) twice.
For (B.202), using (B.198) and (B.200), we have
$\begin{split}\sum_{\begin{subarray}{c}l+m+n=N\\\ l,m,n\geq
1\end{subarray}}\frac{1}{l^{3}m^{2}n^{3}}&\leq\sum_{m=1}^{N-1}\frac{1}{m^{2}}\sum_{\begin{subarray}{c}l+n=N-m\\\
l,n\geq
1\end{subarray}}\frac{1}{l^{3}n^{3}}\lesssim\sum_{m=1}^{N-1}\frac{1}{m^{2}}\frac{1}{(N-m)^{3}}\lesssim{N^{-2}}.\end{split}$
This finishes the proof. ∎
Define the set
$\pi(n,m)=\left\\{(\lambda_{1},\dots,\lambda_{n}):\lambda_{i}\in\mathbb{Z}_{\geq
0},\sum_{i=1}^{n}\lambda_{i}=m,\sum_{i=1}^{n}i\lambda_{i}=n\right\\}.$ (B.203)
An element of $\pi(n,m)$ encodes the partitions of the first $n$ numbers into
$\lambda_{i}$ classes of cardinality $i$ for $i\in\\{1,\dots,m\\}$. Observe
that by necessity $\lambda_{j}=0$ for any $n-m+2\leq j\leq n$. With this
partition set, the coefficient $P_{N}$ of Taylor series for
$\rho^{\gamma-1}=\sum_{N=0}^{\infty}P_{N}(y-y_{*})^{N}$ in (2.39) can be
written as
$P_{N}=\begin{cases}\rho_{0}^{\gamma-1},&\ \text{ if }\ N=0,\\\
\rho_{0}^{\gamma-1}\sum_{m=1}^{N}\frac{1}{\rho_{0}^{m}}\sum_{\pi(N,m)}\frac{(\gamma-1)_{m}}{\lambda_{1}!\dots\lambda_{N}!}\prod_{j=1}^{N}{\rho_{j}}^{\lambda_{j}}&\
\text{ if }\ N\geq 1,\end{cases}$ (B.204)
where $(\gamma-1)_{m}=\prod_{j=1}^{m}(\gamma-j)$.
To obtain bounds of $P_{N}$ in terms of the coefficients $\rho_{j}$, we will
make use of the following combinatorial identities and inequalities. For any
$\alpha\in\mathbb{R}$, we let
$\binom{\alpha}{j}=\frac{(\alpha)_{j}}{j!}=\frac{\alpha(\alpha-1)\cdots(\alpha-j+1)}{j!}\
\ \text{for}\ \ j\in\mathbb{N},\ \ \text{and}\ \ \binom{\alpha}{0}=1.$
###### Lemma B.2.
Recall the set $\pi(n,m)$ defined in (B.203).
1. 1.
For each $n\in\mathbb{N}$,
$\sum_{m=1}^{n}\sum_{\begin{subarray}{c}\pi(n,m)\end{subarray}}\frac{(-1)^{m}m!}{\lambda_{1}!\dots\lambda_{n}!}\binom{\frac{1}{2}}{1}^{\lambda_{1}}\cdots\binom{\frac{1}{2}}{n}^{\lambda_{n}}=2(n+1)\binom{\frac{1}{2}}{n+1}$
(B.205)
holds.
2. 2.
There exist universal constants $c_{1},c_{2}>0$ such that
$c_{1}\frac{1}{n^{\frac{3}{2}}}\leq(-1)^{n-1}\binom{\frac{1}{2}}{n}\leq
c_{2}\frac{1}{n^{\frac{3}{2}}},\quad n\in\mathbb{N}.$ (B.206)
###### Proof.
The first statement follows from Lemma 1.5.2 of [18].
For the second statement, (B.206) is trivial for $n=1$. Let $n\geq 2$. Then
$(-1)^{n-1}\binom{\frac{1}{2}}{n}=\frac{\frac{1}{2}\cdot\frac{1}{2}\cdots\frac{2n-3}{2}}{n!}=\frac{(2n-2)!}{2^{2n-1}(n-1)!n!}=\frac{1}{2n-1}\frac{(2n)!}{2^{2n}(n!)^{2}}.$
(B.207)
To estimate the last fraction, we invoke Stirling’s formula $n!\sim\sqrt{2\pi
n}\left(\frac{n}{e}\right)^{n},\ \ n\gg 1$. We will use the following version
with upper and lower bounds valid for all $n$:
$\sqrt{2\pi}n^{n+\frac{1}{2}}e^{-n}\leq n!\leq en^{n+\frac{1}{2}}e^{-n},\quad
n\in\mathbb{N}.$ (B.208)
Then we have
$\frac{\sqrt{2\pi}\sqrt{2}}{e^{2}n^{\frac{1}{2}}}=\frac{\sqrt{2\pi}(2n)^{2n+\frac{1}{2}}e^{-2n}}{2^{2n}e^{2}(n^{n+\frac{1}{2}}e^{-n})^{2}}\leq\frac{(2n)!}{2^{2n}(n!)^{2}}\leq\frac{e(2n)^{2n+\frac{1}{2}}e^{-2n}}{2^{2n}2\pi(n^{n+\frac{1}{2}}e^{-n})^{2}}=\frac{e\sqrt{2}}{2\pi
n^{\frac{1}{2}}}.$ (B.209)
Hence, combining this with (B.207), we have (B.206). ∎
###### Lemma B.3.
Let $p>0$ be a given positive number. Let
$(\lambda_{1},\dots,\lambda_{l})\in\pi(l,m)$ where $1\leq m\leq l$ and $l\geq
2$ be given.
1. 1.
If $1\leq m\leq\lfloor\tfrac{\sqrt{l}}{\sqrt{3}}\rfloor$, there exists a
constant $c_{3}=c_{3}(p)>0$ such that
$\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{p}\leq\frac{c_{3}}{l^{p}}.$
(B.210)
2. 2.
There exist $c_{4}=c_{4}(p)>0$ and $L_{0}=L_{0}(p)>1$ such that, if $L\geq
L_{0}$, the following holds:
$\frac{1}{L^{m-1}}\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{p}\leq\frac{c_{4}}{l^{p}}\text{
for all }1\leq m\leq l.$ (B.211)
3. 3.
Let $l\geq 3$. Then there exists $c_{5}=c_{5}(p)>0$ such that, if $L\geq
L_{0}$, the following holds:
$\frac{1}{L^{m-2}}\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{p}\leq\frac{c_{5}}{l^{p}}\text{
for all }2\leq m\leq l.$ (B.212)
###### Proof.
Proof of (B.210). Let
$\overline{m}:=\lfloor\tfrac{\sqrt{l}}{\sqrt{3}}\rfloor$. We first claim that
there exists at least one $\lambda_{j}\geq 1$ for $j\geq\overline{m}$. If not,
$\lambda_{j}=0$ for all $j\geq\overline{m}$. Then we would have for $1\leq
m\leq\overline{m}$
$l=\sum_{j=1}^{l}j\lambda_{j}=\sum_{j<\overline{m}}j\lambda_{j}<\overline{m}\sum_{j=1}^{l}\lambda_{j}=\overline{m}m\leq\overline{m}^{2}\leq\frac{l}{3}$
which is a contradiction.
We are now ready to prove (B.210). Consider two cases.
Case 1. Suppose there exists exactly one $\lambda_{j_{0}}\geq 1$ for
$j_{0}\geq\overline{m}$. If $\lambda_{j_{0}}=1$, we must have
$j_{0}\geq\frac{l}{2}$, for otherwise we would have
$l=\sum_{j=1}^{l}j\lambda_{j}=\sum_{j<\overline{m}}j\lambda_{j}+j_{0}\lambda_{j_{0}}<\overline{m}^{2}+\frac{l}{2}\leq\frac{l}{3}+\frac{l}{2}$
which leads to a contradiction. Therefore, we have
$\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{p}\leq\left(\frac{1}{j_{0}}\right)^{p}\leq\frac{2^{p}}{l^{p}}.$
If $\lambda_{j_{0}}\geq 2$, then $j_{0}^{\lambda_{j_{0}}}\geq
j_{0}^{2}\geq\overline{m}^{2}$, which leads to
$\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{p}\leq\left(\frac{1}{j_{0}^{\lambda_{j_{0}}}}\right)^{p}\leq\left(\frac{1}{\overline{m}^{2}}\right)^{p}=\frac{3^{p}}{l^{p}}.$
Case 2. Suppose there exist at least two $\lambda_{j_{1}},\lambda_{j_{2}}\geq
1$ for $j_{1},j_{2}\geq\overline{m}$. Then
$j_{1}^{\lambda_{j_{1}}}j_{2}^{\lambda_{j_{2}}}\geq
j_{1}j_{2}\geq\overline{m}^{2}$, which gives
$\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{p}\leq\left(\frac{1}{j_{1}^{\lambda_{j_{1}}}}\frac{1}{j_{2}^{\lambda_{j_{2}}}}\right)^{p}\leq\left(\frac{1}{\overline{m}^{2}}\right)^{p}=\frac{3^{p}}{l^{p}}.$
This finishes the proof of (B.210).
Proof of (B.211). If $1\leq m\leq\lfloor\tfrac{\sqrt{l}}{\sqrt{3}}\rfloor$,
then by (B.210), for all $L>1$,
$\frac{1}{L^{m-1}}\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{p}\leq\frac{c_{3}}{l^{p}}.$
If $\lfloor\tfrac{\sqrt{l}}{\sqrt{3}}\rfloor+1\leq m\leq l$, note
$\frac{1}{L^{m-1}}\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{p}\leq\frac{1}{L^{m-1}}\leq\frac{1}{L^{\lfloor\frac{\sqrt{l}}{\sqrt{3}}\rfloor}}\leq\begin{cases}1&\text{
if }l=2,\\\ \frac{1}{L^{\frac{\sqrt{l}}{\sqrt{3}}-1}}&\text{ if }l\geq
3.\end{cases}$
Now letting $L_{0}=e^{2p}$, it is easy to see that
$(\frac{\sqrt{l}}{\sqrt{3}}-1)\log L-p\log l+p\log 3\geq 0$ for all $l\geq 3$
and $L\geq L_{0}$. Hence we obtain
$\frac{1}{L^{m-1}}\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{p}\leq\frac{3^{p}}{l^{p}}$
for all $l\geq 2$ and $L\geq L_{0}=e^{2p}$.
Proof of (B.212). The proof is analogous to (B.211). We omit the details. ∎
Let $M>0$ be a fixed upper bound of $|\rho_{0}|,\ |\omega_{0}|,\ |\rho_{1}|,\
|\omega_{1}|$ such that
$\displaystyle|\rho_{0}^{-1}|,\ |\rho_{0}|,\ |\omega_{0}|,\ |\rho_{1}|,\
|\omega_{1}|\leq M.$ (B.213)
Note that such an $M$ may be taken to depend only on $\gamma$ by continuity of
these values as functions of $y_{*}\in[y_{f},y_{F}]$ and the uniform lower
bound on $\rho_{0}$ given by Lemma 2.2.
###### Lemma B.4.
Let $\alpha\in(1,2)$ be given. Assume that
$\displaystyle|\rho_{m}|\leq\frac{C^{m-\alpha}}{m^{3}},\quad 2\leq m\leq N-1,$
(B.214) $\displaystyle|\omega_{m}|\leq\frac{C^{m-\alpha}}{m^{3}},\quad 2\leq
m\leq N-1$ (B.215)
for some $C\geq 1$ and $N\geq 3$. Then there exists a constant $D=D(M)>0$ such
that
$\displaystyle|(\omega^{2})_{l}|+|(\rho\omega)_{l}|+|(\rho^{2})_{l}|$
$\displaystyle\leq\begin{cases}D&\ \text{ if }\ l=0,1,\\\
D\frac{C^{l-\alpha}}{l^{3}}&\ \text{ if }\ 2\leq l\leq N-1,\end{cases}$
(B.216)
$\displaystyle|(\omega^{3})_{l}|+|(\rho\omega^{2})_{l}|+|(\rho^{2}\omega)_{l}|$
$\displaystyle\leq\begin{cases}D&\ \text{ if }\ l=0,1,\\\
D\frac{C^{l-\alpha}}{l^{3}}&\ \text{ if }\ 2\leq l\leq N-1.\end{cases}$
(B.217)
###### Proof.
We first prove the bounds for $|(\omega^{2})_{l}|$, $l\geq 0$. The bounds
$|(\omega^{2})_{0}|\leq M^{2}$ and $|(\omega^{2})_{1}|\leq 2M^{2}$ are obvious
from (B.213). Clearly
$|(\omega^{2})_{2}|\leq 2M|\omega_{2}|+M^{2}\leq
2M\frac{C^{2-\alpha}}{2^{3}}+M^{2}\leq(2M+2^{3}M^{2})\frac{C^{2-\alpha}}{2^{3}},$
(B.218)
where we have used $C^{2-\alpha}\geq 1$. If $l\geq 3$ we then have
$\displaystyle|(\omega^{2})_{l}|$
$\displaystyle\leq\sum_{m=0}^{l}|\omega_{m}||\omega_{l-m}|$ $\displaystyle\leq
2|\omega_{0}||\omega_{l}|+2|\omega_{1}||\omega_{l-1}|+\sum_{m=2}^{l-2}|\omega_{m}||\omega_{l-m}|$
$\displaystyle\leq
2M\frac{C^{l-\alpha}}{l^{3}}+2M\frac{C^{l-1-\alpha}}{(l-1)^{3}}+\sum_{m=2}^{l-2}\frac{C^{l-2\alpha}}{m^{3}(l-m)^{3}}$
$\displaystyle\leq
2MC^{l-\alpha}\left(\frac{1}{l^{3}}+\frac{1}{(l-1)^{3}}+\frac{1}{2M}\sum_{m=2}^{l-2}\frac{1}{m^{3}(l-m)^{3}}\right)$
$\displaystyle\leq 2M\tilde{C}\frac{C^{l-\alpha}}{l^{3}},$ (B.219)
for some constant $\tilde{C}$. It is now clear that the estimates for
$(\rho\omega)_{l}$ and $(\rho^{2})_{l}$, $l\geq 0$ follow in the same way, as
the only estimates we have used are (B.213) and the inductive assumptions
(B.214)–(B.214), which both depend only on the index and are symmetric with
respect to $\rho$ and $\omega$. The bound (B.217) can be obtained analogously.
∎
###### Lemma B.5.
Let $\alpha\in(1,2)$ be given. Assume that (B.214) and (B.215) hold for $N\geq
3$ and some large enough $C>1$ satisfying
$C>\frac{L_{0}}{c_{1}\rho_{0}},$ (B.220)
where $c_{1}$ and $L_{0}=L_{0}(\frac{3}{2})$ are universal constants in
(B.206) and Lemma B.3. Then there exists a constant $D=D(M,\gamma)>0$ such
that
$\displaystyle|P_{l}|$ $\displaystyle\leq\begin{cases}D&\ \text{ if }\ l=1,\\\
D\left(\frac{C^{l-\alpha}}{l^{3}}+\frac{C^{l-2}}{l^{2}}\right)&\ \text{ if }\
2\leq l\leq N-1,\end{cases}$ (B.221)
where we recall (B.204).
###### Proof.
The bound of $P_{1}$ immediately follows by recalling
$P_{1}=(\gamma-1)\rho_{0}^{\gamma-2}\rho_{1}$. For $P_{2}$, observe that
$P_{2}=\rho_{0}^{\gamma-1}\left[\frac{1}{\rho_{0}}\frac{(\gamma-1)}{1!}\rho_{2}+\frac{1}{\rho_{0}^{2}}\frac{(\gamma-1)(\gamma-2)}{2!}\rho_{1}^{2}\right]$
from which we deduce
$|P_{2}|\leq(\gamma-1)\left(M^{2-\gamma}\frac{C^{2-\alpha}}{2^{3}}+\frac{(2-\gamma)}{2}M^{5-\gamma}\right)\leq
2(\gamma-1)M^{5-\gamma}\left(\frac{C^{2-\alpha}}{2^{3}}+\frac{1}{2^{2}}\right).$
Now let $l\geq 3$ and split $P_{l}$ into two parts, $m=1$ and $m\geq 2$:
$P_{l}=\rho_{0}^{\gamma-1}\frac{1}{\rho_{0}}\frac{(\gamma-1)}{1!}\rho_{l}+\rho_{0}^{\gamma-1}\sum_{m=2}^{l}\frac{1}{\rho_{0}^{m}}\sum_{\pi(l,m)}\frac{(\gamma-1)_{m}}{\lambda_{1}!\dots\lambda_{l}!}\prod_{j=1}^{l}{\rho_{j}}^{\lambda_{j}}=:P_{l,1}+P_{l,2},$
(B.222)
where we note $\pi(l,1)=\\{(0,\dots,0,1)\\}$. By (B.214), it is clear that
$|P_{l,1}|\leq D\frac{C^{l-\alpha}}{l^{3}}$ (B.223)
for some constant $D>0$ depending only on $M$ and $\gamma$. Next we claim that
there exists $D>0$ such that
$|P_{l,2}|\leq D\frac{C^{l-2}}{l^{2}}.$ (B.224)
To prove the claim, using (B.214) and Lemma B.2, we first observe
$\begin{split}\left|\prod_{n=1}^{l}\rho_{n}^{\lambda_{n}}\right|&\leq\left(\tfrac{1}{1^{3}}\right)^{\lambda_{1}}\left(\tfrac{C^{2-\alpha}}{2^{3}}\right)^{\lambda_{2}}\dots\left(\tfrac{C^{l-\alpha}}{l^{3}}\right)^{\lambda_{l}}\\\
&=C^{(\alpha-1)\lambda_{1}+\sum_{i=1}^{l}(i\lambda_{i}-\alpha\lambda_{i})}\left[\prod_{n=1}^{l}\left(\frac{1}{n^{\frac{3}{2}}}\right)^{\lambda_{n}}\right]\left[\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{\frac{3}{2}}\right]\\\
&\leq
C^{l-m}c_{1}^{-m}\left[\prod_{n=1}^{l}\left((-1)^{n-1}\binom{\frac{1}{2}}{n}\right)^{\lambda_{n}}\right]\left[\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{\frac{3}{2}}\right],\end{split}$
where we have used $(\alpha-1)\lambda_{1}\leq(\alpha-1)m$ in the third line
since $\alpha>1$ and $\lambda_{1}\leq m$. Hence, using
$|(\gamma-1)_{m}|\leq(\gamma-1)(m-1)!$ for $1<\gamma<\frac{4}{3}$, we have
$\begin{split}&\left|\frac{(\gamma-1)_{m}}{\lambda_{1}!\dots\lambda_{l}!}\prod_{n=1}^{l}\rho_{n}^{\lambda_{n}}\right|\\\
&\quad\leq(\gamma-1)C^{l-m}c_{1}^{-m}\frac{1}{m}(-1)^{l}\frac{(-1)^{m}m!}{\lambda_{1}!\dots\lambda_{l}!}\binom{\frac{1}{2}}{1}^{\lambda_{1}}\dots\binom{\frac{1}{2}}{l}^{\lambda_{l}}\left[\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{\frac{3}{2}}\right].\end{split}$
(B.225)
Now recalling $P_{l,2}$ from (B.222) and using Lemma B.2 and Lemma B.3 with
$p=\frac{3}{2}$, we have
$\begin{split}&|P_{l,2}|=\left|\rho_{0}^{\gamma-1}\sum_{m=2}^{l}\frac{1}{\rho_{0}^{m}}\sum_{\pi(l,m)}\frac{(\gamma-1)_{m}}{\lambda_{1}!\dots\lambda_{l}!}\prod_{j=1}^{l}{\rho_{j}}^{\lambda_{j}}\right|\\\
&\leq(\gamma-1)\rho_{0}^{\gamma-1}\frac{C^{l}(-1)^{l}}{2(c_{1}C\rho_{0})^{2}}\sum_{m=2}^{l}\sum_{\pi(l,m)}\left[\frac{1}{(c_{1}C\rho_{0})^{m-2}}\prod_{n=1}^{l}\left(\frac{1}{n^{\lambda_{n}}}\right)^{\frac{3}{2}}\right]\frac{(-1)^{m}m!}{\lambda_{1}!\dots\lambda_{l}!}\binom{\frac{1}{2}}{1}^{\lambda_{1}}\dots\binom{\frac{1}{2}}{l}^{\lambda_{l}}\\\
&\leq(\gamma-1)\rho_{0}^{\gamma-1}\frac{C^{l}}{2(c_{1}C\rho_{0})^{2}}\frac{c_{5}}{l^{\frac{3}{2}}}(-1)^{l}2(l+1)\binom{\frac{1}{2}}{l+1}\\\
&\leq(\gamma-1)\rho_{0}^{\gamma-3}\tfrac{c_{2}c_{5}}{c_{1}^{2}}\tfrac{C^{l-2}}{l^{\frac{3}{2}}(l+1)^{\frac{1}{2}}},\end{split}$
(B.226)
where $C$ is large enough so that (B.220) holds. This proves (B.224) and
(B.221). ∎
We are now ready to estimate the source terms $\mathcal{F}_{N}$ and
$\mathcal{G}_{N}$.
###### Lemma B.6.
Let $\alpha\in(1,2)$ be given. Then there exists a constant
$C_{\ast}=C_{\ast}(y_{\ast}))>0$ such that if $C>C_{\ast}$ and for any $N\geq
3$, the following assumptions hold
$\displaystyle|\rho_{m}|\leq\frac{C^{m-\alpha}}{m^{3}},\quad 2\leq m\leq N-1,$
(B.227) $\displaystyle|\omega_{m}|\leq\frac{C^{m-\alpha}}{m^{3}},\quad 2\leq
m\leq N-1,$ (B.228)
then we have
$\displaystyle|\mathcal{F}_{N}|$
$\displaystyle\leq\beta\frac{C^{N-\alpha}}{N^{2}}\left[\frac{1}{C^{\alpha-1}}+\frac{1}{C^{2-\alpha}}+\frac{1}{CN}\right],$
(B.229) $\displaystyle|\mathcal{G}_{N}|$
$\displaystyle\leq\beta\frac{C^{N-\alpha}}{N^{2}}\left[\frac{1}{C^{\alpha-1}}+\frac{1}{C^{2-\alpha}}+\frac{1}{CN}\right],$
(B.230)
for some constant $\beta=\beta(y_{\ast},\gamma))>0$.
###### Proof.
We start with (B.229). Recall
$\mathcal{F}_{N}=\mathcal{F}_{N}^{II}-\mathcal{F}_{N}^{I}$ where
$\displaystyle\mathcal{F}_{N}^{I}=$
$\displaystyle-\rho_{1}y_{*}^{2}\sum_{\begin{subarray}{c}j+k=N,\\\ j,k\neq
N\end{subarray}}\omega_{j}\omega_{k}-\rho_{1}\big{(}2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}$
(B.231) $\displaystyle+\sum_{\begin{subarray}{c}k+j=N\\\ j\neq
0,1,N\end{subarray}}(k+1)\rho_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
(B.232)
$\displaystyle+\gamma\rho_{1}\rho_{0}^{\gamma-1}\sum_{m=2}^{N}\frac{1}{\rho_{0}^{m}}\sum_{\pi(N,m)}\frac{(\gamma-1)_{m}}{\lambda_{1}!\dots\lambda_{N}!}\prod_{j=1}^{N}{\rho_{j}}^{\lambda_{j}}$
(B.233)
and
$\displaystyle\mathcal{F}_{N}^{II}=\,(\gamma-1)(2-\gamma)\rho_{N-1}+(\gamma-1)\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j=N\\\
k\neq 0,N\end{subarray}}\rho_{k}\omega_{j}+(\rho\omega)_{N-1}\Big{)}$ (B.234)
$\displaystyle-\frac{4\pi}{4-3\gamma}\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\
k,j,l\neq
N\end{subarray}}\rho_{k}\rho_{j}\omega_{l}+(\rho^{2}\omega)_{N-1}\Big{)}+2\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\
k,j,l\neq
N\end{subarray}}\rho_{k}\omega_{j}\omega_{l}+(\rho\omega^{2})_{N-1}\Big{)}.$
(B.235)
For the first term of (B.231), we use (B.213), (B.228), and (B.198)
$\begin{split}\Big{|}\rho_{1}y_{*}^{2}\sum_{\begin{subarray}{c}j+k=N,\\\
j,k\neq
N\end{subarray}}\omega_{j}\omega_{k}\Big{|}&=\Big{|}\rho_{1}y_{*}^{2}\big{(}2\omega_{1}\omega_{N-1}+\sum_{k=2}^{N-2}\omega_{N-k}\omega_{k}\big{)}\Big{|}\\\
&\lesssim\frac{C^{N-1-\alpha}}{(N-1)^{3}}+\sum_{k=2}^{N-2}\frac{C^{N-2\alpha}}{(N-k)^{3}k^{3}}\lesssim\frac{C^{N-1-\alpha}}{N^{3}}.\end{split}$
(B.236)
For the last two terms of (B.231), we have from (B.216)
$\big{|}\rho_{1}\big{(}2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}\big{|}\lesssim\frac{C^{N-1-\alpha}}{(N-1)^{3}}$
(B.237)
The first term of (B.232) can be estimated as follows. By (B.227), (B.221),
(B.200) and (B.199),
$\begin{split}\Big{|}\sum_{\begin{subarray}{c}k+j=N\\\ j\neq
0,1,N\end{subarray}}(k+1)\rho_{k+1}\gamma
P_{j}\Big{|}&\lesssim\sum_{\begin{subarray}{c}k+j=N\\\ j\neq
0,1,N\end{subarray}}\frac{C^{k+1-\alpha}}{(k+1)^{2}}\Big{(}\frac{C^{j-\alpha}}{j^{3}}+\frac{C^{j-2}}{j^{2}}\Big{)}\\\
&\lesssim\frac{C^{N+1-2\alpha}}{N^{2}}+\frac{C^{N-1-\alpha}}{N^{2}}\lesssim\frac{C^{N+1-2\alpha}}{N^{2}},\end{split}$
(B.238)
where we have used $\alpha<2$ at the last step. The rest of (B.232) can be
bounded by $\frac{C^{N+1-2\alpha}}{N^{2}}$ similarly by using (B.216) in place
of (B.221).
For (B.233), we first note that $\lambda_{N}=0$ and hence it does not depend
on $\rho_{N}$. The estimation is identical to the estimation of $P_{N,2}$ in
(B.222). Therefore, as in (B.224) we have
$\Big{|}\gamma\rho_{1}\rho_{0}^{\gamma-1}\sum_{m=2}^{N}\frac{1}{\rho_{0}^{m}}\sum_{\pi(N,m)}\frac{(\gamma-1)_{m}}{\lambda_{1}!\dots\lambda_{N}!}\prod_{j=1}^{N}{\rho_{j}}^{\lambda_{j}}\Big{|}\lesssim\frac{C^{N-2}}{N^{2}}.$
(B.239)
For (B.234), by (B.227), (B.216) and the same argument as in (B.236), we see
that
$\Big{|}(\gamma-1)(2-\gamma)\rho_{N-1}+(\gamma-1)\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j=N\\\
k\neq
0,N\end{subarray}}\rho_{k}\omega_{j}+(\rho\omega)_{N-1}\Big{)}\Big{|}\lesssim\frac{C^{N-1-\alpha}}{N^{3}}.$
(B.240)
Next we claim
$|\eqref{eq:FN5}|\lesssim\frac{C^{N-1-\alpha}}{N^{3}}.$ (B.241)
It suffices to verify the bound for the first term of (B.235), while (B.217)
gives the desired bound for the second and fourth terms. We rewrite the sum as
$\begin{split}\sum_{\begin{subarray}{c}k+j+l=N\\\ k,j,l\neq
N\end{subarray}}\rho_{k}\rho_{j}\omega_{l}&=\omega_{0}\sum_{\begin{subarray}{c}k+j=N\\\
k,j\neq
N\end{subarray}}\rho_{k}\rho_{j}+\omega_{1}\sum_{\begin{subarray}{c}k+j=N-1\end{subarray}}\rho_{k}\rho_{j}+\sum_{l=2}^{N-1}\omega_{l}\sum_{k+j=N-l}\rho_{k}\rho_{j}\\\
&=\omega_{0}\Big{(}2\rho_{1}\rho_{N-1}+\sum_{j=2}^{N-2}\rho_{N-j}\rho_{j}\Big{)}+\omega_{1}\Big{(}2\rho_{0}\rho_{N-1}+2\rho_{1}\rho_{N-2}+\sum_{j=2}^{N-3}\rho_{N-j-1}\rho_{j}\Big{)}\\\
&\quad+\sum_{l=2}^{N-2}\omega_{l}\Big{(}2\rho_{0}\rho_{N-l}+2\rho_{1}\rho_{N-l-1}+\sum_{j=2}^{N-l-2}\rho_{N-j-l}\rho_{j}\Big{)}+2\rho_{0}\rho_{1}\omega_{N-1}.\end{split}$
Using the induction assumptions and (B.198), (B.201), we have
$\displaystyle\Big{|}\frac{4\pi}{4-3\gamma}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\
k,j,l\neq N\end{subarray}}\rho_{k}\rho_{j}\omega_{l}\Big{|}$
$\displaystyle\lesssim\frac{C^{N-1-\alpha}}{(N-1)^{3}}+\sum_{j=2}^{N-2}\frac{C^{N-j-\alpha}}{(N-j)^{3}}\frac{C^{j-\alpha}}{j^{3}}+\frac{C^{N-1-\alpha}}{(N-1)^{3}}+\frac{C^{N-2-\alpha}}{(N-2)^{3}}+\sum_{j=2}^{N-3}\frac{C^{N-j-1-\alpha}}{(N-j-1)^{3}}\frac{C^{j-\alpha}}{j^{3}}$
$\displaystyle+\sum_{l=2}^{N-2}\frac{C^{l-\alpha}}{l^{3}}\Big{(}\frac{C^{N-l-\alpha}}{(N-l)^{3}}+\frac{C^{N-l-1-\alpha}}{(N-l-1)^{3}}+\sum_{j=2}^{N-l-2}\frac{C^{N-j-l-\alpha}}{(N-j-l)^{3}}\frac{C^{j-\alpha}}{j^{3}}\Big{)}+\frac{C^{N-1-\alpha}}{(N-1)^{3}}$
$\displaystyle\lesssim\frac{C^{N-\alpha-1}}{N^{3}}$ (B.242)
which shows the desired bound. Combining all the bounds above, we obtain
(B.229).
Next, we recall $\mathcal{G}_{N}=\mathcal{G}_{N}^{II}-\mathcal{G}_{N}^{I}$,
where
$\displaystyle\mathcal{G}_{N}^{I}=$
$\displaystyle-\omega_{1}\big{(}2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}-\omega_{1}y_{*}^{2}\sum_{\begin{subarray}{c}j+k=N,\\\
j,k\neq N\end{subarray}}\omega_{j}\omega_{k}$
$\displaystyle+\sum_{\begin{subarray}{c}k+j=N\\\ j\neq
0,1,N\end{subarray}}(k+1)\omega_{k+1}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle+\gamma\omega_{1}\sum_{\begin{subarray}{c}(m_{1},\ldots,m_{N})\in
M_{N}\\\
m_{N}=0\end{subarray}}\frac{(\gamma-1)\cdots(\gamma-(m_{1}+\cdots+m_{N}))\rho_{0}^{\gamma-1-(m_{1}+\cdots+m_{N})}}{m_{1}!\cdots
m_{N}!}\prod_{j=1}^{N}\rho_{j}^{m_{j}},$
and
$\displaystyle\mathcal{G}_{N}^{II}=$
$\displaystyle\,\widetilde{\mathcal{G}}_{N}^{II}-\frac{4-3\gamma-3\omega_{0}}{y_{*}}y_{*}^{2}\sum_{\begin{subarray}{c}j+k=N\\\
j,k\neq N\end{subarray}}\omega_{j}\omega_{k}$
$\displaystyle+\frac{4-3\gamma-3\omega_{0}}{y_{*}}\gamma\sum_{\begin{subarray}{c}(m_{1},\ldots,m_{N})\in
M_{N}\\\
m_{N}=0\end{subarray}}\frac{(\gamma-1)\cdots(\gamma-(m_{1}+\cdots+m_{N}))\rho_{0}^{\gamma-1-(m_{1}+\cdots+m_{N})}}{m_{1}!\cdots
m_{N}!}\prod_{j=1}^{N}\rho_{j}^{m_{j}},$
and
$\displaystyle\widetilde{\mathcal{G}}_{N}^{II}=\frac{4-3\gamma-3\omega_{0}}{y_{*}}\big{(}-2y_{*}(\omega^{2})_{N-1}-(\omega^{2})_{N-2}\big{)}$
(B.243)
$\displaystyle+\frac{4-3\gamma}{y_{*}}\sum_{\begin{subarray}{c}k+j=N\\\ j\neq
N\end{subarray}}\frac{(-1)^{k}}{y_{*}^{k}}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}$
$\displaystyle-\frac{3}{y_{*}}\sum_{\begin{subarray}{c}k+j+l=N\\\ l,j\neq
N\end{subarray}}\omega_{l}\frac{(-1)^{k}}{y_{*}^{k}}\big{(}\gamma
P_{j}-y_{*}^{2}(\omega^{2})_{j}-2y_{*}(\omega^{2})_{j-1}-(\omega^{2})_{j-2}\big{)}-(\gamma-1)(2-\gamma)\omega_{N-1}$
$\displaystyle-(\gamma-1)\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j=N\\\ k\neq
0,N\end{subarray}}\omega_{k}\omega_{j}+(\omega^{2})_{N-1}\Big{)}+\frac{4\pi}{4-3\gamma}\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\
k,j,l\neq
N\end{subarray}}(\rho_{k}\omega_{j}\omega_{l})+(\rho\omega^{2})_{N-1}\Big{)}$
$\displaystyle-2\Big{(}y_{*}\sum_{\begin{subarray}{c}k+j+l=N\\\ k,j,l\neq
N\end{subarray}}(\omega_{k}\omega_{j}\omega_{l})+(\omega^{3})_{N-1}\Big{)}.$
Note that the structure of $\mathcal{G}_{N}^{I}$ and $\mathcal{G}_{N}^{II}$ is
similar structure to the structure of $\mathcal{F}_{N}^{I}$ and
$\mathcal{F}_{N}^{II}$ except for the second and third lines of
(LABEL:eq:GN2tilde). Hence we focus on the second and third lines of
(LABEL:eq:GN2tilde).
We may take $C>0$ sufficiently large if necessary to ensure
$\frac{1}{y_{\ast}^{k}}\lesssim\frac{C^{k-2}}{k^{2}}\text{ for all }k\geq 2.$
(B.244)
Now for the first term in the second line of (LABEL:eq:GN2tilde), we split
indices into $j=0,1$ and $j\geq 2$ and use (B.221) and (B.244) to deduce
$\begin{split}\Big{|}\sum_{\begin{subarray}{c}k+j=N\\\ j\neq
N\end{subarray}}\frac{(-1)^{k}}{y_{*}^{k}}\gamma
P_{j}\Big{|}&=\Big{|}\frac{(-1)^{N}}{y_{*}^{N}}\gamma
P_{0}+\frac{(-1)^{N-1}}{y_{*}^{N-1}}\gamma
P_{1}+\sum_{j=2}^{N-1}\frac{(-1)^{N-j}}{y_{*}^{N-j}}\gamma P_{j}\Big{|}\\\
&\lesssim\frac{1}{y_{\ast}^{N}}+\frac{1}{y_{\ast}^{N-1}}+\sum_{j=2}^{N-1}\frac{1}{y_{\ast}^{N-j}}\left(\frac{C^{j-\alpha}}{j^{3}}+\frac{C^{j-2}}{j^{2}}\right)\\\
&\lesssim\frac{C^{N-2}}{N^{2}}+\frac{C^{N-1-\alpha}}{(N-1)^{3}}+\frac{C^{N-3}}{(N-1)^{2}}.\end{split}$
This yields the desired bound. The remaining terms in the second line can be
estimated in the same way by using (B.216) in place of (B.221).
We may proceed analogously for the third line and use (B.244). We present the
details for the first term in the third line of (LABEL:eq:GN2tilde). First, we
split indices as
$\begin{split}&\Big{|}\sum_{\begin{subarray}{c}k+j+l=N\\\ l,j\neq
N\end{subarray}}\omega_{l}\frac{(-1)^{k}}{y_{*}^{k}}\gamma P_{j}\Big{|}\\\
&\leq\Big{|}\gamma P_{0}\sum_{\begin{subarray}{c}k+l=N\\\ l\neq
N\end{subarray}}\omega_{l}\frac{(-1)^{k}}{y_{*}^{k}}\Big{|}+\Big{|}\gamma
P_{1}\sum_{k+l=N-1}\omega_{l}\frac{(-1)^{k}}{y_{*}^{k}}\Big{|}+\Big{|}\sum_{j=2}^{N-1}\gamma
P_{j}\sum_{k+l=N-j}\omega_{l}\frac{(-1)^{k}}{y_{*}^{k}}\Big{|}\\\
&=:S_{1}+S_{2}+S_{3}.\end{split}$
For $S_{1}$, using (B.228), (B.244), and (B.200), we have
$\begin{split}S_{1}&\lesssim|\omega_{N-1}|+|\omega_{0}|\frac{1}{y_{\ast}^{N}}+|\omega_{1}|\frac{1}{y_{*}^{N-1}}+\sum_{k=2}^{N-2}|\omega_{N-k}|\frac{1}{y_{*}^{k}}\\\
&\lesssim\frac{C^{N-1-\alpha}}{(N-1)^{3}}+\frac{C^{N-2}}{N^{2}}+\frac{C^{N-3}}{(N-1)^{2}}+\sum_{k=2}^{N-2}\frac{C^{N-k-\alpha}}{(N-k)^{3}}\frac{C^{k-2}}{k^{2}}\\\
&\lesssim\frac{C^{N-1-\alpha}}{N^{3}}+\frac{C^{N-2}}{N^{2}}.\end{split}$
The estimation of $S_{2}$ is entirely analogous, while for $S_{3}$ we split
the indices further to deduce
$\begin{split}S_{3}&\leq\Big{|}\gamma
P_{N-1}\Big{(}\omega_{1}+\omega_{0}\frac{(-1)}{y_{*}}\Big{)}\Big{|}+\Big{|}\gamma
P_{N-2}\omega_{1}\frac{(-1)}{y_{*}}\Big{|}+\Big{|}\sum_{j=2}^{N-2}\gamma
P_{j}\sum_{k=2}^{N-j-2}\omega_{N-j-k}\frac{(-1)^{k}}{y_{*}^{k}}\Big{|}\\\
&+\Big{|}\sum_{j=2}^{N-2}\gamma
P_{j}\Big{(}\omega_{0}\frac{(-1)^{N-j}}{y_{*}^{N-j}}+\omega_{N-j}\Big{)}\Big{|}+\Big{|}\sum_{j=2}^{N-3}\gamma
P_{j}\Big{(}\omega_{1}\frac{(-1)^{N-j-1}}{y_{*}^{N-j-1}}+\omega_{N-j-1}\frac{(-1)}{y_{*}}\Big{)}\Big{|}\\\
&\lesssim\frac{C^{N-1-\alpha}}{(N-1)^{3}}+\frac{C^{N-3}}{(N-1)^{2}}+\sum_{j=2}^{N-2}\left(\frac{C^{j-\alpha}}{j^{3}}+\frac{C^{j-2}}{j^{2}}\right)\sum_{k=2}^{N-j-2}\frac{C^{N-j-k-\alpha}}{(N-j-k)^{3}}\frac{C^{k-2}}{k^{2}}\\\
&+\sum_{j=2}^{N-2}\left(\frac{C^{j-\alpha}}{j^{3}}+\frac{C^{j-2}}{j^{2}}\right)\left(\frac{C^{N-j-2}}{(N-j)^{2}}+\frac{C^{N-j-\alpha}}{(N-j)^{3}}\right)\\\
&+\sum_{j=2}^{N-3}\left(\frac{C^{j-\alpha}}{j^{3}}+\frac{C^{j-2}}{j^{2}}\right)\left(\frac{C^{N-j-3}}{(N-j-1)^{2}}+\frac{C^{N-j-1-\alpha}}{(N-j-1)^{3}}\right)\\\
&\lesssim\frac{C^{N-1-\alpha}}{N^{3}}+\frac{C^{N-3}}{N^{2}}.\end{split}$
Other terms in the third line of (LABEL:eq:GN2tilde) can be estimated in the
same way. This finishes the proof of (B.230). ∎
## Appendix C Interval arithmetic
Interval arithmetic is a numerical technique that allows for the rigorous
proof of inequalities and estimates through replacing real numbers by closed
intervals with end-points representable as floating point numbers. A survey of
some of the uses of interval arithmetic in PDE theory may be found in [10].
For our purposes, we require only a very basic level of application of this
method in order to estimate the signs of somewhat complicated polynomials in
two variables over rectangular domains, and so we use the straightforward
interval arithmetic packages available in the Julia computing language.
⬇
using IntervalArithmetic
using IntervalOptimisation
In this section of the appendix, we give the proofs of Proposition 2.9, Lemma
3.4 and inequalities (2.89)–(2.91). In each proof, we will insert the relevant
Julia commands and state the outputs at the relevant point in the proof.
Maximisation or minimisation at ech step is taken either over a fixed interval
of $\gamma$ or a vector v=(v[1],v[2])$=(\omega+\gamma,\gamma)$. This ensures
that the domain of v[1] is a fixed numerical interval (for example, the full
range $\omega\in[\frac{4-3\gamma}{3},2-\gamma]$ becomes
v[1]$\in[\frac{4}{3},2]$). The two principal ranges over which we will work
are then defined by
⬇
V=IntervalBox((4/3)..2,1..(4/3))
G=1..(4/3)
When defining functions of $\omega$ and $\gamma$, we use the characters w and
g respectively for $\omega$ and $\gamma$.
### C.1 Proofs of $s(\omega_{0})>0$ and Proposition 2.9
Before verifying the claimed inequalities on $R_{1}$ stated in Proposition
2.9, we first complete the proof of Lemma 2.8 to show that $R_{1}$ and $R_{2}$
are well-defined functions of $\omega_{0}$ and $\gamma$, that is, that the
square root of $s(\omega_{0})$ in the definitions (2.79) and (2.80) always
yields a real number.
###### Proof of Lemma 2.8, continued.
Consider the definitions of $R_{1}$ and $R_{2}$ stated in (2.79) and (2.80).
The argument of the square root is $\omega_{0}^{3}s(\omega_{0})$, and so to
show that these are well-defined functions, it suffices to prove that
$s(\omega_{0},\gamma)>0$ for all $\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma]$
where we now make explicit the dependence on $\gamma$, so that
$\displaystyle s(\omega_{0},\gamma)=$
$\displaystyle-4(4-3\gamma)(\gamma+1)(\gamma-1)(2-\gamma)+(57-114\gamma+73\gamma^{2}-12\gamma^{3})\omega_{0}$
$\displaystyle-8(14-15\gamma+3\gamma^{2})\omega_{0}^{2}+8(5-3\gamma)\omega_{0}^{3}.$
We verify with interval arithmetic that $s(\omega_{0},\gamma)>0$ for
$\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma]$, $\gamma\in(1,\frac{4}{3})$ as
follows:
First, we note that when $\gamma=1$,
$s(\omega_{0},1)=4\omega_{0}(2\omega_{0}-1)^{2}$, which is non-negative on the
domain. Next, we differentiate with respect to $\gamma$ to find
$\displaystyle\partial_{\gamma}s(\omega_{0},\gamma)=$
$\displaystyle\,-8(6\gamma^{3}-15\gamma^{2}+5\gamma+5)-(36\gamma^{2}-146\gamma+114)\omega_{0}-8(6\gamma-15)\omega_{0}^{2}-24\omega_{0}^{3}.$
For $\omega_{0}\in[1.42-\gamma,2-\gamma]$, we find that this is strictly
positive by
⬇
sg(w,g)=-8*(5+5*g-15*g^2+6*g^3)-(114-146*g+36*g^2)*w-8*(-15+6*g)*w^2-24*w^3
Sg(v)=sg(v[1]-v[2],v[2])
V6=IntervalBox((1.42)..2,1..(4/3))
minimise(Sg,V6,tol=1e-3)
which gives the minimum in $[1.06209,1.26472]$, hence for $\omega_{0}\geq
1.42-\gamma$, $\gamma\in[1,\frac{4}{3}]$, $s(\omega_{0},\gamma)>0$. Next, for
$\gamma\in[1,1.1]$ and $\omega_{0}\in[\frac{4}{3}-\gamma,1.42-\gamma]$, we
check that $s(\omega_{0},\gamma)>0$ by
⬇
s(w,g)=-4*(4-3*g)*(g+1)*(g-1)*(2-g)+(57-114*g+73*g^2-12*g^3)*w
-8*(14-15*g+3*g^2)*w^2+8*(5-3*g)*w^3
S(v)=s(v[1]-v[2],v[2])
V7=IntervalBox((4/3)..(1.42),1..(1.1))
minimise(S,V7,tol=1e-4)
which gives the minimum in $[0.0334093,0.0431525]$. Finally, for
$\gamma\in[1.1,\frac{4}{3}]$, we check first that
$\displaystyle
s(\frac{4-3\gamma}{3},\gamma)=\frac{1}{27}(5-3\gamma)^{2}(4-3\gamma)>0$
and then
$\displaystyle s_{\omega_{0}}(\omega_{0},\gamma)=$
$\displaystyle(57-114\gamma+73\gamma^{2}-12\gamma^{3})-16(14-15\gamma+3\gamma^{2})\omega_{0}+24(5-3\gamma)\omega_{0}^{2}$
is uniformly positive by
⬇
sw(w,g)=(57-114*g+73*g^2-12*g^3)-16*(14-15*g+3*g^2)*w+24*(5-3*g)*w^2
Sw(v)=sw(v[1]-v[2],v[2])
V8=IntervalBox((4/3)..2,(1.1)..(4/3))
minimise(Sw,V8,tol=1e-2)
which puts the minimum in $[0.336312,2.0698]$, and hence
$s(\omega_{0},\gamma)$ is strictly increasing with respect to $\omega_{0}$. ∎
###### Proof of Proposition 2.9.
We will first show that for $\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma]$, we
have $R_{1}<-\frac{1}{2-\gamma}$ for all $\gamma\in(1,\frac{4}{3})$, while for
$\omega_{0}\geq\frac{4-3\gamma}{3}$ and $\gamma\geq\frac{10}{9}$, we have
$R_{1}\leq-\frac{2\gamma}{(2-\gamma)(\gamma+1)}$ with strict inequalities when
either $\gamma>\frac{10}{9}$ or $\omega_{0}>\frac{4-3\gamma}{3}$.
To check the claimed inequalities on $R_{1}$, we use the following method:
Step 1: We prove $R_{1}<-\frac{1}{2-\gamma}$.
First,
$\displaystyle R_{1}$
$\displaystyle+\frac{1}{2-\gamma}=\frac{(2-\gamma)(9\omega_{0}^{2}-7\gamma\omega_{0}^{2}-8\omega_{0}^{3})+2(\gamma+1)\omega_{0}^{3}}{2(2-\gamma)(\gamma+1)\omega_{0}^{3}}$
$\displaystyle-\frac{2-\gamma}{2(2-\gamma)\omega_{0}^{3}(\gamma+1)}\Big{(}-4(4-3\gamma)(\gamma+1)(\gamma-1)(2-\gamma)\omega_{0}^{3}+(57-114\gamma+73\gamma^{2}-12\gamma^{3})\omega_{0}^{4}$
$\displaystyle\hskip
113.81102pt-8(14-15\gamma+3\gamma^{2})\omega_{0}^{5}+8(5-3\gamma)\omega_{0}^{6}\Big{)}^{\frac{1}{2}}.$
It is therefore sufficient to check the sign of the numerator. When the terms
in the numerator on the first line are negative, as the contribution of the
square root is negative, we are clearly done. We claim
$\displaystyle\Big{(}(2-\gamma)(9\omega_{0}^{2}-7\gamma\omega_{0}^{2}-8\omega_{0}^{3})+2(\gamma+1)\omega_{0}^{3}\Big{)}^{2}$
(C.245)
$\displaystyle-(2-\gamma)^{2}\Big{(}-4(4-3\gamma)(\gamma+1)(\gamma-1)(2-\gamma)\omega_{0}^{3}+(57-114\gamma+73\gamma^{2}-12\gamma^{3})\omega_{0}^{4}$
$\displaystyle\quad-8(14-15\gamma+3\gamma^{2})\omega_{0}^{5}+8(5-3\gamma)\omega_{0}^{6}\Big{)}<0$
for all $\omega_{0}\in[\frac{4}{3}-\gamma,2-\gamma]$,
$\gamma\in(1,\frac{4}{3})$. This implies the claimed inequality as, in the
remaining case that the first terms are positive, this establishes that the
contribution of the square root is strictly larger, and hence the difference
is negative. To verify this claim, we first cancel a factor of
$\omega_{0}^{3}$ and consider instead
$\displaystyle r_{1}(\omega_{0}):=$
$\displaystyle\,\omega_{0}\Big{(}(2-\gamma)(9-7\gamma-8\omega_{0})+2(\gamma+1)\omega_{0}\Big{)}^{2}$
$\displaystyle-(2-\gamma)^{2}\Big{(}-4(4-3\gamma)(\gamma+1)(\gamma-1)(2-\gamma)+(57-114\gamma+73\gamma^{2}-12\gamma^{3})\omega_{0}$
$\displaystyle\qquad-8(14-15\gamma+3\gamma^{2})\omega_{0}^{2}+8(5-3\gamma)\omega_{0}^{3}\Big{)}$
$\displaystyle=4(\gamma^{2}-1)\Big{(}(6\gamma-9)\omega_{0}^{3}+(6\gamma^{2}-19\gamma+14)\omega_{0}^{2}+(3\gamma^{3}-18\gamma^{2}+36\gamma-24)\omega_{0}$
$\displaystyle\qquad+(3\gamma^{4}-22\gamma^{3}+60\gamma^{2}-72\gamma+32)\Big{)}.$
Eliminating the strictly positive factor $4(\gamma^{2}-1)$, we check that at
$\omega_{0}=\frac{4-3\gamma}{3}$, the remainder satisfies
$\displaystyle\frac{r_{1}(\frac{4-3\gamma}{3})}{4(\gamma^{2}-1)}=$
$\displaystyle\,\Big{(}(6\gamma-9)\omega_{0}^{3}+(6\gamma^{2}-19\gamma+14)\omega_{0}^{2}+(3\gamma^{3}-18\gamma^{2}+36\gamma-24)\omega_{0}$
$\displaystyle\qquad+(3\gamma^{4}-22\gamma^{3}+60\gamma^{2}-72\gamma+32)\Big{)}\bigg{|}_{\omega_{0}=\frac{4-3\gamma}{3}}$
$\displaystyle=$ $\displaystyle\,-\frac{2}{9}(4-3\gamma)^{2}(\gamma-1)<0.$
We then take a derivative with respect to $\omega_{0}$ to arrive at
$\displaystyle\partial_{\omega_{0}}\Big{(}\frac{r_{1}(\omega_{0})}{4(\gamma^{2}-1)}\Big{)}=3(6\gamma-9)\omega_{0}^{2}+2(6\gamma^{2}-19\gamma+14)\omega_{0}+(3\gamma^{3}-18\gamma^{2}+36\gamma-24)<0$
for all $\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma]$ and
$\gamma\in[1,\frac{4}{3}]$ by interval arithmetic:
⬇
quad10(w,g)=3*(6*g-9)*w^2+ 2*(6*g^2-19*g+14)*w+3*g^3-18*g^2+36*g-24
q10(v)=quad10(v[1]-v[2],v[2])
maximise(q10,V,tol=1e-2)
with output in the closed interval $[-0.910166,-0.627474]$, thus finishing the
proof that $R_{1}<-\frac{1}{2-\gamma}$.
Step 2: Prove $R_{1}\leq-\frac{2\gamma}{(2-\gamma)(\gamma+1)}$ for
$\gamma\geq\frac{10}{9}$ with equality only for $\gamma=\frac{10}{9}$ and
$\omega_{0}=\frac{4-3\gamma}{3}$.
We argue similarly to Step 1. First, we apply (2.79) to find
$\displaystyle R_{1}$
$\displaystyle\,+\frac{2\gamma}{(2-\gamma)(\gamma+1)}=\frac{(2-\gamma)(9\omega_{0}^{2}-7\gamma\omega_{0}^{2}-8\omega_{0}^{3})+4\gamma\omega_{0}^{3}}{2(2-\gamma)(\gamma+1)\omega_{0}^{3}}$
$\displaystyle-\frac{2-\gamma}{2(2-\gamma)\omega_{0}^{3}(\gamma+1)}\Big{(}-4(4-3\gamma)(\gamma+1)(\gamma-1)(2-\gamma)\omega_{0}^{3}+(57-114\gamma+73\gamma^{2}-12\gamma^{3})\omega_{0}^{4}$
$\displaystyle\qquad\qquad-8(14-15\gamma+3\gamma^{2})\omega_{0}^{5}+8(5-3\gamma)\omega_{0}^{6}\Big{)}^{\frac{1}{2}}.$
We again need only to compare the quantities in the numerator, and so we will
prove that
$\displaystyle\Big{(}(2-\gamma)(9\omega_{0}^{2}-7\gamma\omega_{0}^{2}-8\omega_{0}^{3})+4\gamma\omega_{0}^{3}\Big{)}^{2}$
$\displaystyle-(2-\gamma)^{2}\big{(}-4(4-3\gamma)(\gamma+1)(\gamma-1)(2-\gamma)\omega_{0}^{3}+(57-114\gamma+73\gamma^{2}-12\gamma^{3})\omega_{0}^{4}$
$\displaystyle\qquad\quad-8(14-15\gamma+3\gamma^{2})\omega_{0}^{5}+8(5-3\gamma)\omega_{0}^{6}\big{)}\leq
0$
with equality only when both $\gamma=\frac{10}{9}$ and
$\omega_{0}=\frac{4-3\gamma}{3}$. Simplifying, we find that this expression is
equal to $4(\gamma-1)(\gamma+1)^{2}\omega_{0}^{3}r_{2}(\omega_{0})$, where
$\displaystyle r_{2}(\omega_{0})=$
$\displaystyle\,\Big{(}(6\gamma^{2}+8\gamma-24)\omega_{0}^{3}+(6\gamma^{3}-6\gamma^{2}-28\gamma+32)\omega_{0}^{2}$
$\displaystyle+(3\gamma^{4}-15\gamma^{3}+18\gamma^{2}+12\gamma-24)\omega_{0}+3\gamma^{5}-19\gamma^{4}+38\gamma^{3}-12\gamma^{2}-40\gamma+32\Big{)}.$
Considering only $r_{2}(\omega_{0})$ (as the remaining factors are positive),
we check
$\displaystyle
r_{2}(\frac{4-3\gamma}{3})=-\frac{2}{27}\gamma(4-3\gamma)^{2}(9\gamma-10)\leq
0$
with equality only for $\gamma=\frac{10}{9}$. Moreover, differentiating with
respect to $\omega_{0}$ yields
$\displaystyle\partial_{\omega_{0}}r_{2}(\omega_{0})=$
$\displaystyle\,3(6\gamma^{2}+8\gamma-24)\omega_{0}^{2}+2(6\gamma^{3}-6\gamma^{2}-28\gamma+32)\omega_{0}$
$\displaystyle+(3\gamma^{4}-15\gamma^{3}+18\gamma^{2}+12\gamma-24)<0$
for all $\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma]$ and
$\gamma\geq\frac{10}{9}$ (actually all $\gamma\in[1,\frac{4}{3}]$) by interval
arithmetic:
⬇
quad11(w,g)=3*(6*g^2+8*g-24)*w^2+ 2*(6*g^3-6*g^2-28*g+32)*w
+3*g^4-15*g^3+18*g^2+12*g-24
q11(v)=quad11(v[1]-v[2],v[2])
maximise(q11,V,tol=1e-2)
with output in $[-2.12454,-1.63927]$, concluding the proof.
Step 3: Prove $R_{1}>-\frac{4}{(4-3\gamma)(2-\gamma)}$.
The only remaining estimate for $R_{1}$ is the lower bound, and again we
follow the above approach. We first group
$\displaystyle R_{1}$
$\displaystyle\,+\frac{4}{(2-\gamma)(4-3\gamma)}=\frac{(2-\gamma)(4-3\gamma)(9\omega_{0}^{2}-7\gamma\omega_{0}^{2}-8\omega_{0}^{3})+8(\gamma+1)\omega_{0}^{3}}{2(2-\gamma)(4-3\gamma)(\gamma+1)\omega_{0}^{3}}$
(C.246)
$\displaystyle-\frac{(2-\gamma)(4-3\gamma)}{2(2-\gamma)(4-3\gamma)(\gamma+1)\omega_{0}^{3}}\Big{(}8(5-3\gamma)\omega_{0}^{6}-8(14-15\gamma+3\gamma^{2})\omega_{0}^{5}$
$\displaystyle\hskip
79.66771pt+(57-114\gamma+73\gamma^{2}-12\gamma^{3})\omega_{0}^{4}-4(4-3\gamma)(\gamma+1)(\gamma-1)(2-\gamma)\omega_{0}^{3}\Big{)}^{\frac{1}{2}}.$
One easily sees that
$(2-\gamma)(4-3\gamma)(9\omega_{0}^{2}-7\gamma\omega_{0}^{2}-8\omega_{0}^{3})+8(\gamma+1)\omega_{0}^{3}>0$
provided
$\omega_{0}>\bar{\omega}=\frac{(2-\gamma)(4-3\gamma)(9-7\gamma)}{8(3\gamma^{2}-11\gamma+7)}$.
As this value is always less than $\frac{4-3\gamma}{3}$ (indeed,
$\frac{4-3\gamma}{3}-\bar{\omega}=\frac{(4-3\gamma)(3\gamma^{2}-19\gamma+2)}{24(3\gamma^{2}-11\gamma+7)}>0$),
we conclude that the quantity is positive always.
It is therefore sufficient to compare the sizes of the squares of the terms in
the numerator of (C.246). We therefore consider
$\displaystyle\Big{(}(2-\gamma)(4-3\gamma)(9\omega_{0}^{2}-7\gamma\omega_{0}^{2}-8\omega_{0}^{3})+8(\gamma+1)\omega_{0}^{3}\Big{)}^{2}$
$\displaystyle-(2-\gamma)^{2}(4-3\gamma)^{2}\Big{(}-4(4-3\gamma)(\gamma+1)(\gamma-1)(2-\gamma)\omega_{0}^{3}+(57-114\gamma+73\gamma^{2}-12\gamma^{3})\omega_{0}^{4}$
$\displaystyle\qquad\quad-8(14-15\gamma+3\gamma^{2})\omega_{0}^{5}+8(5-3\gamma)\omega_{0}^{6}\Big{)}$
$\displaystyle=4(\gamma+1)\omega_{0}^{3}r_{3}(\omega_{0}),$
where
$\displaystyle r_{3}(\omega_{0})=$
$\displaystyle\,6(9\gamma^{4}-60\gamma^{3}+132\gamma^{2}-104\gamma+24)\omega_{0}^{3}+2(2-\gamma)(4-3\gamma)(9\gamma^{3}-42\gamma^{2}+50\gamma-14)\omega_{0}^{2}$
$\displaystyle\qquad-3(2-\gamma)^{3}(\gamma-1)(4-3\gamma)^{2}\omega_{0}+(2-\gamma)^{3}(\gamma-1)(4-3\gamma)^{3}.$
As usual, we evaluate at $\omega_{0}=\frac{4-3\gamma}{3}$ and find
$\displaystyle
r_{3}(\frac{4-3\gamma}{3})=-\frac{4}{9}(4-3\gamma)^{3}(\gamma^{2}-5\gamma+2)>0$
as $\gamma^{2}-5\gamma+2<0$ for $\gamma\in(1,\frac{4}{3})$. The derivative
with respect to $\omega_{0}$ is then
$\displaystyle r_{3}^{\prime}(\omega_{0})=$
$\displaystyle\,18(9\gamma^{4}-60\gamma^{3}+132\gamma^{2}-104\gamma+24)\omega_{0}^{2}+4(2-\gamma)(4-3\gamma)(9\gamma^{3}-42\gamma^{2}+50\gamma-14)\omega_{0}$
$\displaystyle-3(2-\gamma)^{3}(\gamma-1)(4-3\gamma)^{2}.$
This is strictly positive as, at $\omega_{0}=\frac{4-3\gamma}{3}$, we have
$r_{3}^{\prime}(\frac{4-3\gamma}{3})=\frac{1}{3}(4-3\gamma)^{2}(27\gamma^{4}-183\gamma^{3}+418\gamma^{2}-348\gamma+104)>0$
as the quartic in $\gamma$ is uniformly positive:
⬇
g1(g)=104 - 348*g + 418*g^2 - 183*g^3 + 27*g^4
minimise(g1,G)
gives lower bound in the interval $[17.4556,18.0143]$. Moreover, the further
$\omega_{0}$ derivative is
$\displaystyle r_{3}^{\prime\prime}(\omega_{0})=$
$\displaystyle\,36(9\gamma^{4}-60\gamma^{3}+132\gamma^{2}-104\gamma+24)\omega_{0}+4(2-\gamma)(4-3\gamma)(9\gamma^{3}-42\gamma^{2}+50\gamma-14)$
$\displaystyle\geq$
$\displaystyle\,(4-3\gamma)\Big{(}12(9\gamma^{4}-60\gamma^{3}+132\gamma^{2}-104\gamma+24)+4(2-\gamma)(9\gamma^{3}-42\gamma^{2}+50\gamma-14)\Big{)},$
where we have used $\omega_{0}\geq\frac{4-3\gamma}{3}$ in the second line and
that $9\gamma^{4}-60\gamma^{3}+132\gamma^{2}-104\gamma+24>0$ by
⬇
g13(g)=9*g^4-60*g^3+132*g^2-104*g+24
minimise(g13,G)
which gives the minimum in $[0.827639,1.00486]$. We then further apply
interval arithmetic to show the positivity of the last quantity:
⬇
g2(g)=12*(9*g^4-60*g^3+132*g^2-104*g+24)+4*(2-g)*(9*g^3-42*g^2+50*g-14)
minimise(g2,G)
gives lower bound in the interval $[21.7206,24.0462]$ which concludes the
proof of the estimates for $R_{1}$.
Step 4: Prove the lower bound $W_{1}\geq 0$ with equality only for
$y_{*}=y_{f}$.
The final step is the lower bound for $W_{1}$. We first rearrange (2.83) to
see
$W_{1}=(\gamma-1)R_{1}\frac{\omega_{0}^{2}R_{1}+(\omega_{0}+2-\gamma)}{2\omega_{0}(R_{1}+1)-\frac{(\gamma-1)(2-\gamma)}{\omega_{0}}},$
(C.247)
where we note that, as $R_{1}<-\frac{1}{2-\gamma}$, the denominator satisfies
$\displaystyle 2\omega_{0}(R_{1}+1)-\frac{(\gamma-1)(2-\gamma)}{\omega_{0}}<$
$\displaystyle\,\frac{1}{\omega_{0}}\Big{(}-\frac{2(\gamma-1)}{2-\gamma}\omega_{0}^{2}-(\gamma-1)(2-\gamma)\Big{)}<0.$
It is therefore sufficient to verify that
$\omega_{0}^{2}R_{1}+(\omega_{0}+2-\gamma)\geq 0$ with strict equality for
$\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma)$ (equivalently
$y_{*}\in(y_{f},y_{F}]$). At the end-point $y_{*}=y_{f}$, equivalently
$\omega_{0}=2-\gamma$, a direct computation reveals
$R_{1}=-\frac{2}{2-\gamma}$ and $W_{1}=0$.
To prove the lower bound, we substitute $R_{1}$ from (2.79) and rearrange to
find
$\displaystyle\omega_{0}^{2}R_{1}+(\omega_{0}+2-\gamma)=$
$\displaystyle\,\frac{(9-7\gamma)\omega_{0}^{2}-8\omega_{0}^{3}-\sqrt{\omega_{0}^{3}s(\omega_{0})}+2(\gamma+1)\omega_{0}^{2}+2(\gamma+1)(2-\gamma)\omega_{0}}{2\omega_{0}(\gamma+1)}$
$\displaystyle=$
$\displaystyle\frac{(11-5\gamma)\omega_{0}-8\omega_{0}^{2}-\sqrt{\omega_{0}s(\omega_{0})}+2(\gamma+1)(2-\gamma)}{2(\gamma+1)}.$
It is a simple exercise to check that the quadratic
$-8\omega_{0}^{2}+(11-5\gamma)\omega_{0}+2(\gamma+1)(2-\gamma)>0\text{ for all
}\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma],$
and so it suffices to show that
$L(\omega_{0})=\Big{(}-8\omega_{0}^{2}+(11-5\gamma)\omega_{0}+2(\gamma+1)(2-\gamma)\Big{)}^{2}-\omega_{0}s(\omega_{0},\gamma)>0$
(C.248)
for $\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma)$.
We first obtain the lower bound for
$\omega_{0}\in[\frac{4-3\gamma}{3},1.8-\gamma]$ by interval arithmetic:
⬇
L(w,g)=((11-5*g)*w-8*w^2+2*(g+1)*(2-g))^2-w*s(w,g)
L1(v)=L(v[1]-v[2],v[2])
V9=IntervalBox((4/3)..1.8,1..(4/3))
minimise(L1,V9,tol=1e-2)
which gives that the minimum lies in $[8.32454,9.37091]$.
On the remaining region, we recall that $W_{1}(2-\gamma)=0$ and hence
$L(2-\gamma)=0$. A direct computation shows that
$L^{\prime}(\omega_{0})=4(\gamma+1)(24\omega_{0}^{3}+6(3\gamma-8)\omega_{0}^{2}+2\gamma(3\gamma-7)\omega_{0}-(2-\gamma)(-7-2\gamma+3\gamma^{2}))$
and further interval arithmetic shows that this is strictly negative for
$\omega_{0}\in[1.8-\gamma,2-\gamma]$ by
⬇
DL(w,g)=4*(g+1)*(24*w^3+6*(3*g-8)*w^2 +2*g*(3*g-7)*w-(2-g)*(-7- 2*g+3*g^2))
DL1(v)=DL(v[1]-v[2],v[2])
V10=IntervalBox((1.8)..2,1..(4/3))
maximise(DL1,V10)
which gives that the maximum lies in $[-33.9807,-33.5971]$. Hence
$L(\omega_{0})>0$ for $\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma)$ as
required. ∎
### C.2 Proof of (2.89)–(2.91)
(i) The easiest of the inequalities to show is inequality (2.89) for $A_{2}$.
Indeed, we recall that $R<0$ and $W\geq 0$ for all $\gamma\in(1,\frac{4}{3})$
and $\omega_{0}\in[\frac{4-3\gamma}{3},2-\gamma]$ and consider the coefficient
of the $\omega_{0}^{2}R$ term:
$-2(3-\gamma)\omega_{0}^{2}+\omega_{0}(\gamma-1)(5\gamma-9)-(\gamma-1)(2-\gamma)(\gamma+1)<0,$
where the inequality comes from interval arithmetic:
⬇
quad1(w,g)=-2*(3-g)*w^2+(g-1)*(5*g-9)*w-(g-1)*(2-g)*(g+1)
q1(v)=quad1(v[1]-v[2],v[2])
maximise(q1,V,tol=1e-3)
which gives an upper bound in the range $[-0.445382,-0.442693]$. As the
contributions from $W$ and the remainder are both non-negative, we conclude
$A_{2}>0$.
(ii) Next, we show the inequality (2.91), $4A_{2}+A_{1}>0$. This is more
complicated than before and requires us to consider the coefficients on
separate parts of the domain. We first simplify the expression for this sum as
$\displaystyle 4A_{2}+A_{1}=$
$\displaystyle\,\big{(}-10(3-\gamma)\omega_{0}^{2}+2(\gamma-1)(10\gamma-19)\omega_{0}-5(\gamma-1)(2-\gamma)(\gamma+1)\big{)}\omega_{0}^{2}R$
$\displaystyle+\big{(}40\omega_{0}^{2}-4(4-3\gamma)\omega_{0}-2(\gamma-1)\omega_{0}\big{)}\omega_{0}W+20\omega_{0}^{4}-(14-10\gamma)\omega_{0}^{3}.$
Again, the coefficient of $\omega_{0}^{2}R$ is negative on the whole region of
interest by interval arithmetic:
⬇
quad2(w,g)=-10*(3-g)*w^2+2*(g-1)*(10*g-19)*w-5*(g-1)*(2-g)*(g+1)
q2(v)=quad2(v[1]-v[2],v[2])
maximise(q2,V,tol=1e-3)
which gives an upper bound in the range $[-2.22671,-2.21346]$. We therefore
focus on the other two coefficients. The coefficient of $\omega_{0}W$ is
clearly positive when $\omega_{0}>\frac{7-5\gamma}{20}$ and negative otherwise
(for $\omega_{0}>0$). One also checks easily that
$\frac{7-5\gamma}{20}\geq\frac{4-3\gamma}{3}$ is equivalent to
$\gamma\geq\frac{59}{45}$ (and $\frac{59}{45}<\frac{4}{3}$).
Moreover, the final coefficient is
$\omega_{0}^{3}(20\omega_{0}-(14-10\gamma))\geq 0\text{ if and only if
}\omega_{0}\geq\frac{7-5\gamma}{10}.$
Note that $\frac{7-5\gamma}{10}\geq\frac{4-3\gamma}{3}$ only for
$\gamma\geq\frac{19}{15}$.
In the region $\omega_{0}\geq\frac{7-5\gamma}{10}$, we therefore have
$4A_{2}+A_{1}>0$, as required (and in particular, this holds for the whole
region when $\gamma\leq\frac{19}{15}$). For
$\gamma\in(\frac{19}{15},\frac{4}{3})$, we consider
$\omega_{0}\in\Big{(}\max\\{\frac{7\gamma-5}{20},\frac{4-3\gamma}{3}\\},\frac{7\gamma-5}{10}\Big{)},$
so the coefficient of $\omega_{0}W$ is positive for all $\omega_{0}$ of
interest. Recalling also that the coefficient of $\omega_{0}^{2}R$ is negative
and that $R<-\frac{1}{2-\gamma}$, we therefore bound $4A_{2}+A_{1}$ below by
$\displaystyle 4A_{2}+A_{1}\geq$
$\displaystyle\,-\big{(}-10(3-\gamma)\omega_{0}^{2}+2(\gamma-1)(10\gamma-19)\omega_{0}-5(\gamma-1)(2-\gamma)(\gamma+1)\big{)}\omega_{0}^{2}\frac{1}{2-\gamma}$
$\displaystyle+20\omega_{0}^{4}-(14-10\gamma)\omega_{0}^{3}$ $\displaystyle=$
$\displaystyle\,\frac{\omega_{0}^{2}}{2-\gamma}\Big{(}\big{(}10(3-\gamma)+20(2-\gamma)\big{)}\omega_{0}^{2}$
$\displaystyle+\big{(}-2(\gamma-1)(10\gamma-19)-(2-\gamma)(14-10\gamma)\big{)}\omega_{0}+5(\gamma-1)(2-\gamma)(\gamma+1)\Big{)}>0,$
where we check the sign of the final quadratic using
⬇
quad3(w,g)=10*(7-3*g)*w^2-2*(15*g^2 - 46*g + 33)*w+5*(g-1)*(2-g)*(g+1)
q3(v)=quad3(v[1]-v[2],v[2])
minimise(q3,V,tol=1e-3)
which gives a lower bound in the range $[2.49842,2.51321]$ (actually for all
$\omega_{0}\in(\frac{4-3\gamma}{3},2-\gamma)$ and $\gamma\in(1,\frac{4}{3})$.
Finally, for $\gamma\in(\frac{59}{45},\frac{4}{3})$ and
$\omega_{0}\in(\frac{4-3\gamma}{3},\frac{7-5\gamma}{20})$, we compare
$\omega_{0}W$ to $\omega_{0}^{2}R$ using the formula
$\displaystyle\frac{\omega_{0}W}{\omega_{0}^{2}R}=\frac{(\gamma-1)\omega_{0}^{2}R+(\gamma-1)(\omega_{0}+2-\gamma)}{2\omega_{0}^{2}R+2\omega_{0}^{2}-(\gamma-1)(2-\gamma)}=:B(R,\omega_{0}).$
Differentiation of $B(R,\omega_{0})$ with respect to $R$ reveals that
$\displaystyle\frac{\partial}{\partial
R}B(R,\omega_{0})=\frac{(\gamma-1)\omega_{0}^{2}\big{(}2\omega_{0}^{2}-2\omega_{0}-(2-\gamma)(\gamma+1)\big{)}}{\big{(}2\omega_{0}^{2}R+2\omega_{0}^{2}-(\gamma-1)(2-\gamma)\big{)}^{2}}<0,$
for all $\omega_{0}\in(\frac{4-3\gamma}{3},2-\gamma)$,
$\gamma\in(1,\frac{4}{3})$, so $B$ is a decreasing function with respect to
$R$. Hence, recalling again that $R\leq-\frac{1}{2-\gamma}$, we have that
$\displaystyle\frac{\omega_{0}W}{\omega_{0}^{2}R}\leq$
$\displaystyle\,B(-\frac{1}{2-\gamma},\omega_{0})=\frac{-(\gamma-1)\omega_{0}^{2}+(2-\gamma)(\gamma-1)(\omega_{0}+2-\gamma)}{-2\omega_{0}^{2}+2(2-\gamma)\omega_{0}^{2}-(\gamma-1)(2-\gamma)^{2}}$
(C.249) $\displaystyle=$
$\displaystyle\,\frac{\omega_{0}^{2}-(2-\gamma)(\omega_{0}+2-\gamma)}{2\omega_{0}^{2}+(2-\gamma)^{2}}=-1+\frac{3\omega_{0}^{2}-(2-\gamma)\omega_{0}}{2\omega_{0}^{2}+(2-\gamma)^{2}}\leq-1$
for $\omega_{0}\in(\frac{4-3\gamma}{3},\frac{7-5\gamma}{20})$ (where we are
using that $\frac{7-5\gamma}{20}<\frac{2-\gamma}{3}$). We therefore use the
fact that the coefficient of $\omega_{0}W$ is negative on this region to make
the lower bound
$\displaystyle 4A_{2}+A_{1}\geq$
$\displaystyle\,\big{(}-10(3-\gamma)\omega_{0}^{2}+2(\gamma-1)(10\gamma-19)\omega_{0}-5(\gamma-1)(2-\gamma)(\gamma+1)\big{)}\omega_{0}^{2}R$
(C.250)
$\displaystyle-\big{(}40\omega_{0}^{2}-4(4-3\gamma)\omega_{0}-2(\gamma-1)\omega_{0}\big{)}\omega_{0}^{2}R+20\omega_{0}^{4}-(14-10\gamma)\omega_{0}^{3}$
$\displaystyle=$
$\displaystyle\,\big{(}-10(7-\gamma)\omega_{0}^{2}+4(5\gamma^{2}-17\gamma+13)\omega_{0}-5(\gamma-1)(2-\gamma)(\gamma+1)\big{)}\omega_{0}^{2}R$
$\displaystyle+20\omega_{0}^{4}-(14-10\gamma)\omega_{0}^{3}.$
We may check that the coefficient of $\omega_{0}^{2}R$ is still negative:
⬇
quad4(w,g)=-10*(7-g)*w^2+4*(5*g^2 - 17*g + 13)*w-5*(g-1)*(2-g)*(g+1)
q4(v)=quad4(v[1]-v[2],v[2])
maximise(q4,V,tol=1e-3)
which gives an upper bound in $[-2.56641,-2.55714]$. We therefore bound
$4A_{2}+A_{1}$ below on this region by taking $R=-\frac{1}{2-\gamma}$ in
(C.250). This leaves us with
$\displaystyle 4A_{2}+A_{1}\geq$
$\displaystyle\,\frac{\omega_{0}^{2}}{2-\gamma}\Big{(}10(7-\gamma)\omega_{0}^{2}-4(5\gamma^{2}-17\gamma+13)\omega_{0}+5(\gamma-1)(2-\gamma)(\gamma+1)$
$\displaystyle\quad+(2-\gamma)\big{(}20\omega_{0}^{2}-(14-10\gamma)\omega_{0}\big{)}\Big{)}$
which we check is again positive for
$\omega_{0}\in(\frac{4-3\gamma}{3},\frac{7-5\gamma}{20})$ (in fact it is
uniformly positive for all $\omega_{0}\in(\frac{4}{3}-\gamma,2-\gamma)$ and
$\gamma\in(1,\frac{4}{3})$ by interval arithmetic):
⬇
quad5(w,g)=10*(11-3*g)*w^2-2*(15*g^2-51*g+40)*w+5*(g-1)*(2-g)*(g+1)
q5(v)=quad5(v[1]-v[2],v[2])
minimise(q5,V,tol=1e-3)
with the minimum in $[2.54864,2.55882]$, concluding the proof of (ii).
(iii) A similar strategy holds again for showing (2.90) for the last quantity,
$4A_{2}+2A_{1}+A_{0}$. In fact, grouping terms again, we find
$\displaystyle 4A_{2}$ $\displaystyle+2A_{1}+A_{0}$ $\displaystyle=$
$\displaystyle\,\big{(}-2(4+3\gamma)(\gamma-1)(2-\gamma)+2(\gamma-1)(10\gamma-21)\omega_{0}+2(7\gamma-19)\omega_{0}^{2}\big{)}\omega_{0}^{2}R$
$\displaystyle+\big{(}-2(\gamma-1)(2-\gamma)(\gamma+1)+(6\gamma-10)\omega_{0}+(28+4\gamma)\omega_{0}^{2}\big{)}\omega_{0}W$
$\displaystyle+\omega_{0}\big{(}(4-3\gamma)(\gamma-1)(2-\gamma)(\gamma+1)+(\gamma-1)(3\gamma^{2}-9\gamma+2)\omega_{0}$
$\displaystyle\qquad\quad-6(\gamma-1)(3-\gamma)\omega_{0}^{2}+6(\gamma-1)\omega_{0}^{3}\big{)},$
the coefficient of $\omega_{0}^{2}R$ is always negative again:
⬇
quad6(w,g)=2*(7*g-19)*w^2+2*(g-1)*(10*g-21)*w-2*(4+3*g)*(g-1)*(2-g)
q6(v)=quad6(v[1]-v[2],v[2])
maximise(q6,V,tol=1e-3)
gives an upper bound in the interval $[-2.67263,-2.65602]$. Next, we see that
there exists
$\omega_{*}(\gamma)=\frac{5-3\gamma+\sqrt{-87+10\gamma+129\gamma^{2}-40\gamma^{3}-8\gamma^{4}}}{4(7+\gamma)}$
such that the coefficient of $\omega_{0}W$ is non-negative for
$\omega_{0}\geq\omega_{*}(\gamma)$ and negative for
$\omega_{0}\in(0,\omega_{*}(\gamma))$.
For
$\omega_{0}\in(\max\\{\frac{4-3\gamma}{3},\omega_{*}(\gamma)\\},2-\gamma)$, we
check then that
$\displaystyle 4A_{2}$ $\displaystyle+2A_{1}+A_{0}$ $\displaystyle\geq$
$\displaystyle\,\big{(}-2(4+3\gamma)(\gamma-1)(2-\gamma)+2(\gamma-1)(10\gamma-21)\omega_{0}+2(7\gamma-19)\omega_{0}^{2}\big{)}\omega_{0}^{2}\frac{-1}{2-\gamma}$
$\displaystyle+\omega_{0}\big{(}(4-3\gamma)(\gamma-1)(2-\gamma)(\gamma+1)+(\gamma-1)(3\gamma^{2}-9\gamma+2)\omega_{0}$
$\displaystyle\qquad\quad-6(\gamma-1)(3-\gamma)\omega_{0}^{2}+6(\gamma-1)\omega_{0}^{3}\big{)}$
$\displaystyle>$
$\displaystyle\,\frac{\omega_{0}^{2}}{2-\gamma}\Big{(}\big{(}2(4+3\gamma)(\gamma-1)(2-\gamma)-2(\gamma-1)(10\gamma-21)\omega_{0}-2(7\gamma-19)\omega_{0}^{2}\big{)}$
$\displaystyle+(2-\gamma)\big{(}(\gamma-1)(3\gamma^{2}-9\gamma+2)-6(\gamma-1)(3-\gamma)\omega_{0}+6(\gamma-1)\omega_{0}^{2}\big{)}\Big{)}$
$\displaystyle=$
$\displaystyle\,\frac{\omega_{0}^{2}}{2-\gamma}\Big{(}-2(3\gamma^{2}-2\gamma-13)\omega_{0}^{2}-2(\gamma-1)(3\gamma^{2}-5\gamma-3)\omega_{0}$
$\displaystyle\hskip
31.29802pt+(\gamma-1)(2-\gamma)(3\gamma^{2}-3\gamma+10)\Big{)}$
$\displaystyle>$ $\displaystyle\,0$
by using interval arithmetic to estimate the final quadratic by
⬇
quad7(w,g)=-2(3*g^2-2*g-13)*w^2-2*(g-1)*(3*g^2-5*g-3)*w
+(g-1)*(2-g)*(3*g^2-3*g+10)
q7(v)=quad7(v[1]-v[2],v[2])
minimise(q7,V,tol=1e-3)
and obtaining the minimum is in $[2.34889,2.35904]$.
There is a $\gamma_{*}\approx 1.148$ such that
$\omega_{*}(\gamma)\leq\frac{4-3\gamma}{3}$ if $\gamma<\gamma_{*}$ and reverse
inequality otherwise. In the former case, we are already done. However,
$\omega_{*}(\gamma)<\frac{2-\gamma}{3}$ for all $\gamma\in(1,\frac{4}{3})$ by
using
⬇
wstar(g)=(5-3*g+sqrt(-87+10*g+129*g^2-40*g^3-8*g^4))/(4*(7+g))
G=1..(4/3)
maximise(g->(wstar(g)-(2-g)/3),G,tol=1e-3)
which gives a maximum in $[-0.0134389,-0.0127184]$. Hence, for
$\gamma\geq\gamma_{*}$ and
$\omega_{0}\in(\frac{4-3\gamma}{3},\omega_{*}(\gamma))$, we again get
$\frac{\omega_{0}W}{\omega_{0}^{2}R}\leq-1$ by the same argument as that
leading to (C.249). Therefore, for $\omega_{0}$ in this region, replacing
$\omega_{0}W$ with $-\omega_{0}^{2}R$ and combining terms, we obtain
$\displaystyle 4A_{2}$ $\displaystyle+2A_{1}+A_{0}$ $\displaystyle\geq$
$\displaystyle\,\big{(}-2(4\gamma+3)(\gamma-1)(2-\gamma)+2(\gamma-1)(10\gamma-21)\omega_{0}+2(7\gamma-19)\omega_{0}^{2}\big{)}\omega_{0}^{2}R$
$\displaystyle-\big{(}-2(\gamma-1)(2-\gamma)(\gamma+1)+(6\gamma-10)\omega_{0}+(28+4\gamma)\omega_{0}^{2}\big{)}\omega_{0}^{2}R$
$\displaystyle+\omega_{0}\big{(}(4-3\gamma)(\gamma-1)(2-\gamma)(\gamma+1)+(\gamma-1)(3\gamma^{2}-9\gamma+2)\omega_{0}$
$\displaystyle\qquad-6(\gamma-1)(3-\gamma)\omega_{0}^{2}+6(\gamma-1)\omega_{0}^{3}\big{)}$
$\displaystyle=$
$\displaystyle\,\big{(}2(4-7\gamma^{2}+3\gamma^{3})+2(26-34\gamma+10\gamma^{2})\omega_{0}+2(-33+5\gamma)\omega_{0}^{2}\big{)}\omega_{0}^{2}R$
(C.251)
$\displaystyle+\omega_{0}\big{(}(\gamma-1)(3\gamma^{2}-9\gamma+2)\omega_{0}-6(\gamma-1)(3-\gamma)\omega_{0}^{2}+6(\gamma-1)\omega_{0}^{3}\big{)},$
where we have also dropped the first order term in $\omega_{0}$ in the last
line. The new coefficient of $R$ is again seen to be negative as
⬇
quad8(w,g)=2(5*g-33)*w^2+2*(10*g^2-34*g+26)*w+2*(3*g^3-7*g^2+4)
q8(v)=quad8(v[1]-v[2],v[2])
maximise(q8,V,tol=1e-3)
gives a maximum in $[-2.62435,-2.59568]$. Thus it is to obtain a lower bound
by using $R<-\frac{1}{2-\gamma}$ and factoring out $\omega_{0}^{2}$ from the
remainder. We arrive at the lower bound
$\displaystyle 4A_{2}$ $\displaystyle+2A_{1}+A_{0}$ $\displaystyle=$
$\displaystyle\,\frac{\omega_{0}^{2}}{2-\gamma}\Big{(}\big{(}-2(4-7\gamma^{2}+3\gamma^{3})-2(26-34\gamma+10\gamma^{2})\omega_{0}-2(-33+5\gamma)\omega_{0}^{2}\big{)}$
$\displaystyle+(2-\gamma)\big{(}(\gamma-1)(3\gamma^{2}-9\gamma+2)\omega_{0}-6(\gamma-1)(3-\gamma)\omega_{0}^{2}+6(\gamma-1)\omega_{0}^{3}\big{)}$
$\displaystyle=$
$\displaystyle\,\frac{\omega_{0}^{2}}{2-\gamma}\Big{(}-2(3\gamma^{2}-4\gamma-27)\omega_{0}^{2}+2(-3\gamma^{3}+8\gamma^{2}+\gamma-8)\omega_{0}+3(\gamma-1)(2-\gamma)(\gamma^{2}-\gamma+2)\Big{)}.$
We verify that the quadratic in $\omega_{0}$ in parentheses is always positive
on $(\frac{4-3\gamma}{3},\omega_{*}(\gamma))$ for $\gamma>\gamma_{*}$ (in fact
the sign holds on all $\omega_{0}\in(\frac{4-3\gamma}{3},2-\gamma)$ and
$\gamma\in(1,\frac{4}{3})$) by the following interval arithmetic:
⬇
quad9(w,g)=(-6*g^2+8*g+54)*w^2+(-6*g^3+16*g^2+2*g-16)*w
+3*(g-1)*(2-g)*(g^2-g+2)
q9(v)=quad9(v[1]-v[2],v[2])
minimise(q9,V,tol=1e-3)
shows a minimum in the range $[1.5754,1.58222]$, concluding the proof.
### C.3 Proof of Lemma 3.4
Step 1: We prove (3.125) in the case $m=1$.
Recall
$\displaystyle Q_{1}^{+}(\omega)=$
$\displaystyle\,\big{(}1-\frac{\omega}{2-\gamma}\big{)}\Big{(}-\frac{3-2\gamma}{2-\gamma}(4-3\gamma)\omega^{2}+(\gamma-1)(4-3\gamma)(2-\gamma)\Big{)}-\frac{\gamma-1}{2-\gamma}\omega^{2}-2(\gamma-1)\omega$
$\displaystyle=$
$\displaystyle\,(4-3\gamma)(2-\gamma)(\gamma-1)-3(2-\gamma)(\gamma-1)\omega+\big{(}-\frac{\gamma-1}{2-\gamma}+\frac{(4-3\gamma)(-3+2\gamma)}{2-\gamma}\big{)}\omega^{2}$
$\displaystyle+\frac{(-3+2\gamma)(-4+3\gamma)}{(2-\gamma)^{2}}\omega^{3}$
$\displaystyle Q_{1}^{-}(\omega)=$
$\displaystyle\,\big{(}1-\frac{\omega}{2-\gamma}\big{)}\Big{(}-\frac{3-2\gamma}{2-\gamma}(4-3\gamma)\omega^{2}+(\gamma-1)(4-3\gamma)(2-\gamma)\Big{)}-\frac{\gamma-1}{2-\gamma}\omega^{2}-2(\gamma-1)\omega$
$\displaystyle+\frac{\omega^{2}}{(2-\gamma)^{2}\omega}\big{(}(4-3\gamma)(2-\gamma)-(3-2\gamma)\big{)}$
$\displaystyle=$
$\displaystyle\,(\gamma-1)\Big{(}(4-3\gamma)(2-\gamma)+(3\gamma-6)\omega-\frac{6\gamma-7}{2-\gamma}\omega^{2}+\frac{3(2\gamma-3)}{(2-\gamma)^{2}}\omega^{3}\Big{)}$
Considering first $Q_{1}^{+}$, we check that
$\displaystyle Q_{1}(\frac{4-3\gamma}{3})=$
$\displaystyle\,-\frac{(4-3\gamma)^{2}}{27(2-\gamma)^{2}}(9\gamma^{2}-25\gamma+18)<0\text{
for all }\gamma\in(1,\frac{4}{3}),$ (C.252) $\displaystyle Q_{1}(2-\gamma)=$
$\displaystyle\,-3(2-\gamma)(\gamma-1)<0\text{ for all
}\gamma\in(1,\frac{4}{3}).$
We then check using interval arithmetic that
* (i)
$Q_{1}^{+}(\omega)<0$ for all $\omega\in[\frac{4}{3}-\gamma,2-\gamma]$, for
$\gamma\in[1.02,1.15]$,
* (ii)
$Q_{1}^{+}(\omega)<0$ for all $\omega\in[\frac{4}{3}-\gamma,1.8-\gamma]$, for
$\gamma\in[1,1.02]$,
* (iii)
$\partial_{\omega}Q_{1}^{+}(\omega)<0$ for all
$\omega\in[\frac{4}{3}-\gamma,2-\gamma]$, for $\gamma\in[1.15,\frac{4}{3}]$,
* (iv)
$\partial_{\omega}Q_{1}^{+}(\omega)>0$ for all
$\omega\in[1.8-\gamma,2-\gamma]$, for $\gamma\in[1,1.02]$,
all of which combine to prove that $Q_{1}^{+}(\omega)<0$ for all
$\omega\in(\frac{4}{3}-\gamma,2-\gamma)$, for $\gamma\in(1,\frac{4}{3})$.
These are checked with the following Julia code (removing line breaks in the
definition of functions):
⬇
Q1plus(w,g)=((2*g-3)*(3*g-4)*w^3)/((2-g)^2)
+(-((g-1)/(2-g))+((4-3*g)*(2*g-3))/(2-g))*w^2
+(-2*(g-1)-(g-1)*(4-3*g))*w+(4-3*g)*(2-g)*(g-1)
dQ1plus(w,g)=3*((2*g-3)*(3*g-4)*w^3)/((2-g)^2)
+2*(-((g-1)/(2-g))+((4-3*g)*(2*g-3))/(2-g))*w^2
+(-2*(g-1)-(g-1)*(4-3*g))
p1(v)=Q1plus(v[1]-v[2],v[2])
p2(v)=dQ1plus(v[1]-v[2],v[2])
V2=IntervalBox((4/3)..2,(1.02)..(1.15))
V3=IntervalBox((4/3)..(1.8),1..(1.02))
V4=IntervalBox((1.8)..2,1..(1.02))
V5=IntervalBox((4/3)..2,(1.15)..(4/3))
Property (i) then follows from
⬇
maximise(p1,V2,tol=1e-4)
which gives $\max_{V_{2}}p_{1}\in[-0.0178999,-0.0177931]$. Property (ii)
follows from
⬇
maximise(p1,V3,tol=1e-3)
which gives $\max_{V_{3}}p_{1}\in[-0.0638237,-0.0622099]$. Property (iii)
follows from
⬇
maximise(p2,V5,tol=1e-2)
giving $\max_{V_{5}}p2\in[-0.321421,-0.231604]$. Finally,
⬇
minimise(p2,V4,tol=1e-3)
yields $\min_{V_{4}}p_{2}\in[0.178011,0.190886]$, as required.
To prove the negativity of $Q_{1}^{-}$, it is enough to observe that
$Q_{1}^{-}(\frac{4}{3}-\gamma)=-\frac{2(4-3\gamma)^{2}(\gamma-1)^{2}}{9(2-\gamma)^{2}}<0,$
and, moreover, by interval arithmetic, $\partial_{\omega}Q_{1}^{-}(\omega)<0$
always. To check this last property, we cancel the factor $\gamma-1$ to define
a function Q1min$=\frac{Q_{1}^{-}}{\gamma-1}$ and then find the maximum:
⬇
Q1min(w,g)=(4-3*g)*(2-g)+(3*g-6)*w-(6*g-7)*w^2/(2-g)+3*(2*g-3)*w^3/(2-g)^2
dQ1min(w,g)=(3*g-6)-2*(6*g-7)*w/(2-g)+9*(2*g-3)*w^2/((2-g)^2)
p3(v)=Q1min(v[1]-v[2],v[2])
p4(v)=dQ1min(v[1]-v[2],v[2])
V=IntervalBox((4/3)..2,1..(4/3))
maximise(p4,V,tol=1e-3)
This yields $\max_{V}p_{4}\in[-2.003,-1.99999]$, so that, for all
$\gamma\in(1,\frac{4}{3})$, we have $\partial_{\omega}Q_{1}^{-}<0$.
Step 2: We prove the estimate (3.125) for $Q_{m}$ for
$m\in[1,\frac{2\gamma}{\gamma+1}]$.
To extend the estimates for $Q_{m}$ from $m=1$ to
$m\in[1,\frac{2\gamma}{\gamma+1}]$, we proceed as follows. We first define a
new variable $k$ so that $m-1=\frac{\gamma-1}{\gamma+1}k$, to ensure
$k\in[0,1]$ when $m\in[1,\frac{2\gamma}{\gamma+1}]$. $m$ is then recovered
from $k$ by
⬇
m(k,g)=((g-1)*k+(g-1))/(g+1)
We create two new functions
$\displaystyle Q_{5}(\omega,m)=$ $\displaystyle\,Q_{m}^{+}(\omega)$
$\displaystyle\,\big{(}1-\frac{\omega}{2-\gamma}\big{)}\Big{(}-\frac{4-m-2\gamma}{2-\gamma}(4-3\gamma)\omega^{2}+(\gamma-1)(4-3\gamma)(2-\gamma)\Big{)}$
$\displaystyle-\frac{2(4-m-2\gamma)(m-1)\omega^{3}}{(2-\gamma)^{2}}-\frac{m(\gamma-1)}{2-\gamma}\omega^{2}-2(\gamma-1)\omega,$
$\displaystyle Q_{6}(\omega,m)=$ $\displaystyle\,Q_{m}^{-}(\omega)$
$\displaystyle=$
$\displaystyle\,\big{(}1-\frac{\omega}{2-\gamma}\big{)}\Big{(}-\frac{4-m-2\gamma}{2-\gamma}(4-3\gamma)\omega^{2}+(\gamma-1)(4-3\gamma)(2-\gamma)\Big{)}$
$\displaystyle-\frac{2(4-m-2\gamma)(m-1)\omega^{3}}{(2-\gamma)^{2}}-\frac{m(\gamma-1)}{2-\gamma}\omega^{2}-2(\gamma-1)\omega$
$\displaystyle+\frac{m\omega^{2}}{(2-\gamma)^{2}}\Big{(}(4-3\gamma)(2-\gamma)-\omega\big{(}4-3\gamma+(\gamma-1)(2-m)\big{)}\Big{)}$
$\displaystyle=$
$\displaystyle\,\frac{6\gamma^{2}+\gamma(m^{2}+8m-24)+m^{2}-16m+24}{(2-\gamma)^{2}}\omega^{3}+\frac{-6\gamma^{2}+\gamma(20-7m)+9m-16}{2-\gamma}\omega^{2}$
$\displaystyle-3(2-\gamma)(\gamma-1)\omega+(4-3\gamma)(\gamma-1)(2-\gamma).$
Note that when $m=1$, these are just $Q_{1}^{+}$ and $Q_{1}^{-}$ from above.
We then compute the derivative with respect to $m$ to get
$\displaystyle\partial_{m}Q_{5}=$
$\displaystyle\,\frac{4m+7\gamma-14}{(2-\gamma)^{2}}\omega^{3}+\frac{5-4\gamma}{2-\gamma}\omega^{2}.$
It is then straightforward to see that for $m\in[1,\frac{2\gamma}{\gamma+1}]$,
the coefficient of the $\omega^{3}$ term is negative. Note also that
$\partial_{m}Q_{5}<0$ for
$\omega>\omega_{*}=\frac{(2-\gamma)(5-4\gamma)}{14-7\gamma-4m}$. We check by
interval arithmetic that for all $m\in[1,\frac{2\gamma}{\gamma+1}]$, all
$\gamma\in(1,\frac{4}{3})$, we have $\omega_{*}<\frac{4}{3}-\gamma+0.1$:
⬇
wcrit(g,n)=(2-g)*(5-4*g)/(14-7*g-4*n)
wcritdiff(g,n)=wcrit(g,n)-(4/3)-0.1+g
fun(h)=wcritdiff(h[1],m(h[2],h[1]))
Gcrit=IntervalBox(1..(4/3),0..1)
maximise(fun,Gcrit)
The maximum lies in $[-0.154379,-0.153729]$, hence is negative. Thus, for
$\omega>\frac{4}{3}-\gamma+0.1$, we have $Q_{5}(\omega,m)\leq
Q_{1}^{+}(\omega)<0$. On the other hand, for
$\omega\in(\frac{4}{3}-\gamma,\frac{4}{3}-\gamma+0.1)$, we have from interval
arithmetic that $\partial_{\omega}Q_{1}<-0.29$:
⬇
Vcrit=IntervalBox((4/3)..((4/3)+0.1),1..(4/3))
maximise(p2,Vcrit)
The output is in $[-0.304395,-0.297167]$.
We check that
$\partial^{2}_{m\omega}Q_{5}=3\frac{4m+7\gamma-14}{(2-\gamma)^{2}}\omega^{2}+2\frac{5-4\gamma}{2-\gamma}\omega<0$
provided $\omega>\frac{2}{3}\omega_{*}$. We check that
$\frac{2}{3}\omega_{*}<\frac{4}{3}-\gamma$ always:
⬇
fun2(h)=2*wcrit(h[1],m(h[2],h[1]))/3-(4/3)+h[1]
maximise(fun2,Gcrit)
with output $[-0.0363685,-0.0358193]$. Thus, we retain
$\partial_{\omega}Q_{5}<0$ on
$\omega\in(\frac{4}{3}-\gamma,\frac{4}{3}-\gamma+0.1)$ for all $m$ and
$\gamma$ in the range we require. Thus, using the fact that, at
$\omega=\frac{4}{3}-\gamma$, we have
$Q_{5}\big{|}_{\omega=\frac{4}{3}-\gamma}=-\frac{(4-3\gamma)^{2}m(26+9\gamma^{2}-8m+\gamma(-31+6m))}{27(2-\gamma)^{2}}<0$
by using
⬇
Q5end(g,n)=26+9*g^2-8*n+g*(-31+6*n)
fun3(h)=Q5end(h[1],m(h[2],h[1]))
minimise(fun3,Gcrit,tol=1e-2)
with minimum in the range $[0.483905,0.681199]$, we conclude $Q_{5}<0$ for all
suitable $\gamma$ and $m$.
To handle $Q_{6}$, we compare it to $Q_{1}^{-}$ above. We write
$\partial_{\omega}Q_{6}=\partial_{\omega}(Q_{6}-Q_{1}^{-})+\partial_{\omega}Q_{1}^{-},$
exploiting the definition of $k$ to introduce factors of $\gamma-1$ wherever
we find $m-1$. In particular, we have
$\displaystyle Q_{6}(\omega,m(k))-Q_{1}^{-}(\omega)=$
$\displaystyle\,\omega^{3}\frac{\gamma-1}{\gamma+1}\frac{k}{(2-\gamma)^{2}}\big{(}(\gamma-1)k+10\gamma-14\big{)}+\omega^{2}\frac{\gamma-1}{\gamma+1}k\frac{9-7\gamma}{2-\gamma}.$
Factoring out $\gamma-1$, we differentiate and find
$\displaystyle\partial_{\omega}(Q_{6}-Q_{1}^{-})=$
$\displaystyle\,(\gamma-1)\omega\Big{(}3\omega\frac{k}{(\gamma+1)(2-\gamma)^{2}}\big{(}(\gamma-1)k+10\gamma-14\big{)}+2\frac{k}{\gamma+1}\frac{9-7\gamma}{2-\gamma}\Big{)}.$
Interval arithmetic then yields
$\partial_{\omega}(Q_{6}-Q_{1}^{-})+\partial_{\omega}Q_{1}^{-}<0\text{ for all
}(\omega,\gamma,k)\in[\frac{4}{3}-\gamma,2-\gamma]\times[1,\frac{4}{3}]\times[0,1]$
by working without the common factor of $(\gamma-1)$:
⬇
Q6diffw(w,g,k)=3*w^2*(k/(g+1))*((g-1)k+10*g-14)/((2-g)^2)
+2*w*(k/(g+1))*(9-7*g)/(2-g)
B=IntervalBox((4/3)..2,1..(4/3),0..1)
p6diff(u)=dQ1min(u[1]-u[2],u[2])+Q6diffw(u[1]-u[2],u[2],u[3])
maximise(p6diff,B,tol=1e-2)
giving a maximum in the range $[-2.03123,-1.99999]$. This establishes
inequality (3.125) for $m\in\big{[}1,\frac{2\gamma}{\gamma+1}\big{]}$.
Step 3: We extend to cover the full range
$m\in\big{[}1,\frac{2\gamma}{\gamma+1}+\delta\big{]}$.
To extend (3.125) to $m\in\big{[}1,\frac{2\gamma}{\gamma+1}+\delta\big{]}$, we
argue directly by continuity with respect to $m$, uniformly with respect to
$\omega\in[\frac{4-3\gamma}{3},2-\gamma]$ for each $\gamma\in(1,\frac{4}{3})$.
As $Q_{\frac{2\gamma}{\gamma+1}}(\omega)<0$ for all
$\omega\in[\frac{4-3\gamma}{3},2-\gamma]$, for each
$\gamma\in(1,\frac{4}{3})$, we obtain the existence of such a claimed
$\delta>0$.
Step 4: Prove (3.126).
To check (3.126) rigorously, we follow the following procedure: Define
$\displaystyle Q_{3}(\omega)=$
$\displaystyle\,Q_{\frac{4}{4-3\gamma}}^{+}(\omega)$ $\displaystyle=$
$\displaystyle\,M(\gamma)(4-3\gamma)(1-\frac{\omega}{2-\gamma})\omega^{2}+M(\gamma)\omega^{3}\big{(}-\frac{2}{2-\gamma}+\frac{8}{(4-3\gamma)(2-\gamma)}\big{)}$
$\displaystyle-\frac{2(\gamma-1)\omega^{2}}{(4-3\gamma)(2-\gamma)}-2(\gamma-1)\omega+(4-3\gamma)(\gamma-1)(2-\gamma)\big{(}1-\frac{\omega}{2-\gamma}\big{)}$
$\displaystyle=$
$\displaystyle\,\omega^{3}\frac{2(3\gamma^{2}-10\gamma+6)(9\gamma^{2}-30\gamma+16)}{(4-3\gamma)^{2}(2-\gamma)^{2}}+\omega^{2}\frac{2(9\gamma^{3}-42\gamma^{2}+57\gamma-23)}{(2-\gamma)(4-3\gamma)}$
$\displaystyle-3(2-\gamma)(\gamma-1)\omega+(4-3\gamma)(\gamma-1)(2-\gamma),$
$\displaystyle Q_{4}(\omega)=$
$\displaystyle\,Q_{\frac{4}{4-3\gamma}}^{-}(\omega)$ $\displaystyle=$
$\displaystyle\,M(\gamma)(4-3\gamma)(1-\frac{\omega}{2-\gamma})\omega^{2}+M(\gamma)\omega^{3}\big{(}-\frac{2}{2-\gamma}+\frac{8}{(4-3\gamma)(2-\gamma)}\big{)}$
$\displaystyle-\frac{2(\gamma-1)\omega^{2}}{(4-3\gamma)(2-\gamma)}-2(\gamma-1)\omega+(4-3\gamma)(\gamma-1)(2-\gamma)\big{(}1-\frac{\omega}{2-\gamma}\big{)}$
$\displaystyle+\omega^{2}\Big{(}\frac{4(1-\frac{\omega}{2-\gamma})}{2-\gamma}-\omega\frac{4(\gamma-1)}{(4-3\gamma)(2-\gamma)}\big{(}\frac{2}{2-\gamma}-\frac{4}{(4-3\gamma)(2-\gamma)}\big{)}\Big{)}$
$\displaystyle=$
$\displaystyle\,\omega^{3}\frac{6(9\gamma^{4}-60\gamma^{3}+132\gamma^{2}-104\gamma+24)}{(4-3\gamma)^{2}(2-\gamma)^{2}}+\omega^{2}\frac{6(3\gamma^{3}-14\gamma^{2}+17\gamma-5)}{(2-\gamma)(4-3\gamma)}$
$\displaystyle-3(2-\gamma)(\gamma-1)\omega+(4-3\gamma)(\gamma-1)(2-\gamma).$
We need to prove the positivity of both $Q_{3}$ and $Q_{4}$. To show the
positivity of $Q_{3}$, we note the following four facts:
* •
$Q_{3}(\frac{4}{3}-\gamma)=\frac{-2(4-3\gamma)(21\gamma^{2}-71\gamma+42)}{27(2-\gamma)^{2}}>0$
for $\gamma\in(1,\frac{4}{3})$,
* •
$Q_{3}^{\prime}(\frac{4}{3}-\gamma)=\frac{27\gamma^{4}-183\gamma^{3}+402\gamma^{2}-312\gamma+80}{3(2-\gamma)^{2}}>0$
for $\gamma\in(1,\frac{4}{3})$,
* •
$Q_{3}^{(2)}(\frac{4}{3}-\gamma)=\frac{4(18\gamma^{4}-120\gamma^{3}+261\gamma^{2}-203\gamma+50)}{(4-3\gamma)(2-\gamma)^{2}}>0$
for $\gamma\in(1,\frac{4}{3})$,
* •
$Q_{3}^{(3)}(\omega)=\frac{12(3\gamma^{2}-10\gamma+6)(9\gamma^{2}-30\gamma+16)}{(4-3\gamma)^{2}(2-\gamma)^{2}}>0$
for all $\omega\in(\frac{4}{3}-\gamma,2-\gamma)$, $\gamma\in(1,\frac{4}{3})$.
Each of these is proved by interval arithmetic. We scale out the factors of
$(4-3\gamma)^{-1}$ and $(4-3\gamma)^{-2}$ in the second and third derivatives
of $Q_{3}$ before computing to ensure the computations remain bounded.
⬇
g5(g)=-(21*g^2-71*g+42)
g6(g)=80-312*g+402*g^2-183*g^3+27*g^4
g7(g)=50-203*g+261*g^2-120*g^3+18*g^4
g8(g)=(6-10*g+3*g^2)*(16-30*g+9*g^2)
G=(4/3)..2
minimise(g5,G)
minimise(g6,G)
minimise(g7,G)
minimise(g8,G)
yielding $\min_{G}g_{5}\in[15.2996,15.3379]$,
$\min_{G}g_{6}\in[29.4466,30.2343]$, $\min_{G}g_{7}\in[14.8603,15.7849]$,
$\min_{G}g_{8}\in[15.9016,16.0085]$.
Similarly,
* •
$Q_{4}(\frac{4}{3}-\gamma)=\frac{-2(4-3\gamma)(3\gamma^{2}-13\gamma+6)}{9(2-\gamma)^{2}}>0$
for $\gamma\in(1,\frac{4}{3})$,
* •
$Q_{4}^{\prime}(\frac{4}{3}-\gamma)=\frac{9\gamma^{4}-61\gamma^{3}+138\gamma^{2}-112\gamma+32}{(2-\gamma)^{2}}>0$
for $\gamma\in(1,\frac{4}{3})$,
* •
$Q_{4}^{(2)}(\frac{4}{3}-\gamma)=\frac{12(6\gamma^{4}-40\gamma^{3}+87\gamma^{2}-65\gamma+14)}{(4-3\gamma)(2-\gamma)^{2}}>0$
for $\gamma\in(1,\frac{4}{3})$,
* •
$Q_{4}^{(3)}(\omega)=\frac{36(9\gamma^{4}-60\gamma^{3}+132\gamma^{2}-104\gamma+24)}{(4-3\gamma)^{2}(2-\gamma)^{2}}>0$
for all $\omega\in(\frac{4}{3}-\gamma,2-\gamma)$, $\gamma\in(1,\frac{4}{3})$.
⬇
g9(g)=-(3*g^2-13*g+6)
g10(g)=32-112*g+138*g^2-61*g^3+9*g^4
g11(g)=14-65*g+87*g^2-40*g^3+6*g^4
g12(g)=24-104*g+132*g^2-60*g^3+9*g^4
minimise(g9,G)
minimise(g10,G)
minimise(g11,G)
minimise(g12,G)
yielding $\min_{G}g_{9}\in[5.99518,6.00151]$,
$\min_{G}g_{10}\in[11.5885,11.8567]$, $\min_{G}g_{11}\in[5.98055,6.15133]$,
$\min_{G}g_{12}\in[5.96691,6.22624]$.
## Appendix D Proof of Proposition 4.7
Before we prove the proposition, it is convenient to rescale the sonic point
to a fixed value so that some of the continuity properties are easier to
prove. We let
$\displaystyle z:=\frac{y}{y_{\ast}},\ \ \rho(y)=r(z),\ \ \omega(y)=w(z).$
(D.253)
The system (1.21) takes the form
$\displaystyle r^{\prime}$
$\displaystyle=\,\frac{y_{\ast}^{2}zrh(r,w)}{\mathcal{G}(z;r,w)},$ (D.254)
$\displaystyle w^{\prime}$
$\displaystyle=\,\frac{4-3\gamma-3w}{z}-\frac{y_{\ast}^{2}zwh(r,w)}{\mathcal{G}(z;r,w)},$
(D.255)
where
$\displaystyle\mathcal{G}(z;r,w):=\gamma r^{\gamma-1}-y_{\ast}^{2}z^{2}w^{2}.$
(D.256)
Moreover, the sonic time $s(y_{\ast})$ scales naturally into
$\displaystyle S(y_{\ast}):=\frac{s(y_{\ast})}{y_{\ast}},$ (D.257)
so that the interval $(S(y_{\ast}),1)$ comprises all the $z$-values in the
interval $(0,1)$ for which the unique LPH-type solution exists and
$\mathcal{G}>0$. By analogy to (A.195)–(A.196) we introduce the abbreviations
$\displaystyle\mathcal{I}$
$\displaystyle:=\frac{zrh(r,w)}{\mathcal{G}(z;r,w)},\ \
\mathcal{J}:=\frac{zwh(r,w)}{\mathcal{G}(z;r,w)}.$ (D.258)
###### Proof.
We work with the formulation (D.254)–(D.255) for convenience. From there, it
is easy to recover all the statements in the original $(\rho(y),\omega(y))$
variables.
Proof of part (i). We fix an $y_{\ast}\in[y_{f},y_{F}]$ and an arbitrary
$\mathring{z}\in(S(y_{\ast}),1-\nu)$. In the following all generic constants
will depend on $\mathring{z}$ unless specified otherwise. Since
$\mathring{z}>S(y_{\ast})$ there exists an $\eta>0$ such that
$\gamma r^{\gamma-1}>\eta+y_{\ast}^{2}z^{2}w^{2},\ \
z\in[\mathring{z},1-\nu).$
It follows in particular that
$\displaystyle r(z)>C_{\gamma}\eta^{\beta},\ \ z\in[\mathring{z},1-\nu),$
(D.259)
where
$\displaystyle\beta:=\frac{1}{\gamma-1},\ \
C_{\gamma}:=\gamma^{-\frac{1}{\gamma-1}}.$ (D.260)
Moreover, by Lemma 4.5 it is clear that there exists a constant
$C=C(\mathring{z})$ such that for any $\tilde{y}_{\ast}\in[y_{f},y_{F}]$
$\displaystyle|r(z;\tilde{y}_{\ast})|\leq C,\ \ |w(z;\tilde{y}_{\ast})|\leq
C,\ \ z\in[\mathring{z},1-\nu]\cap(S(\tilde{y}_{\ast}),1-\nu).$ (D.261)
Let $0<\delta\ll 1$ be a control constant to be fixed later and consider the
set of $\tilde{y}_{\ast}\in[y_{f},y_{F}]$ such that
$|\tilde{y}_{\ast}-y_{\ast}|<\delta$. For any such $\tilde{y}_{\ast}$ let
$(\tilde{r}(\cdot;\tilde{y}_{\ast}),\tilde{w}(\cdot;\tilde{y}_{\ast}))$ be the
unique LPH-type solution given by Theorem 2.15. Let
$Z:=\max\\{S(\tilde{y}_{\ast}),\mathring{z}\\}.$
and define the control function
$\displaystyle g(z):=|r(z)-\tilde{r}(z)|+|w(z)-\tilde{w}(z)|,\ \
z\in(Z,1-\tilde{\nu}],$ (D.262)
where $\tilde{\nu}$ is a $y_{\ast}$-independent positive constant whose
existence follows from the existence of $\nu>0$ in Theorem 2.15. It is
straightforward to check that
$\displaystyle\mathcal{I}(y_{\ast},r,w)-\mathcal{I}(\tilde{y}_{\ast},\tilde{r},\tilde{w})=z\frac{rh(\tilde{\mathcal{G}}-\mathcal{G})+\mathcal{G}r(h-\tilde{h})+\mathcal{G}\tilde{h}(r-\tilde{r})}{\mathcal{G}\tilde{\mathcal{G}}},$
(D.263)
where we used the shorthand
$\tilde{\mathcal{G}}=\mathcal{G}(\tilde{y}_{\ast},\tilde{r},\tilde{w})$ and
similarly for $\tilde{h}$. Note that
$\displaystyle\tilde{\mathcal{G}}-\mathcal{G}=\gamma\left(\tilde{r}^{\gamma-1}-r^{\gamma-1}\right)-z^{2}\left(\tilde{y}_{\ast}^{2}\tilde{w}^{2}-y_{\ast}^{2}w^{2}\right)$
(D.264)
and also
$\displaystyle\left|\tilde{r}^{\gamma-1}-r^{\gamma-1}\right|$
$\displaystyle=r^{\gamma-1}\left|\left(1+\frac{\tilde{r}-r}{r}\right)^{\gamma-1}-1\right|$
$\displaystyle\leq(\gamma-1)r^{\gamma-1}\sup_{|\theta|\leq\frac{|\tilde{r}-r|}{r}}\left|1-|\theta|\right|^{\gamma-2}\frac{|\tilde{r}-r|}{r}$
$\displaystyle=(\gamma-1)r^{\gamma-2}\left|1-\frac{|\tilde{r}-r|}{r}\right|^{\gamma-2}|\tilde{r}-r|,$
(D.265)
where we have used the mean value theorem in the second line above. Note that
by (D.262) and (D.259)
$\frac{|\tilde{r}-r|}{r}\leq\frac{g(z)}{C_{\gamma}\eta^{\beta}}$ and therefore
since $\gamma-2<0$
$\left|\tilde{r}^{\gamma-1}-r^{\gamma-1}\right|\leq
C_{\gamma}^{-(2-\gamma)}\eta^{-(2-\gamma)\beta}\left|1-\frac{g(z)}{C_{\gamma}\eta^{\beta}}\right|^{\gamma-2}g(z).$
(D.266)
Moreover, by (D.261) it is easy to see that
$\displaystyle\left|z^{2}\left(\tilde{y}_{\ast}^{2}\tilde{w}^{2}-y_{\ast}^{2}w^{2}\right)\right|\leq
C\left(|y_{\ast}-\tilde{y}_{\ast}|+|w-\tilde{w}|\right).$ (D.267)
Together with (D.264) and (D.266) this gives
$\displaystyle\left|\tilde{\mathcal{G}}-\mathcal{G}\right|$ $\displaystyle\leq
C\left(1+\eta^{-(2-\gamma)\beta}\left|1-\frac{g(z)}{C_{\gamma}\eta^{\beta}}\right|^{\gamma-2}\right)g(z)+C|y_{\ast}-\tilde{y}_{\ast}|$
$\displaystyle=:CK(\eta,g(z))g(z)+C|y_{\ast}-\tilde{y}_{\ast}|,$ (D.268)
where
$\displaystyle
K(\eta,g(z)):=1+\eta^{-(2-\gamma)\beta}\left|1-\frac{g(z)}{C_{\gamma}\eta^{\beta}}\right|^{\gamma-2}.$
(D.269)
A simple consequence of (D.268) is a lower bound for $\tilde{\mathcal{G}}$,
$\displaystyle\tilde{\mathcal{G}}$
$\displaystyle\geq\mathcal{G}-\left|\tilde{\mathcal{G}}-\mathcal{G}\right|$
$\displaystyle\geq\eta-CK(\eta,g(z))g(z)-C|y_{\ast}-\tilde{y}_{\ast}|$
$\displaystyle=:\bar{\eta}(z).$ (D.270)
From the definition of $h(r,w)$ and the a priori bounds (D.261) it is
straightforward to obtain the bound
$\displaystyle\left|\tilde{h}(z)-h(z)\right|\leq Cg(z).$ (D.271)
Using (D.261), (D.268), (D.270), and (D.271) in (D.263) we conclude
$\displaystyle\left|\mathcal{I}(y_{\ast},r,w)-\mathcal{I}(\tilde{y}_{\ast},\tilde{r},\tilde{w})\right|\leq\frac{CK(\eta,g(z))g(z)+C|y_{\ast}-\tilde{y}_{\ast}|}{\eta\,\bar{\eta}(z)}.$
(D.272)
The same proof also yields the bound
$\displaystyle\left|\mathcal{J}(y_{\ast},r,w)-\mathcal{J}(\tilde{y}_{\ast},\tilde{r},\tilde{w})\right|\leq\frac{CK(\eta,g(z))g(z)+C|y_{\ast}-\tilde{y}_{\ast}|}{\eta\,\bar{\eta}(z)},$
(D.273)
where we recall (D.258).
Clearly, for $\delta>0$ and $|1-\nu-z|$ sufficiently small, we have from
(D.268) and (D.269) by continuity
$\bar{\eta}(z)>\frac{\eta}{2},\ \ g(z)<\frac{C_{\gamma}\eta^{\beta}}{2},$
where $\bar{\eta}(z)$ is defined in (D.270). Let
$\displaystyle\bar{Z}:=\inf_{Z<z<1-\nu}\left\\{\bar{\eta}(z)>\frac{\eta}{2}\
\text{ and }\ g(z)<\frac{C_{\gamma}\eta^{\beta}}{2}\right\\},$ (D.274)
where $C_{\gamma}>0$ is defined in (D.260). The bound
$g(z)<\frac{C_{\gamma}\eta^{\beta}}{2}$ ensures that
$\displaystyle K(\eta,g(z))\leq
1+\frac{1}{2^{2-\gamma}}\eta^{-(2-\gamma)\beta}=:K_{\eta},\ \
z\in[\bar{Z},1-\tilde{\nu}].$ (D.275)
Integrating over $[z,1-\tilde{\nu}]$ it follows from (D.254)–(D.255) and the
bounds (D.272)–(D.273) that
$\displaystyle g(z)$ $\displaystyle\leq
g(1-\tilde{\nu})+\frac{C}{\eta^{2}}|y_{\ast}-\tilde{y}_{\ast}|+\frac{C}{\mathring{z}}\int_{z}^{1-\tilde{\nu}}|w-\tilde{w}|\,\operatorname{d}\\!\tau+\frac{C}{\eta^{2}}\int_{z}^{1-\tilde{\nu}}K(\eta,g(\tau))\,g(\tau)\,\operatorname{d}\\!\tau$
$\displaystyle\leq
g(1-\tilde{\nu})+\frac{C}{\eta^{2}}|y_{\ast}-\tilde{y}_{\ast}|+\frac{C}{\eta^{2}}\int_{z}^{1-\tilde{\nu}}K(\eta,g(\tau))\,g(\tau)\,\operatorname{d}\\!\tau$
$\displaystyle\leq
g(1-\tilde{\nu})+\frac{C}{\eta^{2}}|y_{\ast}-\tilde{y}_{\ast}|+\frac{CK_{\eta}}{\eta^{2}}\int_{z}^{1-\tilde{\nu}}g(\tau)\,\operatorname{d}\\!\tau,\
\ z\in[\bar{Z},1-\tilde{\nu}],$ (D.276)
where we recall (D.269) and (D.275). We now apply the Grönwall inequality to
conclude
$\displaystyle
g(z)\leq\left(g(1-\tilde{\nu})+\frac{C}{\eta^{2}}|y_{\ast}-\tilde{y}_{\ast}|\right)e^{\frac{CK_{\eta}}{\eta^{2}}(1-\tilde{\nu}-z)},\
\ z\in[\bar{Z},1-\tilde{\nu}].$ (D.277)
We note that for any given $\delta^{\prime}>0$, there exists a $\delta>0$ such
that $g(1-\tilde{\nu})<\delta^{\prime}$ for all
$|y_{\ast}-\tilde{y}_{\ast}|<\delta$. Therefore, for any given $\epsilon>0$ we
can choose a $\delta=\delta(\eta,\epsilon)$ sufficiently small so that for all
$|y_{\ast}-\tilde{y}_{\ast}|<\delta$ we have the bound
$g(z)<\epsilon,\ \ \bar{Z}<z\leq 1-\tilde{\nu}.$
In particular, with $0<\epsilon\ll 1$ chosen sufficiently small we have
$g(z)<\frac{\tilde{C}\eta^{\beta}}{3}$ on $(\bar{Z},1-\tilde{\nu}]$ and
therefore $K(\eta,g(z))<K_{\eta}$ on $[\bar{Z},1-\tilde{\nu}]$. This in turn
implies
$\bar{\eta}(\bar{Z})\geq\eta-CK_{\eta}\epsilon-C\delta>\frac{\eta}{2}$ (D.278)
for $0<\delta\ll 1$ sufficiently small. This implies $\bar{Z}=Z$ and provides
a uniform lower bound for $\mathcal{G}$ on $(Z,1-\tilde{\nu}]$ thus implying
$S(\tilde{y}_{\ast})<Z$. Therefore $Z=\mathring{z}$ and since
$\mathring{z}>S(y_{\ast})$ is chosen arbitrarily, this implies the upper semi-
continuity.
Proof of part (ii). By Lemma 4.6 it is clear that there exists a
$\tilde{\tau}=\tilde{\tau}(\mathring{y},\eta)$ such that
$S(y_{\ast}^{n})<\mathring{y}-\tilde{\tau}$ for all $n\in\mathbb{N}$. We now
use the lower bounds (D.270) and (D.278) applied to the sequence
$\\{y^{n}_{\ast}\\}_{n\in\mathbb{N}}$ to conclude that
$S(y_{\ast})<\frac{\mathring{y}}{y_{\ast}}-\tilde{\tau}$ for a possibly
smaller $\tilde{\tau}>0$, which again depends only on $\mathring{y}$ and
$\eta$.
Proof of part (iii). By the proof of part (i) it follows that there exists a
$\delta>0$ sufficiently small so that
$S(\tilde{y}_{\ast})<S(y_{\ast})+\frac{1}{2}\left(\frac{y_{0}}{\tilde{y}_{\ast}}-S(y_{\ast})\right)$
for all $|\tilde{y}_{\ast}-y_{\ast}|<\delta$. The claim now follows from the
arguments in part (i) using in particular the uniform-in-$\tilde{y}_{\ast}$
upper bound (D.277) for the distance function $g(z)$. ∎
## References
* [1] Brenner, M. P., Witelski, T. P., On spherically symmetric gravitational collapse, J. Stat. Phys. 93, 3/4, 863–899 (1998).
* [2] Castro, A., Córdoba, D., Gómez-Serrano, J., Global smooth solutions for the inviscid SQG equation, Mem. Amer. Math. Soc. 266, (2020).
* [3] Chandrasekhar, S., An Introduction to the Study of Stellar Structures. University of Chicago Press, Chicago, 1938.
* [4] Cohen, A., Li, Z., Schlag, W., Uniqueness of excited states to $\Delta u+u-u^{3}=0$ in three dimensions, arXiv preprint, arxiv:2101.08356 (2021).
* [5] Deng, Y., Liu, T. P., Yang, T., Yao Z., Solutions of Euler-Poisson equations for gaseous stars, Arch. Ration. Mech. Anal. 164, 261–285 (2002).
* [6] Deng, Y., Xiang, J., Yang, T., Blowup phenomena of solutions to Euler-Poisson equations, _J. Math. Anal. Appl._ , 286, 295–306 (2003).
* [7] Fu, C. C., Lin, S. S., On the critical mass of the collapse of a gaseous star in spherically symmetric and isentropic motion. Japan J. Indust. Appl. Math. 15, no. 3, 461–469, (1998).
* [8] Goldreich, P., Weber, S., Homologously collapsing stellar cores, Astrophys. J. 238, 991–997 (1980).
* [9] Gómez-Serrano, J., Granero-Belinchón, R., On turning waves for the inhomogeneous Muskat problem: a computer-assisted proof, Nonlinearity, 27, 1471–1498 (2014).
* [10] Gómez-Serrano, J., Computer-assisted proofs in PDE: a survey, arxiv preprint, arxiv:1810.00745 (2018).
* [11] Guo, Y., Hadžić, M., Jang, J., Larson-Penston Self-similar Gravitational Collapse, arXiv:2011.01013, To appear in Comm. Math. Phys.
* [12] Guo, Y., Hadžić, M., Jang, J., Continued gravitational collapse for Newtonian stars, Arch. Ration. Mech. Anal. 239, 431–552 (2021).
* [13] Hadžić, M., Jang, J., Nonlinear stability of expanding star solutions in the radially-symmetric mass-critical Euler-Poisson system, Comm. Pure Appl. Math. 71, 827–891 (2018).
* [14] Harada, T., Maeda, H., Semelin, B., Criticality and convergence in Newtonian collapse, Phys.Rev. D 67 084003 (2003).
* [15] Hunter, C., The Collapse of Unstable Isothermal Spheres, Astrophysical Journal 218, 834–845, (1977).
* [16] Jang, J., Nonlinear Instability in Gravitational Euler-Poisson system for $\gamma=\frac{6}{5}$, Arch. Ration. Mech. Anal. 188, 265–307 (2008).
* [17] Jang, J., Nonlinear Instability Theory of Lane-Emden stars, Communications on Pure and Applied Mathematics 67, no. 9, 1418–1465 (2014).
* [18] Krantz, S., Parks, H., A Primer of Real Analytic Functions, Birkhäuser (2002)
* [19] Larson, R. B., Numerical calculations of the dynamics of a collapsing protostar, Mon. Not. R. Astr. Soc. 145, 271–295 (1969).
* [20] Maeda, H., Harada, T., Critical phenomena in Newtonian gravity, Phys. Rev. D 64, 124024 (2001).
* [21] Makino, T., Blowing up solutions of the Euler-Poisson equation for the evolution of gaseous stars, Transport Theory Statist. Phys. 21, 615–624 (1992).
* [22] Merle, F., Raphaël, P., Rodnianski, I., Szeftel, J., On smooth self similar solutions to the compressible Euler equations, preprint, arxiv:1912.10998 (2019).
* [23] Merle, F., Raphaël, P., Rodnianski, I., Szeftel, J., On the implosion of a three dimensional compressible fluid, preprint, arxiv:1912.11009 (2019).
* [24] Ori, A., Piran, T., A simple stability criterion for isothermal spherical self-similar flow, Mon. Not. R. Astr. Soc. 234, 821–829 (1988).
* [25] Penston, M. V., Dynamics of self-gravitating spheres III, Mon. Not. R. Astr. Soc. 144, 425–448 (1969).
* [26] Rein, G., Non-linear stability of gaseous stars. Arch. Ration. Mech. Anal. 168, no. 2, 115–130 (2003).
* [27] Shu, F. H., Self-similar collapse of spheres and star formation, Astrophys. J. 214, 488–497 (1977).
* [28] Whitworth, A., Summers, D., Self-similar condensation of spherically symmetric self-gravitating isothermal gas clouds, Mon. Not. R. Astr. Soc. 214, 1–25 (1985).
* [29] Yahil, A., Self-Similar Stellar Collapse, Astrophysical Journal 265, 1047–1055, (1983).
| arxiv-papers | 2021-07-26T09:25:58 | 2024-09-04T03:07:18.114616 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Yan Guo, Mahir Hadzic, Juhi Jang, Matthew Schrecker",
"submitter": "Matthew Schrecker",
"url": "https://arxiv.org/abs/2107.12056"
} |
2107.12063 | # Collective excitations and quantum incompressibility in electron-hole
bilayers
S. De Palo1,2, P. E. Trevisanutto3,4, G. Senatore2, and G. Vignale5 1 CNR-IOM-
DEMOCRITOS, Trieste, Italy 2 Dipartimento di Fisica, Università di Trieste,
strada Costiera 11, 34151 Trieste, Italy 3 Centre for Advanced 2D Materials,
National University of Singapore, 6 Science Drive 2, 117546 Singapore 4
European Centre for Theoretical Studies in Nuclear Physics and Related Areas
(ECT*-FBK) and Trento Institute for Fundamental Physics and Applications
(TIFPA-INFN), Via Sommarive, 14, 38123 Povo TN, Trento, Italy 5Department of
Physics and Astronomy, University of Missouri, Columbia, Missouri 65211, USA
###### Abstract
We apply quantum continuum mechanics to the calculation of the excitation
spectrum of a coupled electron-hole bilayer. The theory expresses excitation
energies in terms of ground state intra- and inter-layer pair correlation
functions, which are available from Quantum Monte Carlo calculations. The
final formulas for the collective modes deduced from this approach coincide
with the formulas obtained in the “quasi-localized particle approximation” by
Kalman et al., and likewise the theory predicts the existence of gapped
excitations in the charged channels, with the gap arising from electron-hole
correlation. An immediate consequence of the gap is that the static density-
density response function of the charged channel vanishes as $q^{2}$ for wave
vector $q\to 0$, rather than linearly in $q$, as commonly expected. In this
sense, the system is incompressible. This feature, which has no analogue in
the classical electron-hole plasma, is consistent with the existence of an
excitonic ground state, and implies the existence of a discontinuity in the
chemical potential of electrons and holes when the numbers of electrons and
holes are equal. It should be experimentally observable by monitoring the
densities of electrons and holes in response to potentials that attempt to
change these densities in opposite directions.
## I Introduction
Two-dimensional electron-hole systems, in which electrons and holes reside in
well separated layers of a semiconductor heterostructure (see Fig.1), have
received much attention in recent years. “Well separated” means that tunneling
between the layers is negligible. Voltages $V_{e}$ and $V_{h}$ applied to the
electron (e) and hole (h) layers respectively can be used to control the
carrier densities in each layer. The attractive interaction between electrons
and holes creates a rich phase diagram in which BCS pairing at high density,
exciton and multi-exciton formation at low density, compete with the
conventional Fermi liquid phase.
Quantum Monte Carlo (QMC) calculations of the ground state wave function of
this system have provided compelling evidence for the existence of non-Fermi
liquid states with the formation of exciton and multi-exciton complexes and
the appearance of off-diagonal long-range order at low densities De Palo _et
al._ (2002); Senatore and Palo (2003); Shumway and Gilbert (2012); Maezono
_et al._ (2013); Sharma _et al._ (2016); tra . Experimental signatures of
electron-hole pairing and superfluidity have been seen in counterflow
experiments at high magnetic field Eisenstein and MacDonald (2004); Tutuc _et
al._ (2004), in multi-layer structures of transition metal dichalcogenides
Conti _et al._ (2020); Van der Donck _et al._ (2020); Wang _et al._ (2019),
and are also expected to emerge from Coulomb drag experiments Vignale and
MacDonald (1996). In this paper we will use the available information about
the ground state properties of the electron-hole bilayer to achieve something
that is presently beyond the reach of QMC, namely to predict the density
fluctuation spectrum and the transverse current fluctuation spectrum.
We will focus on symmetric electron-hole systems, meaning that the densities
and the effective masses of electrons and holes are identical and the
elementary excitations can be classified as “symmetric” (electrons and holes
moving in phase) and “antisymmetric” (electrons and holes moving out of
phase).
Figure 1: Schematics of an electron-hole bilayer heterostructure. The
densities of electrons and holes are controlled by changing the potentials of
the electron and hole layers relative to the top and bottom layers (gates).
The excitation spectrum is largely determined by the character of the ground
state. For example, in the Fermi liquid phase, there are two types of
excitations: single-particle excitations out of the Fermi sea, and collective
modes (plasmons), in which the electrons and the holes oscillate out of phase,
with a dispersion $\propto\sqrt{q}$, where $q$ is the wave vector. In
principle, an acoustic plasmon mode could also exist, with electrons and hole
oscillating in phase, at sufficiently large interlayer separation Santoro and
Giuliani (1988). All these excitations are gapless. The transverse current
excitation spectrum is also gapless.
The situation is quite different in electron-hole paired phases. A fundamental
property of the paired ground state is its rigidity with respect to
perturbations that tend to shift electron and hole densities in opposite
directions. This can be understood as follows. Adding (or removing) equal
numbers of electrons and holes in a small volume of the system can be viewed
as increasing (or decreasing) the number of bound electron-hole pairs without
breaking any bond. The sum of the addition and removal energies of a pair is
$2\mu-2\mu=0$, where $\mu$ is the chemical potential and $2\mu$ is the energy
of a bound electron-hole pair: this is what we mean when we say that this mode
of excitation is gapless. In contrast, adding an electron while removing one
hole changes the energy by $-2\mu+2\epsilon_{e}$, where $\epsilon_{e}$ is the
energy of a free (unbound) electron. Similarly, removing an electron while
adding a hole changes the energy by $-2\mu+2\epsilon_{h}$, where
$\epsilon_{h}$ is the energy of a free (unbound) hole. The sum of the addition
and removal energies is now $2(\epsilon_{e}+\epsilon_{h}-2\mu)$, which is
twice the binding energy of an electron-hole pair: hence, this mode of
excitation is gapped.
In order to calculate the excitation spectra of electron-hole bilayers we
resort to “quantum continuum mechanics” (QCM – not to be confused with QMC)
for quantum electronic systems. This theory was discussed in detail in Ref.
Gao _et al._ (2010). It yields an exact equation of motion (Eq. (15) in Ref.
Gao _et al._ (2010)) for the current density in terms of a stress tensor
field, which is, in principle, a functional of the current density itself. In
the “elastic approximation” (see Ref. Gao _et al._ (2010)) the equation of
motion for the current is obtained by making an Ansatz on the form of the
solution of the time-dependent Schrödinger equation, namely, that the time-
dependent wave function is obtained by applying a time-dependent deformation
to the ground state wave function. Equivalently, one can say that the wave
function remains constant in an accelerated reference frame in which the
density is constant and each infinitesimal volume element of the system
remains at rest. Under these assumptions, the equation of motion for the
current density can be expressed in terms of ground state properties, such as
the momentum occupation number and the pair distribution functions, which can
be accurately computed by QMC. This approach is justifiable only for strongly
interacting systems, whose dynamics is dominated by collective motions of
relatively large groups of electrons (the volume elements of the fluid), while
single particle excitations are negligible or absent. For example 4He and
electrons in the lowest Landau level Girvin _et al._ (1986) are well
described by this method. Low-density electron liquids with strong Wigner
crystal-like correlations between the positions of the electrons are expected
to be well described too. Generally, the method works well for collective
modes. The single-particle portion of the excitation spectrum, if present, is
absorbed in the collective mode spectrum, in such a way that certain spectral
sum rules (f-sum rule, third moment sum rule) are satisfied.
In this paper we apply QCM to the calculation of the excitation spectrum of an
electron hole bilayer. Remarkably, the final expressions for the collective
mode frequencies coincide with the expressions that were obtained by Kalman et
al. Kalman _et al._ (1999) by using what they called the “quasi-localized
particle approximation” (QLCA). Thus, we can say that QCM offers a way to
formalize the physical assumptions underlying the QLCA.
The most interesting result of the calculation is that the antisymmetric
sector of the spectrum is gapped. Thus, in contrast to the Fermi liquid, where
the antisymmetric mode (electrons and holes oscillating with opposite phases)
is gapless with dispersion $q^{1/2}$, the QCM (like the QLCA) predicts a
finite frequency $\omega(0)$ – the gap – in the $q\to 0$ limit. The origin of
the gap in QCM is easily traced to short-range correlations between electrons
and holes in opposite layers – the enhancement of the “on-top” electron-hole
pair distribution function $g_{eh}(0)$ playing the key role. The gap is
present for arbitrarily small values of the coupling parameter $r_{s}$,
although its value tends to zero very rapidly as $r_{s}\to 0$. This suggest
that the system is never a Fermi liquid – a conclusion that may be formally
correct for electron-hole bilayers.
The gap in the antisymmetric density excitation spectrum of electron-electron
bilayer was first predicted in the classical (non-degenerate) regime Donkó
_et al._ (2003) and was directly confirmed by classical molecular dynamic
simulation. No such direct method is available for studying the dynamics of
degenerate electron-hole systems. A semi-analytic study Golden _et al._
(2005, 2006) within the framework of QLCA (equivalent to the present approach)
was carried out for degenerate electron-electron bilayers, but not for
degenerate electron-hole bilayers.
There is an important difference between classical and quantum (degenerate)
systems. In the classical system the finite temperature effectively erases the
most significant signature of the gap, namely the rigidity (or
incompressibility) of the system with respect to actions that attempt to
change the densities of electrons and holes in opposite directions. The
classical fluctuation-dissipation theorem Giuliani and Vignale (2005) directly
ties the density-density response function, which controls the response to
external potentials, to the static structure factor. The latter vanishes
linearly with $q$ for the antisymmetric channel in the $q\to 0$ limit Golden
and Kalman (2003). This indeed indicates a suppression of the density response
for small $q$, but its origin is quite clear: it is the large electrostatic
energy associated with adding electrons to one layer and removing holes from
the other. In a realistic experimental setup, this charging energy will be
neutralized by additional charges occurring on the gates, ultimately leaving
us with a finite density response (that is to say, the so-called “proper”
density-density response function Giuliani and Vignale (2005), which does not
vanish for $q\to 0$).
In contrast to this, the density-density response function of the gapped
quantum system vanishes as $q^{2}$ for $q\to 0$. The vanishing density
response is not caused by the charging energy, rather it reflects the energy
cost of breaking bound electron-hole pairs as explained above. This kind of
rigidity cannot be compensated by additional charges on the gates: it is
present in the proper density-density response function itself.
Experimentally, rigidity would show up in measurements of the electron and
hole densities as functions of applied gate voltages $V_{e}$ and $V_{h}$.
Starting from the symmetric situation $n_{e}=n_{h}$, the application of
potentials $V_{e}$ and $V_{h}$, which tend to shift the electron and hole
densities in opposite directions, will have no effect on the densities until
the potentials exceed a threshold given by the gap Zeng and MacDonald (2020).
This paper is organized as follows.
In Section II and appendix A, we review the elastic approximation of QCM and
derive the formulas expressing the collective mode frequencies in terms of the
pair distribution function and the kinetic energy of the ground state. We show
that our formulas coincide with those of the QLCA.
In Section III, we express the collective mode frequencies in terms of real-
space integrals over the pair correlation functions and provide a simple
formula, Eq. (18), expressing the gap in terms of the electron-hole
interaction potential and the pair distribution function.
In subsection III.1 we present and discuss the the evaluation of the real-
space integrals with pair correlation functions obtained from QMC, emphasizing
the appearance of a gap in the antisymmetric density (longitudinal current)
and transverse current channel.
In subsection III.2, the same calculations are performed with pair correlation
functions obtained, at much lower computational cost, from the solution of the
BCS-like mean field theory. The pair correlation functions obtained in this
manner are similar to the ones obtained in QMC, when the latter are available,
and allow to explore the behavior of the gap in a wider region of values of
$r_{s}$ and $d$.
In Section IV, we discuss the antisymmetric density response function obtained
from QCM with the antisymmetric density response function obtained from the
BCS-like mean field theory. Both response functions are found to vanish in the
long-wavelength limit, implying incompressibility. The relation between the
QCM gap and the BCS gap is clarified.
In Section V we present a critical discussion of the elastic approximation
vis-a-vis the so-called Bijl-Feynman approximation Mahan (1981) which produces
a spectrum consistent with the exact static structure factor but in violation
of the third moment sum rule.
Section VI contains a summary of our results for the excitation spectrum in
the elastic approximation and our outlook on theoretical work going beyond the
elastic approximation.
## II Electron-hole bilayer - Model and elastic approximation for collective
modes
We consider a homogeneous symmetric electron-hole bilayer with hamiltonian
$\displaystyle H$ $\displaystyle=$
$\displaystyle\sum_{i,\alpha}\frac{p_{i\alpha}^{2}}{2m}+\frac{1}{2}\sum_{i\neq
j}\sum_{\alpha}\phi_{\alpha\alpha}(|{\bf r}_{i,\alpha}-{\bf r}_{j,\alpha}|)$
(1) $\displaystyle+$
$\displaystyle\sum_{i,j}\sum_{\alpha,\beta\neq\alpha}\phi_{\alpha\beta}(|{\bf
r}_{i,\alpha}-{\bf r}_{j,\beta}|)\,,$
where the indices $i$ and $j$ run over the particles (either electrons or
holes) of which there are equal numbers distributed with areal density
$n=n_{e}=n_{h}$, and the indices $\alpha,\beta$ take values in the set $(e,h)$
where $e$ stands for electrons and $h$ for holes. Thus ${\bf r}_{i,e}$ and
${\bf p}_{i,e}$ are the (two-dimensional) position and momentum of the $i$-th
electron and similarly for holes. The mass $m$ is the same for electrons and
holes. The electron-electron and hole-hole interactions are
$\phi_{ee}(r)=\phi_{hh}(r)=\frac{e^{2}}{r}\,,$ (2)
and
$\phi_{eh}(r)=\phi_{he}(r)=-\frac{e^{2}}{\sqrt{r^{2}+d^{2}}}\,,$ (3)
where $r$ is the distance between two electrons (holes) in the same layer, $d$
is the distance between the layers, and $\sqrt{r^{2}+d^{2}}$ is the distance
between an electron and a hole in opposite layers. $e$ is the absolute value
of the electron charge. The strength of the Coulomb interaction is measured by
the Wigner-Seitz parameter
$r_{s}=\frac{1}{\sqrt{n\pi}a},$ (4)
where $a=\hbar^{2}/(me^{2})$ is the Bohr radius.
We refer the reader to Ref. (Gao _et al._ (2010)) for a detailed discussion
of QCM and the elastic approximation. Here we only use the final result, which
is an equation of motion for the displacement field ${\bf u}({\bf r},t)$,
related to the current density by ${\bf j}({\bf r},t)=n({\bf r})\dot{\bf
u}({\bf r},t)$ where $n({\bf r})$ is the ground state density and $\dot{\bf
u}({\bf r},t)$ is the time derivative of the displacement field, i.e., the
velocity of the volume element. In the absence of external fields, this
equation of motion has the form (see Eq. (46) in Ref. (Gao _et al._ (2010)))
$mn({\bf r})\ddot{\bf u}({\bf r},t)=-\frac{\delta E_{2}[{\bf u}]}{\delta{\bf
u}({\bf r},t)}\,,$ (5)
where $E_{2}[{\bf u}]$ is a quadratic functional of the displacement field,
obtained by expanding the energy (kinetic plus potential) to second order in
the displacement field. (We note in passing that the system is assumed to have
no net spin polarization: each volume element contains equal numbers of up-
and down-spin particles, and they all follow the displacement field ${\bf u}$
regardless of spin orientation).
The expression on the right hand side of Eq. (5) is a generalized force which,
as shown in Ref. (Gao _et al._ (2010)), can be expressed in terms of the one-
particle density matrix and the pair distribution function of the ground
state. Eq. (5) defines a small-oscillation problem whose eigenfrequencies are
the excitation energies. For an isotropic system, such as a uniform electron
liquid, the longitudinal component of ${\bf u}$ yields the density excitation
spectrum, and the transverse component yields the transverse current
excitation spectrum. These approximate spectra consist of discrete excitation
frequencies, at variance with the exact spectra, which are continuous. Yet,
the spectral moments (first moment for the current fluctuation spectrum, first
and third moment for the density fluctuation spectrum) can be shown to be
exact, provided, of course, the input ground state information is exact.
In order to apply the QCM formalism to the electron-hole bilayer we first
generalize the formalism of Ref. (Gao _et al._ (2010)) to a system with
several components in dimension D. This is done in appendix A. We then apply
the formalism to a symmetric electron-hole system in 2 dimensions. This
involves two displacement fields ${\bf u}_{e}({\bf r},t)$ and ${\bf
u}_{h}({\bf r},t)$ for electrons and holes respectively. They are related tho
the electron and hole current densities by ${\bf j}_{\alpha}(r,t)=n\dot{\bf
u}_{\alpha}({\bf r},t)$, where $\alpha=e$ or $h$, and $n$ is the uniform
density of electrons or holes. The Eq. (5) becomes a system of two coupled
linear equations after the replacement ${\bf u}\to{\bf u}_{\alpha}$ and the
recognition that the energy $E_{2}$ is a quadratic functional of both ${\bf
u}_{e}$ and ${\bf u}_{h}$.
We take advantage of the translational invariance of the system (in the plane
of the layers) by introducing the Fourier transform of the displacement
fields, $\tilde{\bf u}_{\alpha}({\bf q},\omega)$, where ${\bf q}$ is the wave
vector and $\omega$ is the frequency. The equation of motion takes the form
$-mn\omega^{2}\tilde{\bf u}_{\alpha}=-\frac{\delta T_{2}[\tilde{\bf
u}_{\alpha}]}{\delta\tilde{u}_{\alpha}}-\frac{\delta W_{2}[\tilde{\bf
u}_{e},\tilde{\bf u}_{h}]}{\delta\tilde{u}_{\alpha}}\,,$ (6)
where $T_{2}$ and $W_{2}$ are, respectively, the kinetic and potential parts
of the energy functional. The functional derivatives on the right hand side of
Eq. (6) are readily obtained from the appropriate two-component generalization
of Eqs. (53) and (58) of Ref. (Gao _et al._ (2010)). The formulas for the
kinetic energy term are greatly simplified by dropping all the terms that
contain the gradient of the ground state density. The final expression is
$\frac{\delta T_{2}[\tilde{\bf u}_{\alpha}]}{\delta\tilde{\bf
u}_{\alpha}}=nt(n)\left[2{\bf q}({\bf q}\cdot\tilde{\bf
u}_{\alpha})+q^{2}\tilde{\bf u}_{\alpha}\right]+\frac{n\hbar^{2}q^{2}}{4m}{\bf
q}({\bf q}\cdot\tilde{\bf u}_{\alpha})\,,$ (7)
with $\alpha=e,h$, where $nt(n)$ is the kinetic energy per unit area of the
interacting electron-hole system – a quantity well known from QMC
calculations. Notice that this “kinetic force” does not couple the
displacement fields of different species.
The calculation of the potential energy term is more complex but the final
result is quite simple:
$\frac{\delta W_{2}[\tilde{\bf u}_{e},\tilde{\bf u}_{h}]}{\delta\tilde{\bf
u}_{\alpha}}=\sum_{\beta,\nu}\left\\{-[K_{\alpha\beta}({\bf q}={\bf
0})]_{\mu\nu}\tilde{u}_{\alpha\nu}({\bf q})+[K_{\alpha\beta}({\bf
q})]_{\mu\nu}\tilde{u}_{\beta\nu}({\bf q})\right\\}\,,$ (8)
where $\alpha$ and $\beta$ take values $e$ or $h$, and $\mu$ and $\nu$ are
cartesian indices. The all-important kernel $[K_{\alpha\beta}({\bf
q})]_{\mu\nu}$ is calculated from the structure factors of the ground state,
$S_{\alpha\beta}(q)$, as follows
$[K_{\alpha\beta}({\bf q})]_{\mu\nu}=n\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{\alpha\beta}(|{\bf q}-{\bf
q}^{\prime}|)-\delta_{\alpha\beta}\right]\tilde{\phi}_{\alpha\beta}(q^{\prime})q^{\prime}_{\mu}q^{\prime}_{\nu}+n^{2}q_{\mu}q_{\nu}\tilde{\phi}_{\alpha\beta}(q),$
(9)
where
$S_{\alpha\beta}({\bf q})=\frac{1}{N}\sum_{n,n^{\prime}}\left\langle e^{i{\bf
q}\cdot({\bf r}_{n,\alpha}-{\bf
r}_{n^{\prime}\beta})}\right\rangle-N\delta_{{\bf q},0},$ (10)
$\langle...\rangle$ denotes the ground state average and
$\tilde{\phi}_{ee}(q)=\tilde{\phi}_{hh}(q)=\frac{2\pi e^{2}}{q}$,
$\tilde{\phi}_{eh}(q)=\tilde{\phi}_{he}(q)=-\frac{2\pi e^{2}}{q}e^{-qd}$..
Notice that the structure factors are expressible in terms of the Fourier
transforms of the pair distribution functions for species $\alpha$ and $\beta$
Giuliani and Vignale (2005): we will make use of this in the next section.
The symmetry of the problem ($S_{ee}=S_{hh}$, $S_{eh}=S_{he}$) allows us to
decouple the equations of motion into symmetric/antisymmetric channels denoted
by $+$ and $-$ respectively, defined as follows
$\tilde{u}_{\pm}({\bf q},\omega)=\tilde{u}_{e}({\bf
q},\omega)\pm\tilde{u}_{h}({\bf q},\omega)\,.$ (11)
Furthermore, isotropy allows us to decouple the longitudinal channel
($\tilde{\bf u}\parallel{\bf q}$), denoted by $L$, from the transverse channel
($\tilde{\bf u}\perp{\bf q}$), denoted by $T$. Thus we arrive at the following
explicit formulas for the frequencies of the longitudinal collective modes
$\displaystyle\omega_{L+}^{2}({\bf q})$ $\displaystyle=$
$\displaystyle\frac{2\pi
ne^{2}q}{m}\left(1-e^{-qd}\right)+q^{2}\left[\frac{3t(n)}{m}+\frac{\hbar^{2}q^{2}}{4m^{2}}\right]+\frac{1}{m}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{ee}(|{\bf q}-{\bf
q}^{\prime}|)-S_{ee}(q^{\prime})\right]\tilde{\phi}_{ee}(q^{\prime})({\bf
q}^{\prime}\cdot\hat{\bf q})^{2}$ (12) $\displaystyle+$
$\displaystyle\frac{1}{m}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{eh}(|{\bf q}-{\bf
q}^{\prime}|)-S_{eh}(q^{\prime})\right]\tilde{\phi}_{eh}(q^{\prime})({\bf
q}^{\prime}\cdot\hat{\bf q})^{2}\,,$
and
$\displaystyle\omega_{L-}^{2}({\bf q})$ $\displaystyle=$
$\displaystyle\frac{2\pi
ne^{2}q}{m}\left(1+e^{-qd}\right)+q^{2}\left[\frac{3t(n)}{m}+\frac{\hbar^{2}q^{2}}{4m^{2}}\right]+\frac{1}{m}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{ee}(|{\bf q}-{\bf
q}^{\prime}|)-S_{ee}(q^{\prime})\right]\tilde{\phi}_{ee}(q^{\prime})({\bf
q}^{\prime}\cdot\hat{\bf q})^{2}$ (13) $\displaystyle-$
$\displaystyle\frac{1}{m}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{eh}(|{\bf q}-{\bf
q}^{\prime}|)+S_{eh}(q^{\prime})\right]\tilde{\phi}_{eh}(q^{\prime})({\bf
q}^{\prime}\cdot\hat{\bf q})^{2}\,,$
where $\hat{\bf q}$ is the unit vector along ${\bf q}$. Similarly, for the
transverse collective modes we may set ${\bf q}=q\hat{x}$ to obtain
$\displaystyle\omega_{T+}^{2}({\bf q})$ $\displaystyle=$ $\displaystyle
q^{2}\frac{t(n)}{m}+\frac{1}{m}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{ee}(|{\bf q}-{\bf
q}^{\prime}|)-S_{ee}(q^{\prime})\right]\tilde{\phi}_{ee}(q^{\prime})(q^{\prime}_{y})^{2}$
(14) $\displaystyle+$ $\displaystyle\frac{1}{m}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{eh}(|{\bf q}-{\bf
q}^{\prime}|)-S_{eh}(q^{\prime})\right]\tilde{\phi}_{eh}(q^{\prime})(q^{\prime}_{y})^{2}\,,$
and
$\displaystyle\omega_{T-}^{2}({\bf q})$ $\displaystyle=$ $\displaystyle
q^{2}\frac{t(n)}{m}+\frac{1}{m}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{ee}(|{\bf q}-{\bf
q}^{\prime}|)-S_{ee}(q^{\prime})\right]\tilde{\phi}_{ee}(q^{\prime})(q^{\prime}_{y})^{2}$
(15) $\displaystyle-$ $\displaystyle\frac{1}{m}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{eh}(|{\bf q}-{\bf
q}^{\prime}|)+S_{eh}(q^{\prime})\right]\tilde{\phi}_{eh}(q^{\prime})(q^{\prime}_{y})^{2}\,.$
The main qualitative features of the spectrum are immediately visible in these
formulas. The symmetric channel spectrum is gapless, because the expressions
$\left[S_{ee}(|{\bf q}-{\bf q}^{\prime}|)-S_{ee}(q^{\prime})\right]$ and
$\left[S_{eh}(|{\bf q}-{\bf q}^{\prime}|)-S_{eh}(q^{\prime})\right]$ in the
integrals of Eqs. (12) and (14) vanish for $q\to 0$. In contrast, the
antisymmetric channel spectrum, in which electrons and holes oscillate with
opposite phases in the two layers, is gapped because the expression
$\left[S_{eh}(|{\bf q}-{\bf q}^{\prime}|)+S_{eh}(q^{\prime})\right]$ in the
integrand of Eqs. (13) and (15) does not vanish for $q\to 0$. The existence of
short-range electron-hole correlation, described by the structure factor
$S_{eh}(q)$ and the associated pair distribution function $g_{eh}(r)$ is fully
responsible for the emergence of the gap.
## III Real-space Implementation
We now calculate the frequency of the collective modes, Eqs. (12-15), using
real-space pair-correlation functions $g_{eh}(r)$ and $g_{ee}(r)$ tra . For
example, the integral
$I^{\pm}_{\alpha\beta}(q)=\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{\alpha\beta}(|{\bf q}-{\bf q}^{\prime}|)\pm
S_{\alpha\beta}(q^{\prime})\right]\tilde{\phi}_{\alpha\beta}(q^{\prime})({\bf
q}^{\prime}\cdot\hat{\bf q})^{2}$ (16)
can be rewritten as
$I^{\pm}_{\alpha\beta}(q)=2\pi
n\int_{0}^{\infty}drrh_{\alpha\beta}(r)\left\\{\frac{1}{2}\left[J_{0}(qr)-J_{2}(qr)\pm
1\right]\left[\frac{\phi_{\alpha\beta}^{\prime}(r)}{r}-\phi_{\alpha\beta}^{\prime\prime}(r)\right]-\left[J_{0}(qr)\pm
1\right]\frac{\phi_{\alpha\beta}^{\prime}(r)}{r}\right\\}\,,$ (17)
where $h_{\alpha\beta}(r)=g_{\alpha\beta}(r)-1$ and $J_{n}(qr)$ are Bessel
functions of order $n$.
Notice that the $\pm$ signs in Eq. (17) make the all-important difference
between the gapless spectrum in the symmetric channel and the gapped one in
the antisymmetric channel. The square of the gap is given by
$\omega^{2}(0)=-\frac{1}{m}I^{+}_{e,h}(0)=\frac{n}{m}\int d{\bf
r}\,h_{e,h}(r)\nabla^{2}\phi_{e,h}(r),$ (18)
which (in Rydberg2) is given by the simple formula
$\omega^{2}(0)=\frac{8}{r_{s}^{2}}\int_{0}^{\infty}drh_{eh}(r)r\frac{2d^{2}-r^{2}}{(r^{2}+d^{2})^{5/2}}\,,$
(19)
where $r,d$ are in atomic units. This has the same value for the longitudinal
and the transverse mode.
### III.1 Calculation with QMC pair correlation functions
In Figs 2, 3, and 4 we plot the dispersion of the symmetric and antisymmetric
modes, longitudinal (left panels) and transverse (right panels) obtained by
evaluating the real-space integrals (17) with QMC pair correlation functions.
Results are presented for $r_{s}=4$ and four different values of the
interlayer distance $d=0.3$ a.u., $d=0.5$ a.u., $d=1.0$ a.u., $d=1.4$ a.u.
Because the QMC calculations assumed two equivalent valleys and two equivalent
spin orientations in each layer, the Fermi wave vector $q_{F}$, which we use
as the wave vector unit in these figures, is related to the density by
$q_{F}=\sqrt{\pi n}$.
It is evident that the asymmetric modes display a finite gap for $q\rightarrow
0$. Such a gap is largest at the smallest distance considered ($d=0.3$) and
decreases for larger distances.
Figure 2: Interlayer distance $d=0.3$ a.u. Left panel: longitudinal modes
$\omega_{L-}(q)$, $\omega_{L+}(q)$ ; right panel: transverse modes
$\omega_{T-}(q)$, $\omega_{T+}(q)$. The non-interacting single-particle
spectrum is shown for reference as a shaded region. Solid lines: dispersion of
the antisymmetric mode in the quadriexcitonic (q) phase (red lines) and in the
excitonic (e) phase (orange lines); dispersion of the symmetric mode in the
quadriexcitonic phase (green lines) and in the excitonic phase (blue lines).
Dashed lines with the same color coding present the dispersion of the modes
calculated without the structure factor terms in eqs. (12),(13),(14),(15).
Figure 3: Interlayer distance $d=0.5$ a.u. Left panel: longitudinal modes
$\omega_{L-}(q)$, $\omega_{L+}(q)$ ; right panel: transverse modes
$\omega_{T-}(q)$, $\omega_{T+}(q)$. The non-interacting single-particle
spectrum is shown for reference as a shaded region. Solid lines: dispersion of
the antisymmetric mode in the excitonic (e) phase (orange lines) and in the
plasma (pw) phase (red lines); dispersion of the symmetric mode in the
excitonic phase (blue lines) and in the plasma phase (green lines). Dashed
lines with the same color coding present the dispersion of the modes
calculated without the structure factor terms in eqs. (12),(13),(14),(15).
Figure 4: Interlayer distances $d=1.0$ a.u. and $d=1.4$ a.u, plasma phase
(pw). Left panel: longitudinal modes $\omega_{L-}(q)$, $\omega_{L+}(q)$ ;
right panel: transverse modes $\omega_{T-}(q)$, $\omega_{T+}(q)$. The non-
interacting single-particle spectrum is shown for reference as a shaded
region. Solid lines: dispersion of the antisymmetric mode for interlayer
distance $d=1.0$ a.u. (red lines) and $d=1.4$ a.u. (orange lines); dispersion
of the symmetric mode for interlayer distance $d=1.0$ a.u. (green lines) and
$d=1.4$ a.u. (blue lines). Dashed lines with the same color coding present the
dispersion of the modes calculated without the structure factor terms in eqs.
(12),(13),(14),(15). Figure 5: Plot of $\omega(0)$ vs $d$ for different values
of $r_{s}$. $\omega(0)$ is calculated from Eq. (19) and the pair correlation
function is obtained from the self-consistent solution of the BCS mean field
theory, according to Eq. (20), as explained in the text.
### III.2 Calculation with BCS pair correlation functions
The QMC calculation of the pair correlation functions is time-consuming and
the results are available only for a few values of $r_{s}$ and $d$. We achieve
much greater flexibility by resorting to the BCS-like mean field theory of
Ref. Zhu _et al._ (1995); Littlewood and Zhu (1996). The crucial pair
correlation function $h_{eh}(r)$ in this approach is given by
$h^{BCS}_{eh}(r)=\left|\frac{1}{n}\int_{0}^{\infty}\frac{dkk}{2\pi}\frac{\Delta(k)}{E(k)}J_{0}(kr)\right|^{2},$
(20)
where the BCS gap function $\Delta(k)$ and the BCS quasiparticle energy
$E(k)=\sqrt{\xi(k)^{2}+\Delta(k)^{2}}$ are obtained from the self-consistent
solution of the mean field equations Zhu _et al._ (1995); Littlewood and Zhu
(1996) where the $k$-dependence of the gap, as well as the in-plane
interaction, are taken into account.
$h_{eh}(r)$ calculated in this manner is in quite good agreement with the QMC
result in the cases in which the latter is available. More importantly, using
$h^{BCS}_{eh}(r)$ in Eq. (19) we can calculate $\omega(0)$ for a broad range
of values of $r_{s}$ and $d$. The results of the calculation are plotted in
Fig. 5. A remarkable feature of these results is that in the low-density limit
($r_{s}\to\infty$) $\omega(0)$ approaches a finite limit, independent of
$r_{s}$. This is physically expected since in this limit the system reduces to
a collection of well-separated bound electron-hole pairs and the pair
correlation function becomes
$h_{eh}(r)=n^{-1}|\psi_{eh}(r)|^{2}\,,$ (21)
where $\psi_{eh}(r)$ is the wave function of the bound state. In the opposite
limit of high density ($r_{s}\to 0$) and finite $d$ the BCS gap goes to zero
and so does $\omega(0)$.
Another interesting feature of the result is the logarithmic divergence of
$\omega(0)$ in the limit of vanishing interlayer separation ($d\to 0$).
Mathematically, this arises from the two-dimensional integration of the
Coulomb interaction, which diverges at $r=0$. This logarithmic divergence is
likely to be an artifact of the elastic approximation. This will become more
evident in the next section, where we relate $\omega(0)$ to the long-
wavelength behavior of the antisymmetric density response function and hence
to the incompressibility.
## IV Antisymmetric density response and incompressibility
In this section we focus on the longitudinal spectrum in the antisymmetric
channel. Unless otherwise indicated $\omega_{q}$ will be a shorthand for
$\omega_{L-}(q)$. Similarly $\chi(q,\omega)$ will be a shorthand for
$\chi_{L-}(q,\omega)\equiv\chi_{ee}(q,\omega)-\chi_{eh}(q,\omega)$ and $S(q)$
will be a shorthand for $S_{L-}(q)\equiv S_{ee}(q)-S_{eh}(q)$.
It is well-known Gao _et al._ (2010) that the elastic approximation for a one
component system satisfies the so-called “third moment” sum rule for the
density fluctuation spectrum. In our two-component system the sum rule reads
$-\frac{2}{\pi}\int_{0}^{\infty}d\omega\omega^{3}\Im
m\chi(q,\omega)=M(q)q^{2},$ (22)
where $M(q)$ (standing for $M_{L-}(q)$, as explained above) is expressed in
terms of the exact kinetic energy and structure factors as Giuliani and
Vignale (2005); not
$\displaystyle M(q)$ $\displaystyle=$
$\displaystyle\frac{n}{m}\left\\{\frac{2\pi
ne^{2}q}{m}\left(1+e^{-qd}\right)+q^{2}\left[\frac{3t(n)}{m}+\frac{\hbar^{2}q^{2}}{4m^{2}}\right]+\frac{1}{m}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{ee}(|{\bf q}-{\bf
q}^{\prime}|)-S_{ee}(q^{\prime})\right]\tilde{\phi}_{ee}(q^{\prime})({\bf
q}^{\prime}\cdot\hat{\bf q})^{2}\right.$ (23) $\displaystyle-$
$\displaystyle\left.\frac{1}{m}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{2}}\left[S_{eh}(|{\bf q}-{\bf
q}^{\prime}|)+S_{eh}(q^{\prime})\right]\tilde{\phi}_{eh}(q^{\prime})({\bf
q}^{\prime}\cdot\hat{\bf q})^{2}\right\\}\,.$
On the other hand, a simple calculation starting from the equation of motion
for the antisymmetric longitudinal mode, in the presence of external
potentials acting on particles and identifying $-in{\bf q}\cdot{\bf
u}_{\gamma}$ with the density change $\delta n_{\gamma}$, allows the
calculation of the response function in the QCM approximation as
$-\frac{1}{\pi}\Im
m\chi_{QCM}(q,\omega)=\frac{nq^{2}}{2m\omega_{q}}[\delta(\omega-\omega_{q})-\delta(\omega+\omega_{q})]\,.$
(24)
It is immediately verified that the QCM harmonic response satisfies both the
$f$-sum rule Giuliani and Vignale (2005)
$-\frac{2}{\pi}\int_{0}^{\infty}d\omega\omega\Im
m\chi_{QCM}(q,\omega)=\frac{nq^{2}}{m},$ (25)
and the third moment sum rule
$-\frac{2}{\pi}\int_{0}^{\infty}d\omega\omega^{3}\Im
m\chi_{QCM}(q,\omega)=\frac{nq^{2}}{m}\omega_{q}^{2}=M(q)q^{2},$ (26)
as from eqs. (13) and (22)
$\omega_{q}=\sqrt{\frac{mM(q)}{n}}.$ (27)
Notice that $M(q)$ tends to a finite limit for $q\to 0$, as discussed above.
This is a unique feature of the antisymmetric density channel: in the
symmetric density channel, the corresponding quantity $M_{L+}(q)$ is known to
vanish as $q^{2}$ for $q\to 0$.
These reassuring results help us understand why our formulas for the
collective mode frequencies coincide with those of Kalman et al., obtained
from the QLCA. Both theories collapse the spectrum onto a single collective
mode which satisfies the third and first moment sum rules: these constraints
are strong enough to uniquely determine the frequencies.
Let us now consider the static density response, which is given by the
dispersion relation
$\chi(q,0)=\frac{2}{\pi}\int_{0}^{\infty}d\omega\frac{\Im
m\chi(q,\omega)}{\omega}\,.$ (28)
(notice that this can be considered a “negative-first-moment sum rule”). Use
of Eq. (24) yields the static response in QCM:
$\chi_{QCM}(q,0)=-\frac{nq^{2}}{m\omega_{q}^{2}}\,.$ (29)
The existence of a finite gap ($\omega_{q}\to\omega_{0}\equiv\omega(0)>0$) for
$q\to 0$ immediately implies that $\chi_{QCM}(q,0)$ vanishes as $q^{2}$. We
emphasize that the vanishing of $\chi_{QCM}(q,0)$ is stronger than what would
be expected from purely electrostatic considerations, e.g., from the random
phase approximation, if the compressibility remained finite. Indeed, in the
$q\to 0$ limit the static response is related to the compressibility $K_{-}$
(in the antisymmetric density channel) by the relation
$\chi(q,0)\stackrel{{\scriptstyle q\to
0}}{{\rightarrow}}-\frac{1}{v_{q-}+\frac{1}{n^{2}K_{-}}}\,,$ (30)
where $v_{q-}=\frac{2\pi e^{2}}{q}(1+e^{-qd})$ and
$\frac{1}{n^{2}K_{-}}=\left.2\frac{\partial^{2}\epsilon(n,\delta
n_{-})}{(\partial\delta n_{-})^{2}}\right|_{n_{e}=n_{h}=n}\,,$ (31)
is twice the second derivative of the energy density with respect to the
imbalance density $\delta n_{-}=n_{e}-n_{h}$ evaluated at the charge
neutrality point. (Notice that $\epsilon$ in this formula is the energy of a
charge-neutral system, that is to say, we assume that the charge imbalance
associated with $\delta n_{-}$ is neutralized by compensating background
charges at zero energy cost.) Now if $K_{-}$ were finite, then $\chi(q,0)$
would vanish as $q$, due to the divergence of $v_{q-}$ in the denominator of
Eq. (30). But we have seen that $\chi(q,0)$ vanishes as $q^{2}$: this can be
reconciled only if $K_{-}$ is zero. More pointedly, we could introduce a
$q$-dependent compressibility $K_{-}(q)$, whose inverse is the second
derivative of the energy with respect to the amplitude of a density
fluctuation of wave vector $q$. This $q$-dependent compressibility, replacing
$K_{-}$ in Eq. (30) would vanish as $q^{2}$ in the $q\to 0$ limit.
The QCM prediction of the existence of a gap $\omega(0)$ in the uniform (non-
BCS) plasma phase of the electron-hole liquid is quite surprising: in this
phase one would expect to find a gapless plasmon, dispersing as $q^{1/2}$. On
the other hand, the existence of a gap is completely expected in the paired
BCS or excitonic phase, because the formation of bound electron-hole pairs
prevents long-range charge separation. In Section III, we used the BCS model
as a practical tool to calculate pair correlation functions to be fed into the
QCM machinery. Now we proceed to a more direct comparison between the physical
predictions of QCM and BCS-like mean field theory. In particular, we compare
the antisymmetric density response obtained in QCM (see Eq. (29)) with the
same response calculated within the BCS-like mean field theory.
We start from the observation that in the BCS-like theory Zhu _et al._
(1995); Littlewood and Zhu (1996), the density response function in the
antisymmetric channel is given by
$\chi(q,0)=-q^{2}\frac{g_{c}}{32\pi}\int_{0}^{\infty}dkk\,\frac{[\xi(k)\Delta^{\prime}(k)-\xi^{\prime}(k)\Delta(k)]^{2}}{[\Delta(k)^{2}+\xi(k)^{2}]^{5/2}},$
(32)
where $g_{c}$ is the number of fermionic components per layer (here $g_{c}=4$)
and the quantities $\xi(k)$ and $\Delta(k)$ here are per particle, i.e., a
half of those in Ref. Zhu _et al._ , 1995, which are per exciton (electron-
hole pair). The prime denotes the derivative of a function with respect to its
own argument.
The static response of the excitonic state assumes a particularly transparent
form in the low-density limit, whereby to leading order in the density $n$ it
becomes
$\chi(q,0)=-q^{2}\frac{g_{c}n}{32\pi|\mu|}\int_{0}^{\infty}dkk\,\frac{[\tilde{\psi}_{eh}^{\prime}(k)]^{2}}{1+(\xi
k)^{2}}.$ (33)
In the above formulas $|\mu|$ and $\tilde{\psi}_{eh}(k)$ are, respectively,
half the binding energy of the isolated exciton and the Fourier transform of
its normalized wavefunction; also, $\xi^{2}=\hbar^{2}/(2m|\mu|)$. For zero
interlayer distance the wavefunction of the isolated exciton
($\mu=-\hbar^{2}/(2ma_{B}^{2}$)) is known in closed form,
$\tilde{\psi}_{eh}(k)=2\sqrt{2\pi}a_{B}/[1+(ka_{B})^{2}]^{3/2}$. The integral
in Eq.(33) can be readily performed and by comparison with Eq. (29) one gets
$\hbar\omega(0)=2\sqrt{(20/9)}Ry$.
In the opposite limit of high density (small $r_{s}$) there is no known
simplification of Eq. (32). However we have found that even at $d=0$ the
numerical solution with a finite gap function to the BCS equations Zhu _et
al._ (1995) is lost for $r_{s}\lesssim 0.04$, implying that the system turns
to the normal state. Thus at least at the RPA level the static response
becomes linear in $q$, implying the disappearance of the gap, i.e.,
$\omega(0)=0$.
Figure 6: Plot of $\omega(0)$ as a function of distance $d$ for $r_{s}=20,8$
and $4$, respectively in panels $a),b)$ and $c)$. The solid red dots are
calculated from Eq. (19) using the pair correlation function obtained from the
self-consistent solution of the BCS mean field theory, according to Eq. (20).
The solid black squares are obtained using the pair correlation functions from
QMC simulations tra . The open blue dots are the values of $\omega(0)$
obtained from the direct comparison of Eqs. (32) and (29) Figure 7: Plot of
$\omega(0)$ as a function of $r_{s}$ for various values of the distance $d$.
Solid red and blue lines are, respectively, for $\omega_{QCM}(0)$ and
$\omega_{\chi}(0)$. The solid orange line is for $\omega_{BF}(0)$, which is
the $q\to 0$ limit of the Bijl-Feynman frequency, Eq. (36), calculated with
the BCS structure factor of Eq. (37), as explained in Section V. The dashed
black line is $\omega_{QCM}(0)$ for a system made of isolated excitons, with
the $h_{eh}(r)$ from Eq. (21). The solid dark-green line is $-2\mu$. Panels
$a),b)$ and $c)$ show data for $d=1,0.5$ and $d=0.05$ respectively.
In Figs. 6 and 7 we show the values of $\omega(0)$ obtained from the direct
comparison of Eqs. (32) and (29) (blue curves) versus the values of
$\omega(0)$ obtained from Eq. (19) together with the pair correlation function
given by Eq. (20) (red curves). In the following, the gap obtained from the
BCS response will be referred to as $\omega_{\chi}(0)$ and the one obtained
from the quantum continuum mechanics will be referred to as $\omega_{QCM}(0)$.
The agreement between the two sets of values is generally quite good,
particularly for large $r_{s}$ and $d$. However, for small $d$, the QCM gap
(red curve) is much too large in comparison with the one from the BCS
response: this reflects the existence of an artificial logarithmic divergence
of $\omega_{QCM}(0)$ for $d\to 0$, as was pointed out at the end of Section
III. Interestingly, there are also regions of parameter space (small $r_{s}$,
large $d$) in which the QCM gap is smaller than the one from the BCS response:
however, the difference between the two estimations of the gap is quite small
in these regions.
Fig. 7 shows the existence of a tight connection between $\omega(0)$ and the
exciton binding energy in the low density limit and for large $d$. This is
illustrated by the solid green line which shows $-2\mu$ vs $r_{s}$, with $\mu$
the chemical potential calculated within BCS theory. As discussed in the
introduction, the binding energy of an electron-hole pair is given by
$\epsilon_{e}+\epsilon_{h}-2\mu$ where $\epsilon_{e},\epsilon_{h}$ are the
energies of free (unbound) electrons and holes respectively. In the low-
density limit $\epsilon_{e}$ and $\epsilon_{h}$ are the energies of electrons
and holes at the bottom of the respective bands, which we take to be at zero
energy. Thus $-2\mu$ is the binding energy of the pair in this limit: indeed,
we see that it approaches $\omega(0)$ for large $r_{s}$ and $d$. This is
important because the exciton binding energy is a quantity that can be
determined experimentally, by measuring the variations of the densities of
electrons and holes as we apply potentials $V_{e}$ and $V_{h}$ which push them
in opposite directions (see Fig. 1). Incompressibility means that the system
will resist the push and remain balanced ($n_{e}=n_{h}$) in a range of
potentials of order $\epsilon_{b}$ due to the rigidity associated with
electron-hole pairing, as explained in the introduction. See Ref. Zeng and
MacDonald, 2020 for details.
## V Discussion
The Quantum Continuum Mechanics is a formally exact theory of quantum
dynamicsGao _et al._ (2010), but the elastic approximation, on which the
present work is based, makes the questionable assumption that the time-
dependent wave function is, at every instant of time $t$, obtained by applying
a deformation with displacement field ${\bf u}({\bf r},t)$ to the ground state
wave function. Under this assumption the excitation spectrum is reduced to a
set of sharp normal modes, which describe collective density and current
oscillations. This collective description of the dynamics is expected to be
qualitatively correct in strongly correlated systems, where the single-
particle degrees of freedom are effectively suppressed as the individual
particles are “enslaved” to collective modes. In this section we briefly
discuss some issues that arise in connection with the approximate character of
the theory.
One drawback of the elastic approximation becomes evident when we consider the
fluctuation-dissipation theorem, which actually takes the form of a zeroth-
moment sum rule or “$S$-sum rule” as follows:
$-\frac{\hbar}{\pi n}\int_{0}^{\infty}d\omega\Im m\chi(q,\omega)=S(q)\,.$ (34)
As in the previous section $\Im m\chi(q,\omega)$ and $S(q)$ denote the
spectral density and the structure factor in the antisymmetric density-
fluctuation channel. In general the QCM formula for the spectral function in
the elastic approximation fails to satisfy this sum rule, even as it satisfies
the third moment sum rule exactly. For example, in the high-density degenerate
plasma phase, where the random phase approximation is valid, we know that the
exact $S(q)$ must tend to zero as $q^{3/2}$ for $q\to 0$. But even in that
case the elastic approximation predicts a spectral gap, and hence $S(q)\propto
q^{2}$ for $q\to 0$, as we can easily verify from our expression (24).
An approximation that satisfies the $S$-sum rule (but violates the third-
moment sum rule) is known as Bijl-Feynman (BF) approximation Mahan (1981) and
yields the following formula for the spectral function
$-\frac{1}{\pi}\Im
m\chi_{BF}(q,\omega)=\frac{n}{\hbar}S(q)[\delta(\omega-\omega_{BF}(q))-\delta(\omega+\omega_{BF}(q))\,,$
(35)
with
$\omega_{BF}(q)\equiv\frac{\hbar q^{2}}{2mS(q)}\,,$ (36)
to satisfy the f-sum rule. In the uniform plasma phase (without BCS pairing)
$S(q)$ vanishes as $q^{3/2}$ for $q\to 0$ – a property that reflects the
electrostatic energy of long-range charge density fluctuations. Thus, the BF
dispersion of the uniform plasma phase is necessarily gapless and goes as
$q^{1/2}$ for $q\to 0$ as expected for the classical plasmon in two
dimensions. Similarly, if we consider the static density-density response
function $\chi(q,0)$, obtained from Eq. (28), we see that the BF approximation
predicts $\chi_{BF}(q,0)\sim q\sim 1/v_{q-}$. Comparison with Eq. (30) shows
that the antisymmetric compressibility $K_{-}$ it may vanish at most as
$q^{\alpha}$ with $0\leq\alpha<1$.
Compared to the Bijl-Feynman approximation, the elastic approximation of QCM
has two major advantages. First, it gives a richer spectrum of collective
modes, including both longitudinal and transverse excitations. Second, it
expresses the frequencies of collective modes in terms of real space
integrals, which are dominated by the short-range part of the pair correlation
functions (see, for example, Eqs. (18) and (19)). This is a crucial advantage
in practical applications, since the short-range behavior of the pair
correlation functions is more easily accessible in Quantum Monte Carlo
simulation and less sensitive to finite size effects than the small-$q$
behavior of the structure factor, which appears in the Bijl-Feynman expression
(36).
On the other hand, because of its “collective” character the elastic
approximation (equivalent to QLCA) predicts a spectral gap at all densities,
even in the limit of quantum degenerate plasma where no gap is expected as
discussed above.
This problem is not too severe in the present study, because the electron-hole
system is expected to spontaneously form Cooper pairs – thus abandoning the
normal degenerate plasma phase – even at very high density. The antisymmetric
structure factor of the paired phase vanishes as $q^{2}$, as one can easily
verify from BCS theory Zhu _et al._ (1995); Littlewood and Zhu (1996)
yielding
$S(q)=-q^{2}\frac{1}{8\pi
n}\int_{0}^{\infty}dkk\,\frac{[\xi(k)\Delta^{\prime}(k)-\xi^{\prime}(k)\Delta(k)]^{2}}{[\Delta(k)^{2}+\xi(k)^{2}]^{2}},$
(37)
and this gives, via Eq. (36), a gapped dispersion $\omega_{BF}(0)>0$, which is
compared with $\omega_{QCM}(0)$ and $\omega_{\chi}(0)$ in Fig. 7. The only
issue here is that the elastic approximation overestimates the gap, possibly
by a large factor, as discussed in the previous section. Otherwise, our
results are qualitatively correct.
The prediction of a spectral gap is more problematic in systems with purely
repulsive interactions – for example, electron-electron bilayers. In such
systems no Cooper pairs are expected to form in the degenerate high-density
limit, and therefore the system should remain gapless. This contradicts the
prediction of the QCM in the elastic approximation. Nevertheless, it is quite
possible that a gap, qualitatively similar to what QCM predicts, will appear
when the density is lowered below a certain critical value, at which the
system enters a strongly correlated phase. This scenario is strongly suggested
by the classical simulations of Ref. Donkó _et al._ , 2003 which show the
existence of a gapped out-of-phase mode in the strongly correlated classical
electron-electron system. We may expect that the gap of the classical
collective mode will manifest as a gap in the excitation spectrum of the
corresponding quantum system. If this expectation is correct, then a quantum
phase transition must occur at some critical density, separating the gapless
phase on the high-density side from the gapful phase on the low-density side.
However, we find no evidence of such transition in the present theory.
One way to improve our treatment would be to modify the elastic approximation
in such a way that it satisfies both the third-moment sum rule and the $S$-sum
rule. How to do this in a controlled manner remains an interesting question
for further study.
## VI Summary and Outlook
Let us recapitulate our main findings.
1\. The elastic approximation of quantum continuum mechanics, applied to
electron-hole bilayers, is equivalent to QLCA: this provides insight into the
physical significance as well as the limits of validity of that approximation.
2\. Like QLCA the theory predicts a gapped collective mode in the
antisymmetric channel (i.e., when the carriers in the two layers oscillate
with opposite phases). The frequency of this mode remains finite in the $q\to
0$ limit and this finite value, denoted by $\omega(0)$, is “the gap”.
3\. The gap is found to exist at all densities, even though it becomes
vanishingly small in the high-density limit as $h_{eh}(r)$ tends to $0$ (see
Eq. (19)). For the electron-hole bilayer this prediction makes perfect
physical sense. Indeed, the BCS mean field theory applied to this system
predicts the formation of Cooper pairs of electrons and holes with
exponentially small binding energy at high density, evolving to exciton pairs
at low density. This is at variance with electron-electron bilayers, where the
ordinary Fermi liquid phase is expected to be stable at high density.
4\. A direct consequence of the gap, which has no equivalent in classical
bilayers, is the incompressibility of the ground state, by which we mean the
vanishing of the static density response function $\chi_{-}(q)$ as $q^{2}$ for
$q\to 0$. The suppression of $\chi_{-}(q)$ at small $q$ is much stronger than
the suppression expected from the RPA for a compressible electron gas
($\chi_{RPA}(q)\sim q$), and reflects the finite binding energy of electron-
hole pairs.
5\. The values of $\omega(0)$ calculated from the electron-hole pair
distribution function of the Quantum Monte Carlo are in good agreement with
those obtained from the pair distribution function of the BCS-like mean field
theory. They are also in good agreement with the values obtained by comparing
the BCS density response function with the density response function obtained
in QCM. However, in this case, the good agreement breaks down in the limit of
zero interlayer separation because QCM predicts a logarithmic divergence of
$\omega(0)$ for $d\to 0$ which would make the density response function
vanishes faster than $q^{2}$ for $q\to 0$.
6\. Our findings show that the QCM gap $\omega(0)$ calculated from a reliable
set of pair distribution functions can be meaningfully related to the binding
energy of bound states (excitons) for sufficiently large $d$ and $r_{s}$.
7\. Incompressibility implies that the chemical potential of an electron has a
discontinuous jump as a function of electron density $n_{e}$, when $n_{e}$
crosses the hole density $n_{h}$. The jump in chemical potential equals the
binding energy and can be measured experimentally by monitoring the electron
and hole densities as functions of the voltages applied to the gates, as
discussed in Ref. Zeng and MacDonald (2020).
One drawback of our approach (QCM or QLCA) is that it predicts a gap in the
out-of-phase mode at all densities even in systems with only repulsive
interactions such as, for example, the electron-electron bilayer. This is
conflict with the expectation that such systems should be ordinary Fermi
liquids at high density. Physically, we do not expect the elastic
approximation to work well at high density, because the excitation spectrum
(in the antisymmetric channel) becomes increasingly single-particle-like. On
the other hand, the low-density/strongly correlated limit should be described
correctly if we assume that the electrons in the two layers freeze into two
interlocking Wigner-crystal structures. In the case of electron-hole bilayer
this ‘correlated regime may set in even at high density, due to the occurrence
of the Cooper instability. It has not been possible so far to formulate a
criterion to determine at what density, if any, the gap predicted by the
present theory would cease to be reliable. We speculate that, with such
criterion in hand, one could identify a quantum phase transition between a
gapless phase at high density and a gapful one at low density.
## VII Acknowledgments
GV acknowledges support for this project from the US Department of Energy
(Office of Science) under grant No. DE-FG02-05ER46203.
## Appendix A Elastic approximation for a many-component system
In this section we generalize the elastic treatment for a one-component system
found in Gao Gao _et al._ (2010) to a generic many-component system in
dimension $D$. We start from the potential energy (see eq. (55) of Gao _et
al._ (2010)) duly generalized to a many-component system
$W[{\bf u}]=\frac{1}{2}\sum_{\alpha,\beta}\int d{\bf r}\int d{\bf
r}^{\prime}\rho_{\alpha\beta}^{(2)}({\bf r},{\bf
r}^{\prime})\phi_{\alpha\beta}(|{\bf r}+{\bf u}_{\alpha}({\bf r})-{\bf
r}^{\prime}-{\bf u}_{\beta}({\bf r}^{\prime}|),$ (38)
with $\phi{}_{\alpha\beta}(r)$ the interparticle potentials,
$\rho_{\alpha\beta}^{(2)}({\bf r},{\bf r}^{\prime})$ the two-body densities,
and ${\bf u}_{\alpha}({\bf r})$ the displacement field of the species
$\alpha$. Below we shall denote with ${u}_{\alpha;\mu}({\bf r})$ the cartesian
component $\mu$ of the $D-$dimensional vector ${\bf u}_{\alpha}({\bf r})$.
Following Gao we expand the potential energy in powers of the displacement
fields, to second order, obtaining
$W_{2}[{\bf u}]=-\frac{1}{2}\sum_{\alpha,\beta;\mu,\nu}\frac{1}{2}\int d{\bf
r}\int d{\bf r}^{\prime}[K_{\alpha\beta}({\bf r},{\bf
r}^{\prime})]_{\mu\nu}[u_{\alpha;\mu}({\bf r})-u_{\beta;\mu}({\bf
r}^{\prime})][u_{\alpha;\nu}({\bf r})-u_{\beta;\nu}({\bf r}^{\prime})]\text{}$
(39)
where
$[K_{\alpha\beta}({\bf r},{\bf
r}^{\prime})]_{\mu\nu}=\rho_{\alpha\beta}^{(2)}({\bf r},{\bf
r}^{\prime})\frac{\partial^{2}\phi_{\alpha\beta}(|{\bf r}-{\bf
r}^{\prime}|)}{\partial r_{\mu}\partial r^{\prime}_{\nu}}.$ (40)
In an homogeneous isotropic liquid
$\rho_{\alpha\beta}^{(2)}({\bf r},{\bf
r}^{\prime})=\rho_{\alpha}\rho_{\beta}g_{\alpha\beta}(|{\bf r}-{\bf
r}^{\prime}|)=\rho_{\alpha\beta}^{(2)}({\bf|r}-{\bf r}^{\prime}|)$ (41)
and therefore
$[K_{\alpha\beta}({\bf r}-{\bf
r}^{\prime})]_{\mu\nu}=\rho_{\alpha\beta}^{(2)}(|{\bf r}-{\bf
r}^{\prime}|)\frac{\partial^{2}\phi_{\alpha\beta}(|{\bf r}-{\bf
r}^{\prime}|)}{\partial r_{\mu}\partial r^{\prime}_{\nu}}.$ (42)
We then use the definition of partial structure factors
$S_{\alpha\beta}(q)=\delta_{\alpha\beta}+\sqrt{\rho_{\alpha}\rho_{\beta}}\int
d{\bf r}[g_{\alpha\beta}(r)-1]\exp(i{\bf q}\cdot{\bf r}),$ (43)
or equivalently
$S_{\alpha\beta}(q)=\delta_{\alpha\beta}+\sqrt{\rho_{\alpha}\rho_{\beta}}\int
d{\bf r}g_{\alpha\beta}(r)\exp(i{\bf q}\cdot{\bf
r})-(2\pi)^{D}\sqrt{\rho_{\alpha}\rho_{\beta}}\delta({\bf q}),$ (44)
to get the Fourier transform of the two-body densities
$\rho_{\alpha\beta}^{(2)}(q)=\sqrt{\rho_{\alpha}\rho_{\beta}}\left[S_{\alpha\beta}(q)-\delta_{\alpha\beta}+(2\pi)^{D}\sqrt{\rho_{\alpha}\rho_{\beta}}\delta({\bf
q})\right].$ (45)
This yields, for the Fourier transform of $[K_{\alpha\beta}(r)]_{\mu\nu}$,
$\displaystyle[K_{\alpha\beta}({\bf q})]_{\mu\nu}$ $\displaystyle=$
$\displaystyle\sqrt{\rho_{\alpha}\rho_{\beta}}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{D}}\left[S_{\alpha\beta}(|{\bf q}-{\bf
q}^{\prime}|)-\delta_{\alpha\beta}+(2\pi)^{D}\sqrt{\rho_{\alpha}\rho_{\beta}}\delta({\bf
q}-{\bf
q}^{\prime})\right]q^{\prime}_{\mu}q^{\prime}_{\nu}\tilde{\phi}_{\alpha\beta}(q^{\prime})$
(46) $\displaystyle=$
$\displaystyle\sqrt{\rho_{\alpha}\rho_{\beta}}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{D}}\left[S_{\alpha\beta}(|{\bf q}-{\bf
q}^{\prime}|)-\delta_{\alpha\beta}\right]q^{\prime}_{\mu}q^{\prime}_{\nu}\tilde{\phi}_{\alpha\beta}(q^{\prime})+\rho_{\alpha}\rho_{\beta}q_{\mu}q_{\nu}\tilde{\phi}_{\alpha\beta}(q).$
To write the equation of motions for the displacement fields we need
$X_{\gamma;\lambda}(\mathbf{q})=\int d{\bf r}\frac{\partial W_{2}[{\bf
u}]}{\partial u_{\gamma;\lambda}({\bf r})}\exp(i{\bf q}\cdot{\bf r}).$ (47)
From eq. (39) we get
$\frac{\partial W_{2}[{\bf u}]}{\partial u_{\gamma;\lambda}({\bf
r})}=-\sum_{\beta;\nu}\int d{\bf r}^{\prime}K_{\gamma\beta;\lambda\nu}(|{\bf
r}-{\bf r}^{\prime}|)[u_{\gamma;\nu}({\bf r})-u_{\beta;\nu}({\bf
r}^{\prime})],$ (48)
which, combined with eq. (46), yields
$\displaystyle X_{\gamma;\lambda}(\mathbf{q})$ $\displaystyle=$
$\displaystyle-\sum_{\beta;\nu}\left\\{[K_{\gamma\beta}]_{\lambda\nu}({\bf
q}=0)u_{\gamma;\nu}({\bf q})-[K_{\gamma\beta}]_{\lambda\nu}({\bf
q})u_{\beta;\nu}({\bf q})\right\\}$ (50) $\displaystyle=$
$\displaystyle\sum_{\beta;\nu}\left[\left(-\sqrt{\rho_{\gamma}\rho_{\beta}}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{D}}\left[S_{\gamma\beta}(q^{\prime})-\delta_{\gamma\beta}\right]q^{\prime}_{\lambda}q^{\prime}_{\nu}\tilde{\phi}_{\gamma\beta}(q^{\prime})-\rho_{\gamma}\rho_{\beta}q_{\lambda}q_{\nu}\tilde{\phi}_{\gamma\beta}(q)|_{q=0}\right)u_{\gamma;\nu}({\bf
q})\right.$
$\displaystyle\left.\left(\sqrt{\rho_{\gamma}\rho_{\beta}}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{D}}\left[S_{\gamma\beta}(|{\bf q}-{\bf
q}^{\prime}|)-\delta_{\gamma\beta}\right]q^{\prime}_{\lambda}q^{\prime}_{\nu}\tilde{\phi}_{\gamma\beta}(q^{\prime})+\rho_{\gamma}\rho_{\beta}q_{\lambda}q_{\nu}\tilde{\phi}_{\gamma\beta}(q)\right)u_{\beta;\nu}({\bf
q)}\right].$
For the kinetic energy term using eqs. (27), (52),(53) of Gao _et al._ (2010)
we obtain for a homogeneus Fermion system in dimension $D$
$Y_{\gamma;\lambda}(\mathbf{q})=\frac{\partial T{}_{2}[{\bf
u}]}{\partial\mathbf{u}_{\gamma}({\bf
q})}=\frac{2}{D}\rho_{\gamma}t(\rho_{\gamma})\left[2{q_{\lambda}(\mathbf{q\cdot
u}_{\gamma}(\mathbf{q}))+q^{2}\mathbf{u}_{\gamma}(\mathbf{q})}\right]+\frac{\rho_{\gamma}}{4m_{\gamma}}\hbar^{2}q^{2}{q_{\lambda}}(\mathbf{q\cdot}\mathbf{u_{\gamma}}(\mathbf{q}))\mathbf{},$
(51)
with $m_{\gamma}$ the mass and $t(\rho_{\gamma})$ the interacting kinetic
energy per particle of the species $\gamma$. The equation of motion for the
species $\gamma$ thus becomes
$m_{\gamma}\rho_{\gamma}\omega^{2}(\mathbf{q})\mathbf{u}_{\gamma}(\mathbf{q})=\mathbf{Y}_{\gamma}(\mathbf{q})+\mathbf{X}_{\gamma}(\mathbf{q}),$
(52)
with $\mathbf{Y}_{\gamma}$($\mathbf{q}$) and $\mathbf{X}_{\gamma}(\mathbf{q})$
vectors with cartesian components $X_{\gamma;\lambda}(\mathbf{q})$ and
$Y_{\gamma;\lambda}(\mathbf{q})$, i.e.,
$\displaystyle\mathbf{X}_{\gamma}(\mathbf{q})$
$\displaystyle=\sum_{\beta}\left[\left(-\sqrt{\rho_{\gamma}\rho_{\beta}}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{D}}\left[S_{\gamma\beta}(q^{\prime})-\delta_{\gamma\beta}\right]\mathbf{}\tilde{\phi}_{\gamma\beta}(q^{\prime})\mathbf{q}^{\prime}(\mathbf{q}\cdot^{\prime}\mathbf{u}_{\gamma}({\bf
q}))-\rho_{\gamma}\rho_{\beta}\tilde{\phi}_{\gamma\beta}(q_{0})\mathbf{q}_{0}(\mathbf{q}_{0}\cdot\mathbf{u}_{\gamma}({\bf
q}))\left.\right|_{q_{0}=0}\right)\right.$
$\displaystyle\left.+\left(\sqrt{\rho_{\gamma}\rho_{\beta}}\int\frac{d{\bf
q}^{\prime}}{(2\pi)^{D}}\left[S_{\gamma\beta}(|{\bf q}-{\bf
q}^{\prime}|)-\delta_{\gamma\beta}\right]\mathbf{\tilde{\phi}_{\gamma\beta}(\mathnormal{q}^{\prime})q^{\prime}}(\mathbf{q}^{\prime}\cdot\mathbf{u}_{\beta}({\bf
q}))+\rho_{\gamma}\rho_{\beta}\tilde{\phi}_{\gamma\beta}(q)\mathbf{q}(\mathbf{q}\cdot\mathbf{u}_{\beta}({\bf
q}))\right)\right],$ (53)
and
$\mathbf{Y}_{\gamma}(\mathbf{q})=\frac{\partial T{}_{2}[{\bf
u}]}{\partial\mathbf{u}_{\gamma}({\bf
q})}=\frac{2}{D}\rho_{\gamma}t(\rho_{\gamma})\left[2{{\bf q}(\mathbf{q\cdot
u}_{\gamma}(\mathbf{q}))+q^{2}\mathbf{u}_{\gamma}(\mathbf{q})}\right]+\frac{\rho_{\gamma}}{4m_{\gamma}}\hbar^{2}q^{2}{{\bf
q}}(\mathbf{q\cdot}\mathbf{u_{\gamma}}(\mathbf{q}))\mathbf{}.$ (54)
## References
* De Palo _et al._ (2002) S. De Palo, F. Rapisarda, and G. Senatore, Phys. Rev. Lett. 88, 206401 (2002).
* Senatore and Palo (2003) G. Senatore and S. D. Palo, Contributions to Plasma Physics 43, 363 (2003).
* Shumway and Gilbert (2012) J. Shumway and M. J. Gilbert, Phys. Rev. B 85, 033103 (2012).
* Maezono _et al._ (2013) R. Maezono, P. López Ríos, T. Ogawa, and R. J. Needs, Phys. Rev. Lett. 110, 216407 (2013).
* Sharma _et al._ (2016) R. O. Sharma, L. K. Saini, and B. P. Bahuguna, Phys. Rev. B 94, 205435 (2016).
* (6) F. Tramonto, Stefania De Palo, Saverio Moroni and Gaetano Senatore; (unpublished).
* Eisenstein and MacDonald (2004) J. P. Eisenstein and A. H. MacDonald, Nature 432, 691 (2004).
* Tutuc _et al._ (2004) E. Tutuc, M. Shayegan, and D. A. Huse, Phys. Rev. Lett. 93, 036802 (2004).
* Conti _et al._ (2020) S. Conti, M. Van der Donck, A. Perali, F. M. Peeters, and D. Neilson, Phys. Rev. B 101, 220504 (2020).
* Van der Donck _et al._ (2020) M. Van der Donck, S. Conti, A. Perali, A. R. Hamilton, B. Partoens, F. M. Peeters, and D. Neilson, Phys. Rev. B 102, 060503 (2020).
* Wang _et al._ (2019) Z. Wang, D. A. Rhodes, K. Watanabe, T. Taniguchi, J. C. Hone, J. Shan, and K. F. Mak, Nature 574, 76 (2019).
* Vignale and MacDonald (1996) G. Vignale and A. H. MacDonald, Phys. Rev. Lett. 76, 2786 (1996).
* Santoro and Giuliani (1988) G. E. Santoro and G. F. Giuliani, Phys. Rev. B 37, 937 (1988).
* Gao _et al._ (2010) X. Gao, J. Tao, G. Vignale, and I. V. Tokatly, Phys. Rev. B 81, 195106 (2010).
* Girvin _et al._ (1986) S. M. Girvin, A. H. MacDonald, and P. M. Platzman, Phys. Rev. B 33, 2481 (1986).
* Kalman _et al._ (1999) G. Kalman, V. Valtchinov, and K. I. Golden, Phys. Rev. Lett. 82, 3124 (1999).
* Donkó _et al._ (2003) Z. Donkó, G. J. Kalman, P. Hartmann, K. I. Golden, and K. Kutasi, Phys. Rev. Lett. 90, 226804 (2003).
* Golden _et al._ (2005) K. I. Golden, H. Mahassen, G. J. Kalman, G. Senatore, and F. Rapisarda, Phys. Rev. E 71, 036401 (2005).
* Golden _et al._ (2006) K. I. Golden, H. Mahassen, G. Senatore, and F. Rapisarda, Phys. Rev. E 74, 056405 (2006).
* Giuliani and Vignale (2005) G. Giuliani and G. Vignale, _Quantum Theory of the Electron Liquid_ (Cambridge University Press, 2005).
* Golden and Kalman (2003) K. I. Golden and G. J. Kalman, Journal of Physics A: Mathematical and General 36, 5865 (2003).
* Zeng and MacDonald (2020) Y. Zeng and A. H. MacDonald, Phys. Rev. B 102, 085154 (2020).
* Mahan (1981) G. D. Mahan, _Many Particle Physics_ (Plenum Press, 1981).
* Zhu _et al._ (1995) X. Zhu, P. B. Littlewood, M. S. Hybertsen, and T. M. Rice, Phys. Rev. Lett. 74, 1633 (1995).
* Littlewood and Zhu (1996) P. B. Littlewood and X. Zhu, Physica Scripta T68, 56 (1996).
* (26) The third moment some rule for a system with two types of particles can be immediately obtained from eq. (3.206) for the spin dependent third moments of an electron gas Giuliani and Vignale (2005); one changes the coulomb interaction into pair interactions dependent on the spin indexes, to be then then interpreted as type indexes. Note that the third moment here is denoted with $M(q)q^{2}$, whereas in Giuliani and Vignale (2005) is denoted with $M(q)$.
| arxiv-papers | 2021-07-26T09:36:06 | 2024-09-04T03:07:18.155327 | {
"license": "Creative Commons Zero - Public Domain - https://creativecommons.org/publicdomain/zero/1.0/",
"authors": "S. De Palo, P. E. Trevisanutto, G. Senatore, and G. Vignale",
"submitter": "Paolo Emilio Trevisanutto",
"url": "https://arxiv.org/abs/2107.12063"
} |
2107.12064 | # How Knowledge Graph and Attention Help?
A Quantitative Analysis into Bag-level Relation Extraction
Zikun Hu1 , Yixin Cao2 , Lifu Huang3 , Tat-Seng Chua1
1National University of Singapore
2S-Lab, Nanyang Technological University
3Computer Science Department, Virginia Tech
[email protected], [email protected]
[email protected], [email protected]
###### Abstract
Knowledge Graph (KG) and attention mechanism have been demonstrated effective
in introducing and selecting useful information for weakly supervised methods.
However, only qualitative analysis and ablation study are provided as
evidence. In this paper, we contribute a dataset and propose a paradigm to
quantitatively evaluate the effect of attention and KG on bag-level relation
extraction (RE). We find that (1) higher attention accuracy may lead to worse
performance as it may harm the model’s ability to extract entity mention
features; (2) the performance of attention is largely influenced by various
noise distribution patterns, which is closely related to real-world datasets;
(3) KG-enhanced attention indeed improves RE performance, while not through
enhanced attention but by incorporating entity prior; and (4) attention
mechanism may exacerbate the issue of insufficient training data. Based on
these findings, we show that a straightforward variant of RE model can achieve
significant improvements (6% AUC on average) on two real-world datasets as
compared with three state-of-the-art baselines. Our codes and datasets are
available at https://github.com/zig-kwin-hu/how-KG-ATT-help.
## 1 Introduction
Relation Extraction (RE) is crucial for Knowledge Graph (KG) construction and
population. Most recent efforts rely on neural networks to learn efficient
features from large-scale annotated data, thus correctly extract the
relationship between entities. To save the manual annotation cost and
alleviate the issue of data scarcity, distant supervision relation extraction
(DSRE) Mintz et al. (2009) is proposed and becomes increasingly popular as it
can automatically generate large-scale labeled data. DSRE is based on a simple
yet effective principle: if there is a relation between two entities in KG,
then all sentences containing mentions of both entities are assumed to express
this relation and will form a sentence bag as its annotations.
Figure 1: Examples of disturbing bags in NYT-FB60K.
Although effective, distant supervision may introduce noise to a sentence bag
when the assumption fails — some sentences are not describing the target
relation Zeng et al. (2015) (a.k.a. noisy annotation). To alleviate the
negative impacts of noise, recent studies Lin et al. (2016); Ji et al. (2017);
Du et al. (2018); Li et al. (2020) leveraged attention to select informative
instances from a bag. Furthermore, researchers introduced KG embeddings to
enhance the attention mechanism Hu et al. (2019); Han et al. (2018a). The
basic idea is to utilize entity embeddings as the query to compute attention
scores, so that the sentences with high attention weights are more likely to
be valid annotations Zhang et al. (2019). Previous studies have shown
performance gain on DSRE with attention module and KG embeddings, however,
it’s still not clear how these mechanisms work, and, are there any limitations
to apply them?
In this paper, we aim to provide a thorough and quantitative analysis about
the impact of both attention mechanism and KG on DSRE. By analyzing several
public benchmarks including NYT-FB60K Han et al. (2018a), we observe lots of
disturbing bags — all of the bag’s sentences are valid or noisy annotations,
which shall lead to the failure of attention. As shown in Figure-1, all of
annotations in the first disturbing bag are valid, while the learned
attentions assign the second annotation with a very low weight, which suggests
an inefficient utilization of annotations and exacerbates the data sparsity
issue. Or, in the second bag, all sentences are noisy, can attention and KG
still improve the performance? If so, how do they work and to what extent can
they tolerate these disturbing bags? Answering these questions is crucial
since this type of noise is common in practice. The unveiling of their working
mechanism shall shed light on future research direction, not limited to DSRE.
To achieve this, we propose a paradigm based on newly curated DSRE benchmark,
BagRel-Wiki73K extracted from FewRel Han et al. (2018b) and Wikidata
111dumps.wikimedia.org/wikidatawiki/entities/20201109/, for quantitative
analysis of attention and KG. With extensive experiments, we conclude the
following innovative and inspiring findings:
(1) The accuracy of attention is inversely proportional to the total noise
ratio and disturbing bag ratio of training data; (2) attention effectively
selects valid annotations by comparing their contexts with the semantics of
relations, thus tends to rely more on the context to make predictions.
However, it somehow lowers the model’s robustness to noisy sentences that do
not express the relation; (3) KG-enhanced attention indeed improves RE
performance, surprisingly not via enhanced attention accuracy, but by
incorporating entity features to reduce the demand of contexts when facing
noise; (4) attention could hurt the performance especially when there is no
sufficient training data.
Based on the above observations, we propose a new straightforward yet
effective model based on pre-trained BERT Devlin et al. (2018) for RE with
Concatenated KG Embedding, namely BRE+CE. Instead of in-bag attention, it
breaks the bag and ensembles the results of all sentences belonging to the
bag. For each sentence, we directly incorporate entity embeddings into BERT,
rather than to enhance attentions, to improve the robustness of extracting
both context and mention features. BRE+CE significantly outperforms existing
state-of-the-arts on two publicly available datasets, NYT-FB60K Han et al.
(2018a) and GIDS-FB8K Jat et al. (2018), by 6% AUC on average. We summarize
our contributions as follows:
* •
To the best of our knowledge, our proposed framework is the first work to
quantitatively analyze the working mechanism of Knowledge Graph and attention
for bag-level RE.
* •
We have conducted extensive experiments to inspire and support us with the
above findings.
* •
We demonstrate that a straightforward method based on the findings can achieve
improvements on public datasets.
## 2 Related Work
To address the issue of insufficient annotations, Mintz et al. (2009) proposed
distant supervision to generate training data automatically, which also
introduces much noise. From then, DSRE becomes a standard solution that relies
on multi-instance learning from a bag of sentences instead of a single
sentence Riedel et al. (2010); Hoffmann et al. (2011). Attention mechanism Lin
et al. (2016) accelerates this trend via strong ability in handling noisy
instances within a bag Liu et al. (2017); Du et al. (2018). Aside from intra-
bag attention, Ye and Ling (2019) also designed inter-bag attention
simultaneously handling bags with the same relation. To deal with only-one-
instance bags, Li et al. (2020) utilized a new selective gate (SeG) framework
to independently assign weights to each sentence. External KG is also
incorporated to enhance the attention module Han et al. (2018a); Hu et al.
(2019). However, due to the lack of sentence-level ground truth, it is
difficult to quantitatively evaluate the performance of the attention module.
Previous researchers tend to provide examples as case study.222Shahbazi et al.
(2020) claim to annotate each positive bag in NYT-FB60K, but haven’t published
their code and dataset. Therefore, we aim to fill in this research gap by
constructing a dataset and providing a framework for thorough analysis.
## 3 Preliminary
Knowledge Graph (KG) is a directed graph $G=\\{E,R,T\\}$, where E denotes the
set of entities, $R$ denotes the set of relation types in $G$, and
$T=\\{(h,r,t)\\}\subseteq E\times R\times E$ denotes the set of triples. KG
embedding models, e.g., RotatE Sun et al. (2019), can preserve the structure
information in the learned vectors $\mathbf{e}_{h}$, $\mathbf{e}_{t}$ and
$\mathbf{e}_{r}$. We adopt TransE Bordes et al. (2013) in experiments.
Bag-level relation extraction (RE) takes a bag of sentences
$B=\\{s_{1},s_{2},\ldots,s_{m}\\}$ as input. Each sentence $s_{i}$ in the bag
contains the same entity pair $(h,t)$, where $h,t\in E$. The goal is to
predict a relation $y\in R$ between $(h,t)$.
Attention-based Bag-level RE uses attention to assign a weight to each
sentence within a bag. Given a bag $B$ from the dataset $D$, an encoder is
first used to encode all sentences from $B$ into vectors
$\\{\mathbf{s^{\prime}}_{1},\mathbf{s^{\prime}}_{2},\ldots,\mathbf{s^{\prime}}_{m}\\}$
separately. Then, an attention module computes an attention weight
$\alpha_{i}$ for each sentence and outputs the weighted sum of
$\\{\mathbf{s^{\prime}}_{i}\\}$ as $\mathbf{\overline{s}}$ to denote $B$:
$\omega_{i}=\mathbf{v}_{y}\cdot\mathbf{s^{\prime}}_{i}$ (1)
$\alpha_{i}=\frac{\exp(\omega_{i})}{\sum\limits_{j=1}^{m}\exp(\omega_{j})}$
(2)
$\mathbf{\overline{s}}=\sum\limits_{i=1}^{m}\alpha_{i}\mathbf{s^{\prime}}_{i}$
(3)
where $\mathbf{v}_{y}$ is the label embedding of relation $y$ in the
classification layer, we denote this attention module as ATT in the rest of
paper.
KG-enhanced attention aims to improve $\mathbf{v}_{y}$ with entities
$\mathbf{e}_{h}$ and $\mathbf{e}_{t}$ Han et al. (2018a):
$\mathbf{r}_{ht}=\mathbf{e}_{h}-\mathbf{e}_{t}$ (4)
$\omega_{i}=\mathbf{r}_{ht}\cdot\tanh(\mathbf{W}_{s}\mathbf{s^{\prime}}_{i}+\mathbf{b}_{s})$
(5)
where $\mathbf{r}_{ht}$ is regarded as latent relation embedding. We mark this
way of computing $\omega_{i}$ as KA. $\mathbf{W}_{s}$ and $\mathbf{b}_{s}$ are
learnable parameters.
Given a bag representation $\mathbf{\overline{s}}$, the classification layer
further predicts a confidence of each relation:
$\overline{\mathbf{o}}=\mathbf{W}_{b}\overline{\mathbf{s}}+\mathbf{b}_{b}$ (6)
$P(y|B)=\text{Softmax}(\overline{\mathbf{o}})$ (7)
where $\overline{\mathbf{o}}$ is a logit vector. $\mathbf{W}_{b}$ and
$\mathbf{b}_{b}$ are learnable parameters. During training, the loss is
computed by:
$L=-\sum\limits_{i=0}^{n}\text{log}(P(y_{i}|B_{i}))$ (8)
where $n$ is the number of training bags in $D$. Since the classification
layer is linear, we can rewrite the bag’s logit vector $\overline{\mathbf{o}}$
using a weighted sum of each sentence’s logit vector $\mathbf{o}$:
$\mathbf{o}_{i}=\mathbf{W}_{b}\mathbf{s^{\prime}}_{i}+\mathbf{b}_{b}$ (9)
$\overline{\mathbf{o}}=\sum\limits_{i=1}^{m}\alpha_{i}\mathbf{o}_{i}$ (10)
From equation 10, we can see that the model’s output on the whole bag depends
on three aspects: (1) the model’s output on valid sentences within the bag;
(2) the model’s output on noisy sentences within the bag; (3) the attention
weight assigned to valid sentences and noisy ones.
## 4 Benchmark
To quantitatively evaluate the effect of attention and KG on Bag-level RE, we
first define two metrics to measure the noise pattern (Section 4.1). Then, we
construct a KG and a Bag-level RE dataset (Section 4.2). Finally, we introduce
a general evaluation framework to assess attention, KG and the entire RE model
(Section 4.3).
### 4.1 Metrics Describing Noise Pattern
To analyze how attention module functions on different noise patterns, we
first design 2 metrics to describe the noise pattern: Noise Ratio (NR) and
Disturbing Bag Ratio (DR).
#### Noise Ratio (NR)
represents the proportion of noisy sentences in the dataset. Given a bag
$B_{i}$ and its relation label $y_{i}$, a sentence $s_{ij}\in B_{i}$ is noisy
if its context does not express $y_{i}$. Suppose $\text{Isn}(s_{ij},y_{i})$ is
an indicator function to tell whether $s_{ij}$ is noise. Then NR is defined
as:
$\text{NR}=\frac{\sum\limits_{i=1}^{n}\sum\limits_{j=1}^{|B_{i}|}\text{Isn}(s_{ij},y_{i})}{\sum\limits_{i=1}^{n}|B_{i}|}$
(11)
where $|B_{i}|$ is the size of $B_{i}$, $n$ is the total number of bags.
#### Disturbing Bag Ratio (DR)
means the proportion of disturbing bags in the dataset. A bag is disturbing if
all sentences in it are valid or all sentences are noisy. Formally, we use
function $\text{Isd}(B_{i})$ to indicate whether a bag is disturbing or not:
$\text{Isd}(B_{i})=\prod_{j=1}^{|B_{i}|}\text{Isn}(s_{ij},y_{i})+\prod_{j=1}^{|B_{i}|}(1-\text{Isn}(s_{ij},y_{i}))$
(12)
Then we define DR as follows:
$\text{DR}=\frac{\sum\limits_{i=1}^{n}\text{Isd}(B_{i})}{n}$ (13)
Figure 2: Left: Process of synthesizing the valid sentence with correct
context and the noisy sentence with wrong context. Right: Visualization of
different train sets of different noise patterns, the four sets from left to
right are named as
$\text{train}_{\frac{2}{3},0}$,$\text{train}_{\frac{1}{2},0}$,$\text{train}_{\frac{1}{2},\frac{1}{2}}$
and $\text{train}_{\frac{1}{2},1}$.
### 4.2 Dataset Construction
Based on FewRel and Wikidata, we construct a Bag-level RE dataset containing
multiple training sets with different noise patterns, a test set and a
development set. For each sentence in the bags, there is a ground truth
attention label indicating whether it is a valid sentence or noise. We also
construct a KG containing all entities in the RE dataset by retrieving one-hop
triples from Wikidata.
#### Synthesize Sentence
FewRel is a sentence-level RE dataset, including 80 relations. For each
relation, there are 700 valid sentences. Each sentence has a unique entity
pair. Every sentence along with its entities and relation label form a tuple
$(s,h,t,y)$. We thus synthesize valid and noisy sentences for the same entity
pair for data augmentation.
The first step is to divide sentences of each relation into 3 sets:
$\text{train}_{\text{FewRel}}$, $\text{test}_{\text{FewRel}}$ and
$\text{dev}_{\text{FewRel}}$, where each set has 500, 100 and 100 sentences.
Then, for each tuple $(s,h,t,y)$ in the set, we aim to augment it to a bag
$B$, where all of its sentences contain $(h,t)$. Besides, the sentences in $B$
are either the original $s$, or a synthesized valid sentence, or a synthesized
noisy sentence. We synthesize sentences in the form of $(s^{\prime},h,t,y,z)$,
where $z$ denotes the attention label (1 for valid, 0 for noisy). In specific,
to synthesize a sentence, we randomly replace the source pair of entity
mentions with other target entity pairs while keeping the context unchanged.
Thus, if the contexts express the same relation type with the entity pair, we
can automatically assign an attention label.
We illustrate the synthesizing process in Figure 2.
$(s_{2},h_{2},t_{2},\textit{crosses})$ is a sentence from
$\text{train}_{\text{FewRel}}$. To generate a valid sentence, we randomly
select another sentence $(s_{1},h_{1},t_{1},\textit{crosses})$ which is
labeled with the same relation as $s_{2}$ from $\text{train}_{\text{FewRel}}$.
Then we replace its entity mentions $h_{1}$ and $t_{1}$ as $h_{2}$ and
$t_{2}$. The output is $(s_{4},h_{2},t_{2},\textit{crosses},1)$. Since its
context correctly describe crosses, we regard $s_{4}$ as valid. For the noisy
sentence, we randomly select a sentence $(s_{3},h_{3},t_{3},\textit{isA})$
under another relation. With similar process for $s_{4}$, we get a synthesize
sentence $(s_{5},h_{2},t_{2},\textit{crosses},0)$. Because the context of
$s_{5}$ does not express target relation, we label it as a noise.
#### Training Sets with Different Noise Patterns
As defined in Section 4.1, we use NR and DR to measure the noise pattern of
Bag-level RE dataset. By controlling the number of synthesized noisy sentences
in each bag and the total ratio of noise among all sentences, we can construct
several training sets with different patterns. In the following sections, we
denote a training set of which the NR is $x$ and DR is $y$ as
$\text{train}_{x,y}$. Higher $x$ and $y$ indicate noisy sentences and
disturbing bags account for larger proportion.
For example, in Figure 2, assuming there are 4 sentences in
$\text{train}_{\text{FewRel}}$, for each sentence, we synthesize two noisy
sentences that form the bag together with the original sentence. Thus each bag
contains 3 sentences: 1 valid and 2 noisy, and its NR is 2/3 and DR is 0. For
the other 3 sets, the number of synthesized noisy sentences equals the sum of
original valid sentences and synthesized valid sentences. Thus they all have a
NR of 1/2. Since we define bags containing no valid sentences or no noisy
sentences as disturbing bags, the third set and fourth set have 2 and 4
disturbing bags, with a DR of 1/2 and 1, respectively.
#### Test Set and Development Set
We also construct a test and a development set. Similar as the second set in
Figure 2, each bag in the test/dev sets contains two sentences, the NR of both
sets is 1/2 while the DR is 0. I.e., in every bag of test/dev sets, there is
one valid sentence and one noisy sentence. Instead of multiple test sets of
different noise patterns, we only have one test set so that the evaluation of
different models is consistent. To avoid information leak, when construct
$\text{train}_{x,y}$, test and development sets, the context of synthesized
sentences only come from $\text{train}_{\text{FewRel}}$,
$\text{test}_{\text{FewRel}}$ and $\text{development}_{\text{FewRel}}$,
respectively.
The final BagRel contains 9 train sets, 1 test and 1 development set, as
listed in Table 1. The NR of the training sets has three options: 1/3, 1/2 or
2/3, and similarly, DR can be 0, 1/2 or 1. The NR of both test and development
sets are 1/2, while their DR are 0. All data sets contain 80 relations. For
training sets whose NR are 1/3, 1/2 and 2/3, every bag in these sets contains
3, 2 and 3 sentences, respectively.
Dataset | # Noisy Sentence | # Sentence | # Bag
---|---|---|---
$\text{train}_{\frac{1}{3},(0,\frac{1}{2},1)}$ | 40K | 120K | 40K
$\text{train}_{\frac{1}{2},(0,\frac{1}{2},1)}$ | 40K | 80K | 40K
$\text{train}_{\frac{2}{3},(0,\frac{1}{2},1)}$ | 80K | 120K | 40K
$\text{dev}_{\frac{1}{2},0}$ | 8K | 16K | 8K
$\text{test}_{\frac{1}{2},0}$ | 8K | 16K | 8K
Table 1: Statistics of 11 sets of BagRel-Wiki73K, where
$\text{train}_{c,(x,y,z)}$ denotes three sets of $\text{train}_{c,x}$,
$\text{train}_{c,y}$, and $\text{train}_{c,z}$.
#### KG Construction
To evaluate the impact of KG on attention mechanism, we also construct a KG
based on Wikidata. Denoting the set of entities appearing in FewRel as $E$, we
link each entity in $E$ to Wikidata by its Freebase ID, and then extract all
triples $T={(h,r,t)}$ in Wikidata where $h,t\in E$. To evaluate the effect of
structural information from KG, we also construct a random KG whose triple set
is $\hat{T}$. Specifically, for each triple $(h,r,t)$ in $T$, we corrupt it
into $(h,\hat{r},t)$ by replacing $r$ with a random relation $\hat{r}\neq r$.
Thus the prior knowledge within the KG is destroyed. KG-73K and KG73K-random
have the same scale: 72,954 entities, 552 relations and 407,821 triples.
Finally, we obtain BagRel-Wiki73K, including the Bag-level RE sets and KG-73K.
### 4.3 Evaluation Framework
We first define several measurements to evaluate the effect of the attention
mechanism and KG: Attention Accuracy (AAcc), Area Under precision-recall Curve
(AUC), AUC on Valid sentences (AUCV) and AUC on Noisy sentences (AUCN).
#### AAcc
measures the attention module’s ability to assign higher weights to valid
sentences than noisy sentences. Given a non-disturbing bag (a bag containing
both valid and noisy sentences) $B_{i}=\\{(s_{j},h_{i},t_{i},y_{i},z_{j})\\}$
and the predicted probability distribution $\mathbf{p_{i}}$, the AAcc of this
bag is calculated by the following formula:
$\text{AAcc}_{i}=\frac{\sum\limits_{j=1}^{m}\sum\limits_{k=1}^{m}\textbf{I}(z_{j})\textbf{I}(1-z_{k})\textbf{I}(p_{ij}>p_{ik})}{\sum\limits_{j=1}^{m}\textbf{I}(z_{j})\sum\limits_{j=1}^{m}\textbf{I}(1-z_{j})}$
(14)
where $m=|B_{i}|$ is the size of $B_{i}$, I($\cdot$) is an indicator function
which returns 1 or 0 if the input is True or False. By
$\sum\limits_{j=1}^{m}\textbf{I}(z_{j})\sum\limits_{j=1}^{m}\textbf{I}(1-z_{j})$,
we count how many valid-noisy sentence pairs contained in $B_{i}$. With
$\sum\limits_{j=1}^{m}\sum\limits_{k=1}^{m}\textbf{I}(z_{j})\textbf{I}(1-z_{k})\textbf{I}(p_{ij}>p_{ik})$,
we count how many pairs show higher weight on the valid sentence. Then the
AAcc of the whole data set is computed as
$\text{AAcc}=(\sum\limits_{i=1}^{n}\text{AAcc}_{i})/n$ where n is the number
of bags in the data set.
AAcc is designed specifically for non-disturbing bags. On disturbing bags,
with all sentences noisy or valid, it is meaningless to evaluate attention
module’s performance. So in test/dev sets of our BagRel-Wiki73k, all bags are
non-disturbing bags. Then without distraction, the evaluation results can
better present how the attention module works.
#### AUC
is a standard metric to evaluate DSRE model’s performance on bag-level test
set. As mentioned in section 3, attention-based model’s performance on non-
disturbing bags relies on three aspects: (1)AAcc, (2) model’s performance on
valid sentences and (3) model’s performance on noisy sentences. So we use AUCV
and AUCN to measure the second and the third aspects, respectively. The
difference between AUC and AUCV is that AUC is computed on the original test
set $D=\\{B_{i}\\}$, while AUCV is AUC computed on the Valid-only test set
$D^{v}=\\{B_{i}^{v}\\}$. Compared with $B_{i}$, $B_{i}^{v}$ has the same label
but removes all noisy sentences within it. Thus there is no noisy context
feature in $D^{v}$, then models can utilize both entity mentions and contexts
to achieve a high AUCV. On the opposite, AUCN is AUC computed on the Noise-
only test set $D^{n}=\\{B_{i}^{n}\\}$, where $B_{i}^{n}$ removes all valid
sentences in $B_{i}$. Since all context features in $D^{n}$ are noisy, to
achieve a high AUCN, models have to ignore context and rely more on mention
features to make predictions.
AUC, AUCV and AUCN range from $0$ to $1$, and a higher value of the 3 metrics
indicates that a model makes better prediction on the whole bag, valid
sentences and noisy sentences, respectively.
## 5 Method
To evaluate the effects of attention and KG, we design two straightforward
Bag-level RE models without the attention module, BRE and BRE+CE. By comparing
their performance with BRE+ATT (BRE with attention module) and BRE+KA (BRE
with KG-enhanced attention module), we can have a better understanding of the
roles of ATT and Knowledge-enhanced ATT.
BRE uses BERT Devlin et al. (2018) as the encoder. Specifically, we follow the
way described in Peng et al. (2020); Soares et al. (2019): entity mentions in
sentences are highlighted with special markers before and after mentions. Then
the concatenation of head and tail entity representations are used as the
representation $s^{\prime}$. Since BRE does not have attention mechanism, it
breaks the bags and compute loss on each sentence:
$L=-\sum\limits_{i=1}^{n}\sum\limits_{j=1}^{|B_{i}|}\text{log}(P(y_{i}|s_{ij}))$
(15)
$P(y_{i}|s_{ij})=\text{softmax}(\mathbf{W}_{b}s^{\prime}_{ij}+\mathbf{b}_{b})$
(16)
BRE can be viewed as a special case of BRE+ATT. Its attention module assigns
all sentences in all bags with the same attention weight 1. During inference,
given a bag, BRE uses the mean of each sentence’s prediction as the whole
bag’s prediction:
$P(y_{i}|B_{i})=(\sum\limits_{j=1}^{|B_{i}|}P(y_{i}|s_{ij}))/|B_{i}|$ (17)
BRE+CE concatenates an additional feature vector $\mathbf{r}_{ht}$ with BERT
output, where $\mathbf{r}_{ht}$ is defined based on entity embeddings of $h$
and $t$. The concatenated vector is used as the representation of the sentence
and fed into the classification layer.
## 6 Experiment
We apply our proposed framework on BagRel-Wiki73K and two real-world datasets
to explore the following questions:
* •
How noise pattern affects the attention module?
* •
Whether attention mechanism promotes RE model’s performance?
* •
How KG affects the attention mechanism?
* •
Whether attention aggravates data sparsity?
### 6.1 Experimental Setup
For fair comparison, all of baselines share the same encoding structure as
BRE. The attention-based models include BRE+ATT,BRE+KA and BRE+SeG, where SeG
Li et al. (2020) is an advanced attention mechanism which achieves the state-
of-the-art performance on NYT-FB60K. Briefly, SeG uses sigmoid instead of
softmax to compute attention weights of each instance in a bag. The models
without attention are BRE and BRE+CE. To check the effect of noise pattern, we
train model on different train sets. As a reminder, $\text{train}_{x,y}$ is a
train set whose NR and DR is $x$ and $y$, respectively.
### 6.2 Noise Pattern v.s. Attention Accuracy
We train BRE+ATT on 9 different training sets with different noise patterns.
As shown in Figure 3, we can see that: (1) higher noise ratio (NR) makes the
model harder to highlight valid sentences, leading to a lower attention
accuracy (AAcc); (2) higher disturbing bag ratio (DR) results in lower AAcc,
indicating that disturbing bags challenge the attention module. Based on these
results, we claim that the noise pattern within the training set largely
affects the attention module’s effectiveness.
Figure 3: Attention accuracy (AAcc) on the test set of BagRel-Wiki73K. The
results are collected with BRE+ATT trained on train sets of various noise
patterns. The x axis denote train sets of different Disturbing bag Ratio (DR).
The different colors indicate various Noise Ratio (NR).
### 6.3 Attention v.s. RE Performance
Model | AUC | AAcc | AUCV | AUCN
---|---|---|---|---
BRE-$\text{train}_{\frac{1}{2},0}$ | .910 | NA | .932 | .850
BRE+ATT-$\text{train}_{\frac{1}{2},0}$ | .878 | .881 | .941 | .434
BRE+ATT-$\text{train}_{\frac{1}{2},\frac{1}{2}}$ | .897 | .751 | .932 | .711
BRE+ATT-$\text{train}_{\frac{1}{2},1}$ | .896 | .713 | .925 | .759
Table 2: Test results of models trained on different train set. In the Model
column, X-Y means model X trained on train set Y. Among 3 train sets,
$\text{train}_{\frac{1}{2},1}$ has the most disturbing bags, while
$\text{train}_{\frac{1}{2},0}$ has no such bag.
To quantitatively analyze the effect of attention mechanism, we compare the
performance of BRE and BRE+ATT in Table 2, keeping other variables of the
model unchanged. Particularly, a higher AUCV indicates the stronger ability of
the model itself — in an ideal setting without any noise, and a higher AUCN
indicates higher robustness of model to noise. Surprisingly, when using the
same training set $\text{train}_{\frac{1}{2},0}$, the AUC of the attention-
enhanced model is lower than the AUC of the model without attention ($0.878$
v.s. $0.910$). In addition, BRE+ATT has lowest AUC using
$\text{train}_{\frac{1}{2},0}$, which has no disturbing bags. The highest AAcc
($0.881$) also suggests that the attention module does effectively select
valid sentences. Why the most effective attention module leads to the worst
performance? The reason is that BRE+ATT-$\text{train}_{\frac{1}{2},0}$ has a
much lower AUCN, which indicates that it is less robust to noisy sentences.
Is it true that an effective attention module shall hurt model’s robustness to
noise? This is actually against our intuition. To answer it, we draw Figure 4
by assigning fixed attention weights to sentences during training.
Specifically, each bag in $\text{train}_{\frac{1}{2},0}$ has a valid sentence
and a noisy sentence, and we assign fixed attention weight $\alpha$ to the
valid and $1-\alpha$ to the noisy one, instead of computing $\alpha$ with
attention module. Then we test the resulting model’s AUCN and AUCV
performance. We can see that when the valid sentences receive higher attention
weights, the AUCV curve rises slightly, indicating the model’s performance
indeed gets enhanced. Meanwhile, the AUCN curve goes down sharply. This
demonstrates the effective attention weakens the model’s robustness to noise.
The reason is that the model with a high-performance attention module prefers
to utilize context information instead of entity mention features. Thus, it
usually fails if most contexts are noisy.
Figure 4: AUCV and AUCN results of BRE+ATT-$\text{train}_{\frac{1}{2},0}$
trained with fixed attention weights.
Thus we can explain the results in Table 2. $\text{train}_{\frac{1}{2},0}$ has
the highest AAcc, indicating that it assigns very low weights to noisy
sentences. Thus the gain from AUCV can not make up the loss from AUCN,
resulting a worse AUC.
In conclusion, attention module can effectively select valid sentences during
training and test. But it has an underlying drawback that it might hurt the
model’s ability to predict based on entity mention features, which are
important in RE tasks Li et al. (2020) Peng et al. (2020), leading to worse
overall performance.
### 6.4 KG v.s. Attention
Model | AUC | AAcc | AUCV | AUCN
---|---|---|---|---
BRE+ATT-$\text{train}_{\frac{1}{2},0}$ | .878 | .881 | .941 | .434
BRE+$\text{KA}_{\text{rand}}$-$\text{train}_{\frac{1}{2},0}$ | .915 | .762 | .936 | .659
BRE+KA-$\text{train}_{\frac{1}{2},0}$ | .932 | .857 | .936 | .560
BRE+KA-$\text{train}_{\frac{1}{2},\frac{1}{2}}$ | .924 | .720 | .928 | .723
BRE+KA-$\text{train}_{\frac{1}{2},1}$ | .913 | .617 | .916 | .761
BRE+CE-$\text{train}_{\frac{1}{2},0}$ | .915 | NA | .935 | .856
BRE+CE-$\text{train}_{\frac{1}{2},\frac{1}{2}}$ | .919 | NA | .939 | .849
BRE+CE-$\text{train}_{\frac{1}{2},1}$ | .918 | NA | .941 | .845
Table 3: Results of models trained on different train set. In the Model
column, X-Y means model X trained on train set Y.
BRE+$\text{KA}_{\text{rand}}$ uses entity embeddings learned on KG-73K-random
for the attention module.
To measure KG’s effect on the combined with attention mechanism, we compare
the results of KA with ATT, while keeping other parts of the model unchanged.
As shown in Table 3. When trained on $\text{train}_{\frac{1}{2},0}$, the KG-
enhanced model (KA-$\text{train}_{\frac{1}{2},0}$) has lower AAcc than the
model without KG (ATT-$\text{train}_{\frac{1}{2},0}$) ($0.857$ v.s. $0.881$),
while the AUC is higher ($0.932$ v.s. $0.878$). This is because the KA version
has a higher AUCN ($0.560$) and comparable AUCV and AAcc. Thus, the KG-
enhanced model achieves better performance on noisy bags, leading to a better
RE performance.
In addition, comparing Table 2 and Table 3, KA shows lower AAcc and higher
AUCN than ATT on all three train sets. This also demonstrates that KG does not
promote model’s performance by improving attention module’s accuracy, but by
enhancing the encoder and classification layer’s robustness to noisy
sentences. This makes sense because the information from KG focuses on
entities instead of contexts. By incorporating KG, the model relies more on
entity mention features instead of noisy contexts feature, thus becomes better
at classifying noisy sentences.
Moreover, comparing BRE+$\text{KA}_{\text{rand}}$’s performance with BRE+KA on
$\text{train}_{\frac{1}{2},0}$, we can observe that after incorporating entity
embeddings learned from a random KG, BRE+$\text{KA}_{\text{rand}}$ has a much
lower attention accuracy. This indicates that misleading knowledge would hurt
attention mechanism.
### 6.5 Attention v.s. Data Sparsity
Attention module assigns low weights to part of training sentences. When
training data is insufficient, not making full use of all training examples
could aggravate the data sparsity issue. Thus we compare performance of models
trained on subsets of $\text{train}_{\frac{1}{2},\frac{1}{2}}$. From Figure 5,
we can see that along with the decreasing size of training data, the
performance gap between BRE+ATT and BRE+CE becomes larger. This is because the
latter one fully utilizes every example by assigning the same weight 1 to all
sentences. We also check each model’s attention weights. BRE+SeG assigns all
sentences with weights $>0.9$, so its performance drop is similar to the model
without attention. Thus, we claim that traditional attention mechanism could
exacerbate the model’s ability to insufficient data. This motivates us a
better attention mechanism for few-shot settings. We leave it in the future.
Figure 5: AUC test results of models trained on 4 subsets of BagRel-Wiki73K’s
$\text{train}_{\frac{1}{2},\frac{1}{2}}$ set. The 4 subsets contain 2%, 10%,
20% and 100% bags of $\text{train}_{\frac{1}{2},\frac{1}{2}}$ set.
### 6.6 Stability of Attention v.s. Noise Pattern
From results in Table 2 and Table 3, we can see that the performance of BRE+CE
is stable when the ratio of disturbing bags changes. In comparison, BRE+ATT
and BRE+KA show varying results across different train sets. On
$\text{train}_{\frac{1}{2},1}$ which has the most disturbing bags, BRE+CE
outperforms BRE+ATT and BRE+KA, demonstrating that BRE+CE could be a
competitive method for Bag-level DSRE.
### 6.7 Results on Real-world Datasets
Model | NYT-FB60K | GIDS-FB8K
---|---|---
JointE | .408 | .912
RELE | .497 | .905
SeG | .451 | .913
BRE+ATT | .457 | .917
BRE+KA | .480 | .917
BRE | .625 | .910
BRE+CE | .630 | .917
Table 4: AUC on NYT-FB60K and GIDS-FB8K.
Figure 6: Precision/recall curves on NYT-FB60K
Based on previous observations, we find that BRE and BRE+CE could avoid latent
drawbacks of attention mechanism and have a stable performance on datasets
with different noise patterns, thus they are competitive methods compared with
prior baselines. To examine whether they work on the real-world Bag-level DSRE
datasets, we compare our method to 3 previous baselines on NYT-FB60K Han et
al. (2018a) and GIDS-FB8K Jat et al. (2018). We select JointE Han et al.
(2018a), RELE Hu et al. (2019) and SeG Li et al. (2020) as baselines, because
they achieve state-of-the-art performance on bag-level RE. To collect AUC
results, we carefully re-run published codes of them using suggested
hyperparameters from the original papers. We also draw precision-recall curves
following prior works. As shown in Table 4 and Figure 6, our method BRE+CE
largely outperforms existing methods on NYT-FB60K and has comparable
performance on GIDS-FB8K. Such result demonstrates that we avoid attention
mechanism’s latent drawback of hurting model’s robustness. Furthermore, the
model’s improvement on NYT-FB60K is promising (around 13% AUC). This is due to
two reasons: (1) NYT-FB60K is a noisy dataset containing prevalent disturbing
bags, which is similar to our synthesized datasets. (2)NYT-FB60K is highly
imbalanced and most relation types only have limited training data, while all
relation types in our balanced datasets have the same number of training
examples; thus BRE+CE and BRE achieve much higher improvement on NYT-FB60K
compared with synthesized datasets. In conclusion, the high performance not
only validates our claim that attention module may not perform well on noisy
and insufficient training data, but also verifies that our thorough analysis
on attention and KG have practical significance.
### 6.8 Effect of KG
Model | BagRel | NYT | GIDS
---|---|---|---
BRE+ATT | .878 | .457 | .917
BRE+KA | .932 | .480 | .917
BRE | .910 | .625 | .910
BRE+CE | .915 | .630 | .917
Table 5: AUC test results of models on BagRel-Wiki73K, NYT-FB60K and GIDS-
FB8K. In the BagRel column, all models are trained on
$\text{train}_{\frac{1}{2},0}$.
From results in Table 5, we provide a straight comparison between models with
KG (BRE+KA, BRE+CE) and models without KG (BRE+ATT, BRE). Apparently, both
methods of utilizing KG (combined with attention and concatenated as
additional features) outperforms methods not using KG. This demonstrates the
prior knowledge from KG is beneficial for relation extraction task. Except our
naive BRE+CE, we expect that a carefully designed mechanism incorporating KG
can lead to higher improvement. We leave it in the future.
## 7 Conclusion
In conclusion, we construct a set of datasets and propose a framework to
quantitatively evaluate how attention module and KG work in the bag-level RE.
Based on the findings, we demonstrate the effectiveness of a straightforward
solution on this task. Experiment results well support our claims that the
accuracy of attention mechanism depends on the noise pattern of the training
set. In addition, although effectively selecting valid sentences, attention
mechanism could harm model’s robustness to noisy sentences and aggravate the
data sparsity issue. As for KG’s effects on attention, we observe that it
promotes model’s performance by enhancing its robustness with external entity
information, instead of improving attention accuracy.
In the future, we are interested in developing a more general evaluation
framework for other tasks, such as question answering, and improving the
attention mechanism to be robust to noise and insufficient data, and an
effective approach to incorporate the KG knowledge to guide the model
training.
## Acknowledgement
This research/project is supported by NExT Research Centre. This research was
also conducted in collaboration with SenseTime. This work is partially
supported by A*STAR through the Industry Alignment Fund - Industry
Collaboration Projects Grant, by NTU (NTU–ACE2020-01) and Ministry of
Education (RG96/20), and by the National Research Foundation, Prime Minister’s
Office, Singapore under its Energy Programme (EP Award No. NRF2017EWT-
EP003-023) administrated by the Energy Market Authority of Singapore.
## References
* Bordes et al. (2013) Antoine Bordes, Nicolas Usunier, Alberto Garcia-Duran, Jason Weston, and Oksana Yakhnenko. 2013. Translating embeddings for modeling multi-relational data. In _Neural Information Processing Systems (NIPS)_ , pages 1–9.
* Devlin et al. (2018) Jacob Devlin, Ming-Wei Chang, Kenton Lee, and Kristina Toutanova. 2018. Bert: Pre-training of deep bidirectional transformers for language understanding. _arXiv preprint arXiv:1810.04805_.
* Du et al. (2018) Jinhua Du, Jingguang Han, Andy Way, and Dadong Wan. 2018. Multi-level structured self-attentions for distantly supervised relation extraction. _arXiv preprint arXiv:1809.00699_.
* Han et al. (2018a) Xu Han, Zhiyuan Liu, and Maosong Sun. 2018a. Neural knowledge acquisition via mutual attention between knowledge graph and text. In _Proceedings of the AAAI Conference on Artificial Intelligence_ , volume 32.
* Han et al. (2018b) Xu Han, Hao Zhu, Pengfei Yu, Ziyun Wang, Yuan Yao, Zhiyuan Liu, and Maosong Sun. 2018b. Fewrel: A large-scale supervised few-shot relation classification dataset with state-of-the-art evaluation. _arXiv preprint arXiv:1810.10147_.
* Hoffmann et al. (2011) Raphael Hoffmann, Congle Zhang, Xiao Ling, Luke Zettlemoyer, and Daniel S Weld. 2011\. Knowledge-based weak supervision for information extraction of overlapping relations. In _Proceedings of the 49th annual meeting of the association for computational linguistics: human language technologies_ , pages 541–550.
* Hu et al. (2019) Linmei Hu, Luhao Zhang, Chuan Shi, Liqiang Nie, Weili Guan, and Cheng Yang. 2019\. Improving distantly-supervised relation extraction with joint label embedding. In _Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing (EMNLP-IJCNLP)_ , pages 3812–3820.
* Jat et al. (2018) Sharmistha Jat, Siddhesh Khandelwal, and Partha Talukdar. 2018. Improving distantly supervised relation extraction using word and entity based attention. _arXiv preprint arXiv:1804.06987_.
* Ji et al. (2017) Guoliang Ji, Kang Liu, Shizhu He, and Jun Zhao. 2017. Distant supervision for relation extraction with sentence-level attention and entity descriptions. In _Proceedings of the AAAI Conference on Artificial Intelligence_ , volume 31.
* Li et al. (2020) Yang Li, Guodong Long, Tao Shen, Tianyi Zhou, Lina Yao, Huan Huo, and Jing Jiang. 2020. Self-attention enhanced selective gate with entity-aware embedding for distantly supervised relation extraction. In _Proceedings of the AAAI Conference on Artificial Intelligence_ , volume 34, pages 8269–8276.
* Lin et al. (2016) Yankai Lin, Shiqi Shen, Zhiyuan Liu, Huanbo Luan, and Maosong Sun. 2016. Neural relation extraction with selective attention over instances. In _Proceedings of the 54th Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers)_ , pages 2124–2133.
* Liu et al. (2017) Tianyu Liu, Kexiang Wang, Baobao Chang, and Zhifang Sui. 2017. A soft-label method for noise-tolerant distantly supervised relation extraction. In _Proceedings of the 2017 Conference on Empirical Methods in Natural Language Processing_ , pages 1790–1795.
* Mintz et al. (2009) Mike Mintz, Steven Bills, Rion Snow, and Dan Jurafsky. 2009. Distant supervision for relation extraction without labeled data. In _Proceedings of the Joint Conference of the 47th Annual Meeting of the ACL and the 4th International Joint Conference on Natural Language Processing of the AFNLP_ , pages 1003–1011.
* Peng et al. (2020) Hao Peng, Tianyu Gao, Xu Han, Yankai Lin, Peng Li, Zhiyuan Liu, Maosong Sun, and Jie Zhou. 2020. Learning from context or names? an empirical study on neural relation extraction. _arXiv preprint arXiv:2010.01923_.
* Riedel et al. (2010) Sebastian Riedel, Limin Yao, and Andrew McCallum. 2010. Modeling relations and their mentions without labeled text. In _Joint European Conference on Machine Learning and Knowledge Discovery in Databases_ , pages 148–163. Springer.
* Shahbazi et al. (2020) Hamed Shahbazi, Xiaoli Z Fern, Reza Ghaeini, and Prasad Tadepalli. 2020. Relation extraction with explanation. _arXiv preprint arXiv:2005.14271_.
* Soares et al. (2019) Livio Baldini Soares, Nicholas FitzGerald, Jeffrey Ling, and Tom Kwiatkowski. 2019\. Matching the blanks: Distributional similarity for relation learning. _arXiv preprint arXiv:1906.03158_.
* Sun et al. (2019) Zhiqing Sun, Zhi-Hong Deng, Jian-Yun Nie, and Jian Tang. 2019. Rotate: Knowledge graph embedding by relational rotation in complex space. _arXiv preprint arXiv:1902.10197_.
* Ye and Ling (2019) Zhi-Xiu Ye and Zhen-Hua Ling. 2019. Distant supervision relation extraction with intra-bag and inter-bag attentions. _arXiv preprint arXiv:1904.00143_.
* Zeng et al. (2015) Daojian Zeng, Kang Liu, Yubo Chen, and Jun Zhao. 2015. Distant supervision for relation extraction via piecewise convolutional neural networks. In _Proceedings of the 2015 conference on empirical methods in natural language processing_ , pages 1753–1762.
* Zhang et al. (2019) Ningyu Zhang, Shumin Deng, Zhanlin Sun, Guanying Wang, Xi Chen, Wei Zhang, and Huajun Chen. 2019. Long-tail relation extraction via knowledge graph embeddings and graph convolution networks. _arXiv preprint arXiv:1903.01306_.
| arxiv-papers | 2021-07-26T09:38:28 | 2024-09-04T03:07:18.169977 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Zikun Hu, Yixin Cao, Lifu Huang, Tat-Seng Chua",
"submitter": "Zikun Hu",
"url": "https://arxiv.org/abs/2107.12064"
} |
2107.12069 | # Filling the Tax Gap via Programmable Money
Dimitris Karakostas
University of Edinburgh
[email protected] Aggelos Kiayias
University of Edinburgh and IOHK
[email protected]
###### Abstract
In this work, we discuss the problem of facilitating tax auditing assuming
“programmable money”, i.e., digital monetary instruments that are managed by
an underlying distributed ledger. We explore how a taxation authority can
verify the declared returns of its citizens and create a counter-incentive to
tax evasion by two distinct mechanisms. First, we describe a design which
enables auditing it as a built-in feature with minimal changes on the
underlying ledger’s consensus protocol. Second, we offer an application-layer
extension, which requires no modification in the underlying ledger’s design.
Both solutions provide a high level of privacy, ensuring that, apart from
specific limited data given to the taxation authority, no additional
information — beyond the information already published on the underlying
ledger — is leaked.
## 1 Introduction
A tax gap [11] is a difference between the reported and the real tax revenue,
for a given jurisdiction and period of time. Research estimated that the tax
gap in the USA was $16.4$% of revenue owed [17] between 2008-2010, the total
loss throughout the EU due to the tax gap to €$151.5$ in 2015 [12], while
$\frac{1}{3}$ of taxpayers in the UK under-report their earnings [1] (albeit
half of UK’s lost taxes are product of a small, wealthy fraction of
misbehaving taxpayers). Therefore, reducing the tax gaps can significantly
enhance the efforts of tax-collecting authorities.
Central bank digital currencies (CBDC) have also come to prominence in recent
years. In the past decade, distributed ledger-based financial systems, which
were kick-started with the creation of Bitcoin [13], were accompanied by the
increasing digitalization of payments [5]. CBDCs are the culmination of these
trends, enabling fast, cheap, and safe transactions in fiat assets. Crucially
though, although still mostly on a research stage,111https://cbdctracker.org
[July 2021] CBDCs have caused great concerns on citizens regarding transaction
privacy [4].
Our work offers two mechanisms that facilitate tax auditing and the
identification of tax gaps in distributed ledger-based currency systems. The
first is a wrapper around a generic distributed ledger, which enables
taxpayers to declare their assets directly to the authorities, while
undeclared assets are frozen. The second is a proof mechanism that enables the
sender of some assets to prove, in a privacy-preserving manner, whether the
transferred assets have been taxed. Both mechanisms are examples of
programmable money (also referred to as smart money [3]), where currency is
programmed to be transferable under a suitable set of circumstances or its
transfer has specific implications.
### 1.1 Desiderata
In distributed ledger-based currency systems, a user $\mathcal{U}$ manages
their assets via addresses. Each address $\alpha$ is associated with a key
pair $(sk,vk)$, such that the private key $sk$ is used to claim ownership of
the assets, e.g., by signing special messages; typically
$\alpha=\mathsf{H}(vk)$ for some hash function $\mathsf{H}$. Each address
$\alpha$ is associated with a (public) balance $\mathsf{bal}(\alpha)$ so,
given a list $[\alpha_{1},\dots,\alpha_{n}]$ of all addresses that
$\mathcal{U}$ controls, $\mathcal{U}$’s total assets are
$\Theta=\sum_{i=1}^{n}\mathsf{bal}(\alpha_{i})$. Our goal will be to retain as
much privacy as possible, so $\Theta$ should be the only information that is
leaked to $\mathcal{T}$, without de-anonymization of individual transaction
data.
To showcase the limitations of current systems, consider the following
example. Assume that Alice tax evades, i.e., creates a secret, undeclared
address $\alpha$ and controls some assets $\theta$ in it. Given the
pseudonymous nature of the ledger, $\alpha$ cannot be correlated with Alice,
until she uses it. Following, Alice issues a transaction $\tau$ which sends
$\theta$ assets from $\alpha$ to Bob. If Bob suspects that Alice evaded
taxation for these $\theta$ assets, they might want to report her to the
authorities for inspection. However, the complaint should be accompanied by a
proof that $\alpha$ is controlled by Alice, i.e., a proof that Alice knows the
private key associated with $\alpha$. This is necessary as $\mathcal{T}$ needs
to distinguish between two scenarios: i) Alice controls $\alpha$ and tax
evades; ii) Bob is lying about Alice owning $\alpha$. In the first scenario,
Bob _does_ know that $\alpha$ is controlled by Alice, but $\tau$ is not
sufficient to prove it. Instead, Bob needs a proof which can only be supplied
by Alice, e.g., a signature from Alice which acknowledges $\tau$ or $\alpha$.
However, if Alice tax evades, naturally she would not create such
incriminating proof.
It is important that we retain as many good features of existing ledger
systems as possible. The most notable such feature is transaction privacy,
thus our work considers pseudonymous, Bitcoin-like levels of privacy, and
minimizes the information leaked to the authorities during a tax auditing.
Another important aspect is the mechanism’s performance. A fundamental
ingredient of payment systems is the seamless transaction experience, so it is
important to allow users to transact at all times, while also avoiding
significant strain during taxation periods. Finally, our mechanisms aim to
minimize the amount of (additional) published data, since storage in
distributed ledgers is particularly costly.
In summary, the desiderata of our mechanisms are as follows:
* •
_Tax gap identification and counterincentive_ : Tax evasion, i.e., failure of
a user $\mathcal{U}$ to declare the amount of assets they own, should be
either detectable by a tax authority $\mathcal{T}$, with access to the ledger,
or render the assets unusable.
* •
_High level of privacy_ : $\mathcal{T}$ should — at most — learn the total
amount of assets owned by each taxpayer at the end of a fiscal year; this
information should be leaked only to $\mathcal{T}$ and no additional
information should be leaked to any other party, apart from the information
already published on the ledger.
* •
_Unobstructed operation_ : The introduction of a taxation mechanism should not
result in any period during which the — tax compliant — users are prohibited
from transacting.
* •
_Low performance overhead_ : The taxation mechanism should not introduce a
major performance overhead, in terms of computation and storage requirements
from the users and the taxation authority.
* •
_Balanced load_ : The computation and storage overhead of taxation should be
spread over a period of time, rather than introduce performance spikes.
### 1.2 Related work
Literature offers various works on auditing of distributed ledger-based
assets. A holistic approach is taken in zkLedger [14], which combines a
permissioned ledger with zero-knowledge proofs to create a tamper-resistant,
verifiable ledger of transactions. PRCash [19] also employs a permissioned
ledger and offers a regulation mechanism that restricts the total amount of
assets a user can receive anonymously for a period of time. Also Garman et al.
[10] propose an anonymous ledger, which can enforce specific transaction
policies. In our paper, Section 2 aims at offering a simpler design, which can
be more easily integrated in existing pseudonymous distributed ledgers,
compared to the aforementioned works. Another interesting research thread
considers proofs of solvency. The first such scheme for Bitcoin exchanges,
proposed by Maxwell [18], leaks the total amount of both assets and
liabilities of the exchange; more importantly, it enables an attack that
allows the exchange to hide assets, as detailed by in Zeroledge [7], which
also proposed a privacy-preserving system that allows exchanges to prove
properties about their holdings. Provisions [6] is a zero-knowledge proof of
solvency mechanism for Bitcoin exchanges, based on Sigma protocols i.e.,
without the need to reveal the addresses or the amount of assets that an
exchange controls. Similarly, Agrawal et al. [2] describe a proof of solvency
which achieves better performance compared to Provisions, although assuming a
trusted setup. The mechanism of Section 3 extends Provisions and is also
applicable to [2].
## 2 Tax Auditable Distributed Ledger
In this section we describe a ledger with a built-in tax auditing mechanism.
Our design is generic, such that existing ledgers can incorporate it with
minimal changes in the underlying consensus protocol. An _auditable ledger_
enforces a user $\mathcal{U}$ to declare the amount of assets they own to a
taxation authority $\mathcal{T}$, with failure to do so rendering the assets
unusable. We achieve this while leaking to $\mathcal{T}$ only the total amount
of assets that $\mathcal{U}$ owns at a specific point in time, e.g., the end
of a fiscal year. We note that we consider only pseudonymous ledgers, so
potentially de-anonymizable data may be published on the ledger, e.g.,
addresses which may be linked to the user who controls them.
We assume that $\mathcal{T}$ holds a list of all taxpayers and is identified
by a key $(sk_{\mathcal{T}},vk_{\mathcal{T}})$. Also there exist taxation
periods, which last for a pre-specified amount of time $d$. For example, a
taxation period may last $1$ calendar year, at the end of which taxpayers need
to declare their assets to the authorities.
The core idea is that assets unaccounted for, at the end of the taxation
period, are frozen, until their owners declare them to the authority.
Specifically, at the end of a taxation period, all assets are frozen. To
unfreeze an asset, a taxpayer $\mathcal{U}$ declares it to $\mathcal{T}$ as
follows.
First, $\mathcal{U}$ creates a new key pair
$(sk_{\mathcal{U}},vk_{\mathcal{U}})$ and the corresponding address
$\alpha_{\mathcal{U}}$ and sends $\alpha_{\mathcal{U}}$ to $\mathcal{T}$ as
part of a KYC process. Next, $\mathcal{T}$ certifies $\alpha_{\mathcal{U}}$ by
issuing the signature
$\sigma=\mathsf{Sign}(\alpha_{\mathcal{U}},sk_{\mathcal{T}})$, which it gives
to $\mathcal{U}$. The tuple
$\alpha_{\mathcal{U}}^{t}=\langle\alpha_{\mathcal{U}},\sigma\rangle$ is the
_certified address_ , which is used by the user to transact with frozen
assets. $\mathcal{T}$ maintains a mapping of taxpayers and certified
addresses, i.e., for every taxpayer $\mathcal{U}$ it holds a list
$A_{\mathcal{U}}$ of all certified taxation addresses that $\mathcal{U}$
requested.
A transaction $\tau=\langle\alpha_{s},\alpha_{d},\Theta\rangle$, which moves
$\Theta$ frozen assets from an address $\alpha_{s}$, is valid only if
$\alpha_{d}=\langle\alpha,\sigma\rangle\land\mathsf{Verify}(\alpha,\sigma,vk_{\mathcal{T}})=1$.
Consequently, miners accept transactions that unfreeze assets only as long as
said assets are transferred to a certified address. Therefore, $\mathcal{T}$
can compute the amount of $\mathcal{U}$’s assets as
$\Theta_{\mathcal{U}}:=\sum_{i=1}^{n}\mathsf{bal}(\alpha_{\mathcal{U}}[i])$,
$n$ being the total number of $\mathcal{U}$’s certified addresses.
We note that the system can accommodate multiple taxation authorities from
different countries. In that case, $\mathcal{T}$ is a federation of
authorities, each identified by a single key. Each authority’s key is
published on the ledger and a taxpayer can certify their addresses and declare
their assets to the respective authorities.
Naturally, this mechanism introduces some challenges. Although standard pay-
to-public-key-hash addresses are $25$ bytes, certified addresses may be
significantly larger, due to the certification signature of $\mathcal{T}$. For
instance, ECDSA signatures in the DER format result in $72$ additional bytes,
thus making certified addresses $99$ bytes long. Nevertheless, certified
addresses are expected to be used only once, to declare the assets, thus the
overall storage cost should not be significant. Another important
consideration regards to the private state of the taxation authority; given
the statute of limitations, $\mathcal{T}$ might need to maintain its taxation
private key and the mapping of certified addresses for a significant period,
possibly resulting in significant maintenance costs.
We showcase our design via an auditable variation of Bitcoin ledger, denoted
as $\mathcal{L}^{t}$. $\mathcal{L}^{t}$ is initially parameterized by the
public key of the authority $(sk_{\mathcal{T}},vk_{\mathcal{T}})$, which is
part of the ledger’s genesis block. During the execution, $\mathcal{T}$ can
update its key by simply signing a new key $vk_{\mathcal{T}}^{\prime}$ with
$sk_{\mathcal{T}}$ and publishing it on the ledger. A taxation period lasts
$52560$ blocks, i.e., roughly $1$ calendar year, so block $52560$ and its
multiples are “tax-auditing” blocks. When a tax-auditing block is issued, all
assets on $\mathcal{L}^{t}$ which are controlled by non-certified addresses
are frozen. To transact with assets from a frozen address, a user sends them
to a certified address, as described above.
Freezing complicates the system in a number of ways. First, the liveness of a
transaction [9] may be affected. For instance, a transaction which spends from
a non-certified address will be rejected, if it is created before but
published after a tax-auditing block. We sidestep this issue by enabling users
to use certified addresses before the freezing period, hence the liveness
guarantees of the ledger apply unconditionally on certified addresses. Second,
it is possible that multiple competing tax-auditing blocks are created, e.g.,
multiple blocks which extend the tax-auditing block. Therefore, $\mathcal{T}$
needs to pick one and certify it. Afterwards, this certified block cannot be
reverted and acts as a “checkpoint”.
We note that $\mathcal{L}^{t}$ covers the desiderata proposed in Section 1.1.
Regarding privacy, although $\mathcal{T}$ can de-anonymize the set of
$\mathcal{L}^{t}$ users at a specific point in time, i.e., when the assets
freeze, the users can employ standard Bitcoin addresses and transactions
outwith this period. Additionally, as with standard Bitcoin addresses, third
parties cannot obtain information regarding the identity of a certified
address’s owner (as long as the signature itself does not leak it). In terms
of performance, a user can transact with their assets effortlessly, as long as
they use certified addresses to receive or unfreeze assets around the taxation
period. Importantly, users can certify their addresses ahead of the freezing
time, thus the additional load can be spread over a period of a few days or
weeks.
## 3 A Tax-Auditing Extension for Provisions
We now build a tax auditing mechanism for existing ledgers based on Provisions
[6]. The goal of this mechanism is to enable all payment recipients to verify
whether the assets used by a sender $\mathcal{E}$ in a transaction have been
properly declared to the authority $\mathcal{T}$. This is achieved in two
stages, first with an asset declaration stage that involves $\mathcal{T}$ and
second with a payer address auditing protocol, which is created in tandem with
the transaction that pays a recipient, and after $\mathcal{E}$ commits to
owning the assets. If $\mathcal{E}$ fails to provide such proof, the
implication is that $\mathcal{E}$ performs tax evasion. To build this proof
mechanism we rely on Provisions [6], particularly its _proof of assets_. Our
scheme comprises of two simple protocols, which $\mathcal{E}$ runs with the
taxation authority and their counter-party respectively. As we show, our
protocols retain the privacy guarantees of Provisions.
Provisions is a privacy-preserving auditing mechanism for Bitcoin exchanges.
Using Provisions a party can verify that a (cooperating) Bitcoin exchange is
solvent, i.e., possesses enough assets to cover the liabilities towards its
users. In order to achieve this, Provisions defines three protocols: i) proof
of assets, ii) proof of liabilities, and iii) proof of solvency. Our work
is only concerned in the assets owned by the exchange, thus we focus on the
proof of assets. All proofs are considered under a group $G$ of prime order
$q$ with fixed public generators $g,h$. The proof of assets considers the
following:
* •
$\text{PK}=\\{y_{1},\dots,y_{n}\\}$: the total (anonymity) set of public keys;
* •
$s_{i}$: a bit such that, if the exchange controls $y_{i}$, i.e., if it
possesses the private key of $y_{i}$, then $s_{i}=1$, otherwise $s_{i}=0$;
* •
$\mathsf{bal}(y_{i})$: the amount of assets that the address corresponding to
$y_{i}$ controls;
* •
$\Theta=\sum_{i=1}^{n}s_{i}\cdot\mathsf{bal}(y_{i})$: the amount of assets
that the exchange controls;
* •
$b_{i}=g^{\mathsf{bal}(y_{i})}$: a biding (but not hiding) commitment to the
balance of $y_{i}$.
The exchange publishes the Pedersen commitments [15] for each
$s_{i}\cdot\mathsf{bal}(y_{i}),s_{i}$:
$\displaystyle p_{i}=b_{i}^{s_{i}}\cdot h^{v_{i}}=g^{\mathsf{bal}(y_{i})\cdot
s_{i}}\cdot h^{v_{i}}$ (1) $\displaystyle
l_{i}=y_{i}^{s_{i}}h^{t_{i}}=g^{\hat{x}_{i}}h^{t_{i}}$ (2)
where $v_{i},t_{i}\in\mathbb{Z}_{q}$ are chosen at random, $x_{i}$ is the
private key for $y_{i}$, and $\hat{x}_{i}=x_{i}\cdot s_{i}$.
#### Asset Declaration.
$\mathcal{E}$ declares the total amount of assets it controls, i.e., the value
$\Theta$, to $\mathcal{T}$ who verifies that $\mathcal{E}$’s commitments
correspond to $\Theta$. We obtain the condition
$Z_{\Theta}=\prod_{i=1}^{n}p_{i}=g^{\Theta}\cdot h^{v}$, where
$v={\sum_{i=1}^{n}v_{i}}$, is a (publicly computable) Pedersen commitment to
$\mathcal{E}$’s assets. Given that $\mathcal{T}$ knows $\Theta$, $\mathcal{E}$
needs only to prove knowledge of a value $v$, such that this condition is
satisfied. This is done via the Schnorr protocol [16] of Figure 1, which
guarantees privacy as described in Lemma 1.
Public data: $g,h,Z_{\Theta}=\prod_{i=1}^{n}p_{i}$ Verifier’s input from
prover: $\Theta$ Prover’s input: $v=\sum_{i=1}^{n}v_{i}$ 1. The prover
($\mathcal{E}$) chooses $r\xleftarrow{\$}\mathbb{Z}_{q}$ and sends
$\lambda=h^{r}$ to the verifier ($\mathcal{T}$). 2. The verifier replies with
a challenge $c\xleftarrow{\$}\mathbb{Z}_{q}$. 3. The prover responds with
$\theta=r+c\cdot v$. 4. The verifier accepts if
$h^{\theta}\stackrel{{\scriptstyle?}}{{=}}\lambda\cdot(Z_{\Theta}\cdot
g^{-\Theta})^{c}$. Asset Declaration Protocol $\mathcal{P}_{asset}$ Figure 1:
Tax-auditing between $\mathcal{E}$ (prover) and $\mathcal{T}$ (verifier).
###### Lemma 1.
For public values $g,h$ and $Z_{\Theta}$, the protocol $\mathcal{P}_{asset}$
is an honest-verifier zero-knowledge argument of knowledge of quantity $v$
satisfying $Z_{\Theta}=\prod_{i=1}^{n}p_{i}=g^{\Theta}\cdot h^{v}$ for
$i\in[1,n]$.
#### Payer Address Auditing.
The second part of our taxation proof enables the tax auditing of a specific
address used by a payer $\mathcal{E}$ whenever a payment is made to an
arbitrary user $\mathcal{U}$. $\mathcal{E}$ will prove two conditions to
$\mathcal{U}$: i) for some $i\in[1,n]$, the public key $y_{i}$ (which is
published as part of the Provisions scheme) corresponds to the address from
which $\mathcal{U}$ receives their assets; ii) the corresponding bit $s_{i}$
for $y_{i}$ in the commitment condition (2) is $s_{i}=1$. The first condition
can be easily proven by providing $\mathcal{U}$ with an index $i$, such that
$\mathcal{U}$ confirms that the address in question is equal to the hash of
$y_{i}$. To prove the second condition, we observe that, for $s_{i}=1$,
$p_{i}=g^{\mathsf{bal}(y_{i})}h^{v_{i}}$ and $l_{i}=y_{i}h^{t_{i}}$.
Therefore, $\mathcal{E}$ needs only to prove knowledge of $t_{i}$ and $v_{i}$,
such that this statement is satisfied, which can be achieved via the Schnorr
protocol of Figure 2, its privacy properties formalized in Lemma 2.
Public data: $h$, $(y_{i},l_{i}),\mathsf{bal}(y_{i})$ for $i\in[1,n]$
Verifier’s input from prover: $i$ Prover’s input: $t_{i}$ 1. The prover
($\mathcal{E}$) chooses $r_{1},r_{2}\xleftarrow{\$}\mathbb{Z}_{q}$ and sends
$\lambda_{1}=h^{r_{1}},\lambda_{2}=h^{r_{2}}$ to the verifier. 2. The verifier
replies with a challenge $c\xleftarrow{\$}\mathbb{Z}_{q}$. 3. The prover
responds with $\theta_{1}=r_{1}+c\cdot t_{i}$, $\theta_{2}=r_{2}+c\cdot
v_{i}$. 4. The verifier accepts if
$h^{\theta_{1}}\stackrel{{\scriptstyle?}}{{=}}\lambda_{1}\cdot(l_{i}\cdot
y_{i}^{-1})^{c}$ and
$h^{\theta_{2}}\stackrel{{\scriptstyle?}}{{=}}\lambda_{2}\cdot(p_{i}\cdot
g^{-\mathsf{bal}(y_{i})})^{c}$. Address Verification Protocol
$\mathcal{P}_{address}$ Figure 2: Address verification between $\mathcal{E}$
(prover) and a user $\mathcal{U}$ (verifier).
###### Lemma 2.
For public values $g,h$ and $y_{i},l_{i},p_{i},\mathsf{bal}(y_{i})$, the
protocol $\mathcal{P}_{address}$ is an honest-verifier zero-knowledge argument
of knowledge of quantities $t_{i},v_{i}$ satisfying $l_{i}=y_{i}h^{t_{i}}$ and
$p_{i}=g^{\mathsf{bal}(y_{i})}h^{v_{i}}$ respectively.
Finally, both protocols can be turned into non-interactive zero-knowledge
(NIZK) proofs of knowledge in the random oracle model by using the Fiat-Shamir
transformation [8].
## 4 Conclusion
Our work offers a programmable money approach for authorities to audit the
citizens’ tax returns and create a tax-gap counter-incentive: undeclared fund
transfers are programmed to be frozen in the ledger. We identify a number of
limitations and desiderata and present two basic designs, which can act as a
stepping stone for more concrete solutions. Our mechanisms can be employed by
different tax authorities and be applied on different ledger designs.
Naturally, to efficiently utilize it on a global scale for decentralized
systems, like Bitcoin, tax authorities of all countries would need to
collaborate, an assumption which seems infeasible in our current fragmented
landscape. Nevertheless, a single country’s sovereign could deploy it as a
feature of, for example, a central bank digital currency. Particular points of
interest for future work are the effect of freezing on user experience, as
well as the storage overhead. Additionally, our scheme considers pseudonymous
systems; future work could explore fully anonymous applications, which utilize
zero-knowledge schemes to achieve cryptographic-grade transaction anonymity.
Finally, an interesting direction is the design of incentive schemes that
motivate the system’s adoption and reduce the dependence on enforcement by the
authorities.
## References
* [1] Arun Advani. Who does and doesn’t pay taxes? Fiscal Studies, 2020.
* [2] Shashank Agrawal, Chaya Ganesh, and Payman Mohassel. Non-interactive zero-knowledge proofs for composite statements. In Hovav Shacham and Alexandra Boldyreva, editors, Advances in Cryptology – CRYPTO 2018, Part III, volume 10993 of Lecture Notes in Computer Science, pages 643–673, Santa Barbara, CA, USA, August 19–23, 2018\. Springer, Heidelberg, Germany. doi:10.1007/978-3-319-96878-0_22.
* [3] Michel Avital, Jonas Hedman, and Lars Albinsson. Smart money: Blockchain-based customizable payments system. Dagstuhl Reports, 7(3):104–106, 2017.
* [4] European Central Bank. Eurosystem report on the public consultation on a digital euro, 2021. URL: https://www.ecb.europa.eu/pub/pdf/other/Eurosystem_report_on_the_public_consultation_on_a_digital_euro~539fa8cd8d.en.pdf.
* [5] Codruta Boar and Róbert Szemere. Payments go (even more) digital*, 2011. URL: https://www.bis.org/statistics/payment_stats/commentary2011.htm.
* [6] Gaby G. Dagher, Benedikt Bünz, Joseph Bonneau, Jeremy Clark, and Dan Boneh. Provisions: Privacy-preserving proofs of solvency for bitcoin exchanges. In Indrajit Ray, Ninghui Li, and Christopher Kruegel, editors, ACM CCS 2015: 22nd Conference on Computer and Communications Security, pages 720–731, Denver, CO, USA, October 12–16, 2015. ACM Press. doi:10.1145/2810103.2813674.
* [7] Jack Doerner, Abhi Shelat, and David Evans. Zeroledge: Proving solvency with privacy.
* [8] Amos Fiat and Adi Shamir. How to prove yourself: Practical solutions to identification and signature problems. In Andrew M. Odlyzko, editor, Advances in Cryptology – CRYPTO’86, volume 263 of Lecture Notes in Computer Science, pages 186–194, Santa Barbara, CA, USA, August 1987. Springer, Heidelberg, Germany. doi:10.1007/3-540-47721-7_12.
* [9] Juan A. Garay, Aggelos Kiayias, and Nikos Leonardos. The bitcoin backbone protocol: Analysis and applications. In Elisabeth Oswald and Marc Fischlin, editors, Advances in Cryptology – EUROCRYPT 2015, Part II, volume 9057 of Lecture Notes in Computer Science, pages 281–310, Sofia, Bulgaria, April 26–30, 2015. Springer, Heidelberg, Germany. doi:10.1007/978-3-662-46803-6_10.
* [10] Christina Garman, Matthew Green, and Ian Miers. Accountable privacy for decentralized anonymous payments. In Jens Grossklags and Bart Preneel, editors, FC 2016: 20th International Conference on Financial Cryptography and Data Security, volume 9603 of Lecture Notes in Computer Science, pages 81–98, Christ Church, Barbados, February 22–26, 2016. Springer, Heidelberg, Germany.
* [11] FISCALIS Tax Gap Project Group. the concept of tax gaps. report ii: Corporate income tax gap estimation methodologies, 2018. URL: https://op.europa.eu/en/publication-detail/-/publication/a5da4716-e7c1-11e8-b690-01aa75ed71a1.
* [12] R Murphy and A Guter-Sandu. Resources allocated to tackling the tax gap: a comparative eu study. Working paper for Combating Financial Fraud and Empowering Regulators (COFFERS) Horizon 2020 project, November(A), 2018.
* [13] Satoshi Nakamoto. Bitcoin: A peer-to-peer electronic cash system, 2008.
* [14] Neha Narula, Willy Vasquez, and Madars Virza. zkledger: Privacy-preserving auditing for distributed ledgers. In 15th $\\{$USENIX$\\}$ Symposium on Networked Systems Design and Implementation ($\\{$NSDI$\\}$ 18), pages 65–80, 2018.
* [15] Torben P. Pedersen. Non-interactive and information-theoretic secure verifiable secret sharing. In Joan Feigenbaum, editor, Advances in Cryptology – CRYPTO’91, volume 576 of Lecture Notes in Computer Science, pages 129–140, Santa Barbara, CA, USA, August 11–15, 1992. Springer, Heidelberg, Germany. doi:10.1007/3-540-46766-1_9.
* [16] Claus-Peter Schnorr. Efficient identification and signatures for smart cards. In Gilles Brassard, editor, Advances in Cryptology – CRYPTO’89, volume 435 of Lecture Notes in Computer Science, pages 239–252, Santa Barbara, CA, USA, August 20–24, 1990. Springer, Heidelberg, Germany. doi:10.1007/0-387-34805-0_22.
* [17] Internal Revenue Service. Federal tax compliance research: Tax gap estimates for tax years 2008–2010, 2016.
* [18] Zak Wilcox. Proving your bitcoin reserves, 2014.
* [19] Karl Wüst, Kari Kostiainen, Vedran Capkun, and Srdjan Capkun. PRCash: Centrally-issued digital currency with privacy and regulation. Cryptology ePrint Archive, Report 2018/412, 2018. https://eprint.iacr.org/2018/412.
| arxiv-papers | 2021-07-26T09:49:06 | 2024-09-04T03:07:18.182085 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Dimitris Karakostas and Aggelos Kiayias",
"submitter": "Dimitris Karakostas",
"url": "https://arxiv.org/abs/2107.12069"
} |
2107.12076 | # On self-affine tiles that are homeomorphic to a ball
Jörg M. Thuswaldner and Shu-Qin Zhang Chair of Mathematics and Statistics,
University of Leoben, Franz-Josef-Strasse 18, A-8700 Leoben, Austria
[email protected] School of Mathematics and Statistics,
Zhengzhou University, 100 Science Avenue, Zhengzhou, Henan 45001, People’s
Republic of China [email protected]
###### Abstract.
Let $M$ be a $3\times 3$ integer matrix which is expanding in the sense that
each of its eigenvalues is greater than $1$ in modulus and let
$\mathcal{D}\subset\mathbb{Z}^{3}$ be a digit set containing $|\det M|$
elements. Then the unique nonempty compact set $T=T(M,\mathcal{D})$ defined by
the set equation $MT=T+\mathcal{D}$ is called an integral self-affine tile if
its interior is nonempty. If $\mathcal{D}$ is of the form
$\mathcal{D}=\\{0,v,\ldots,(|\det M|-1)v\\}$ we say that $T$ has a collinear
digit set. The present paper is devoted to the topology of integral self-
affine tiles with collinear digit sets. In particular, we prove that a large
class of these tiles is homeomorphic to a closed $3$-dimensional ball.
Moreover, we show that in this case $T$ carries a natural CW complex structure
that is defined in terms of the intersections of $T$ with its neighbors in the
lattice tiling $\\{T+z\,:\,z\in\mathbb{Z}^{3}\\}$ induced by $T$. This CW
complex structure is isomorphic to the CW complex defined by the truncated
octahedron.
###### Key words and phrases:
Self-affine sets, tiles and tilings, low dimensional topology, truncated
octahedron
###### 2020 Mathematics Subject Classification:
Primary: 28A80, 57M50. Secondary: 51M20, 52C22, 54F65.
Part of this paper was written during the conference “Numeration 2019” which
took place at the Erwin Schrödinger Institute in Vienna. The authors
acknowledge the hospitality and the convenient working conditions that were
provided there. Both authors are supported by the grant FWF W1230 funded by
the Austrian Science Fund.
## 1\. introduction
### 1.1. Context of the paper
The present paper is devoted to the study of the topology of $3$-dimensional
self-affine tiles.
Let $M\in\mathbb{Z}^{n\times n}$ be an integer matrix which is expanding in
the sense that each of its eigenvalues has modulus strictly greater than one.
Moreover, let $\mathcal{D}\subset\mathbb{Z}^{n}$ be a digit set with $|\det
M|$ elements.
Figure 1. Two examples of $3$-dimensional self-affine tiles.
Then it follows from the theory of iterated function systems (see e.g.
Hutchinson [17]) that there is a unique nonempty compact set
$T=T(M,\mathcal{D})$ such that
(1.1) $MT=T+\mathcal{D}.$
If $T$ has nonempty interior then it is called an integral self-affine tile,
or just a self-affine tile for short. If $\mathcal{D}$ is a complete set of
coset representatives of the residue class ring
$\mathbb{Z}^{n}/M\mathbb{Z}^{n}$, it is called a standard digit set. For
standard digit sets it is known that the nonempty compact set $T$ defined by
(1.1) always has nonempty interior (see Bandt [2]).
Self-affine tiles have been studied systematically since the 1990ies when
Bandt [2], Kenyon [19], Gröchenig and Haas [12], as well as Lagarias and Wang
[22, 23, 24] proved fundamental results on these objects. Since that time the
research on self-affine tiles developed in many different directions and they
play a role in various branches of mathematics like in the theory of dynamical
systems, in number theory, and in Fourier analysis and the construction of
wavelets. The present paper is concerned with the topology of self-affine
tiles. Since the seminal paper of Hata [15], the topology of self-affine sets
in general, and of self-affine tiles in particular, has been thoroughly
studied. Connectivity properties of self-affine tiles can be treated in a
satisfactory way in arbitrary dimension $n$ (see for instance Kirat and Lau
[20]). Further investigation of their topology often relies on the Jordan
curve theorem. For this reason, many papers on the topology of self-affine
tiles are restricted to the $2$-dimensional case. We refer for instance to
Bandt and Wang [4] or Leung and Lau [27] where homeomorphy to a disk was
investigated, or to Ngai and Tang [31, 32] for the study of self-affine tiles
with disconnected interior. Another interesting direction of research which
has relations to the Fuglede conjecture (cf. e.g. [11, 34]) is the
characterization of all digit sets $\mathcal{D}$ that give rise to a self-
affine tile $T(M,\mathcal{D})$ for a given expanding integer matrix $M$, see
for instance An and Lau [1], Lai et al. [26], and the survey by Lai and Lau
[25].
The present paper is devoted to the topology of $3$-dimensional self-affine
tiles. The systematic topological study of the $3$-dimensional case was
initiated some years ago when Bandt [3] considered the combinatorial topology
of some $3$-dimensional self-affine tiles. Later, Conner and Thuswaldner [6]
gave criteria for a self-affine tile to be a closed $3$-dimensional ball and
Deng et al. [9] dealt with self-affine tiles of a special form and showed that
they are $3$-dimensional balls. Kamae et al. [18] investigated a particular
class of $n$-dimensional self-affine tiles. Recently, Thuswaldner and Zhang
[35] studied a natural class of $3$-dimensional self-affine tiles and proved
that their boundary is homeomorphic to a $2$-sphere. It is this class of tiles
that we are interested in. Indeed, we want to explore if these tiles are
indeed homeomorphic to a $3$-dimensional ball, which means that we have to
exclude pathologies like the Alexander horned sphere which is known to occur
in the context of self-affine tiles (see [6, Section 8.2]).
### 1.2. Description of the main results
Our aim is to prove that a large class of well-known $3$-dimensional self-
affine tiles is homeomorphic to a closed $3$-dimensional ball. Moreover, we
will show that each tile in this class carries a natural CW complex structure
(see e.g. Hatcher [16, p. 5] for the definition of a CW complex).
Before we state our main results, we introduce some notation. Let $M$ be an
expanding $3\times 3$ integer matrix and let
$\mathcal{D}\subset\mathbb{Z}^{3}$ be a digit set such that the unique
nonempty compact set $T=T(M,\mathcal{D})$ defined by the set equation
(1.2) $T=\bigcup_{d\in\mathcal{D}}M^{-1}(T+d)$
has nonempty interior. Then $T$ is a self-affine tile. Define the set of
_neighbors_ of $T$ by
(1.3)
$\mathcal{S}=\\{\alpha\in\mathbb{Z}[M,\mathcal{D}]\setminus\\{0\\}\;:\;T\cap(T+\alpha)\neq\emptyset\\}.$
Here
$\mathbb{Z}[M,\mathcal{D}]=\mathbb{Z}[\mathcal{D},M\mathcal{D},M^{2}\mathcal{D}]\subseteq\mathbb{Z}^{3}$
is the smallest $M$-invariant lattice containing $\mathcal{D}$. This
definition is motivated by the fact that the collection
$\\{T+z\,:\,z\in\mathbb{Z}[M,\mathcal{D}]\\}$ often tiles the space
$\mathbb{R}^{3}$ with overlaps of Lebesgue measure $0$ (cf. e.g. Lagarias and
Wang [24]). The translated tiles $T+\alpha$ with $\alpha\in\mathcal{S}$ are
then those tiles which “touch” (i.e., have nonempty intersection with) the
“central tile” $T$ in this tiling. It is clear that $\mathcal{S}$ is a finite
set since $T$ is compact by definition and $\mathbb{Z}[M,\mathcal{D}]$ is
discrete. For the sets in which $T$ intersects with one given other tile we
use the notation
(1.4)
$\boldsymbol{B}_{\alpha}=T\cap(T+\alpha)\qquad(\alpha\in\mathbb{Z}[M,\mathcal{D}]\setminus\\{0\\}).$
More generally, for $\ell\geq 0$ we define the set of points in which $T$
intersects $\ell$ given other tiles by
(1.5)
$\boldsymbol{B}_{\boldsymbol{\alpha}}=\boldsymbol{B}_{\\{\alpha_{1},\ldots,\alpha_{\ell}\\}}=T\cap(T+\alpha_{1})\cap\cdots\cap(T+\alpha_{\ell})\qquad(\boldsymbol{\alpha}=\\{\alpha_{1},\ldots,\alpha_{\ell}\\}\subset\mathbb{Z}[M,\mathcal{D}]\setminus\\{0\\}).$
Note in particular that $\boldsymbol{B}_{\emptyset}=T$. Compactness of $T$ and
discreteness of $\mathbb{Z}[M,\mathcal{D}]$ again ensures that there exist
only finitely many
$\boldsymbol{\alpha}\subset\mathbb{Z}[M,\mathcal{D}]\setminus\\{0\\}$
satisfying $\boldsymbol{B}_{\boldsymbol{\alpha}}\not=\emptyset$.
We will be interested in the following class of self-affine tiles. Let $M$ be
an expanding $3\times 3$ integer matrix. We call
$\mathcal{D}\subset\mathbb{Z}^{3}$ a collinear digit set for $M$ if there is a
vector $v\in\mathbb{Z}^{3}\setminus\\{0\\}$ such that
(1.6) $\mathcal{D}=\\{0,v,2v,\ldots,(|\det M|-1)v\\}.$
If $\mathcal{D}$ has this form, a self-affine tile111Note that we assume here
that $T(M,\mathcal{D})$ is a self-affine tile. This does not follow from the
collinearity of $\mathcal{D}$. $T=T(M,\mathcal{D})$ is called a self-affine
tile with collinear digit set (such tiles have been studied intensively in
recent years, cf. e.g. [27, 35]).
For $k\geq 0$ denote the $k$-dimensional unit ball by
$\mathbb{D}^{k}=\\{x\in\mathbb{R}^{k}:\|x\|_{2}\leq 1\\}\subset\mathbb{R}^{k}$
($\|\cdot\|_{2}$ is the Euclidean norm). We note that $\mathbb{D}^{0}$ is a
single point. A closed $k$-cell or $k$-ball is a topological space that is
homeomorphic to $\mathbb{D}^{k}$.
Our first main result shows that a large class of self-affine tiles with
collinear digit sets are $3$-balls.
###### Theorem 1.1.
Let $T=T(M,\mathcal{D})$ be a $3$-dimensional self-affine tile with collinear
digit set and assume that the characteristic polynomial
$\chi(x)=x^{3}+Ax^{2}+Bx+C$ of $M$ satisfies $1=A\leq B<C$. If $T$ has $14$
neighbors then $T$ is a $3$-ball.
###### Remark 1.2.
Let $T=T(M,D)$ be a $3$-dimensional self-affine tile with collinear digit set.
If the coefficients $A,B,C$ of the characteristic polynomial
$\chi(x)=x^{3}+Ax^{2}+Bx+C$ of $M$ satisfy $1=A\leq B<C$ then the matrix $M$
is expanding (see [35, Lemma 2.2]). Moreover, according to [35, Theorem 1.1]
the collection $\\{T+\alpha\,:\,\alpha\in\mathbb{Z}[M,\mathcal{D}]\\}$ tiles
the space $\mathbb{R}^{3}$ with overlaps of Lebesgue measure $0$.
###### Remark 1.3.
According to [35, Theorem 1.4] a $3$-dimensional self-affine tile $T=T(M,D)$
with collinear digit set and characteristic polynomial
$\chi(x)=x^{3}+Ax^{2}+Bx+C$ of $M$ satisfying $1\leq A\leq B<C$ has $14$
neighbors if and only if one of the following conditions holds:
* •
$1\leq A<B<C$ and $B\geq 2A-1,C\geq 2(B-A)+2$;
* •
$1\leq A<B<C$ and $B<2A-1,C\geq A+B-2$.
We believe that similar criteria can also be established if negative
coefficients are allowed.
###### Remark 1.4.
We conjecture that, apart from sporadic cases (as, for instance, the ones
studied in [3]), $3$-dimensional self-affine tiles with collinear digit set
having more than $14$ neighbors are not homeomorphic to a $3$-ball. In the
$2$-dimensional case, only self-affine tiles with a small number of neighbors
have a nice topological structure (see [4]; we refer to [29, 31, 32] for
$2$-dimensional tiles with wild topology).
Our second main result shows that the sets
$\boldsymbol{B}_{\boldsymbol{\alpha}}$ defined in (1.5) provide a natural CW
complex structure on $T$.
###### Theorem 1.5.
Let $T=T(M,\mathcal{D})$ be a $3$-dimensional self-affine tile with collinear
digit set and assume that the characteristic polynomial
$\chi(x)=x^{3}+Ax^{2}+Bx+C$ of $M$ satisfies $1=A\leq B<C$. If $T$ has $14$
neighbors then $T$ carries the following natural CW complex structure.
* •
The closed $0$-cells are the $24$ nonempty sets
$\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2},\alpha_{3}\\}}$ with
$\\{\alpha_{1},\alpha_{2},\alpha_{3}\\}\subset\mathbb{Z}[M,\mathcal{D}]\setminus\\{0\\}$.
* •
The closed $1$-cells are the $36$ nonempty sets
$\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2}\\}}$ with
$\\{\alpha_{1},\alpha_{2}\\}\subset\mathbb{Z}[M,\mathcal{D}]\setminus\\{0\\}$.
* •
The closed $2$-cells are the $14$ nonempty sets $\boldsymbol{B}_{\alpha_{1}}$
with $\alpha_{1}\in\mathcal{S}$.
* •
The closed $3$-cell is $\boldsymbol{B}_{\emptyset}$.
For $i\in\\{1,2,3\\}$, the closed $i$-cell
$\boldsymbol{B}_{\boldsymbol{\alpha}}$, $\\#\boldsymbol{\alpha}=3-i$, is
attached to the $(i-1)$-skeleton $T^{i-1}$ by attaching its boundary
$\partial\boldsymbol{B}_{\boldsymbol{\alpha}}$ (as a manifold) to the
$(i-1)$-sphere
$\bigcup_{\alpha\not\in\boldsymbol{\alpha}}\boldsymbol{B}_{\boldsymbol{\alpha}\cup\\{\alpha\\}}.$
This CW complex is isomorphic to the natural CW complex structure of a
truncated octahedron.
###### Remark 1.6.
In the literature (see e.g. Hatcher [16, p. 5]), an (open) $k$-cell of a CW
complex is a topological space that is homeomorphic to the open unit ball in
$\mathbb{R}^{k}$ for $k\geq 0$ (a $0$-cell is a single point). The $k$-cells
of the CW complex defined in Theorem 1.5 are the nonempty sets
$\mathrm{Int}(\boldsymbol{B}_{\boldsymbol{\alpha}})$ with $|\alpha|=3-k$
($0\leq k\leq 3$). Here, for a $k$-manifold $\mathcal{M}$ with boundary,
$\mathrm{Int}(\mathcal{M})$ denotes the set of $x\in\mathcal{M}$ having a
neighborhood that is homeomorphic to a $k$-cell (contrary to the topological
interior $X^{\circ}$ of a set $X$ w.r.t. some ambient space). We use closed
cells for notational convenience.
Figure 2. The CW complex structure of a self-affine tile $T$.
In Figure 2 we visualize the CW complex structure of the self-affine tile $T$
on the right hand side of Figure 1. The whole tile
$T=\boldsymbol{B}_{\emptyset}$ is a closed $3$-cell. Each of the patches is
homeomorphic to a closed $2$-cell $\boldsymbol{B}_{\alpha}$ for some
$\alpha\in\mathcal{S}$. The union of these patches forms the $2$-sphere
$\partial T$. Two distinct closed $2$-cells meet in a closed $1$-cell
$\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2}\\}}$, and three closed $2$-cells
meet in a single point of the form
$\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2},\alpha_{3}\\}}$. If we consider open
cells, then clearly $T$ can be written as the disjoint union
$T=\coprod_{\boldsymbol{\alpha}\subset\mathbb{Z}^{3}}\mathrm{Int}(\boldsymbol{B}_{\boldsymbol{\alpha}}).$
In our proofs we need new ideas because the criterion for the homeomorphy of a
self-affine tile to a $3$-ball established in [6] is applicable only to single
tiles, while the theories developed in [9, 18] just cover tiles of a
particular shape. Our proofs use the theory of Bing [5] that leads to a
topological characterization of $k$-spheres for $k\leq 3$. However, since our
conditions differ from the ones of Bing, our proof differs from Bing’s proofs
and exploits the self-affinity of our tiles.
We have some hope that our theory can be applied to the case $A\geq 2$ as
well. However, this generalization would require more case studies and tedious
calculations. If negative coefficients $A,B,C$ are permitted, according
classes of expanding matrices can be studied. Moreover, Kwun [21] and O. G.
Harrold [13, 14] establish higher dimensional generalizations of the results
of Bing [5] that we are using here. These results can probably be used to
extend our theory to higher dimensions.
### 1.3. Outline of the paper
The paper is organized as follows. In Section 2 we provide preliminaries and
basic notions that will be of importance in the proofs of our main results.
This includes some graphs that are commonly used in the study of the topology
of self-affine tiles and a description of a tiling induced by the truncated
octahedron. This tiling is used as a model for the tiling induced by a self-
affine tile taken from the class we are interested in. Section 3 describes
intersections of subtiles of a self-affine tile. These intersections, which
will play an important role in the proofs of our main results, are captured by
a large graph, that will be studied in some detail. Finally, Section 4 gives
an account on the theory of partitionings due to Bing [5] and defines
particular sequences of partitionings that are suitable for our purposes.
Finally, these sequences of partitionings are used to establish Theorem 1.1.
Combining Theorem 1.1 with results from [35] finally leads to the proof of
Theorem 1.5.
## 2\. Intersections of self-affine tiles and CW complexes
In this section we set up some preliminaries. In Section 2.1 we provide some
basic properties of self-affine tiles that will be needed in the sequel. In
Section 2.2 we recall that each $3$-dimensional self-affine tile with
collinear digit set has a normal form, a so-called $ABC$-tile. This entails
that in the sequel we can restrict ourselves to the investigation of this
class of tiles without loss of generality. After that, in Section 2.3 we
recall the notion of neighbor graph that permits us to study intersections of
the form $T\cap(T+\alpha)$ for an $ABC$-tile $T$. Section 2.4 is devoted to
the Hata graph, a graph that surveys the intersections between the sets
$T+\alpha$, $\alpha\in\mathcal{S}$, and gives some results related to this
graph. Finally, in Section 2.5 we relate an $ABC$-tile $T$ with $14$ neighbors
and its lattice tiling to the so-called bitruncated cubic honeycomb, a lattice
tiling of $\mathbb{R}^{3}$ by truncated octahedra.
### 2.1. Basic properties of self-affine tiles
Let $M\in\mathbb{Z}^{3\times 3}$ and $\mathcal{D}\subset\mathbb{Z}^{3}$ be
given in a way that $T=T(M,\mathcal{D})$ is a self-affine tile. Let
(2.1)
$\mathcal{D}_{i}=\mathcal{D}+M\mathcal{D}+\dots+M^{i-1}\mathcal{D}\qquad(i\in\mathbb{N})$
and define the empty sum $\mathcal{D}_{0}$ to be equal to the vector
$0\in\mathbb{R}^{3}$. Iterating the set equation (1.2) for $i\in\mathbb{N}$
times yields
(2.2) $T=\bigcup_{d\in\mathcal{D}_{i}}M^{-i}(T+d).$
If $\mu$ denotes the Lebesgue measure in $\mathbb{R}^{3}$ we have
(2.3)
$\mu((T+d_{1})\cap(T+d_{2}))=0\qquad(d_{1},d_{2}\in\mathcal{D}_{i},\,d_{1}\neq
d_{2}),$
i.e., the sets in the union on the right hand side of (2.2) are mutually
essentially disjoint (cf. [23, (3.11)]). For this reason each set of the form
$M^{-i}(T+d)$ with $i\in\mathbb{N}$ and $d\in\mathcal{D}_{i}$ is called a
subtile of $T$. Accordingly, $M^{-k}(t+z)$ is called a subtile of
$M^{-k}(T+z)$ if $t$ is a subtile of $T$ ($k\in\mathbb{N}$ and
$z\in\mathcal{D}_{k}$).
Because $T$ is a self-affine tile it has nonempty interior. Thus the following
is true by [23, Theorem 1.1].
###### Lemma 2.1.
A self-affine tile $T$ is equal to the closure of its interior. Its boundary
$\partial T$ has zero Lebesgue measure.
Let $t_{1},t_{2}$ be two distinct subtiles of $T$. It is clear from the
measure disjointness of the union in (2.2) that either $t_{1}\subset t_{2}$,
or $t_{2}\subset t_{1}$, or $\mu(t_{1}\cap t_{2})=0$. Lemma 2.1 implies that
(2.4) $\mu(t_{1}\cap t_{2})=0\;\Longleftrightarrow\;t_{1}^{\circ}\cap
t_{2}^{\circ}=\emptyset\;\Longleftrightarrow\;t_{1}\cap t_{2}=\partial
t_{1}\cap\partial t_{2}.$
In the sequel we will often tacitly make use of these equivalences.
### 2.2. A normal form
For the tiles of our main results we now define a simple normal form. Let
$A,B,C\in\mathbb{N}$ with $1\leq A\leq B<C$ be given and set
(2.5) $M=\begin{pmatrix}0&0&-C\\\ 1&0&-B\\\ 0&1&-A\\\
\end{pmatrix}\quad\hbox{and}\quad\mathcal{D}=\left\\{\begin{pmatrix}0\\\ 0\\\
0\\\ \end{pmatrix},\begin{pmatrix}1\\\ 0\\\ 0\\\
\end{pmatrix},\dots,\begin{pmatrix}C-1\\\ 0\\\ 0\\\ \end{pmatrix}\right\\}.$
The matrix $M$ is expanding by [35, Lemma 2.2]. Moreover, $\mathcal{D}$ is a
complete set of coset representatives of $\mathbb{Z}^{3}/M\mathbb{Z}^{3}$ and
$\mathbb{Z}[M,\mathcal{D}]=\mathbb{Z}^{3}$. Define $T$ by $MT=T+\mathcal{D}$.
Then $T$ is a self-affine tile. We call such a tile $T$ an _$ABC$ -tile_. We
know from [35, Lemma 2.4] that an $ABC$-tile $T$ tiles $\mathbb{R}^{3}$ by
$\mathbb{Z}^{3}$-translates in the sense that
$T+\mathbb{Z}^{3}=\mathbb{R}^{3}$, where $(T+\alpha_{1})\cap(T+\alpha_{2})$
has Lebesgue measure $0$ for all $\alpha_{1},\alpha_{2}\in\mathbb{Z}^{3}$ with
$\alpha_{1}\not=\alpha_{2}$. We thus say that
$\\{T+z\,:\,z\in\mathbb{Z}^{3}\\}$ forms a tiling of $\mathbb{R}^{3}$.
It turns out that we can confine ourselves to the study of $ABC$-tiles.
Indeed, let $M^{\prime}$ be a $3\times 3$ integer matrix with characteristic
polynomial $\chi(x)=x^{3}+Ax^{2}+Bx+C$ satisfying $1\leq A\leq B<C$. By [35,
Lemma 2.2] we know that $M^{\prime}$ is an expanding matrix. Let
$v\in\mathbb{Z}^{3}\setminus\\{0\\}$ and let
$\mathcal{D}^{\prime}=\\{0,v,2v,\dots,(C-1)v\\}\subset\mathbb{Z}^{3}$ be a
collinear digit set such that
$T^{\prime}=T^{\prime}(M^{\prime},\mathcal{D}^{\prime})$ is a self-affine
tile. Let $T=T(M,\mathcal{D})$ be the $ABC$-tile with characteristic
polynomial $\chi$. From [35, Section 2.1] we know that there is a regular
matrix $E$ such that the linear mapping $E:\mathbb{R}^{3}\to\mathbb{R}^{3}$
maps $\mathbb{Z}^{3}$ bijectively onto
$\mathbb{Z}[M^{\prime},\mathcal{D}^{\prime}]$, that $T^{\prime}=ET$, and that
for each
$\\{\alpha_{1},\ldots,\alpha_{\ell}\\}\subset\mathbb{Z}[M^{\prime},\mathcal{D}^{\prime}]\setminus\\{0\\}$
we have
$T^{\prime}\cap(T^{\prime}+E\alpha_{1})\cap\dots\cap(T^{\prime}+E\alpha_{\ell})=E(T\cap(T+\alpha_{1})\cap\dots\cap(T+\alpha_{\ell})).$
It is therefore sufficient to prove Theorems 1.1 and 1.5 for $ABC$-tiles and
in all what follows we may restrict our attention to this class of self-affine
tiles.
Let $T=T(M,\mathcal{D})$ be an $ABC$-tile and let $z\in\mathcal{D}_{i}$ for
some $i\geq 0$. Because $\mathcal{D}$ is a standard digit set there exist
unique elements $e_{0},\ldots,e_{i-1}\in\\{0,\ldots,C-1\\}$ such that
$z=\sum_{j=0}^{i-1}M^{j}\begin{pmatrix}e_{j}\\\ 0\\\ 0\end{pmatrix}.$
In this case we write
(2.6) $z=(e_{i-1},\ldots,e_{0})_{M}.$
This notation will prove particularly useful for $i=1$ to write digits in a
space-saving way.
### 2.3. The neighbor graph
Let $T=T(M,\mathcal{D})$ be an $ABC$-tile. In the sequel we will need the so-
called _neighbor graph_ (see e.g. [33]), a graph that can be used to describe
the intersections $\boldsymbol{B}_{\alpha}=T\cap(T+\alpha)$ for
$\alpha\in\mathcal{S}$. We begin by recalling some definitions from graph
theory. For a directed labeled graph $G$ with set of nodes $V$, set of edges
$E$, and set of edge-labels $L$, we write an edge leading from $v\in V$ to
$v^{\prime}\in V$ labeled by $\ell\in L$ as $v\xrightarrow{\ell}v^{\prime}$.
In this case $v$ is called a _predecessor_ of $v^{\prime}$ and $v^{\prime}$ is
called a _successor_ of $v$. Following e.g. Diestel [10, Chapter 1] a (finite
or infinite) sequence
$v_{0}\xrightarrow{\ell_{1}}v_{1}\xrightarrow{\ell_{2}}v_{2}\xrightarrow{\ell_{3}}\cdots$
of consecutive edges in $G$ is called a _walk_. A walk whose nodes
$v_{0},v_{1},v_{2},\ldots$ are mutually distinct is called a _path_. If $G$ is
undirected and not labeled, then an edge of $G$ connecting the nodes $v$ and
$v^{\prime}$ is denoted by $v\,\mbox{---}\,v^{\prime}$. Walks and paths in $G$
are defined as in the directed case as sequences of consecutive edges with or
without possible repetitions, respectively. The length of a walk is its number
of edges. A walk of length $n$ in $G$ that starts and ends at the same node is
called an $n$-cycle if it contains a path of length $n-1$. $G$ is called
connected if for each pair $(v,v^{\prime})$ of distinct nodes of $G$ there is
a path of the form $v\,\mbox{---}\cdots\mbox{---}\,v^{\prime}$.
###### Definition 2.2 (Neighbor graph; cf. [33, Section 2]).
Let $M\in\mathbb{Z}^{3\times 3}$ and $\mathcal{D}\subset\mathbb{Z}^{3}$ be
given in a way that $T=T(M,\mathcal{D})$ is an $ABC$-tile with neighbor set
$\mathcal{S}$. Define the directed labeled neighbor graph $G(\mathcal{S})$ as
follows. The nodes of $G(\mathcal{S})$ are the neighbors $\mathcal{S}$, and
there is a labeled edge
(2.7) $\displaystyle\alpha\xrightarrow{d|d^{\prime}}\alpha^{\prime}\quad\text{
if and only if }M\alpha+d^{\prime}-d=\alpha^{\prime}\text{ with
}\alpha,\alpha^{\prime}\in\mathcal{S}\text{ and }d,d^{\prime}\in\mathcal{D}.$
In (2.7) the vector $d^{\prime}$ is determined by $\alpha,\alpha^{\prime},d$.
Thus we will often just write $\alpha\xrightarrow{d}\alpha^{\prime}$ instead
of $\alpha\xrightarrow{d|d^{\prime}}\alpha^{\prime}$. The notation $\alpha\in
G(\mathcal{S})$ means that $\alpha$ is a node of $G(\mathcal{S})$ and
$\alpha\xrightarrow{d}\alpha^{\prime}\in G(\mathcal{S})$ means that
$\alpha\xrightarrow{d}\alpha^{\prime}$ is an edge of $G(\mathcal{S})$. For
walks we will use an analogous notation.
Let $T=T(M,\mathcal{D})$ be an $ABC$-tile. Because
$\\{T+z\;:\;z\in\mathbb{Z}^{3}\\}$ forms a tiling of $\mathbb{R}^{3}$, we have
(2.8) $\partial T=\bigcup_{\alpha\in\mathcal{S}}\boldsymbol{B}_{\alpha}.$
Here $\mathcal{S}$ and $\boldsymbol{B}_{\alpha}$, $\alpha\in\mathcal{S}$, are
given by (1.3) and (1.4), respectively (note that
$\mathbb{Z}[M,\mathcal{D}]=\mathbb{Z}^{3}$ in these definitions because $T$ is
an $ABC$-tile). One can show (see e.g. [33, Proposition 2.2]) that the
nonempty compact sets $\boldsymbol{B}_{\alpha}$, $\alpha\in\mathcal{S}$, are
uniquely determined by the set equations
(2.9)
$\boldsymbol{B}_{\alpha}=\bigcup_{\begin{subarray}{c}d\in\mathcal{D},\alpha^{\prime}\in\mathcal{S}\\\
\alpha\xrightarrow{d}\alpha^{\prime}\in
G(\mathcal{S})\end{subarray}}M^{-1}(\boldsymbol{B}_{\alpha^{\prime}}+d)\qquad(\alpha\in\mathcal{S}).$
Here the union on the right hand side of (2.9) is extended over all
$d,\alpha^{\prime}$ with $\alpha\xrightarrow{d}\alpha^{\prime}\in
G(\mathcal{S})$. The defining equation (2.9) is an instance of a graph-
directed iterated function system. These objects were first studied in [30].
By (2.8) and (2.9) the boundary $\partial T$ is determined by the graph
$G(\mathcal{S})$.
Figure 3. The neighbor graph $G(\mathcal{S})$ for an $ABC$-tile $T$ with
$1\leq A\leq B<C$ having $14$ neighbors. Here we set
$P=(1,0,0)^{t},~{}Q=(A,1,0)^{t},~{}N=(B,A,1)^{t}$. To save space we write
$\alpha\xrightarrow{e}\alpha^{\prime}$ instead of
$\alpha\xrightarrow{(e)_{M}}\alpha^{\prime}$ in this figure (recall the
notation (2.6)). Multiple labels correspond to multiple edges. If an edge has
labels $d,\ldots,d^{\prime}$ with $d>d^{\prime}$ then the edge has to be
deleted.
The set $\mathcal{S}$ as well as the neighbor graph $G(\mathcal{S})$ of an
$ABC$-tile $T=T(M,\mathcal{D})$ can be calculated explicitly. In the present
paper we are interested in $ABC$-tiles having $14$ neighbors (observe the
characterization in Remark 1.3). In [35, Section 2.4] the following results
have been proved. Suppose that $T$ has $14$ neighbors. Then the neighbor set
$\mathcal{S}$ and the neighbor graph $G(\mathcal{S})$ are given as follows.
Set
$\mathcal{S}_{1}=\\{P,Q,N,Q-P,N-P,N-Q,N-Q+P\\},$
where $P=(1,0,0)^{t}$, $Q=(A,1,0)^{t}$, and $N=(B,A,1)^{t}$. Then the
$ABC$-tile $T$ has the neighbors
$\mathcal{S}=\mathcal{S}_{1}\cup(-\mathcal{S}_{1})$. Moreover, in this case
the neighbor graph $G(\mathcal{S})$ is given by the graph in Figure 3.
###### Remark 2.3.
This neighbor graph is strongly related to the de Bruijn graph $N_{4}$ of
binary words of length $4$ (see [8, Section 3]). Indeed, if we delete the
nodes corresponding to the words $0000$ and $1111$ in $N_{4}$ we get the graph
in Figure 3 (apart from the edge labels).
### 2.4. The Hata graph and Peano continua
Let $T=T(M,\mathcal{D})$ be an $ABC$-tile. The Hata graph $H(\mathcal{S})$ of
the neighbors of $T$ is defined as follows. The nodes of $H(\mathcal{S})$ are
the elements of $\mathcal{S}$ and there is an undirected edge between two
distinct elements $\alpha_{1},\alpha_{2}\in\mathcal{S}$ if and only if
$(T+\alpha_{1})\cap(T+\alpha_{2})\not=\emptyset$. For an $ABC$-tile with $14$
neighbors the Hata graph $H(\mathcal{S})$ is depicted in Figure 4. It can be
determined by using [35, Lemma 2.16] (see also [35, Figure 9]). The following
lemma is a reformulation of some basic results from [35, Section 2].
Figure 4. The Hata graph $H(\mathcal{S})$ (left) which is isomorphic to the
graph of vertices and edges of the so-called tetrakis hexahedron. The tetrakis
hexahedron (right) is a Catalan polyhedron which is the dual of the truncated
octahedron (see e.g. [7, p. 284]).
###### Lemma 2.4.
Let $T$ be an $ABC$-tile with $14$ neighbors. Let
$\alpha_{1},\alpha_{2},\alpha_{3}\in\mathbb{Z}^{3}\setminus\\{0\\}$ be
mutually distinct. We have
* (1)
$\boldsymbol{B}_{\alpha_{1}}\not=\emptyset$ if and only if $\alpha_{1}$ is a
node of $H(\mathcal{S})$.
* (2)
$\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2}\\}}\not=\emptyset$ if and only if
$\alpha_{1}\relbar\\!\relbar\\!\relbar\alpha_{2}$ is an edge in
$H(\mathcal{S})$.
* (3)
$\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2},\alpha_{3}\\}}\not=\emptyset$ if and
only if there is a 3-cycle with nodes $\alpha_{1},\alpha_{2},\alpha_{3}$ in
$H(\mathcal{S})$.
* (4)
If $\boldsymbol{\alpha}\subset\mathbb{Z}^{3}\setminus\\{0\\}$ has more than
three elements then $\boldsymbol{B}_{\boldsymbol{\alpha}}=\emptyset$.
###### Proof.
Item (1) follows because the nodes of $H(\mathcal{S})$ are the neighbors of
$T$. Item (2) follows from the definition of the edges of $H(\mathcal{S})$.
Items (3) and (4) follow from [35, Lemma 2.16]. For (3) one just has to check
that the nodes of the graph $G_{3}(\mathcal{S})$ defined in [35, Figure 6] are
in one-to-one correspondence with the $3$-cycles of $H(\mathcal{S})$. ∎
The Hata graph $H(\mathcal{S})$ and some other Hata graphs are used in the
proof of the following lemma.
###### Lemma 2.5.
Let $T$ be an $ABC$-tile with $14$ neighbors. Then $T$ and $\partial T$ are
Peano continua.
###### Proof.
Since $P\in\mathcal{S}$, we have $M^{-1}\boldsymbol{B}_{P}=M^{-1}T\cap
M^{-1}(T+P)\not=\emptyset$. Thus $T$ is a Peano continuum by (1.2) and [15,
Theorem 4.6].
Next we prove that $\boldsymbol{B}_{\alpha}$ is a Peano continuum for each
$\alpha\in\mathcal{S}$. For $\alpha\in\mathcal{S}$ let
$Z_{\alpha}=\\{M^{-1}(\boldsymbol{B}_{\alpha^{\prime}}+d)\;:\;d\in\mathcal{D},\alpha^{\prime}\in\mathcal{S}\hbox{
such that }\alpha\xrightarrow{d}\alpha^{\prime}\in G(\mathcal{S})\hbox{
exists}\\}$
be the collection of the sets in the union on the right hand side of (2.9).
The Hata graph of $Z_{\alpha}$ is the undirected graph $H_{\alpha}$ whose
nodes are the elements of $Z_{\alpha}$ and that has an edge between two
distinct elements of $b_{1},b_{2}\in Z_{\alpha}$ if and only if $b_{1}\cap
b_{2}\not=\emptyset$. According to [35, Lemma 3.3] (see also [28, Theorem
4.1]), to establish the claim we have to prove that $H_{\alpha}$ is connected
for each $\alpha\in\mathcal{S}$. To this matter we have to construct the
graphs $H_{\alpha}$. This is done by checking whether intersections of the
form $b_{1}\cap b_{2}$ with distinct $b_{1},b_{2}\in Z_{\alpha}$ are empty or
not. Since $b_{1}=M^{-1}((T+d_{1})\cap(T+d_{1}+\alpha_{1}))$ and
$b_{2}=M^{-1}((T+d_{2})\cap(T+d_{2}+\alpha_{2}))$ with some
$d_{1},d_{2}\in\mathcal{D}$ and some $\alpha_{1},\alpha_{2}\in\mathcal{S}$,
(2.10) $b_{1}\cap
b_{2}=M^{-1}((T+d_{1})\cap(T+d_{1}+\alpha_{1})\cap(T+d_{2})\cap(T+d_{2}+\alpha_{2})).$
Set
$\boldsymbol{\alpha}=\\{\alpha_{1},d_{2}-d_{1},\alpha_{2}+d_{2}-d_{1}\\}\setminus\\{0\\}$.
Then $b_{1}\cap b_{2}$ is an affine image of
$\boldsymbol{B}_{\boldsymbol{\alpha}}$, where
$|\boldsymbol{\alpha}|\in\\{2,3\\}$ depending on whether the four translates
$\\{d_{1},d_{1}+\alpha_{1},d_{2},d_{2}+\alpha_{2}\\}$ in (2.10) are mutually
distinct or not. But if $\boldsymbol{B}_{\boldsymbol{\alpha}}$ is empty or not
can be read off the Hata graph $H(\mathcal{S})$ in view of Lemma 2.4. For
$\alpha=P$ we see from Figure 3 that the nodes of $H_{P}$ are
$Z_{P}=\\{M^{-1}(\boldsymbol{B}_{Q}+(e)_{M})\;:\;0\leq e\leq
C-A-1\\}\cup\\{M^{-1}(\boldsymbol{B}_{Q-P}+(e)_{M})\;:\;0\leq e\leq C-A\\}.$
Let $b_{1},b_{2}\in Z_{P}$ be distinct. Inspecting $H(\mathcal{S})$ (or
directly from [35, Corollary 3.23]) we see that the Hata graph $H_{P}$ is the
line given in Figure 5, and, hence, $H_{P}$ is connected.
Figure 5. The Hata graph $H_{P}$ (we omit the multiplication by $M^{-1}$ and
write $e$ instead of $(e)_{M}$ to save space).
Analogously we see that $H_{\alpha}$ is a line or a single node and, hence,
connected for each $\alpha\in\mathcal{S}\setminus\\{P\\}$ as well. Thus [35,
Lemma 3.3] yields that $\boldsymbol{B}_{\alpha}$ is a Peano continuum222It is
easy to see from (2.9) that $\boldsymbol{B}_{\alpha}$ is not a single point
($\alpha\in\mathcal{S}$). for each $\alpha\in\mathcal{S}$.
Since $T$ is connected, $\partial T$ is connected as well by [28, Theorem
1.2]. Therefore, by (2.8), $\partial T$ is a connected union of finitely many
Peano continua and, hence, a Peano continuum. ∎
The fact that $\partial T$ is a Peano continuum is not used in the present
paper. However, it is tacitly used in [35, Section 3.4] without giving a
formal proof (although in [35, Corollary 3.23 and Lemma 3.3] all ingredients
for the proof are provided). Thus we decided to prove it here before we state
the following version of the main result of [35], which is formulated by using
$H(\mathcal{S})$.
###### Proposition 2.6.
Let $T$ be an $ABC$-tile with $14$ neighbors and let
$\alpha_{1},\alpha_{2},\alpha_{3}\in\mathbb{Z}^{3}\setminus\\{0\\}$ be
mutually distinct. Then the following assertions hold.
* (1)
$\boldsymbol{B}_{\alpha_{1}}$ is a $2$-ball if $\alpha_{1}\in\mathcal{S}$, and
$\boldsymbol{B}_{\alpha_{1}}=\emptyset$ otherwise.
* (2)
$\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2}\\}}$ is a $1$-ball if there is an
edge $\alpha_{1}\relbar\\!\relbar\\!\relbar\alpha_{2}$ in $H(\mathcal{S})$,
and $\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2}\\}}=\emptyset$ otherwise.
Moreover, for each $\alpha_{1}\in\mathcal{S}$ we have
$\bigcup_{\alpha_{2}:\;\alpha_{1}\relbar\\!\relbar\\!\relbar\alpha_{2}\in
H(\mathcal{S})}\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2}\\}}\simeq\mathbb{S}^{1}.$
* (3)
$\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2},\alpha_{3}\\}}$ is a $0$-ball if
there is a 3-cycle
$\alpha_{1}\relbar\\!\relbar\\!\relbar\alpha_{2}\relbar\\!\relbar\\!\relbar\alpha_{3}\relbar\\!\relbar\\!\relbar\alpha_{1}$
in $H(\mathcal{S})$, and
$\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2},\alpha_{3}\\}}=\emptyset$ otherwise.
* (4)
If $\boldsymbol{\alpha}\subset\mathbb{Z}^{3}\setminus\\{0\\}$ has more than
three elements then $\boldsymbol{B}_{\boldsymbol{\alpha}}=\emptyset$.
###### Proof.
Assertion (1) is the content of [35, Theorem 1.1 (2)]. Assertion (2) follows
from [35, Proposition 3.10 (2)] and Lemma 2.4 (2). To see assertion (3)
observe that in [35, Section 3.1] it is shown that
$\boldsymbol{B}_{\\{\alpha_{1},\alpha_{2},\alpha_{3}\\}}$ is either a
singleton or empty. Thus (3) follows from Lemma 2.4 (3). Assertion (4) is just
Lemma 2.4 (4). ∎
### 2.5. On the topology of certain subsets of $\partial T$
Let $M\in\mathbb{Z}^{3\times 3}$ and $\mathcal{D}\subset\mathbb{Z}^{3}$ be
given in a way that $T=T(M,\mathcal{D})$ is an $ABC$-tile. Suppose that $T$
has $14$ neighbors. In what follows we will need precise information on the
topology of the subsets
(2.11) $U(R)=\bigcup_{\alpha\in
R}\boldsymbol{B}_{\alpha}\qquad(R\subseteq\mathcal{S})$
of the boundary $\partial T$.
Let $O$ be a truncated octahedron whose sides are labeled by the elements of
$\mathcal{S}$ in the way shown on the left hand side of Figure 6, with the
convention that the side opposite to the side labeled with
$\alpha\in\mathcal{S}$ is labeled with $-\alpha$. We denote the face of $O$
labeled with $\alpha\in\mathcal{S}$ by $O_{\alpha}$. Moreover, for
$\boldsymbol{\alpha}\subseteq\mathcal{S}$ we define the intersections
(2.12)
$O_{\boldsymbol{\alpha}}=\bigcap_{\alpha\in\boldsymbol{\alpha}}O_{\alpha}$
with the convention that $O_{\emptyset}=O$.
Figure 6. A truncated octahedron and a patch of the bitruncated cubic
honeycomb.
It is well-known that $O$ induces a tiling of the $3$-dimensional Euclidean
space: the so-called bitruncated cubic honeycomb (see the left hand side of
Figure 6 for a patch of this tiling). This tiling has the same “intersection
structure” as $\\{T+z\,:\,z\in\mathbb{Z}^{3}\\}$. In particular, comparing the
labeled octahedron $O$ from Figure 6 with Proposition 2.6 we see that the
following result holds.
###### Lemma 2.7.
Let $T$ be an $ABC$-tile with $14$ neighbors. For each nonempty
$\boldsymbol{\alpha}\subseteq\mathcal{S}$ we have
$\boldsymbol{B}_{\boldsymbol{\alpha}}\simeq O_{\boldsymbol{\alpha}}.$
Moreover, we get the following topological characterization of the sets
$U(R)$.
###### Lemma 2.8.
Let $T$ be an $ABC$-tile with $14$ neighbors. Let $R\subseteq\mathcal{S}$ be
given. Then
(2.13) $U(R)\simeq\bigcup_{\alpha\in R}O_{\alpha}.$
Here $U(R)$ is as in (2.11).
###### Proof.
Denote the right hand side of (2.13) by $U^{\prime}(R)$. It is easy to see
that $U^{\prime}(R)$ is a CW complex333Again we use closed cells instead of
open ones for convenience. (cf. [16, p. 5]). Indeed, for $i\in\\{0,1,2\\}$ the
closed $i$-cells are given by the nonempty sets $O_{\boldsymbol{\alpha}}$ with
$\boldsymbol{\alpha}\subseteq\mathcal{S}$, $\boldsymbol{\alpha}\cap
R\neq\emptyset$, and $\\#\boldsymbol{\alpha}=3-i$. Thus the $0$-skeleton
$U^{\prime}(R)^{0}$ is the set of vertices of $U^{\prime}(R)$. Each closed
$1$-cell $O_{\\{\alpha_{1},\alpha_{2}\\}}$ is attached to the two closed
$0$-cells $O_{\boldsymbol{\alpha}}$ satisfying
$\boldsymbol{\alpha}\supset\\{\alpha_{1},\alpha_{2}\\}$ and
$\\#\boldsymbol{\alpha}=3$. This yields the $1$-skeleton $U^{\prime}(R)^{1}$.
To get $U^{\prime}(R)$ we attach each closed $2$-cell $O_{\alpha_{1}}$,
$\alpha_{1}\in R$, to the circle
$\bigcup_{\alpha_{2}\in\mathcal{S}:\alpha_{2}\not=\alpha_{1}}O_{\\{\alpha_{1},\alpha_{2}\\}}$.
From Proposition 2.6 we see that the set $U(R)$ is a CW complex whose closed
$i$-cells are given by the nonempty sets
$\boldsymbol{B}_{\boldsymbol{\alpha}}$ with
$\boldsymbol{\alpha}\subseteq\mathcal{S}$, $\boldsymbol{\alpha}\cap
R\neq\emptyset$, and $\\#\boldsymbol{\alpha}=3-i$ for $i\in\\{0,1,2\\}$ with
analogous attaching rules as above.
Thus, by Lemma 2.7, $U(R)$ and $U^{\prime}(R)$ have isomorphic CW complex
structures, hence, they are isomorphic as topological spaces. ∎
This lemma reduces the problem of determining the topology of $U(R)$ to a
simple combinatorial problem. In Figure 7 we give two examples. The one on the
left hand side shows that $U(R)$ is a $2$-ball if $R=\\{P,N-Q,N-Q+P\\}$, from
the second one we immediately see that $U(R)$ is the union of $2$ disjoint
$2$-balls if $R=\\{N,N-P,N-Q,N-Q+P,Q-N-P\\}$.
Figure 7. The set $\bigcup_{\alpha\in R}O_{\alpha}$ for two choices of
$R\subseteq\mathcal{S}$.
## 3\. Types of intersections
Let $T=T(M,\mathcal{D})$ be an $ABC$-tile with $14$ neighbors. In Section 3.1
we study basic properties of intersections of the form $t_{1}\cap t_{2}$ where
$t_{1}$ and $t_{2}$ are essentially disjoint subtiles of $T$ ($t_{1}$ may also
be equal to $\overline{\mathbb{R}^{3}\setminus T}$). We will show that we can
attach to $t_{1}\cap t_{2}$ a set $R\subseteq\mathcal{S}$ such that $t_{1}\cap
t_{2}\simeq U(R)$. According to Lemma 2.8, the topology of $U(R)$ is easy to
determine. Knowing the topology of such intersections will be important in
order to apply the results of Bing [5] that will be needed in the proof of
Theorem 1.1. Section 3.2 shows a way to choose the set $R\subseteq\mathcal{S}$
for each intersection $t_{1}\cap t_{2}$ in a unique way (up to sign changes).
This set is, by definition, the type of the intersection. In Section 3.3 we
define a graph that will help us to survey the possible types of intersection
(i.e., the possible subsets $R$) that will occur in this context.
### 3.1. Basic properties of intersections
The definition of the type of an intersection requires some preparation. Let
$T=T(M,\mathcal{D})$ be an $ABC$-tile. Let
$t_{\infty}=\overline{\mathbb{R}^{3}\setminus
T}=T+(\mathbb{Z}^{3}\setminus\\{0\\})$
be the closure of the complement of $T$. We define the collection (recall that
$\mathcal{D}_{i}$ is defined in (2.1))
$\mathcal{C}=\\{M^{-i}(T+d)\;:\;i\in\mathbb{N},\,d\in\mathcal{D}_{i}\\}\cup\\{t_{\infty}\\}$
that contains $t_{\infty}$ as well as each of the subtiles of $T$. If
$t\in\mathcal{C}$ we define
(3.1) $\mathrm{level}(t)=\begin{cases}i,&\hbox{if $t$ is of the form
$M^{-i}(T+d)$ for $i\in\mathbb{N}$ and $d\in\mathcal{D}_{i}$},\\\
-\infty,&\hbox{if $t=t_{\infty}$}.\end{cases}$
We provide the following simple result. Recall that $U(R)$ is defined in
(2.11).
###### Lemma 3.1.
Let $T$ be an $ABC$-tile with $14$ neighbors. Let $t_{1},t_{2}\in\mathcal{C}$
be essentially disjoint. Then there is $R\subseteq\mathcal{S}$ (possibly
empty) such that $t_{1}\cap t_{2}=M^{-\ell}(U(R)+d)$ for some
$\ell\in\mathbb{N}$ and some $d\in\mathbb{Z}^{3}$.
###### Proof.
Assume w.l.o.g. that $\mathrm{level}(t_{1})\leq\mathrm{level}(t_{2})$. Set
$\ell_{i}=\mathrm{level}(t_{i})$. Then $\ell_{2}\in\mathbb{N}$ and
$t_{2}=M^{-\ell_{2}}(T+d)$ for some $d\in\mathcal{D}_{\ell_{2}}$ and, by
possibly subdividing $t_{1}$, we see that $t_{1}$ is a union of sets of the
form $M^{-\ell_{2}}(T+z_{k})$ with $z_{k}\in\mathbb{Z}^{3}\setminus\\{d\\}$
(this union is infinite if and only if $t_{1}=t_{\infty}$). Thus
$t_{1}\cap t_{2}=\bigcup_{k}M^{-\ell_{2}}(T+z_{k})\cap
M^{-\ell_{2}}(T+d)=M^{-\ell_{2}}\bigcup_{k}(\boldsymbol{B}_{z_{k}-d}+d).$
Because $\boldsymbol{B}_{\alpha}\neq\emptyset$ holds if and only if
$\alpha\in\mathcal{S}$ there is a set $R\subseteq\mathcal{S}$ such that
$t_{1}\cap t_{2}=M^{-\ell_{2}}\bigcup_{\alpha\in
R}(\boldsymbol{B}_{\alpha}+d)=M^{-\ell_{2}}(U(R)+d).\qed$
By this lemma the topology of the intersection of two essentially disjoint
elements of $\mathcal{C}$ can be described in terms of a subset
$R\subseteq\mathcal{S}$. Using the notation (2.6), from (2.7) we gain
(3.2) $\alpha\xrightarrow{d}\alpha^{\prime}\in G(\mathcal{S})\quad\hbox{if and
only if}\quad-\alpha\xrightarrow{(C-1)_{M}-d}-\alpha^{\prime}\in
G(\mathcal{S}).$
Thus (2.9) yields $\boldsymbol{B}_{-\alpha}=x_{C}-\boldsymbol{B}_{\alpha}$
with $x_{C}=\sum_{i\geq 1}M^{-i}(C-1)_{M}$ for each $\alpha\in\mathcal{S}$
and, hence, $U(-R)=x_{C}-U(R)$. This implies that $U(-R)\simeq U(R)$, and we
therefore want to identify $R$ with $-R$ in this description. To this matter
we define the equivalence relation $\approx$ on the power set
$2^{\mathcal{S}}$ of $\mathcal{S}$ by $R\approx R^{\prime}$ if and only if
$R^{\prime}=\pm R$. The equivalence classes of this relation are denoted by
$\overline{R}$ for $R\subseteq\mathcal{S}$. Since this notation is only used
for (finite) subsets $R$ of $\mathcal{S}$, there is no risk of confusion with
the closure $\overline{X}$ of a set $X$, for which the same notation is used.
###### Remark 3.2.
Let $t_{1},t_{2}\in\mathcal{C}$ be essentially disjoint. By Lemma 3.1,
$t_{1}\cap t_{2}=M^{-\ell}(U(R)+d)$ for some $\ell\in\mathbb{N}$,
$d\in\mathbb{Z}^{3}$, and $R\subset\mathcal{S}$. We could define
$\overline{R}$ as the type of the intersection of $t_{1}\cap t_{2}$. However,
a priori $\overline{R}$ is not uniquely defined by this equality and we would
have to prove unicity. To circumvent this, in Section 3.2 we give another
(equivalent) definition of type that is obviously unique and better suited to
our purposes. Roughly speaking, we pick the “right” class $\overline{R}$ by
using the neighbor graph. The additional effort we need in order to state this
definition will pay off later.
Before we can define the type of an intersection, we need one more lemma.
###### Lemma 3.3.
Let $T$ be an $ABC$-tile. Let $\alpha\in\mathbb{Z}^{3}\setminus\\{0\\}$,
$i\geq 0$, and $d=d_{i}+Md_{i-1}+\dots+M^{i-1}d_{1}\in\mathcal{D}_{i}$. Then
(3.3) $\begin{split}(T+\alpha)\cap
M^{-i}(T+d)&=M^{-i}\bigcup_{\alpha_{i}:\;\alpha\xrightarrow{d_{1}}\alpha_{1}\xrightarrow{d_{2}}\cdots\xrightarrow{d_{i}}\alpha_{i}\in
G(\mathcal{S})}(\boldsymbol{B}_{\alpha_{i}}+d),\end{split}$
where the union is extended over all $\alpha_{i}\in\mathcal{S}$ for which
there exist $\alpha_{1},\ldots,\alpha_{i-1}\in\mathcal{S}$ such that there is
a walk
$\alpha\xrightarrow{d_{1}}\alpha_{1}\xrightarrow{d_{2}}\cdots\xrightarrow{d_{i}}\alpha_{i}\in
G(\mathcal{S})$.
Note that the union in (3.3) may well be empty. This is certainly the case if
$\alpha\not\in\mathcal{S}$.
###### Proof.
For $i=0$ we have $d=0$ and (3.3) is trivial. For $i\geq 1$ we prove (3.3) by
induction on $i$. For the induction start let $i=1$ and observe that for each
fixed $d\in\mathcal{D}$ we get, by the set equation (1.2) and the definition
of the edges in $G(\mathcal{S})$ provided in (2.7),
(3.4) $\begin{split}(T+\alpha)\cap
M^{-1}(T+d)&=M^{-1}\big{(}(MT+M\alpha)\cap(T+d)\big{)}\\\
&=M^{-1}\bigcup_{d^{\prime}\in\mathcal{D}}\big{(}(T+d^{\prime}+M\alpha)\cap(T+d)\big{)}\\\
&=M^{-1}\bigcup_{d^{\prime}\in\mathcal{D}}\big{(}\big{(}(T+M\alpha+d^{\prime}-d)\cap
T\big{)}+d\big{)}\\\
&=M^{-1}\bigcup_{\alpha^{\prime}:\;\alpha\xrightarrow{d}\alpha^{\prime}\in
G(\mathcal{S})}(\boldsymbol{B}_{\alpha^{\prime}}+d).\end{split}$
For the induction step assume that (3.3) holds for $i-1$ instead of $i$, let
$d^{\prime}=d_{i-1}+Md_{i-2}+\dots+M^{i-2}d_{1}\in\mathcal{D}_{i-1}$ and
$d=d_{i}+Md^{\prime}$. The set equation (1.2) implies that $M^{-i}(T+d)\subset
M^{-i+1}(T+d^{\prime})$. Thus by the induction hypothesis
$\begin{split}(T+\alpha)\,\cap\,&M^{-i}(T+d)=(T+\alpha)\cap
M^{-i+1}(T+d^{\prime})\cap M^{-i}(T+d)\\\
&=M^{-i+1}\bigcup_{\alpha_{i-1}:\;\alpha\xrightarrow{d_{1}}\alpha_{1}\xrightarrow{d_{2}}\cdots\xrightarrow{d_{i-1}}\alpha_{i-1}\in
G(\mathcal{S})}\big{(}(\boldsymbol{B}_{\alpha_{i-1}}+d^{\prime})\cap
M^{-1}(T+d)\big{)}\\\
&=M^{-i+1}\bigcup_{\alpha_{i-1}:\;\alpha\xrightarrow{d_{1}}\alpha_{1}\xrightarrow{d_{2}}\cdots\xrightarrow{d_{i-1}}\alpha_{i-1}\in
G(\mathcal{S})}\big{(}\big{(}(T+\alpha_{i-1})\cap
M^{-1}(T+d_{i})\big{)}+d^{\prime}\big{)}.\end{split}$
Applying (3.4) to the last intersection yields (3.3) and the induction is
finished. ∎
### 3.2. The type of an intersection
We are now ready to define the type of an intersection. Let $T$ be an
$ABC$-tile with $14$ neighbors, let
$t\in\mathcal{C}\setminus\\{t_{\infty}\\}$, and set $i=\mathrm{level}(t)$.
Then there is $d=d_{i}+Md_{i-1}+\dots+M^{i-1}d_{1}\in\mathcal{D}_{i}$ such
that $t=M^{-i}(T+d)\subseteq T$. Thus Lemma 3.3 implies that
(3.5) $t_{\infty}\cap t=\bigcup_{\alpha\in\mathcal{S}}((T+\alpha)\cap
M^{-i}(T+d))=M^{-i}\bigcup_{\alpha\in\mathcal{S}}\bigcup_{\alpha_{i}\;:\alpha\xrightarrow{d_{1}}\alpha_{1}\xrightarrow{d_{2}}\cdots\xrightarrow{d_{i}}\alpha_{i}\in
G(\mathcal{S})}(\boldsymbol{B}_{\alpha_{i}}+d).$
We say that the intersection $t_{\infty}\cap t$ is of type
$\overline{R(t_{\infty},t)}$ with
(3.6) $R(t_{\infty},t)=\\{\alpha_{i}\;:\,\hbox{there is $\alpha\in\mathcal{S}$
with
}\alpha\xrightarrow{d_{1}}\alpha_{1}\xrightarrow{d_{2}}\cdots\xrightarrow{d_{i}}\alpha_{i}\in
G(\mathcal{S})\\}.$
Note that (3.5) implies that $t_{\infty}\cap t\simeq U(R(t_{\infty},t))\simeq
U(-R(t_{\infty},t))$. Thus the type $\overline{R(t_{\infty},t)}$ determines
the topology of the intersection $t_{\infty}\cap t$.
Let $t_{1},t_{2}\in\mathcal{C}\setminus\\{t_{\infty}\\}$ be essentially
disjoint and ordered such that
$i=\mathrm{level}(t_{1})\leq\mathrm{level}(t_{2})=j$. We can uniquely choose
$z\in\mathbb{Z}^{3}$, $\alpha\in\mathbb{Z}^{3}\setminus\\{0\\}$,
$d=d_{j-i}+Md_{j-i-1}+\dots+M^{j-i-1}d_{1}\in\mathcal{D}_{j-i}$ in a way that
$M^{i}(t_{1}\cap t_{2})+z=(T+\alpha)\cap M^{i-j}(T+d).$
Thus Lemma 3.3 implies that
(3.7) $t_{1}\cap
t_{2}=M^{-j}\Big{(}\bigcup_{\alpha_{j-i}:\,\alpha\xrightarrow{d_{1}}\alpha_{1}\xrightarrow{d_{2}}\cdots\xrightarrow{d_{j-i}}\alpha_{j-i}\in
G(\mathcal{S})}(\boldsymbol{B}_{\alpha_{j-i}}+d)\Big{)}-M^{-i}z.$
We say that the intersection $t_{1}\cap t_{2}$ is of type444If $i=j$ we could
switch the roles of $t_{1}$ and $t_{2}$. But since it is easy to see that in
this case $R(t_{1},t_{2})=-R(t_{2},t_{1})$, the type
$\overline{R(t_{1},t_{2})}$ is well defined also in this case.
$\overline{R(t_{1},t_{2})}$ with
(3.8)
$R(t_{1},t_{2})=\\{\alpha_{j-i}\;:\;\alpha\xrightarrow{d_{1}}\alpha_{1}\xrightarrow{d_{2}}\cdots\xrightarrow{d_{j-i}}\alpha_{j-i}\in
G(\mathcal{S})\\}.$
Note that (3.7) implies that $t_{1}\cap t_{2}\simeq U(R(t_{1},t_{2}))\simeq
U(-R(t_{1},t_{2}))$. Thus the type $\overline{R(t_{1},t_{2})}$ determines the
topology of the intersection $t_{1}\cap t_{2}$. Summing up we have the
following lemma.
###### Lemma 3.4.
Let $t_{1},t_{2}\in\mathcal{C}$ be essentially disjoint. If $t_{1}\cap t_{2}$
is of type $\overline{R}$ for some $R\subseteq\mathcal{S}$ then $t_{1}\cap
t_{2}\simeq U(R)$.
Let $t_{1},t_{2}\in\mathcal{C}$ be essentially disjoint. If $t_{1}\cap t_{2}$
has a certain type, we want to know how this influences the type of $t_{1}\cap
t_{2}^{\prime}$ for $t_{2}^{\prime}\in\mathcal{C}$ with $t_{2}^{\prime}\subset
t_{2}$. This will be studied in the next section.
### 3.3. A graph that governs the types of intersections
Let $T$ be an $ABC$-tile with $14$ neighbors. We want to know which classes
$\overline{R}$ are needed to describe all possible intersections of
essentially disjoint elements of $\mathcal{C}$. To this end we introduce the
following notation. For a subset $R\subseteq\mathcal{S}$ and a digit
$d\in\mathcal{D}$, we define
(3.9)
$n_{d}(R):=\\{\alpha^{\prime}\;:\;\alpha\xrightarrow{d}\alpha^{\prime}\in
G(\mathcal{S})\text{ for }\alpha\in R\\}.$
Then $n_{d}(R)$ contains the successors of elements of $R$ in the neighbor
graph that can be reached by an edge with label $d$. Of course, $n_{d}(R)$ is
a subset of $\mathcal{S}$. By the symmetry property (3.2) we have
(3.10)
$n_{d}(R)=-n_{(C-1)_{M}-d}(-R)\qquad(R\subseteq\mathcal{S},\,d\in\mathcal{D}).$
Let $N_{0}=\\{\overline{\mathcal{S}}\\}$ be the set containing the residue
class of the full set of neighbors and recursively define a nested sequence
$(N_{k})_{k\geq 0}$ of subsets of the power set $2^{\mathcal{S}}$ by
(3.11) $N_{k}=\\{\overline{n_{d}(R)}\;:\;\overline{R}\in
N_{k-1},d\in\mathcal{D}\\}\cup N_{k-1}\qquad(k\geq 1).$
By (3.10), $N_{k}$ is well-defined because nothing changes if we replace $R$
by $-R$ in the argument of $n_{d}$ on the right hand side of (3.11). Because
$2^{\mathcal{S}}$ is finite there exists a minimal $k_{0}\in\mathbb{N}$ such
that $N_{k_{0}+1}=N_{k_{0}}$ and, hence, $N_{k}=N_{k_{0}}$ for each $k\geq
k_{0}$. This leads to the following definition.
###### Definition 3.5 (Intersection graph).
Let $T$ be an $ABC$-tile with $14$ neighbors. The _intersection graph_
$\mathcal{I}$ is the graph whose nodes are the elements of555We leave away the
empty set for practical reasons. It would cause many additional edges in the
intersection graph. $N_{k_{0}}\setminus\\{\overline{\emptyset}\\}$. And whose
edges are defined by
(3.12) $\overline{R}\rightarrow\overline{R^{\prime}}\in\mathcal{I}\quad\hbox{
if and only if }\quad R^{\prime}=\pm n_{d}(R)\hbox{ for some }d\in\mathcal{D}$
(which is again well-defined because of (3.10)).
We note that the in-out graph defined in [6, Section 7] is used for a similar
purpose as our intersection graph $\mathcal{I}$. However, $\mathcal{I}$ has a
simpler structure than the in-out graph.
###### Lemma 3.6.
Let $T$ be an $ABC$-tile with $14$ neighbors and assume that $A=1$. Then we
have the following two cases for $\mathcal{I}$.
* (1)
For $A=1$, $B=2$, and $C\geq 4$ the graph $\mathcal{I}$ is given by Figure 8.
In particular, we have $\\#\mathcal{I}=55$.
* (2)
For $A=1$, $B\geq 3$, and $C\geq 2B$ the graph $\mathcal{I}$ is given by
Figure 9. In particular, we have $\\#\mathcal{I}=57$.
By Remark 1.3 the constellations $A,B,C$ covered in (1) and (2) exhaust all
$ABC$-tiles with $14$ neighbors having $A=1$.
###### Remark 3.7.
The graphs $\mathcal{I}$ are rather large. Thus we cannot draw them directly.
Figure 8 contains a tree. The quotient graph we obtain by identifying nodes
with the same node-label in this tree equals $\mathcal{I}$ for
$A=1,\;B=2,\;C\geq 4$. Similarly if we quotient the tree in Figure 9 by
identifying nodes with the same node-label we obtain $\mathcal{I}$ for
$A=1,\;B\geq 3,\;C\geq 2B$.
Figure 8. The graph $\mathcal{I}$ for $A=1,B=2,C\geq 4$ is obtained as a
quotient graph of this tree; see Remark 3.7. Figure 9. The graph $\mathcal{I}$
for $A=1,B\geq 3,C\geq 2B$ is obtained as a quotient graph of this tree; see
Remark 3.7.
###### Proof.
This proof is just a lengthy but easy calculation. Since
$N_{1}=N_{1}^{\prime}\cup N_{0}$ where
$N_{1}^{\prime}=\\{\overline{n_{d}(\mathcal{S})}\,:\,d\in\mathcal{D}\\}$, we
have to determine $n_{d}(\mathcal{S})$ for each $d\in\mathcal{D}$. Recall the
notation (2.6). From the neighbor graph we see that, for $d=0$, there exists
an edge of the form $\alpha\xrightarrow{0|d^{\prime}}\alpha^{\prime}$ for each
$\alpha^{\prime}\in\mathcal{S}\setminus\\{P\\}$. Thus
$\overline{\mathcal{S}\setminus\\{P\\}}\in N_{1}^{\prime}$. For $d=(e)_{M}$
with $1\leq e\leq C-2$, an edge of the form
$\alpha\xrightarrow{(e)_{M}|d^{\prime}}\alpha^{\prime}$ exists for each
$\alpha^{\prime}\in\mathcal{S}\setminus\\{{P,-P}\\}$, hence,
$\overline{\mathcal{S}\setminus\\{P,-P\\}}\in N_{1}^{\prime}$. Finally, for
$d=(C-1)_{M}$ an edge of the form
$\alpha\xrightarrow{(C-1)_{M}|d^{\prime}}\alpha^{\prime}$ exists for each
$\alpha^{\prime}\in\mathcal{S}\setminus\\{-P\\}$. Thus also
$\overline{\mathcal{S}\setminus\\{-P\\}}$ is an element of $N_{1}^{\prime}$.
However, since
$\overline{\mathcal{S}\setminus\\{-P\\}}=\overline{\mathcal{S}\setminus\\{P\\}}$
we already got this element before. The sets $\overline{R}$ with
$R\subseteq\mathcal{S}$ contained in $N_{1}^{\prime}$ are listed in the second
column of Table LABEL:tab_N1. Table LABEL:tab_N1, as well as all the other
tables666We provide all these tables in order to illustrate the proof and
because we need them for later reference. in this proof, has the following
columns: The first column contains the name of the node $\overline{R}$ in the
graphs in Figures 8 and 9 corresponding to the subset $R\subseteq\mathcal{S}$
in the second column. The third column indicates the condition under which
this subset occurs. Finally, the fourth column describes the topology of
$U(R)$. Recall that according to Lemma 2.8 the topology of $U(R)$ can be
obtained by easy combinatorial arguments which can (as we did) be easily
checked by a computer program. Summing up we have shown that
$N_{1}=N_{1}^{\prime}\cup
N_{0}=\\{\overline{\mathcal{S}},\overline{\mathcal{S}\setminus\\{{P}\\}},\overline{\mathcal{S}\setminus\\{{P,-P}\\}}\\}$.
Table 1. The set $N_{1}^{\prime}$. Node | Subset $R$ | Condition | Topology of $U(R)$
---|---|---|---
$a^{1}$ | $\mathcal{S}\setminus\\{{P}\\}$ | — | $2$-ball
$b^{1}$ | $\mathcal{S}\setminus\\{P,-P\\}$ | — | $\mathbb{S}^{1}\times[0,1]$ (a “ribbon”)
Now we can calculate $N_{k}$ for $k\geq 1$ in an analogous way as follows.
Table 2. The set $N_{2}^{\prime}$ consists of the subsets in the second column in this table. Node | Subset $R$ | Condition | Topology of $U(R)$
---|---|---|---
$a^{2}$ | $\mathcal{S}\setminus\\{P,Q,Q-P\\}$ | — | $2$-ball
$b^{2}$ | $\mathcal{S}\setminus\\{P,Q,Q-P,-P\\}$ | — | $2$-ball
$c^{2}$ | $\mathcal{S}\setminus\\{P,P-Q\\}$ | — | $2$-ball
$d^{2}$ | $\mathcal{S}\setminus\\{P,Q,Q-P,P-Q\\}$ | — | $2$-ball
$e^{2}$ | $\mathcal{S}\setminus\\{P,Q,Q-P,-P,-Q,P-Q\\}$ | — | $2$ disjoint $2$-balls
Starting from $N_{1}$ we use (3.11) and the neighbor graph $G(\mathcal{S})$ to
calculate $N_{2}$. This yields that $N_{2}=N_{2}^{\prime}\cup N_{1}$ where the
set $N_{2}^{\prime}$ corresponds to the subsets indicated in Table
LABEL:tab:N2.
Table 3. The set $N_{3}^{\prime}$. Node | Subset $R$ | Condition | Topology of $U(R)$
---|---|---|---
$a^{3}$ | $\mathcal{S}_{1}$ | — | $2$-ball
$b^{3}$ | $\mathcal{S}_{1}\setminus\\{P\\}$ | — | $2$-ball
$c^{3}$ | $(\mathcal{S}_{1}\setminus\\{P\\})\cup\\{-N,Q-N-P\\}$ | — | $2$-ball
$d^{3}$ | $\mathcal{S}_{1}\setminus\\{Q-P\\}$ | — | $2$-ball
$e^{3}$ | $\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\}$ | — | $2$-ball
$f^{3}$ | $(\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\})\cup\\{-N,Q-N-P\\}$ | — | $2$ disjoint $2$-balls
$g^{3}$ | $\\{Q,N,Q-P,N-P\\}\cup(-\mathcal{S}_{1}\setminus\\{P-Q\\})$ | — | $2$-ball
$h^{3}$ | $\\{Q,N,Q-P,N-P\\}\cup(-\mathcal{S}_{1}\setminus\\{-P,-Q,P-Q\\})$ | — | $2$-ball
$i^{3}$ | $\mathcal{S}_{1}\setminus\\{P,N-Q\\}\cup(-\mathcal{S}_{1}\setminus\\{-P,-Q,P-Q\\})$ | — | $2$-ball
$j^{3}$ | $\\{N,N-Q+P\\}$ | — | $2$-ball
$k^{3}$ | $\\{Q,N,Q-P,N-P,-N,Q-N-P\\}$ | — | $2$-ball
$l^{3}$ | $\mathcal{S}_{1}\setminus\\{P,N-Q\\}$ | — | $2$-ball
$m^{3}$ | $\\{Q,N,Q-P,N-P\\}$ | $C>2B$ | $2$-ball
We now go on in the same way. If $N_{3}^{\prime}$ consists of the sets in the
second column of Table LABEL:tab:N3 then, using (3.11), a somewhat lengthy but
easy calculation shows that $N_{3}=N_{3}^{\prime}\cup N_{2}$. Here we have to
be careful about the node $m^{3}$. This node only occurs in $N_{3}^{\prime}$
if $C>2B$. If $C=2B$, it occurs in $N_{5}^{\prime}$. Thus we have
$\\#N_{3}=21$ for $C>2B$ and $\\#N_{3}=20$ for $C=2B$.
Table 4. The set $N_{4}^{\prime}$. Node | Subset $R$ | Condition | Topology of $U(R)$
---|---|---|---
$a^{4}$ | $\\{N-P,N-Q\\}$ | — | $2$-ball
$b^{4}$ | $\\{N-Q+P\\}$ | — | $2$-ball
$c^{4}$ | $(\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\})\cup\\{Q-N,Q-N-P\\}$ | (C2) | $2$ disjoint $2$-balls
$d^{4}$ | $\\{P,N-Q,N-Q+P\\}$ | — | $2$-ball
$e^{4}$ | $(\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\})\cup\\{-P,-Q,P-Q\\}$ | — | $2$-ball
$f^{4}$ | $(\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\})\cup\\{-Q,P-Q,P-N\\}$ | — | $2$-ball
$g^{4}$ | $\\{Q-P\\}$ | — | $2$-ball
$h^{4}$ | $\\{Q,Q-P,N-P\\}$ | — | $2$-ball
$i^{4}$ | $\\{N-P,N-Q,N-Q+P\\}$ | — | $2$-ball
$j^{4}$ | $(\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\})\cup\\{-P,-Q\\}$ | — | $2$-ball
$k^{4}$ | $(\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\})\cup\\{Q-N-P\\}$ | — | $2$ disjoint $2$-balls
$l^{4}$ | $(\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\})\cup(-\mathcal{S}_{1}\setminus\\{-N,P-Q,P-N\\})$ | — | $2$-ball
$m^{4}$ | $\\{Q,Q-P,N-P,N-Q\\}$ | — | $2$-ball
$n^{4}$ | $\\{Q,Q-P\\}$ | (C2) | $2$-ball
$o^{4}$ | $\\{N-Q,N-Q+P\\}$ | (C2) | $2$-ball
$p^{4}$ | $(\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\})\cup\\{-Q,P-Q\\}$ | (C2) | $2$-ball
From the next step onwards we need to distinguish between the cases
$\begin{split}&\mathrm{(C1)}\qquad\qquad\qquad A=1,\;B=2,\;C\geq 4;\\\
&\mathrm{(C2)}\qquad\qquad\qquad A=1,\;B\geq 3,\;C\geq 2B.\end{split}$
With $N_{4}^{\prime}$ as in Table LABEL:tab:N4 we gain
$N_{4}=N_{4}^{\prime}\cup N_{3}$. This entails that $\\#N_{4}=33$ for
$A=1,B=2,C>4$ ($\\#N_{4}=32\text{ for }C=4$) and $\\#N_{4}=37$ for $A=1,B\geq
3,C>2B$ ($\\#N_{4}=36\text{ for }C=2B$).
Table 5. The set $N_{5}^{\prime}$. Node | Subset $R$ | Condition | Topology of $U(R)$
---|---|---|---
$a^{5}$ | $\\{N\\}$ | — | $2$-ball
$b^{5}$ | $\\{Q,N,N-P\\}$ | — | $2$-ball
$c^{5}$ | $\\{N-Q,N-Q+P\\}$ | (C1) | $2$-ball
$d^{5}$ | $\\{Q,Q-P\\}$ | (C1) | $2$-ball
$e^{5}$ | $\\{N,N-Q+P,-Q,P-Q\\}$ | — | $2$-ball
$f^{5}$ | $\\{N,N-P,N-Q,N-Q+P,-Q,P-Q\\}$ | (C1) | $2$-ball
$g^{5}$ | $\\{\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\}\cup\\{-Q\\}$ | — | $2$-ball
$h^{5}$ | $\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\}\cup\\{-Q,-N,P-N\\}$ | — | $2$-ball
$i^{5}$ | $\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\}\cup\\{-N\\}$ | — | $2$ disjoint $2$-balls
$j^{5}$ | $\mathcal{S}_{1}\setminus\\{P,Q-P\\}$ | — | $2$-ball
$k^{5}$ | $\\{N-Q\\}$ | — | $2$-ball
$l^{5}$ | $\\{N,N-P\\}$ | (C2) | $2$-ball
$m^{5}$ | $\mathcal{S}_{1}\setminus\\{P,Q,Q-P\\}\cup\\{-N,P-N\\}$ | (C2) | $2$ disjoint $2$-balls
$m^{3}$ | $\\{Q,N,Q-P,N-P\\}$ | $C=2B$ | $2$-ball
Now, $N_{5}=N_{5}^{\prime}\cup N_{4}$, where $N_{5}^{\prime}$ is given by
Table LABEL:tab:N5. Then $\\#N_{5}=44$ for (C1) and $\\#N_{5}=47$ for $(C2)$.
As we indicated at the step that lead to $N_{3}$, at this stage the node
$m^{3}$ is contained in $N_{5}$ in all the cases. Thus from now onwards we do
not have to distinguish the cases $2B<C$ and $2B=C$.
Table 6. The set $N_{6}^{\prime}$. Node | Subset $R$ | Condition | Topology of $U(R)$
---|---|---|---
$a^{6}$ | $\\{N,N-P,-P,-Q\\}$ | — | $2$-ball
$b^{6}$ | $\\{N,N-P\\}$ | (C1) | $2$-ball
$c^{6}$ | $\\{N-P\\}$ | — | $2$-ball
$d^{6}$ | $\\{P\\}$ | — | $2$-ball
$e^{6}$ | $-\mathcal{S}_{1}\setminus\\{P-Q\\}\cup\\{N,N-P\\}$ | — | $2$-ball
$f^{6}$ | $\\{N,N-P,-N,Q-N-P\\}$ | — | $2$ disjoint $2$-balls
$g^{6}$ | $\\{N,N-P,N-Q+P\\}$ | — | $2$-ball
$h^{6}$ | $\\{P,Q\\}$ | (C2) | $2$-ball
We get $N_{6}=N_{6}^{\prime}\cup N_{5}$ with $N_{6}^{\prime}$ as in Table
LABEL:tab:N6. Thus $\\#N_{6}=51$ for $(C1)$ and $\\#N_{6}=54$ for $(C2)$.
Table 7. The set $N_{7}^{\prime}$. Node | Subset $R$ | Condition | Topology of $U(R)$
---|---|---|---
$a^{7}$ | $\\{P,Q,Q-P\\}$ | — | $2$-ball
$b^{7}$ | $\\{Q\\}$ | — | $2$-ball
$c^{7}$ | $\\{P,Q\\}$ | (C1) | $2$-ball
$d^{7}$ | $\\{P,Q,N-Q,N-Q+P\\}$ | — | $2$-ball
The next step of the iteration yields $N_{7}=N_{7}^{\prime}\cup N_{6}$ with
$N_{7}$ as in Table LABEL:tab:N7. Thus $\\#N_{7}=55$ for $A=1,B=2,C\geq 4$ and
$\\#N_{7}=57$ for $A=1,B\geq 3,C\geq 2B$. We repeat the procedure once more
and observe that $N_{8}=N_{7}$ for both conditions, so we have reached the end
with $\\#\mathcal{I}=55$ for $A=1,B=2,C\geq 4$ and $\\#\mathcal{I}=57$ for
$A=1,B\geq 3,C\geq 2B$.
It just remains to insert the edges of $\mathcal{I}$ according to (3.12) in
order to end up with the graphs depicted in Figure 8 and Figure 9 (and
observing Remark 3.7). ∎
The set $U^{\prime}(R)=\bigcup_{\alpha\in R}O_{\alpha}$ with $R$ as in $d^{4}$
and $k^{4}$ is depicted on the left and right hand side of Figure 7,
respectively.
###### Remark 3.8.
For each $\alpha\in\mathcal{S}$, $\overline{\\{\alpha\\}}$ is a node of
$\mathcal{I}$. In particular, $d^{6}$$=\overline{\\{P\\}}$,
$b^{7}$$=\overline{\\{Q\\}}$, $a^{5}$$=\overline{\\{N\\}}$,
$g^{4}$$=\overline{\\{Q-P\\}}$, $c^{6}$$=\overline{\\{N-P\\}}$,
$k^{5}$$=\overline{\\{N-Q\\}}$, and $b^{4}$$=\overline{\\{N-Q+P\\}}$. This
will be of importance later.
###### Remark 3.9.
The number in the superscript of the labels of the nodes of $\mathcal{I}$
indicates in which level of Figure 8 and Figure 9 a node occurs for the first
time. For some nodes this happens at different levels in Figure 8 and Figure
9. In these cases we gave different names to this node in the two graphs. So
we have $n^{4}$= $d^{5}$, $o^{4}$= $c^{5}$, $l^{5}$= $b^{6}$, $h^{6}$=
$c^{7}$. This fact is of no relevance in the sequel. Only the classes
$\overline{R}$ corresponding to $c^{4}$ and $m^{5}$ are in $\mathcal{I}$ under
condition $(C2)$ but not under $(C1)$. We just did it that way because it
makes it easier to locate the first occurrence of a given node in the figures.
###### Lemma 3.10.
Let $T$ be an $ABC$-tile with $14$ neighbors and assume that $A=1$. Let
$t_{1},t_{2}\in\mathcal{C}$ be essentially disjoint with
$\mathrm{level}(t_{1})\leq\mathrm{level}(t_{2})$. Let
$t_{2}^{\prime}\in\mathcal{C}$ with
(3.13)
$\mathrm{level}(t_{2}^{\prime})=\mathrm{level}(t_{2})+1\quad\hbox{and}\quad
t_{2}^{\prime}\subset t_{2}.$
Assume that the type of $t_{1}\cap t_{2}$ is $\overline{R}\in\mathcal{I}$.
Then the type $\overline{R^{\prime}}$ of $t_{1}\cap t_{2}^{\prime}$ is either
$\overline{\emptyset}$ or
$\overline{R}\to\overline{R^{\prime}}\in\mathcal{I}$.
###### Proof.
Assume first that $t_{1}=t_{\infty}$. Set $\mathrm{level}(t_{2})=i$. Then
there is $d=d_{i}+\cdots+M^{i-1}d_{1}\in\mathcal{D}_{i}$ and
$d_{i+1}\in\mathcal{D}$ such that $t_{2}=M^{-i}(T+d)$ and
$t_{2}^{\prime}=M^{-i-1}(T+d_{i+1}+Md)$. Now (3.5) and (3.6) yield
$\begin{split}R&=\\{\alpha_{i}\;:\,\hbox{there is $\alpha\in\mathcal{S}$ with
}\alpha\xrightarrow{d_{1}}\alpha_{1}\xrightarrow{d_{2}}\cdots\xrightarrow{d_{i}}\alpha_{i}\in
G(\mathcal{S})\\},\\\ R^{\prime}&=\\{\alpha_{i+1}\;:\,\hbox{there is
$\alpha\in\mathcal{S}$ with
}\alpha\xrightarrow{d_{1}}\alpha_{1}\xrightarrow{d_{2}}\cdots\xrightarrow{d_{i}}\alpha_{i}\xrightarrow{d_{i+1}}\alpha_{i+1}\in
G(\mathcal{S})\\}.\end{split}$
Thus (3.9) yields $R^{\prime}=n_{d_{i+1}}(R)$, and by (3.11) and (3.12) either
$\overline{R^{\prime}}=\overline{\emptyset}$ or $\overline{R^{\prime}}$
satisfies $\overline{R}\to\overline{R^{\prime}}\in\mathcal{I}$.
If $t_{1}\not=t_{\infty}$ the result follows analogously by using (3.7) and
(3.8) instead of (3.5) and (3.6). ∎
###### Proposition 3.11.
Let $T$ be an $ABC$-tile with $14$ neighbors and assume that $A=1$. If
$t_{1},t_{2}\in\mathcal{C}$ are essentially disjoint then the type
$\overline{R}$ of $t_{1}\cap t_{2}$ is either $\overline{\emptyset}$ or
$\overline{R}\in\mathcal{I}$. In particular, the following assertions hold.
* (1)
Let $t_{1}=t_{\infty}$ and $t_{2}\in\mathcal{C}\setminus\\{t_{\infty}\\}$ with
$\mathrm{level}(t_{2})=i$. Then either $t_{\infty}\cap t_{2}=\emptyset$ or
there is a walk
$\overline{\mathcal{S}}\rightarrow\overline{R_{1}}\rightarrow\overline{R_{2}}\rightarrow\cdots\rightarrow\overline{R_{i}}$
of length $i$ in $\mathcal{I}$ such that $t_{\infty}\cap t_{2}$ is of type
$\overline{R_{i}}$ and, hence, $t_{\infty}\cap t_{2}\simeq U(R_{i})$.
* (2)
Let $t_{1},t_{2}\in\mathcal{C}\setminus\\{t_{\infty}\\}$ be essentially
disjoint with $\mathrm{level}(t_{1})\leq\mathrm{level}(t_{2})$ and let
$i=\mathrm{level}(t_{2})-\mathrm{level}(t_{1})$. Then either $t_{1}\cap
t_{2}=\emptyset$ or there is $\alpha\in\mathcal{S}$ and a walk
$\overline{\\{\alpha\\}}\rightarrow\overline{R_{1}}\rightarrow\overline{R_{2}}\rightarrow\cdots\rightarrow\overline{R_{i}}$
of length $i$ in $\mathcal{I}$ such that $t_{1}\cap t_{2}$ is of type
$\overline{R_{i}}$ hence, $t_{1}\cap t_{2}\simeq U(R_{i})$.
###### Proof.
We prove $(1)$. The proof is done by induction on $i=\mathrm{level}(t_{2})$.
If $i=0$ then $t_{2}=T$ and, hence, the type of $t_{\infty}\cap t_{2}$ is
$\overline{R}=\overline{\mathcal{S}}\in\mathcal{I}$.
For the induction hypothesis assume that the result holds for all
$t_{2}\in\mathcal{C}$ with $0\leq\mathrm{level}(t_{2})\leq i-1$.
For the induction step let $t_{2}^{\prime}\in\mathcal{C}$ with
$\mathrm{level}(t_{2}^{\prime})=i$ be given and assume that $t_{2}$ satisfies
(3.13). Then by the induction hypothesis the type $\overline{R_{i-1}}$ of
$t_{\infty}\cap t_{2}$ is either $\overline{\emptyset}$ or there is a walk
$\overline{\mathcal{S}}\rightarrow\overline{R_{1}}\rightarrow\overline{R_{2}}\rightarrow\cdots\rightarrow\overline{R_{i-1}}$
of length $i-1$ in $\mathcal{I}$. If $\overline{R_{i-1}}=\overline{\emptyset}$
then (3.13) implies that $t_{\infty}\cap t_{2}^{\prime}=\emptyset$, hence, its
type is $\overline{\emptyset}$ as well, and we are done. If
$\overline{R_{i-1}}\in\mathcal{I}$ then by Lemma 3.10 the type
$\overline{R_{i}}$ of $t_{\infty}\cap t_{2}^{\prime}$ is either
$\overline{\emptyset}$ or satisfies
$\overline{R_{i-1}}\to\overline{R_{i}}\in\mathcal{I}$. In the latter case
there is a walk
$\overline{\mathcal{S}}\rightarrow\overline{R_{1}}\rightarrow\overline{R_{2}}\rightarrow\cdots\rightarrow\overline{R_{i}}$
of length $i$ in $\mathcal{I}$. This finishes the induction step.
The case $t_{1}\not=t_{\infty}$ follows analogously by induction on
$\mathrm{level}(t_{2})-\mathrm{level}(t_{1})$. Just note that, if
$\mathrm{level}(t_{1})=\mathrm{level}(t_{2})$, then $t_{1}\cap t_{2}$ is
either empty or has type $\overline{\\{\alpha\\}}\in\mathcal{I}$ for some
$\alpha\in\mathcal{S}$ (observe Remark 3.8).
The fact that $t_{1}\cap t_{2}\simeq U(R)$ if it has type $U(R)$ is already
contained in Lemma 3.4. ∎
## 4\. Proofs of the main results
This section is devoted to the proofs of our main results. In Section 4.1 we
recall the definition of partitionings in the sense of Bing [5] and give some
results on partitionings that will be needed in the sequel. In Section 4.2 we
define sequences of partitionings that are suitable for our purposes. In
Section 4.3 we make sure that in these sequences each atom is subdivided in a
way that certain connectivity properties are maintained. Finally, Sections 4.4
and 4.5 contain the proofs of Theorem 1.1 and Theorem 1.5, respectively.
### 4.1. Partionings
In this section we give the definitions and results of Bing’s theory of
partitionings [5] that will be relevant for the proof of Theorem 1.1. We start
with some terminology.
###### Definition 4.1 (Partitioning).
Let $X$ be a metric space. A partitioning of $X$ is a collection of mutually
disjoint open sets (so-called atoms) whose union is dense in $X$. A
partitioning is called _regular_ if each of its atoms is the interior of its
closure. Let $G$ and $G^{\prime}$ be two partitionings of $X$. $G^{\prime}$ is
a refinement of $G$ if for each $g^{\prime}\in G^{\prime}$ there exists $g\in
G$ with $g^{\prime}\subseteq g$. A sequence $(G_{i})_{i\geq 1}$ of
partitionings is called a decreasing sequence of partitionings if $G_{i+1}$ is
a refinement of $G_{i}$ and the maximum of the diameters of the atoms of
$G_{i}$ tends to $0$ as $i$ tends to infinity.
###### Definition 4.2 (Equivalent sequences of partitionings).
Let $X_{1}$ and $X_{2}$ be two metric spaces. Let $(G_{ij})_{j\geq 1}$ be a
sequence of partitionings of $X_{i}$ for each $i\in\\{1,2\\}$. We say that
$(G_{1j})$ and $(G_{2j})$ are equivalent partitionings, if for each $j\geq 1$
there exists a 1 to 1 correspondence between the atoms of $G_{1j}$ and
$G_{2j}$ such that
* (1)
two atoms of $G_{1j}$ have a boundary point in common if and only if the
corresponding atoms of $G_{2j}$ have a boundary point in common.
* (2)
corresponding atoms of $G_{1,j+1}$ and $G_{2,j+1}$ are subsets of
corresponding atoms of $G_{1j}$ and $G_{2j}$.
If $(G_{1j})$ and $(G_{2j})$ are equivalent we write $(G_{1j})\sim(G_{2j})$.
We say that two finite sequences $(G_{ij})_{j=1}^{n}$ of partitionings of
$X_{i}$ ($i\in\\{1,2\\}$) are equivalent if for each $j\in\\{1,\ldots,n\\}$
there exists a 1 to 1 correspondence between the atoms of $G_{1j}$ and
$G_{2j}$ such that (1) holds for $1\leq j\leq n$ and (2) holds for $1\leq
j<n$.
###### Remark 4.3.
It is easy to check that the relation “$\sim$” is an equivalence relation.
The following lemma, which can be easily proved, is just a reformulation of
[5, Theorem 6].
###### Lemma 4.4.
Two Peano continua $X_{1}$ and $X_{2}$ are homeomorphic if and only if for
each $i\in\\{1,2\\}$ there exists a decreasing sequence of partitionings
$(G_{ij})_{j\geq 1}$ for $X_{i}$ such that $(G_{1j})_{j\geq
1}\sim(G_{2j})_{j\geq 1}$.
This lemma will be used in the proof of Theorem 1.1. Indeed, we will construct
a decreasing sequence of partitionings for the self-affine tile $T$ (which is
a Peano continuum by Lemma 2.5) that is equivalent to a decreasing sequence of
partitionings of $\mathbb{D}^{3}$. In the course of our proof we will use the
following two results from [5]. The first one is about the extension of
homeomorphisms. Recall that a $2$-sphere $C$ in $\mathbb{R}$ is tame if there
is a homeomorphism from $\mathbb{R}^{3}$ to $\mathbb{R}^{3}$ that maps $C$ to
the unit sphere $\mathbb{S}^{2}$ in $\mathbb{R}^{3}$.
###### Proposition 4.5 (see [5, Theorem 3]).
Let $S$ be a Peano continuum and $S_{2}\subset S$ a $2$-sphere. Let
$C\subset\mathbb{R}^{3}$ be a tame $2$-sphere and $F:S_{2}\to C$ a
homeomorphism. Assume that $G$ is a regular partitioning of $S$ satisfying the
following conditions.
* (1)
If $g\in G$ then $\partial g\cong\mathbb{S}^{2}$.
* (2)
If $g_{1},g_{2}\in G$ are distinct then $\partial g_{1}\cap\partial g_{2}$ is
either empty or a finite union of mutually disjoint $2$-balls.
* (3)
If $g_{1},g_{2},g_{3}\in G$ are mutually distinct then $\partial
g_{1}\cap\partial g_{2}\cap\partial g_{3}$ is either empty or a finite union
of arcs.
* (4)
There exist $g_{1},\ldots,g_{n}\in G$ such that
$S_{2}=\partial(\overline{g_{1}\cup\dots\cup g_{n}})$ and such that the
intersection $\partial g_{j}\cap(S_{2}\cup\partial g_{1}\cup\dots\cup\partial
g_{j-1})$ is connected for each $j\in\\{1,\ldots,n\\}$.
Then there is a partitioning $\\{h_{0},h_{1},\ldots,h_{n}\\}$ of
${\mathbb{R}}^{3}$ and a homeomorphism
$F^{\prime}:\partial_{S}(g_{1}\cup\dots\cup
g_{n})\to\partial_{\mathbb{R}^{3}}(h_{1}\cup\dots\cup h_{n})$ such that
$h_{0}$ is the exterior of $C$ and $\partial h_{i}$ is a tame $2$-sphere,
$F=F^{\prime}$ on $S_{2}$, and $F^{\prime}(\partial g_{i})=\partial h_{i}$
($1\leq i\leq n$).
The next result will be used in the proof of Theorem 1.1 in the context of
decreasing sequences of partitionings.
###### Proposition 4.6 (see [5, Theorem 5]).
Let $C\subset\mathbb{R}^{3}$ be a tame $2$-sphere and $(G_{i})_{i\geq 1}$ a
sequence of partitionings of ${\mathbb{R}}^{3}$ satisfying the following
conditions for each $i\geq 1$.
* (1)
If $g\in G_{i}$ then $\partial g\cong\mathbb{S}^{2}$.
* (2)
For each $g\in G_{i}$ with $\overline{g}\cap C\not=\emptyset$ the set
$\partial g\cap C$ is connected and does not separate $\partial g$.
* (3)
$G_{i+1}$ is a refinement of $G_{i}$.
* (4)
One atom $g_{0}\in G_{i}$ is the exterior of $C$.
* (5)
For each $\varepsilon>0$ and each $i\in\mathbb{N}$ there is
$n=n(i,\varepsilon)\geq 1$ such that $\overline{g^{\prime}}\cap\bigcup_{g\in
G_{i}}\partial g$ has diameter less than $\varepsilon$ for each $g^{\prime}\in
G_{n}\setminus\\{g_{0}\\}$.
Then for each $\delta>0$ there is $m\geq 1$ and a homeomorphism
$F:{\mathbb{R}}^{3}\to{\mathbb{R}}^{3}$ such that $F$ leaves each point of
$g_{0}$ invariant and ${\rm diam}(F(g))<\delta$ for each $g\in
G_{m}\setminus\\{g_{0}\\}$.
### 4.2. Sequences of partitionings
Let $T=T(M,\mathcal{D})$ be an $ABC$-tile. In Section 3 it was convenient to
work with closed sets (the subtiles of $T$). When it comes to partitionings,
open sets are required. Therefore, in the sequel we will mainly work with the
interiors of subtiles. Moreover, we often use the one point compactification
$\mathbb{S}^{3}=\mathbb{R}^{3}\cup\\{\infty\\}$ as ambient space because
$\mathbb{S}^{3}$ is a Peano continuum. The following lemma provides a first
sequence of partitionings defined in terms of interiors of subtiles. We will
frequently use the notation $g_{\infty}=\mathbb{S}^{3}\setminus T$ in the
sequel. Note that $g_{\infty}=t_{\infty}^{\circ}\cup\\{\infty\\}$ and, hence,
$\partial_{\mathbb{S}^{3}}g_{\infty}=\partial_{\mathbb{R}^{3}}t_{\infty}$.
###### Lemma 4.7.
Let $T$ be an $ABC$-tile. Let $g_{\infty}=\mathbb{S}^{3}\setminus T$. Then for
each $i\geq 0$ the collection
(4.1)
$\mathcal{P}_{i}=\left\\{M^{-i}(T+z)^{\circ}\;:\;z\in\mathcal{D}_{i}\right\\}\cup\\{g_{\infty}\\}$
is a regular partitioning of $\mathbb{S}^{3}$. Moreover
$\mathcal{P}_{i}\setminus\\{g_{\infty}\\}$ is a regular partitioning of $T$.
###### Proof.
Let $i\geq 0$. By (2.2) we have $T=\bigcup_{d\in\mathcal{D}_{i}}M^{-i}(T+d)$.
Since each subtile $M^{-i}(T+d)$, $d\in\mathcal{D}_{i}$, is the closure of its
interior by Lemma 2.1, we see form (2.3) that
$\mathcal{P}_{i}\setminus\\{g_{\infty}\\}$ is a regular partitioning of $T$.
Thus $\mathcal{P}_{i}$ is a regular partitioning of $\mathbb{S}^{3}$. ∎
We use the definition of level from (3.1) also for the elements of
$\mathcal{P}_{i}$, $i\geq 0$. Indeed, we set
$\mathrm{level}(g)=\mathrm{level}(\overline{g}\setminus\\{\infty\\})$
for $g\in\bigcup_{i\geq 0}\mathcal{P}_{i}$. As usual, for a subset
$Y\subset\bigcup_{i\geq 0}\mathcal{P}_{i}$ we will write
$\mathrm{level}(Y)=\\{\mathrm{level}(g)\,:\,g\in Y\\}$.
In view of (2.4) an intersection $\partial g_{1}\cap\partial g_{2}$ for
disjoint atoms $g_{1},g_{2}\in\bigcup_{i\geq 0}\mathcal{P}_{i}$ is equal to
the intersection $\overline{g_{1}}\cap\overline{g_{2}}$ of the corresponding
elements $\overline{g_{1}},\overline{g_{2}}\in\mathcal{C}$.
We continue with topological properties of intersections of boundaries of the
atoms of $\mathcal{P}_{i}$.
###### Lemma 4.8.
Let $T$ be an $ABC$-tile with $14$ neighbors and assume that $A=1$. Let $i\geq
2$. For any $g\in\mathcal{P}_{i}\setminus\\{g_{\infty}\\}$, the intersection
$\partial g_{\infty}\cap\partial g$ is either empty, or a union of at most $2$
disjoint $2$-balls.
###### Proof.
Suppose that $\partial g_{\infty}\cap\partial g\neq\emptyset$. Then by
Proposition 3.11 (1) the intersection $\partial g_{\infty}\cap\partial g$ is
homeomorphic to $U(R)$, where $R\subseteq\mathcal{S}$ is a representative of a
node $\overline{R}$ of $\mathcal{I}$. We can now read off Figures 8 and 9 that
in this case $U(R)$ is either a union of at most $2$ disjoint $2$-balls, or
homeomorphic to $\mathbb{S}^{1}\times[0,1]$ (a “ribbon”), or homeomorphic to
$\mathbb{S}^{2}$. We need to exclude the last two cases. Suppose that
$\partial g_{\infty}\cap\partial g$ is homeomorphic to
$\mathbb{S}^{1}\times[0,1]$ or $\mathbb{S}^{2}$. Then, because
$g\in\mathcal{P}_{i}\setminus\\{g_{\infty}\\}$ we have $\mathrm{level}(g)=i$
and, according to Proposition 3.11 (1) there is a walk
$\overline{\mathcal{S}}\rightarrow\overline{R_{1}}\rightarrow\cdots\rightarrow\overline{R_{i}}$
in $\mathcal{I}$ with $U(R_{i})$ being homeomorphic to
$\mathbb{S}^{1}\times[0,1]$ or $\mathbb{S}^{2}$. We know that
$\overline{\mathcal{S}}$ and $b^{1}$ are the only nodes of $\mathcal{I}$
homeomorphic to $\mathbb{S}^{1}\times[0,1]$ or $\mathbb{S}^{2}$. However, as
we see from Figure 8 and Figure 9, there is no walk of length $i\geq 2$ in
$\mathcal{I}$ ending at $b^{1}$ or $\mathcal{S}$. Thus $\partial
g_{\infty}\cap\partial g$ can neither be homeomorphic to
$\mathbb{S}^{1}\times[0,1]$ nor to $\mathbb{S}^{2}$. ∎
In view of Lemma 4.8 we can subdivide the atoms of
$\mathcal{P}_{i}\setminus\\{g_{\infty}\\}$, $i\geq 2$, according to the way
they intersect $\partial g_{\infty}=\partial T$. In particular, for $i\geq 2$
set
(4.2) $\displaystyle\mathcal{P}_{i1}$
$\displaystyle=\\{g\in\mathcal{P}_{i}\setminus\\{g_{\infty}\\}\;:\;\partial
g\cap\partial T=\emptyset\\},$ $\displaystyle\mathcal{P}_{i2}$
$\displaystyle=\\{g\in\mathcal{P}_{i}\setminus\\{g_{\infty}\\}\;:\;\partial
g\cap\partial T\text{ is a single $2$-ball}\\},$
$\displaystyle\mathcal{P}_{i3}$
$\displaystyle=\\{g\in\mathcal{P}_{i}\setminus\\{g_{\infty}\\}\;:\;\partial
g\cap\partial T\text{ is the union of $2$ disjoint $2$-balls}\\}.$
Then we have
$\mathcal{P}_{i}=\mathcal{P}_{i1}\cup\mathcal{P}_{i2}\cup\mathcal{P}_{i3}\cup\\{g_{\infty}\\}$.
We need partitionings whose atoms have intersections with $\partial T$ that
are either empty or a $2$-ball. To achieve this we further subdivide the atoms
of $\mathcal{P}_{i3}$ and put, again for $i\geq 2$,
$\displaystyle\mathcal{Q}_{i1}=$ $\displaystyle\mathcal{P}_{i1},$
$\displaystyle\mathcal{Q}_{i2}=$ $\displaystyle\mathcal{P}_{i2},$
$\displaystyle\mathcal{Q}_{i3}=$
$\displaystyle\\{g\in\mathcal{P}_{i+1}\;:\;g\subset g^{\prime}\text{ for
}g^{\prime}\in\mathcal{P}_{i3}\\}.$
Let $(\mathcal{Q}^{\prime}_{i})_{i\geq 1}$ be given by
(4.3) $\begin{split}\mathcal{Q}^{\prime}_{1}&=\\{T^{\circ}\\},\\\
\mathcal{Q}^{\prime}_{i}&=\mathcal{Q}_{i1}\cup\mathcal{Q}_{i2}\cup\mathcal{Q}_{i3}\qquad(i\geq
2),\end{split}$
and set $\mathcal{Q}_{i}=\mathcal{Q}^{\prime}_{i}\cup\\{g_{\infty}\\}$ for
$i\geq 1$. From this definition we immediately get
(4.4)
$\mathrm{level}(\mathcal{Q}^{\prime}_{1})=0\quad\hbox{and}\quad\mathrm{level}(\mathcal{Q}^{\prime}_{i})=\\{i,i+1\\}\hbox{
for }i\geq 2.$
###### Lemma 4.9.
Let $T$ be an $ABC$-tile with $14$ neighbors and assume that $A=1$. The
sequence $(\mathcal{Q}_{i}^{\prime})_{i\geq 1}$ given by (4.3) is a decreasing
sequence of regular partitionings of $T$.
###### Proof.
For $i=1$ the collection $\mathcal{Q}_{1}^{\prime}$ is clearly a regular
partitioning of $T$. Let now $i\geq 2$. Let
$g\in\mathcal{P}_{i}\setminus\\{g_{\infty}\\}$ be given. Then
$g=M^{-i}(T+d)^{\circ}$ for some $d\in\mathcal{D}_{i}$. We claim that
$X_{g}=\\{h\in\mathcal{Q}_{i}^{\prime}\,:\,h\cap g\not=\emptyset\\}$ is a
regular partitioning of the Peano continuum $\overline{g}$. If
$g\in\mathcal{P}_{i1}\cup\mathcal{P}_{i2}$ then $X_{g}=\\{g\\}$ and the claim
is trivial. If $g\in\mathcal{P}_{i3}$ then
$X_{g}=\\{M^{-i-1}(T+d_{i}+Md)^{\circ}\,:\,d_{i}\in\mathcal{D}\\}$ and the
claim follows from Lemma 2.1 and (2.3) because
$\overline{g}=\bigcup_{d_{i}\in\mathcal{D}}M^{-i-1}(T+d_{i}+Md)$ by the set
equation (1.2). This proves the claim in all cases. Since
$\mathcal{Q}_{i}^{\prime}=\bigcup_{g\in\mathcal{P}_{i}\setminus\\{g_{\infty}\\}}X_{g},$
$X_{g}$ is a regular partitioning of $\overline{g}$ for each
$g\in\mathcal{P}_{i}\setminus\\{g_{\infty}\\}$, and
$\mathcal{P}_{i}\setminus\\{g_{\infty}\\}$ is a regular partitioning of $T$ by
Lemma 4.7, we conclude that $\mathcal{Q}_{i}^{\prime}$ is a regular
partitioning of $T$ as well.
Because $M^{-1}$ is a uniform contraction,
$\max\\{\mathrm{diam}\,g\,:\,g\in\mathcal{P}_{i}\setminus\\{g_{\infty}\\}\\}=\mathrm{diam}\,M^{-i}T\to
0$ for $i\to\infty$. The fact that $(\mathcal{Q}_{i}^{\prime})_{i\geq 1}$ is
decreasing now follows because by (4.4), $\mathcal{Q}_{2}^{\prime}$ is a
refinement of $\mathcal{Q}_{1}^{\prime}$ and, for each $i\geq 2$,
$\mathcal{Q}^{\prime}_{i+1}$ is a refinement of
$\mathcal{P}_{i+1}\setminus\\{g_{\infty}\\}$ and
$\mathcal{P}_{i+1}\setminus\\{g_{\infty}\\}$ is a refinement of
$\mathcal{Q}^{\prime}_{i}$. ∎
Let $g\in\bigcup_{i\geq 0}\mathcal{P}_{i}\setminus\\{g_{\infty}\\}$ be given.
Then there is $k\geq 0$ and $d\in\mathcal{D}_{k}$ such that
$g=M^{-k}(T^{\circ}+d)$. In this case we associate with $g$ the mapping
$[g]:\mathbb{R}^{3}\to\mathbb{R}^{3}$, $x\mapsto M^{-k}(x+d)$. If $H$ is a
collection of sets, then we set $[g](H)=\\{[g](h)\,:\,h\in H\\}$. Clearly, if
$H$ is a partitioning of a Peano continuum $X$, then $[g](H)$ is a
partitioning of $[g](X)$. We need the following generalization of
$(\mathcal{Q}^{\prime}_{i})$ and $(\mathcal{Q}_{i})$. Let
$\mathbf{n}=(n_{j})_{j\geq 1}$ be a sequence with
$n_{j}\in\mathbb{N}\cup\\{\infty\\}$ satisfying $n_{1}\geq 3$ and
$n_{j+1}-n_{j}\geq 3$ (we allow that $\mathbf{n}$ can become ultimately
$\infty$, i.e., for each $n\in\mathbb{N}$ we define $n<\infty$ and
$\infty+n\leq\infty$). We define the sequence of partitionings
$(\mathcal{Q}^{\prime}_{i}(\mathbf{n}))_{i\geq 1}$ by
(4.5)
$\begin{array}[]{rcll}\displaystyle\mathcal{Q}^{\prime}_{i}(\mathbf{n})&=&\displaystyle\mathcal{Q}^{\prime}_{i}&(1\leq
i<n_{1}),\\\\[5.69054pt]
\displaystyle\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n})&=&\displaystyle\mathcal{Q}^{\prime}_{n_{j}-1}(\mathbf{n})&(j\geq
1),\\\\[5.69054pt]
\displaystyle\mathcal{Q}^{\prime}_{i}(\mathbf{n})&=&\displaystyle\bigcup_{g\in\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n})}[g](\mathcal{Q}^{\prime}_{i-\mathrm{level}(g)})&(n_{j}<i<n_{j+1},\;j\geq
1).\end{array}$
Moreover, set
$\mathcal{Q}_{i}(\mathbf{n})=\mathcal{Q}^{\prime}_{i}(\mathbf{n})\cup\\{g_{\infty}\\}$
for $i\geq 1$. Note that $(\mathcal{Q}_{i})_{i\geq
1}=(\mathcal{Q}_{i}(\mathbf{n}))_{i\geq 1}$ if $\mathbf{n}=(n_{j})_{j\geq 1}$
satisfies $n_{j}=\infty$ for each $j\geq 1$.
###### Remark 4.10.
The definition of $(\mathcal{Q}^{\prime}_{i}(\mathbf{n}))$ is a bit technical.
Its main feature is a repetitivity property. After $n_{j}$ steps each atom of
$\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n})$ is subdivided in the same way as
$T$ itself (i.e. by using partitionings equivalent to
$\mathcal{Q}_{i}^{\prime}$) for $n_{j+1}-n_{j}-1$ steps. Sloppily speaking, in
$\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n})$ each atom is subdivided by the
“nice” subdivision equivalent to $\mathcal{Q}_{i}^{\prime}$ for some time.
This repetitivity, which is not present in $(\mathcal{Q}_{i}^{\prime})$, will
be of importance later.
The next result contains basic properties of the sequence of partitionings
$(\mathcal{Q}_{i}(\mathbf{n}))_{i\geq 1}$.
###### Lemma 4.11.
Let $T$ be an $ABC$-tile with $14$ neighbors and assume that $A=1$. Let
$\mathbf{n}=(n_{j})_{j\geq 1}$ be a sequence with
$n_{j}\in\mathbb{N}\cup\\{\infty\\}$ satisfying $n_{1}\geq 3$ and
$n_{j+1}-n_{j}\geq 3$ and let $(\mathcal{Q}^{\prime}_{i}(\mathbf{n}))_{i\geq
1}$ be as in (4.5). Then
* (i)
$g\in\mathcal{Q}^{\prime}_{i}(\mathbf{n})$ implies
$\mathrm{level}(g)\in\\{i-1,i,i+1\\}\setminus\\{1\\}$ ($i\geq 1$).
* (ii)
$\mathcal{Q}^{\prime}_{i}(\mathbf{n})$ is a regular partitioning of $T$
($i\geq 1$).
* (iii)
$(\mathcal{Q}^{\prime}_{i}(\mathbf{n}))_{i\geq 1}$ is a decreasing sequence of
partitionings of $T$.
###### Proof.
To prove (i) we first prove the following more detailed result (set $n_{0}=0$
for convenience).
* (a)
If $n_{j-1}+1<i<n_{j}$ then $g\in\mathcal{Q}^{\prime}_{i}(\mathbf{n})$ implies
$\mathrm{level}(g)\in\\{i,i+1\\}$ ($j\geq 1$).
* (b)
If $i=n_{j}$ then $g\in\mathcal{Q}^{\prime}_{i}(\mathbf{n})$ implies
$\mathrm{level}(g)\in\\{i-1,i\\}$ ($j\geq 1$).
* (c)
If $i=n_{j}+1$ then $g\in\mathcal{Q}^{\prime}_{i}(\mathbf{n})$ implies
$\mathrm{level}(g)\in\\{i-1,i,i+1\\}$ ($j\geq 0$).
This is proved by induction on $j$. For $1\leq i\leq n_{1}$ we have
$\mathcal{Q}^{\prime}_{i}(\mathbf{n})=\mathcal{Q}^{\prime}_{\min\\{i,n_{1}-1\\}}$
and the result follows from (4.4). Suppose that the result holds for $i\leq
n_{j}$. If $n_{j}<i<n_{j+1}$ then
(4.6)
$\mathcal{Q}^{\prime}_{i}(\mathbf{n})=\bigcup_{g\in\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n})}[g](\mathcal{Q}^{\prime}_{i-\mathrm{level}(g)})$
Let $g^{\prime}\in\mathcal{Q}^{\prime}_{i}(\mathbf{n})$. Assume first that
$i=n_{j}+1$. Then, because
$\mathrm{level}(\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n}))=\\{n_{j}-1,n_{j}\\}$
this implies that either $g^{\prime}\in[g](\mathcal{Q}^{\prime}_{2})$ for some
$g$ with $\mathrm{level}(g)=n_{j}-1$, hence, by (4.4),
$\mathrm{level}(g^{\prime})\in\\{n_{j}+1,n_{j}+2\\}$, or
$g^{\prime}\in[g](\mathcal{Q}^{\prime}_{1})$ for some $g$ with
$\mathrm{level}(g)=n_{j}$, hence, by (4.4), $\mathrm{level}(g^{\prime})=n_{j}$
which is (c). If $n_{j}+1<i<n_{j+1}$ then, because
$\mathrm{level}(\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n}))=\\{n_{j}-1,n_{j}\\}$
this implies that either $g^{\prime}\in[g](\mathcal{Q}^{\prime}_{i-n_{j}+1})$
for some $g\in\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n})$ with
$\mathrm{level}(g)=n_{j}-1$, hence, by (4.4),
$\mathrm{level}(g^{\prime})\in\\{i,i+1\\}$, or
$g^{\prime}\in[g](\mathcal{Q}^{\prime}_{i-n_{j}})$ for some
$g\in\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n})$ with $\mathrm{level}(g)=n_{j}$,
hence, by (4.4), $\mathrm{level}(g^{\prime})\in\\{i,i+1\\}$ which is (a). If
$i=n_{j+1}$, (b) follows immediately from (a). This finishes the induction
proof of (a), (b), and (c).
Finally let $g\in\mathcal{Q}^{\prime}_{i}(\mathbf{n})$ for some $i\geq 1$. Now
(i) follows from (a), (b), and (c) because for $i=1$ we have
$\mathrm{level}(g)=0$, for $i=2$ we have $\mathrm{level}(g)\in\\{2,3\\}$
(since $n_{1}\geq 3$), and for $i\geq 3$ we have $\mathrm{level}(g)\geq 2$.
Thus $\mathrm{level}(g)=1$ cannot occur for any
$g\in\mathcal{Q}^{\prime}_{i}(\mathbf{n})$, $i\geq 1$.
To prove (ii) we use again induction on $j$. For $1\leq i\leq n_{1}$ the
collection $\mathcal{Q}_{1}^{\prime}(\mathbf{n})$ is a regular partitioning of
$T$ by Lemma 4.9. Let now $j\geq 2$. Since
$\mathcal{Q}^{\prime}_{n_{j+1}}(\mathbf{n})=\mathcal{Q}^{\prime}_{n_{j+1}-1}(\mathbf{n})$
we may assume that $n_{j}<i<n_{j+1}$. In this case
$[g](\mathcal{Q}^{\prime}_{i-\mathrm{level}(g)})$ is a regular partitioning of
$\overline{g}$ for each $g\in\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n})$ by
Lemma 4.9, and $\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n})$ is a regular
partitioning of $T$ by the induction hypothesis. Thus by (4.6) also
$\mathcal{Q}^{\prime}_{i}(\mathbf{n})$ is a regular partitioning of $T$, and
the induction is finished. This proves (ii).
For (iii) we first show that $\mathcal{Q}^{\prime}_{i+1}(\mathbf{n})$ is a
refinement of $\mathcal{Q}^{\prime}_{i}(\mathbf{n})$. For
$n_{j}+1<i<n_{j+1}-1$ this follows from (a). For $i=n_{j}-1$ it follows
because
$\mathcal{Q}^{\prime}_{n_{j}-1}(\mathbf{n})=\mathcal{Q}^{\prime}_{n_{j}}(\mathbf{n})$,
for $i=n_{j}$ it follows form (b) and (c), and for $i=n_{j}+1$ it follows from
(c) and (a). The fact that $(\mathcal{Q}^{\prime}_{i}(\mathbf{n}))_{i\geq 1}$
is decreasing follows from (i) because $M^{-1}$ a uniform contraction. ∎
The following result contains some topological properties of
$(\mathcal{Q}_{i}(\mathbf{n}))_{i\geq 1}$ that are related to some of the
conditions of Propositions 4.5 and 4.6.
###### Proposition 4.12.
Let $T$ be an $ABC$-tile with $14$ neighbors and assume that $A=1$. Let
$\mathbf{n}=(n_{j})_{j\geq 1}$ be a sequence with
$n_{j}\in\mathbb{N}\cup\\{\infty\\}$ satisfying $n_{1}\geq 3$ and
$n_{j+1}-n_{j}\geq 3$. Then the following conditions hold for $i\geq 2$.
* (1)
For each $g\in\mathcal{Q}_{i}(\mathbf{n})$ we have $\partial
g\cong\mathbb{S}^{2}$.
* (2)
If $g_{1},g_{2}\in\mathcal{Q}_{i}(\mathbf{n})$ are distinct then $\partial
g_{1}\cap\partial g_{2}$ is either empty or a union of at most $2$ disjoint
$2$-balls.
* (3)
If $g_{1},g_{2}\in\mathcal{Q}_{i}$ are distinct then $\partial
g_{1}\cap\partial g_{2}$ is either empty or a single $2$-ball.777We need this
item only for $g_{1}=g_{\infty}$ but give the more general case for the sake
of completeness.
* (4)
If $g_{1},g_{2},g_{3}\in\mathcal{Q}_{i}(\mathbf{n})$ are distinct then
$\partial g_{1}\cap\partial g_{2}\cap\partial g_{3}$ is either empty or a
finite union of arcs.
###### Proof.
Throughout the proof we assume that $i\geq 2$.
Each $g\in\mathcal{Q}_{i}(\mathbf{n})$ either satisfies
$g=\mathbb{S}^{3}\setminus T$ or $g=M^{-j}(T+z)^{\circ}$ for some
$j\in\\{i-1,i,i+1\\}\setminus\\{1\\}$ and some $z\in\mathbb{Z}^{3}$ by Lemma
4.11 (i). In any case $\partial g$ is homeomorphic to $\partial T$ and, hence,
item (1) follows from [35, Theorem 1.1 (1)].
If $g_{1},g_{2}\in\mathcal{Q}_{i}^{\prime}(\mathbf{n})$ then, after possibly
exchanging $g_{1}$ and $g_{2}$, Lemma 4.11 (i) implies that there are
$k,l\in\\{i-1,i,i+1\\}\setminus\\{1\\}$ such that $k\leq l$,
$\mathrm{level}(g_{1})=k$ and $\mathrm{level}(g_{2})=l$. Assume that $\partial
g_{1}\cap\partial g_{2}\not=\emptyset$. Thus Proposition 3.11 (2) implies that
the intersection $\partial g_{1}\cap\partial g_{2}$ is homeomorphic to $U(R)$
for a node $\overline{R}$ of $\mathcal{I}$ which can be reached from one of
the nodes $\overline{\\{\alpha\\}}$, $\alpha\in\mathcal{S}$, by a walk of
length zero, one, or two. Since we see from Figures 8 and 9 (recall Remark
3.8) that all these nodes correspond to a $2$-ball, item (2) follows for this
case. It remains to show item (2) for the case $g_{1}=g_{\infty}$. Because
$i\geq 2$ we have $\mathrm{level}(g_{2})\geq 2$ by Lemma 4.11 (i) and (2)
follows from Lemma 4.8
To prove (3) let first $g_{1},g_{2}\in\mathcal{Q}_{i}^{\prime}$ then, after
possibly exchanging $g_{1}$ and $g_{2}$, by the definition of
$\mathcal{Q}_{i}^{\prime}$ there are $k,l\in\\{i,i+1\\}$ such that $k\leq l$,
$\mathrm{level}(g_{1})=k$ and $\mathrm{level}(g_{2})=l$. Assume that $\partial
g_{1}\cap\partial g_{2}\not=\emptyset$. Then Proposition 3.11 (2) implies that
the intersection $\partial g_{1}\cap\partial g_{2}$ is homeomorphic to $U(R)$
for a node $\overline{R}$ of $\mathcal{I}$ which can be reached from one of
the nodes $\overline{\\{\alpha\\}}$, $\alpha\in\mathcal{S}$, by a walk of
length zero or one. Since we see from Figures 8 and 9 (recall again Remark
3.8) that all these nodes correspond to a $2$-ball, item (2) follows for this
case. Let now $g_{1}=g_{\infty}$ and assume that $\partial
g_{\infty}\cap\partial g_{2}\not=\emptyset$. If
$g_{2}\in\mathcal{Q}_{i1}\cup\mathcal{Q}_{i2}$ then by the definition of
$\mathcal{Q}_{i1}$ and $\mathcal{Q}_{i2}$, $\partial g_{\infty}\cap\partial
g_{2}$ is clearly a $2$-ball. If $g_{2}\in\mathcal{Q}_{i3}$ then by the
definition of $\mathcal{Q}_{i3}$, there is $g_{2}^{\prime}\in\mathcal{P}_{i3}$
with $\mathrm{level}(g_{2})=\mathrm{level}(g_{2}^{\prime})+1$ and
$g_{2}\subset g_{2}^{\prime}$ such that $\partial g_{\infty}\cap\partial
g_{2}^{\prime}$ is a union of $2$ disjoint $2$-balls. By Proposition 3.11 (1)
the intersection $\partial g_{\infty}\cap\partial g_{2}^{\prime}$ is of type
$\overline{R^{\prime}}$ where $\overline{R^{\prime}}\in\mathcal{I}$. Lemma
3.10 now implies that there is an edge
$\overline{R^{\prime}}\rightarrow\overline{R}$ in $\mathcal{I}$ such that
$U(R^{\prime})$ is a union of at least $2$ disjoint $2$-balls and $\partial
g_{\infty}\cap\partial g_{2}\simeq U(R)$. An inspection of the graph
$\mathcal{I}$ in Figures 8 and 9 shows that each successor of a node
corresponding to $2$ disjoint $2$-balls corresponds to a single $2$-ball. Thus
$\partial g_{\infty}\cap\partial g_{2}$ is a $2$-ball and item (3) is proved.
To prove item (4) we note that by Lemma 4.11 (i) each of the atoms
$g_{j}\in\mathcal{Q}_{i}(\mathbf{n})$ ($1\leq j\leq 3$) is a union of sets of
the form $M^{-i-1}(T+z)^{\circ}$ with $z\in\mathbb{Z}^{3}$. This union is
finite unless $g_{j}=g_{\infty}$. Thus $\partial g_{1}\cap\partial
g_{2}\cap\partial g_{3}$ is a finite (possibly empty) union of intersections
of the form $M^{-i-1}((T+z_{1})\cap(T+z_{2})\cap(T+z_{3}))$ with
$z_{1},z_{2},z_{3}\in\mathbb{Z}^{3}$. By Proposition 2.6 (2) each of these
intersections is either empty or homeomorphic to an arc. This proves item (4).
∎
### 4.3. An order on the subsets of an atom
Let $T$ be an $ABC$-tile with $A=1$ having $14$ neighbors. Let
$\mathbf{n}=(n_{j})_{j\geq 1}$ be a sequence with
$n_{j}\in\mathbb{N}\cup\\{\infty\\}$ satisfying $n_{1}\geq 3$ and
$n_{j+1}-n_{j}\geq 3$ and let $(\mathcal{Q}_{i}(\mathbf{n}))_{i\geq 1}$ be the
associated sequence of regular partitionings defined in (4.5) (see Lemma
4.11). In this section we define an order on the sets
$\\{g^{\prime}\in\mathcal{Q}_{i+1}(\mathbf{n})\,:\,g^{\prime}\subseteq g\\}$
of atoms in $\mathcal{Q}_{i+1}(\mathbf{n})$ that are contained in some fixed
$g\in\mathcal{Q}_{i}(\mathbf{n})$ and prove some connectivity properties of
related intersections ($i\geq 1$).
Let $k\in\mathbb{N}$. If $z=(e_{k-1},\ldots,e_{0})_{M}$ and
$z^{\prime}=(e^{\prime}_{k-1},\ldots,e^{\prime}_{0})_{M}$ are elements of
$\mathcal{D}_{k}$ we say that $z\prec z^{\prime}$ if and only if
$(e_{k-1},\ldots,e_{0})<_{\mathrm{lex}}(e^{\prime}_{k-1},\ldots,e^{\prime}_{0})$
in lexicographic order (so, for instance $(2,1,4)_{M}\prec(3,0,0)_{M}$ and
$(0,2,3)_{M}\prec(0,2,4)_{M}$). This defines an order on $\mathcal{D}_{k}$. By
definition, this order has the following property. Let
$k,k^{\prime}\in\mathbb{N}$ with $k\leq k^{\prime}$ be given. Let
$M^{-k}(T+d_{1})$, $M^{-k}(T+d_{2})$ with $d_{1},d_{2}\in\mathcal{D}_{k}$,
$d_{1}\neq d_{2}$. If $M^{-k^{\prime}}(T+d^{\prime}_{\ell})$ with
$d^{\prime}_{\ell}\in\mathcal{D}_{k^{\prime}}$ is a subtile of
$M^{-k}(T+d_{\ell})$ for $\ell\in\\{1,2\\}$, then
(4.7) $d_{1}\prec d_{2}\quad(\hbox{in
}\mathcal{D}_{k})\qquad\Longleftrightarrow\qquad d^{\prime}_{1}\prec
d^{\prime}_{2}\quad(\hbox{in }\mathcal{D}_{k^{\prime}}).$
We continue with two lemmas that will be needed in the proof of the
connectivity result stated in Proposition 4.15.
###### Lemma 4.13.
Let $T$ be an $ABC$-tile and assume that $A=1$. Let
$z=(e_{2},e_{1},e_{0})_{M}\in\mathcal{D}_{3}$ be given. Then the following
assertions hold (where “$\prec$” denotes the order on $\mathcal{D}_{3}$).
* •
$z+P\in\mathcal{D}_{3}$ with $z\prec z+P$ if and only if $e_{0}<C-1$.
* •
$z+Q\in\mathcal{D}_{3}$ with $z\prec z+Q$ if and only if $e_{0}<C-1$ and
$e_{1}<C-1$.
* •
$z+N\in\mathcal{D}_{3}$ with $z\prec z+N$ if and only if $e_{0}<C-B$,
$e_{1}<C-1$, and $e_{2}<C-1$.
* •
$z+Q-P\in\mathcal{D}_{3}$ with $z\prec z+Q-P$ if and only if $e_{1}<C-1$.
* •
$z+N-P\in\mathcal{D}_{3}$ with $z\prec z+N-P$ if and only if $e_{0}<C-B+1$,
$e_{1}<C-1$, and $e_{2}<C-1$.
* •
$z+N-Q\in\mathcal{D}_{3}$ with $z\prec z+N-Q$ if and only if $e_{0}<C-B+1$ and
$e_{2}<C-1$.
* •
$z+N-Q+P\in\mathcal{D}_{3}$ with $z\prec z+N-Q+P$ if and only if $e_{0}<C-B$
and $e_{2}<C-1$.
* •
Let $\alpha\in-\mathcal{S}_{1}$. Then $z+\alpha\in\mathcal{D}_{3}$ and $z\prec
z+\alpha$ cannot hold simultaneously.
The proof is done easily by direct calculation; note that
$(e_{2},e_{1},e_{0})_{M}=\begin{pmatrix}e_{0}\\\ e_{1}\\\ e_{2}\end{pmatrix}$.
###### Lemma 4.14.
Let $T$ be an $ABC$-tile with $14$ neighbors and assume that $A=1$. Let
$j\in\\{1,2,3\\}$ and $z\in\mathcal{D}_{j}$ be given. Then
$U_{z,j}=(T+z)\cap\bigg{(}\partial(M^{j}T)\cup\bigcup_{\begin{subarray}{c}y\prec
z\\\ y\in\mathcal{D}_{j}\end{subarray}}(T+y)\bigg{)}$
is a connected set (here “$\prec$” denotes the order on $\mathcal{D}_{j}$).
###### Proof.
The intersection in the statement of the lemma can be written as
$U_{z,j}=(T+z)\cap\bigg{(}\bigcup_{y\not\in\mathcal{D}_{j}}(T+y)\cup\bigcup_{\begin{subarray}{c}y\prec
z\\\
y\in\mathcal{D}_{j}\end{subarray}}(T+y)\bigg{)}=\bigcup_{\alpha\in\mathcal{S}\setminus\mathcal{S}^{\prime}}(T+z)\cap(T+z+\alpha),$
where
$\mathcal{S}^{\prime}=\\{\alpha\in\mathcal{S}\;:\;z+\alpha\in\mathcal{D}_{j}\hbox{
and }z\prec z+\alpha\\}.$
We prove the case $j=3$. To this end let
$z=(e_{2},e_{1},e_{0})_{M}\in\mathcal{D}_{3}$. We have to distinguish 12 cases
according to the inequalities occurring in Lemma 4.13.
* (i)
$e_{2}\in\\{0,\ldots,C-2\\}$, $e_{1}\in\\{0,\ldots,C-2\\}$,
$e_{0}\in\\{0,\ldots,C-B-1\\}$. According to Lemma 4.13 in this case we have
$\mathcal{S}^{\prime}=\mathcal{S}_{1}$ and, hence,
$U_{z,3}=\bigcup_{\alpha\in-\mathcal{S}_{1}}(T+z)\cap(T+z+\alpha)$ is
homeomorphic to the (connected) $2$-ball $a^{3}$.
* (ii)
$e_{2}\in\\{0,\ldots,C-2\\}$, $e_{1}\in\\{0,\ldots,C-2\\}$, $e_{0}=C-B$. Here
Lemma 4.13 yields $\mathcal{S}^{\prime}=\\{P,Q,Q-P,N-P,N-Q\\}$, hence,
$\mathcal{S}\setminus\mathcal{S}^{\prime}=-S_{1}\cup\\{N,N-Q+P\\}$ and
$U_{z,3}$ easily seen to be a $2$-ball by using Lemma 2.8.
* (iii)
$e_{2}\in\\{0,\ldots,C-2\\}$, $e_{1}\in\\{0,\ldots,C-2\\}$,
$e_{0}=\\{C-B+1,\ldots,C-2\\}$. Lemma 4.13 yields
$\mathcal{S}^{\prime}=\\{P,Q,Q-P\\}$ and $U_{z,3}$ is homeomorphic to the
$2$-ball $a^{2}$.
* (iv)
$e_{2}\in\\{0,\ldots,C-2\\}$, $e_{1}\in\\{0,\ldots,C-2\\}$, $e_{0}=C-1$. Here
$\mathcal{S}^{\prime}=\\{Q-P\\}$ and $U_{z,3}$ is homeomorphic to the $2$-ball
$\partial T\setminus$ $g^{4}$.
* (v)
$e_{2}\in\\{0,\ldots,C-2\\}$, $e_{1}=C-1$, $e_{0}\in\\{0,\ldots,C-B-1\\}$.
Here $\mathcal{S}^{\prime}=\\{P,N-Q,N-Q+P\\}$ and $U_{z,3}$ is homeomorphic to
the $2$-ball $\partial T\setminus$ $d^{4}$.
* (vi)
$e_{2}\in\\{0,\ldots,C-2\\}$, $e_{1}=C-1$, $e_{0}=C-B$. Here
$\mathcal{S}^{\prime}=\\{P,N-Q\\}$ and $U_{z,3}$ is homeomorphic to
$\mathbb{S}^{1}\times[0,1]$ by Lemma 2.8 and, hence, it is connected.
* (vii)
$e_{2}\in\\{0,\ldots,C-2\\}$, $e_{1}=C-1$, $e_{0}=\\{C-B+1,\ldots,C-2\\}$.
Here $\mathcal{S}^{\prime}=\\{P\\}$ and $U_{z,3}$ is homeomorphic to the
$2$-ball $a^{1}$.
* (viii)
$e_{2}\in\\{0,\ldots,C-2\\}$, $e_{1}=C-1$, $e_{0}=C-1$. Here
$\mathcal{S}^{\prime}=\emptyset$ and $U_{z,3}$ is homeomorphic to the
$2$-sphere by Lemma 2.8 and, hence, it is connected.
* (ix)
$e_{2}=C-1$, $e_{1}\in\\{0,\ldots,C-2\\}$, $e_{0}\in\\{0,\ldots,C-2\\}$. Here
$\mathcal{S}^{\prime}=\\{P,Q,Q-P\\}$ and, hence, $U_{z,3}$ is homeomorphic to
the $2$-ball $a^{2}$.
* (x)
$e_{2}=C-1$, $e_{1}\in\\{0,\ldots,C-2\\}$, $e_{0}=C-1$. Here
$\mathcal{S}^{\prime}=\\{Q-P\\}$ and $U_{z,3}$ is homeomorphic to the $2$-ball
$\partial T\setminus$ $g^{4}$.
* (xi)
$e_{2}=C-1$, $e_{1}=C-1$, $e_{0}\in\\{0,\ldots,C-2\\}$. Here
$\mathcal{S}^{\prime}=\\{P\\}$ and $U_{z,3}$ is homeomorphic to the $2$-ball
$a^{1}$.
* (xii)
$e_{2}=C-1$, $e_{1}=C-1$, $e_{0}=C-1$. Here $\mathcal{S}^{\prime}=\emptyset$
and $U_{z,3}$ is homeomorphic to the $2$-sphere.
The proof for the cases $j\in\\{1,2\\}$ is similar but easier than the case
$j=3$ and we omit it. ∎
We are now ready to prove the following proposition. Note that the property
proved in this result is related to the condition stated in Proposition 4.5
(4).
###### Proposition 4.15.
Let $T$ be an $ABC$-tile with $14$ neighbors and assume that $A=1$. Let
$\mathbf{n}=(n_{j})_{j\geq 1}$ be a sequence with
$n_{j}\in\mathbb{N}\cup\\{\infty\\}$ satisfying $n_{1}\geq 3$ and
$n_{j+1}-n_{j}\geq 3$. Let $i\geq 1$ and let $g\in\mathcal{Q}_{i}(\mathbf{n})$
be given. The set
$\\{g_{1},\ldots,g_{n}\\}\subseteq\mathcal{Q}_{i+1}(\mathbf{n})$ of all atoms
of $\mathcal{Q}_{i+1}(\mathbf{n})$ that are subsets of $g$ can be ordered in a
way that $\partial g_{j}\cap(\partial g\cup\partial g_{1}\cup\dots\cup\partial
g_{j-1})$ is connected for each $j\in\\{1,\ldots,n\\}$.
###### Proof.
If $n=1$ (which is true in particular for $g=g_{\infty}$) the result is
trivial. If $n>1$ then $g=M^{-k}(T^{\circ}+z)$ for some $k\geq 0$ and some
$z\in\mathcal{D}_{k}$. For convenience, we set $g^{\prime}=M^{k}g-z=T^{\circ}$
and $g_{j}^{\prime}=M^{k}g_{j}-z$ for $j\in\\{1,\ldots,n\\}$. By Lemma 4.11
(i) we know that $g^{\prime}_{j}=M^{-k_{j}}(T^{\circ}+y_{j})$ with
$k_{j}\in\\{1,2,3\\}$ and $y_{j}\in\mathcal{D}_{k_{j}}$. We assume that
$\\{g^{\prime}_{1},\ldots,g^{\prime}_{n}\\}$ is ordered in a way that the
following is true: For each $j$ subdivide $\overline{g_{j}^{\prime}}$ in
subtiles of the form $M^{-3}(T+d)$ with $d\in\mathcal{D}_{3}$ by the set
equation (2.2). Let $j_{1},j_{2}\in\\{1,\ldots,n\\}$ be distinct and let
$M^{-3}(T+d_{\ell})$ be a subtile of $\overline{g_{j_{\ell}}^{\prime}}$
($\ell\in\\{1,2\\}$). Then $d_{1}\prec d_{2}$ w.r.t. the order in
$\mathcal{D}_{3}$ if and only if $j_{1}<j_{2}$.
Note that
(4.8) $\partial g_{j}\cap(\partial g\cup\partial g_{1}\cup\dots\cup\partial
g_{j-1})\simeq\partial g^{\prime}_{j}\cap(\partial g^{\prime}\cup\partial
g^{\prime}_{1}\cup\dots\cup\partial
g^{\prime}_{j-1})=\overline{g^{\prime}_{j}}\cap(\partial{g^{\prime}}\cup\overline{g^{\prime}_{1}}\cup\dots\cup\overline{g^{\prime}_{j-1}})$
holds for each $j\in\\{1,\ldots,n\\}$ (the equality holds because the sets
$\overline{g^{\prime}_{1}},\ldots,\overline{g^{\prime}_{n}}$ cover
$\overline{g^{\prime}}$ overlapping only at their boundaries). Moreover, we
have
$\displaystyle\overline{g^{\prime}_{j}}\cap(\partial
g^{\prime}\cup\overline{g^{\prime}_{1}}\cup\dots\cup\overline{g^{\prime}_{j-1}})$
$\displaystyle=M^{-k_{j}}(T+y_{j})\cap\bigg{(}\partial
T\cup\bigcup_{\ell=1}^{j-1}M^{-k_{\ell}}(T+y_{\ell})\bigg{)}$ (4.9)
$\displaystyle=M^{-k_{j}}(T+y_{j})\cap\bigg{(}\partial
T\cup\bigcup_{\begin{subarray}{c}y\prec y_{j}\\\
y\in\mathcal{D}_{k_{j}}\end{subarray}}M^{-k_{j}}(T+y)\bigg{)}$
$\displaystyle=M^{-k_{j}}\bigg{(}(T+y_{j})\cap\bigg{(}\partial(M^{k_{j}}T)\cup\bigcup_{\begin{subarray}{c}y\prec
y_{j}\\\ y\in\mathcal{D}_{k_{j}}\end{subarray}}(T+y)\bigg{)}\bigg{)}.$
In the second equality we used the set equation (2.2) to subdivide (if
$k_{\ell}<k_{j}$) or group (if $k_{\ell}>k_{j}$) the sets
$M^{-k_{\ell}}(T+y_{\ell})$ into sets that are all of the form
$M^{-k_{j}}(T+y)$ for some $y\in\mathcal{D}_{k_{j}}$. By the ordering of
$\\{g^{\prime}_{1},\ldots,g^{\prime}_{n}\\}$ and by property (4.7) of
“$\prec$” this yields the union over all sets of the form $M^{-k_{j}}(T+y)$
with $y\prec y_{j}$, $y\in\mathcal{D}_{k_{j}}$.
Because $k_{j}\in\\{1,2,3\\}$, the last set in (4.9) is connected by Lemma
4.14 and the result follows from (4.8) and (4.9). ∎
### 4.4. Proof of Theorem 1.1
We have to show that $T$ is homeomorphic to $\mathbb{D}^{3}$ under the
conditions of Theorem 1.1. In view of Section 2.2 we may assume that $T$ is an
$ABC$-tile with $14$ neighbors and that $A=1$. Throughout the proof we will
use the fact that $T$ is a Peano continuum by Lemma 2.5.
Our strategy is to construct a decreasing sequence of partitionings of
$\mathbb{D}^{3}$ that is equivalent to
$(\mathcal{Q}_{i}^{\prime}(\mathbf{n}))_{i\geq 1}$ for a suitable sequence
$\mathbf{n}=(n_{j})_{j\geq 1}$ with $n_{j}\in\mathbb{N}$ (finite) satisfying
$n_{1}\geq 3$ and $n_{j+1}-n_{j}\geq 3$. Then the result will follow from
Lemma 4.4. We will use the theory of partitionings due to Bing [5]. Bing gives
a topological characterization of $3$-spheres in terms of decreasing sequences
of regular partitionings. In Theorem 1.1 we deal with $3$-balls instead of
$3$-spheres. However, the main difference between Bing’s setting and our’s is
that, contrary to his assumptions (see [5, Theorem 1 (1.2)] and the discussion
in [5, p. 25]), we do not have that for $g_{1},g_{2}\in\bigcup_{i\geq
1}\mathcal{Q}_{i}^{\prime}(\mathbf{n})$ the intersection $\partial
g_{1}\cap\partial g_{2}$ is either empty or homeomorphic to $\mathbb{D}^{2}$.
We have to settle for the weaker results in Proposition 4.12 (2) and (3). To
make up for this we will exploit the self-affinity of $T$. This difference is
the reason why we cannot use Bing’s original proof here.
The following lemma contains the crucial tool for the proof of Theorem 1.1.
###### Lemma 4.16.
There is a sequence $\mathbf{n}=(n_{j})_{j\geq 1}$ with $n_{j}\in\mathbb{N}$,
$n_{j}\geq 3$ and $n_{j+1}-n_{j}\geq 3$ such that there are sequences
$(H_{i})_{i\geq 1}$ and $(K_{n_{j}})_{j\geq 1}$ of partitionings of
$\mathbb{R}^{3}$ with the following properties.
* (i)
For each $h\in H_{i}$ the boundary $\partial h$ is a tame $2$-sphere in
$\mathbb{R}^{3}$ ($i\geq 1$).
* (ii)
$H_{i+1}$ is a refinement of $H_{i}$ for each $i\geq 1$.
* (iii)
$h_{0}=\mathbb{R}^{3}\setminus\mathbb{D}^{3}$ is an atom of $H_{i}$ for each
$i\geq 1$.
* (iv)
$(H_{i}\setminus\\{h_{0}\\})_{i\geq 1}$ is equivalent to
$(\mathcal{Q}^{\prime}_{i}(\mathbf{n}))_{i\geq 1}$ in the sense of Definition
4.2.
* (v)
There is a sequence $(F_{i})_{i\geq 1}$ where
$F_{i}:\bigcup_{g\in\mathcal{Q}_{i}^{\prime}(\mathbf{n})}\partial
g\to\bigcup_{h\in H_{i}\setminus\\{h_{0}\\}}\partial h$ is a homeomorphism
with the following properties: If $i>1$ then the restriction of $F_{i}$ to
$\bigcup_{g\in\mathcal{Q}_{i-1}^{\prime}(\mathbf{n})}\partial g$ is equal to
$F_{i-1}$. If $i\geq 1$ then for each
$g\in\mathcal{Q}_{i}^{\prime}(\mathbf{n})$ we have $F_{i}(\partial g)=\partial
h$, where $h\in H_{i}\setminus\\{h_{0}\\}$ is the atom corresponding to $g$
under the equivalence in (iv).
* (vi)
For each $k\in K_{n_{j}}$ the boundary $\partial k$ is a tame $2$-sphere in
$\mathbb{R}^{3}$ ($j\geq 1$).
* (vii)
$K_{n_{j+1}}$ is a refinement of $K_{n_{j}}$ for each $j\geq 1$.
* (viii)
$h_{0}$ is an atom of $K_{n_{j}}$ for each $j\geq 1$.
* (ix)
$(K_{n_{j}})_{j\geq 1}$ is equivalent to $(H_{n_{j}})_{j\geq 1}$ in the sense
of Definition 4.2.
* (x)
$(K_{n_{j}}\setminus\\{h_{0}\\})_{j\geq 1}$ is a decreasing sequence of
partitionings of $\mathbb{D}^{3}$.
###### Proof.
The proof splits in two parts. The first part is an induction proof in which
we construct the sequences $(H_{i})_{i\geq 1}$ and $(K_{n_{j}})_{j\geq 1}$,
where the second sequence might a priori be finite. In the second part of the
proof we show that $(K_{n_{j}})_{j\geq 1}$ is in fact an infinite sequence.
We say that $\mathcal{A}(m)$ holds if there exist
$j_{0}=j_{0}(m)\in\mathbb{N}$, $n_{1},\ldots,n_{j_{0}}\leq m$, $n_{j}=\infty$
for $j>j_{0}$, and finite sequences $(H_{i})_{i=1}^{m}$,
$(K_{n_{j}})_{j=1}^{j_{0}}$ of partitionings of $\mathbb{R}^{3}$ such that the
following properties hold. (We set $n_{0}=0$ and
$K_{0}=\\{h_{0},\mathbb{R}^{3}\setminus\\{h_{0}\\}\\}$ for convenience.)
* (i-$m$)
For each $h\in H_{i}$ the boundary $\partial h$ is a tame $2$-sphere in
$\mathbb{R}^{3}$ $(1\leq i\leq m)$.
* (ii-$m$)
$H_{i+1}$ is a refinement of $H_{i}$ ($1\leq i<m$).
* (iii-$m$)
$h_{0}=\mathbb{R}^{3}\setminus\mathbb{D}^{3}$ is an atom of $H_{i}$ ($1\leq
i\leq m$).
* (iv-$m$)
$(H_{i}\setminus\\{h_{0}\\})_{i=1}^{m}$ is equivalent to
$(\mathcal{Q}_{i}^{\prime}(\mathbf{n}))_{i=1}^{m}$ in the sense of Definition
4.2.
* (v-$m$)
There is a sequence $(F_{i})_{i=1}^{m}$ where
$F_{i}:\bigcup_{g\in\mathcal{Q}_{i}^{\prime}(\mathbf{n})}\partial
g\to\bigcup_{h\in H_{i}\setminus\\{h_{0}\\}}\partial h$ is a homeomrophism
with the following properties: If $1<i\leq m$ then the restriction of $F_{i}$
to $\bigcup_{g\in\mathcal{Q}_{i-1}^{\prime}(\mathbf{n})}\partial g$ is equal
to $F_{i-1}$. If $1\leq i\leq m$ then for each
$g\in\mathcal{Q}_{i}^{\prime}(\mathbf{n})$ we have $F_{i}(\partial g)=\partial
h$, where $h\in H_{i}\setminus\\{h_{0}\\}$ is the atom corresponding to $g$
under the equivalence in (iv-$m$).
* (vi-$m$)
For each $k\in K_{n_{j}}$ the boundary $\partial k$ is a tame $2$-sphere in
$\mathbb{R}^{3}$ ($1\leq j\leq j_{0}$).
* (vii-$m$)
$K_{n_{j+1}}$ is a refinement of $K_{n_{j}}$ $(1\leq j<j_{0})$.
* (viii-$m$)
$h_{0}$ is an atom of $K_{n_{j}}$ $(1\leq j\leq j_{0})$.
* (ix-$m$)
$(K_{n_{j}})_{j=1}^{j_{0}}$ is equivalent to $(H_{n_{j}})_{j=1}^{j_{0}}$ in
the sense of Definition 4.2.
* (x-$m$)
There exist homeomorphisms
$f_{1},\ldots,f_{j_{0}}:\mathbb{R}^{3}\to\mathbb{R}^{3}$ such that each
boundary point of each atom of $K_{n_{j-1}}$ is invariant under $f_{j}$ and
$f_{j}\circ\cdots\circ f_{1}$ keeps $\mathbb{R}^{3}\setminus\mathbb{D}^{3}$
invariant and carries each other atom of $H_{n_{j}}$ into a set of diameter
less than $\frac{1}{2^{j}}$. Moreover,
$K_{n_{j}}=\\{f_{j}\circ\cdots\circ f_{2}\circ f_{1}(h)\;:\;h\in H_{n_{j}}\\}$
($1\leq j\leq j_{0}$). Thus $K_{n_{j}}\setminus\\{h_{0}\\}$ is a partitioning
of $\mathbb{D}^{3}$ with $\max\\{{\rm diam}(k)\,:\,k\in
K_{n_{j}}\\}<\frac{1}{2^{j}}$.
To prove the lemma we first show by induction that $\mathcal{A}(m)$ is true
for all $m\geq 1$. In the course of this induction proof we construct
sequences $(H_{i})_{i\geq 1}$ and $(K_{n_{j}})_{j\geq 1}$ that satisfy (i-$m$)
– (x-$m$) for each $m\in\mathbb{N}$. This induction argument implies (i) –
(v). To gain (vi) – (x) we have to show that our construction leads to
$j_{0}(m)\nearrow\infty$ for $m\to\infty$.
For the induction start we prove $\mathcal{A}(1)$. Set $j_{0}(1)=0$. Thus
$n_{j}=\infty$ for all $j\geq 1$ and $(K_{n_{j}})_{j=1}^{0}$ is the empty
sequence. Set
$H_{1}=\\{(\mathbb{D}^{3})^{\circ},\mathbb{R}^{3}\setminus\mathbb{D}^{3}\\}$.
Then $\bigcup_{g\in\mathcal{Q}_{1}^{\prime}(\mathbf{n})}\partial
g=\bigcup_{g\in\mathcal{Q}_{1}^{\prime}}\partial g=\partial T$ and
$\bigcup_{h\in H_{1}\setminus\\{h_{0}\\}}\partial h=\partial\mathbb{D}^{3}$.
Since $\partial T$ is a $2$-sphere by [35, Theorem 1.1], there exists a
homeomorphism $F_{1}:\partial T\to\partial\mathbb{D}^{3}$. Thus $H_{1}$
satisfies (i-$1$), (ii-$1$) (which is empty for $m=1$), (iii-$1$), (iv-$1$)
(note that $\mathcal{Q}^{\prime}_{1}(\mathbf{n})=\\{T^{\circ}\\}$; thus
$T^{\circ}$ corresponds to $(\mathbb{D}^{3})^{\circ}$), and (v-$1$) (whose
first assertion is empty for $m=1$). Since $j_{0}(1)=0$, assertions (vi-$1$) –
(x-$1$) are empty. This concludes the induction start.
To perform the induction step, let $m\geq 1$ and assume that $\mathcal{A}(m)$
is true. We have to distinguish two cases.
Case 1: For $j_{0}=j_{0}(m)$ we have $m\geq n_{j_{0}}+2$ and there exists a
homeomorphism $f_{j_{0}+1}:\mathbb{R}^{3}\to\mathbb{R}^{3}$ such that each
boundary point of each atom of $K_{n_{j_{0}}}$ is invariant under
$f_{j_{0}+1}$ and $f_{j_{0}+1}\circ\cdots\circ f_{1}$ keeps
$\mathbb{R}^{3}\setminus\mathbb{D}^{3}$ invariant and carries each other atom
of $H_{m}$ into a set of diameter less than $\frac{1}{2^{j_{0}+1}}$.
Case 2: $m<n_{j_{0}(m)}+2$ or a homeomorphism as in Case 1 does not exist
(this is the complement of Case 1).
If Case 1 is in force then set $j_{0}(m+1)=j_{0}(m)+1$ and
$n_{j_{0}(m+1)}=m+1$. This has no effect on the partitionings
$\mathcal{Q}_{1}^{\prime}(\mathbf{n}),\ldots,\mathcal{Q}_{m}^{\prime}(\mathbf{n})$.
By the definition of $(\mathcal{Q}_{i}^{\prime}(\mathbf{n}))_{i\geq 1}$ in
(4.5) we have
$\mathcal{Q}_{m}^{\prime}(\mathbf{n})=\mathcal{Q}_{n_{j_{0}(m+1)-1}}^{\prime}(\mathbf{n})=\mathcal{Q}_{n_{j_{0}(m+1)}}^{\prime}(\mathbf{n})=\mathcal{Q}_{m+1}^{\prime}(\mathbf{n}).$
Thus, setting $H_{m+1}=H_{m}$ trivially yields (i-$(m+1)$) – (v-$(m+1)$) from
(i-$m$) – (v-$m$). Now let $f_{j_{0}(m)+1}=f_{j_{0}(m+1)}$ be the
homeomorphism having the properties specified in Case 1 and set
$K_{m+1}=\\{f_{j_{0}(m+1)}\circ\cdots\circ f_{2}\circ f_{1}(h)\,:\,h\in
H_{m+1}\\}$. The condition for Case 1 (here we use that $H_{m+1}=H_{m}$)
together with (x-$m$) implies that (x-$(m+1)$) is true. Because
$f_{j_{0}(m+1)}\circ\cdots\circ f_{2}\circ f_{1}$ is a homeomorphism that
keeps $\mathbb{R}^{3}\setminus\mathbb{D}^{3}$ invariant, (vi-$(m+1)$),
(viii-$(m+1)$), and (ix-$(m+1)$) follow. Finally, (vii-$(m+1)$) is true
because $f_{j_{0}(m+1)}$ leaves each boundary point of $K_{n_{j_{0}(m)}}$
invariant by (x-$(m+1)$). This finishes the induction step for Case 1.
If Case 2 holds, set $j_{0}(m+1)=j_{0}(m)$. Let
$a\in\mathcal{Q}_{m}^{\prime}(\mathbf{n})$ and let
$\\{g_{a,1},g_{a,2},\dots,g_{a,n(a)}\\}=\\{g\in\mathcal{Q}^{\prime}_{m+1}(\mathbf{n})\,:\,g\subseteq
a\\}$ be ordered in a way that they satisfy the conclusion of Proposition
4.15. Let $h(a)\in H_{m}$ be the element corresponding to $a$ via (iv-$m$). We
want to apply Proposition 4.5 with $S=\mathbb{S}^{3}$,
$S_{2}=\partial_{\mathbb{S}^{3}}a$, $C=\partial_{\mathbb{R}^{3}}h(a)$,
$G=\mathcal{Q}_{m+1}(\mathbf{n})$, and $F=F_{m}|_{\partial a}$. Therefore, we
have to check the conditions of this proposition. By Lemma 4.11 (ii),
$\mathcal{Q}_{m+1}(\mathbf{n})$ is a regular partitioning of $\mathbb{S}^{3}$
and Proposition 4.12 implies that $\mathcal{Q}_{m+1}(\mathbf{n})$ satisfies
conditions (1), (2), and (3) of Proposition 4.5 (note that $m+1\geq 2$). By
the order we chose for the elements $g_{a,1},\ldots,g_{a,n(a)}$ (using
Proposition 4.15), the set $\\{g_{a,1},\dots,g_{a,n(a)}\\}$ satisfies
condition (4) of Proposition 4.5 (observe that
$a=\big{(}\overline{\bigcup_{\ell=1}^{n(a)}g_{a,\ell}}\big{)}^{\circ}$). Thus
we can apply Proposition 4.5. This yields a partitioning
$H_{m+1,a}=\\{h_{a,0},h_{a,1},\dots,h_{a,n(a)}\\}$ of $\mathbb{R}^{3}$, where
$h_{a,0}$ is the exterior of $\partial h(a)$ and the boundaries of $h_{a,j}$
are tame $2$-spheres, and a homeomorphism
$F_{m+1,a}:\partial_{\mathbb{S}^{3}}(g_{a,1}\cup\dots\cup
g_{a,n(a)})\to\partial_{\mathbb{R}^{3}}(h_{a,1}\cup\dots\cup h_{a,n(a)})$
satisfying
(4.10) $F_{m+1,a}|_{\partial a}=F_{m}|_{\partial a}$
and
(4.11) $F_{m+1,a}(\partial{g_{a,j}})=\partial h_{a,j}\quad\hbox{for each
}j\in\\{1,2,\dots,n(a)\\}.$
Set
$H_{m+1}=\\{h_{0}\\}\cup\bigcup_{a\in\mathcal{Q}_{m}^{\prime}(\mathbf{n})}H_{m+1,a}^{\prime}$,
where $H_{m+1,a}^{\prime}=H_{m+1,a}\setminus\\{h_{a,0}\\}$. By construction,
$H_{m+1}$ is a partitioning of $\mathbb{R}^{3}$ whose atoms have tame
spherical boundary, which is a refinement of $H_{m}$, and which contains the
atom $h_{0}$. Thus, by the induction hypothesis $\mathcal{A}(m)$,
$(H_{i})_{i=1}^{m+1}$ satisfies (i-$(m+1)$), (ii-$(m+1)$), and (iii-$(m+1)$).
Observe that
$\displaystyle
F_{m+1}:\bigcup_{g\in\mathcal{Q}_{m+1}^{\prime}(\mathbf{n})}\partial g$
$\displaystyle\to\bigcup_{h\in H_{m+1}\setminus\\{h_{0}\\}}\partial h,\qquad
x\mapsto F_{m+1,a}(x)\quad\hbox{for}\quad
x\in\partial_{\mathbb{S}^{3}}(g_{a,1}\cup\dots\cup g_{a,n(a)})$
is a homeomorphism which is well-defined on the boundary of each atom of the
partitioning $\mathcal{Q}_{m+1}^{\prime}(\mathbf{n})$ because the
homeomorphisms $F_{m+1,a}$, $a\in\mathcal{Q}^{\prime}_{m}(\mathbf{n})$, agree
on the intersections of their domains. Thus (iv-$(m+1)$) holds with the
correspondence $g_{a,\ell}\leftrightarrow h_{a,\ell}$
($a\in\mathcal{Q}_{m}(\mathbf{n})$, $1\leq\ell\leq n(a)$; see in particular
(4.11)). To see that (v-$(m+1)$) is true, note that the restriction of
$F_{m+1}$ to the domain of $F_{m}$ equals $F_{m}$ by (4.10) and boundaries of
corresponding atoms are mapped bijectively to each other by (4.11). Thus
(i-$(m+1)$) – (v-$(m+1)$) hold also in Case 2.
In Case 2 we have $j_{0}(m+1)=j_{0}(m)$. Thus items (vi-$(m+1)$) – (x-$(m+1)$)
are the same as (vi-$m$) – (x-$m$) and there is nothing to prove. Thus the
induction step is finished also in Case 2. This completes the induction proof.
This induction proof already implies assertions (i) – (v) of the lemma. To get
(vi) – (x) it remains to show that our process defines an infinite sequence
$(n_{j})_{j\geq 1}$ of integers $n_{j}$, i.e., that $j_{0}(m)\nearrow\infty$
for $m\to\infty$. The monotonicity of $j_{0}(m)$ is clear from the
construction. Since $j_{0}(m+1)=j_{0}(m)+1$ whenever we are in Case 1, it
remains to prove the following claim.
Claim: Case 1 occurs for infinitely many $m$ in the above induction process.
To prove this assume on the contrary that Case 1 occurs only finitely many
times. Then either there is a largest $m$ that has $m=n_{j_{0}}$ for some
$j_{0}\geq 1$, or Case 1 never occurs; then we set $m=1$ and $j_{0}=0$. Let
$g\in\mathcal{Q}^{\prime}_{m}(\mathbf{n})$ and let $h$ be the element of
$H_{m}\setminus\\{h_{0}\\}$ corresponding to $g$. Let
$(K_{i}(h))_{i>m}=(\\{f_{j_{0}}\circ\cdots\circ
f_{1}(h^{\prime})\;:\;h^{\prime}\in H_{i}\hbox{ with }h^{\prime}\subseteq
h\\}\cup\\{\mathbb{R}^{3}\setminus\overline{f_{j_{0}}\circ\cdots\circ
f_{1}(h)}\\})_{i>m}.$
By the definition of $(\mathcal{Q}_{i}(\mathbf{n}))_{i\geq 1}$ and by (iv) we
have that
(4.12) $\begin{split}(K_{i}(h))_{i>m}&\sim(\\{h^{\prime}\in
H_{i}\;:\;h^{\prime}\subseteq
h\\}\cup\\{\mathbb{R}^{3}\setminus\overline{h}\\})_{i>m}\\\
&\sim(\\{g^{\prime}\in\mathcal{Q}_{i}^{\prime}(\mathbf{n})\;:\;g^{\prime}\subseteq
g\\}\cup\\{\mathbb{R}^{3}\setminus\overline{g}\\})_{i>m}\\\
&=([g](\mathcal{Q}^{\prime}_{i-\mathrm{level}(g)})\cup\\{\mathbb{R}^{3}\setminus\overline{g}\\})_{i>m}\\\
&\sim\begin{cases}(\mathcal{Q}_{i})_{i\geq 1}&\hbox{if
}\mathrm{level}(g)=m,\\\ (\mathcal{Q}_{i})_{i\geq 2}&\hbox{if
}\mathrm{level}(g)=m-1,\end{cases}\end{split}$
where the equivalences have the additional property that $\partial
a_{1}\cap\partial a_{2}\simeq\partial a_{1}^{\prime}\cap\partial
a_{2}^{\prime}$ if $a_{\ell}$ and $a_{\ell}^{\prime}$ are corresponding
elements ($1\leq\ell\leq 2$). Indeed, this homeomorpies hold by (v) and
because $f_{j_{0}}\circ\cdots\circ f_{1}$ and $[g]$ are homeomorphisms from
$\mathbb{R}^{3}$ to $\mathbb{R}^{3}$. Note that $(K_{i}(h))_{i>m}$ satisfies
the conditions of Proposition 4.6 with $C=\partial f_{j_{0}}\circ\cdots\circ
f_{1}(h)$: Proposition 4.6 (1) holds by (i), Proposition 4.6 (2)888It is this
condition (2) of Proposition 4.6 that required us to work with
$(\mathcal{Q}_{i}^{\prime}(\mathbf{n}))$ rather than
$(\mathcal{Q}_{i}^{\prime})$. Indeed, the fact that we use
$(\mathcal{Q}_{i}^{\prime}(\mathbf{n}))$ guarantees that (4.12) holds. holds
by (4.12) and Proposition 4.12 (3) (for $i\geq 2$; for
$\mathcal{Q}_{1}^{\prime}$ it is easy to see), Proposition 4.6 (3) is true by
(ii), Proposition 4.6 (4) is obviously true, and Proposition 4.6 (5) holds by
(v). Indeed, note that $(\mathcal{Q}_{i}^{\prime}(\mathbf{n}))$ is decreasing
and $F_{k}$ preserves $F_{k+n}$ on the boundaries of the elements of
$\mathcal{Q}_{k}^{\prime}(\mathbf{n})$ for each $n\in\mathbb{N}$. Applying
Proposition 4.6 to $(K_{i}(h))_{i>m}$ we see that there is an integer
$m^{\prime}(g)\geq m+2$ for which there is a homeomorphism
$f_{g,j_{0}+1}:\mathbb{R}^{3}\to\mathbb{R}^{3}$ that leaves
$\mathbb{R}^{3}\setminus f_{j_{0}}\circ\cdots\circ f_{1}(h)$ pointwise
invariant and $\mathrm{diam}(f_{g,j_{0}+1}(k^{\prime}))<\frac{1}{2^{j_{0}+1}}$
holds for each $m^{\prime\prime}\geq m^{\prime}(g)$ and each $k^{\prime}\in
K_{m^{\prime\prime}}(h)\setminus\\{\mathbb{R}^{3}\setminus\overline{f_{j_{0}}\circ\cdots\circ
f_{1}(h)}\\}$. Doing this for each $g\in\mathcal{Q}^{\prime}_{m}(\mathbf{n})$
and choosing
$m^{\prime}=\max\\{m^{\prime}(g)\,:\,g\in\mathcal{Q}^{\prime}_{m}(\mathbf{n})\\}+3$
we can define the homeomorphism $f_{j_{0}+1}:\mathbb{R}^{3}\to\mathbb{R}^{3}$
by
$f_{j_{0}+1}(x)=f_{g,j_{0}+1}(x)\quad\hbox{for $x\in f_{j_{0}}\circ\cdots\circ
f_{1}(h)$, where $h\in H_{m}\setminus\\{h_{0}\\}$ corresponds to
$g\in\mathcal{Q}^{\prime}_{m}(\mathbf{n})$}$
(extending it continuously to $\mathbb{R}^{3}$ by the identity outside
$\mathbb{D}^{3}$). By construction, each boundary point of each atom of
$K_{n_{j_{0}}}$ is invariant under $f_{j_{0}+1}$ and
$f_{j_{0}+1}\circ\cdots\circ f_{1}$ keeps
$\mathbb{R}^{3}\setminus\mathbb{D}^{3}$ invariant and carries each other atom
of $H_{m^{\prime}}$ into a set of diameter less than $\frac{1}{2^{j_{0}+1}}$.
Because $m^{\prime}\geq m+3$ we are in Case 1 for $m^{\prime}>m$, a
contradiction to the maximality of $m$. This proves the claim and, hence, the
lemma. ∎
We can now easily finish the proof of Theorem 1.1. By Lemma 4.11 and Lemma
4.16 (x), there is a strictly increasing sequence $(n_{j})$ of positive
integers such that $(\mathcal{Q}_{n_{j}}^{\prime}(\mathbf{n}))_{j\geq 1}$ and
$(K_{n_{j}}\setminus\\{h_{0}\\})_{j\geq 1}$ are decreasing sequences of
partitionings of $T$ and $\mathbb{D}^{3}$, respectively. From Lemma 4.16 (iv)
and (ix) we obtain that $(\mathcal{Q}_{n_{j}}^{\prime}(\mathbf{n}))_{j\geq
1}\sim(H_{n_{j}}\setminus\\{h_{0}\\})_{j\geq
1}\sim(K_{n_{j}}\setminus\\{h_{0}\\})_{j\geq 1}$. Thus Lemma 4.4 (see also
Remark 4.3) implies that $T$ is homeomorphic to $\mathbb{D}^{3}$. This
concludes the proof of Theorem 1.1.
### 4.5. Proof of Theorem 1.5
In view of Section 2.2 we may assume that $T$ is an $ABC$-tile with $14$
neighbors and that $A=1$. As in the proof of Lemma 2.8 we see that the
truncated octahedron $O$ is a CW complex in the following natural sense. Let
$O_{\boldsymbol{\alpha}}$ (${\boldsymbol{\alpha}}\subseteq\mathcal{S}$) be as
in 2.12. For $i\in\\{0,1,2,3\\}$ the closed $i$-cells are given by the
nonempty sets $O_{\boldsymbol{\alpha}}$ with
$\boldsymbol{\alpha}\subseteq\mathcal{S}$ and $\\#\boldsymbol{\alpha}=3-i$.
Thus the $0$-skeleton $O^{0}$ is the set of vertices of $O$. Each closed
$1$-cell $O_{\\{\alpha_{1},\alpha_{2}\\}}$ is attached to the two closed
$0$-cells $O_{\boldsymbol{\alpha}}$ satisfying
$\boldsymbol{\alpha}\supset\\{\alpha_{1},\alpha_{2}\\}$ and
$\\#\boldsymbol{\alpha}=3$ (these $2$ closed $0$-cells form a $0$-sphere,
i.e., two points). This yields the $1$-skeleton $O^{1}$ (i.e., the edges of
$O$). To get the $2$-skeleton $O^{2}$ (whose support is $\partial O$) we
attach each closed $2$-cell $O_{\alpha_{1}}$, $\alpha_{1}\in\mathcal{S}$, to
the $1$-sphere
$\bigcup_{\alpha_{2}\in\mathcal{S}:\alpha_{2}\not=\alpha_{1}}O_{\\{\alpha_{1},\alpha_{2}\\}}$.
Finally, we attach the closed $3$-cell $O=O_{\emptyset}$ to the sphere
$O^{2}$.
From Proposition 2.6 and Theorem 1.1 we see that the set $T$ is a CW complex
whose closed $i$-cells are given by the nonempty sets
$\boldsymbol{B}_{\boldsymbol{\alpha}}$ with
$\boldsymbol{\alpha}\subseteq\mathcal{S}$ and $\\#\boldsymbol{\alpha}=3-i$ for
$i\in\\{0,1,2,3\\}$ with analogous attaching rules as above.
Thus, by Lemma 2.7 and Theorem 1.1, $T$ has the CW complex structure indicated
in the statement of Theorem 1.5. This CW complex structure is isomorphic to
the natural CW complex structure of $O$. The number of closed $i$-cells
asserted in Theorem 1.5 can immediately be counted on $O$: A truncated
octahedron has $14$ faces, $36$ edges, and $24$ vertices. This finishes the
proof of Theorem 1.5.
## References
* [1] L.-X. An and K.-S. Lau, Characterization of a class of planar self-affine tile digit sets, Trans. Amer. Math. Soc., 371 (2019), pp. 7627–7650.
* [2] C. Bandt, Self-similar sets. V. Integer matrices and fractal tilings of ${\bf R}^{n}$, Proc. Amer. Math. Soc., 112 (1991), pp. 549–562.
* [3] , Combinatorial topology of three-dimensional self-affine tiles. arXiv:1002.0710, preprint, 2010.
* [4] C. Bandt and Y. Wang, Disk-like self-affine tiles in $\mathbb{R}^{2}$, Discrete Comput. Geom., 26 (2001), pp. 591–601.
* [5] R. H. Bing, A characterization of $3$-space by partitionings, Trans. Amer. Math. Soc., 70 (1951), pp. 15–27.
* [6] G. R. Conner and J. M. Thuswaldner, Self-affine manifolds, Adv. Math., 289 (2016), pp. 725–783.
* [7] J. H. Conway, H. Burgiel, and C. Goodman-Strauss, The symmetries of things, A K Peters, Ltd., Wellesley, MA, 2008.
* [8] N. G. de Bruijn, A combinatorial problem, Nederl. Akad. Wetensch., Proc., 49 (1946), pp. 758–764 = Indagationes Math. 8, 461–467 (1946).
* [9] G. Deng, C. Liu, and S.-M. Ngai, Topological properties of a class of self-affine tiles in $\mathbb{R}^{3}$, Trans. Amer. Math. Soc., 370 (2018), pp. 1321–1350.
* [10] R. Diestel, Graph theory, vol. 173 of Graduate Texts in Mathematics, Springer-Verlag, Berlin, third ed., 2005.
* [11] B. Fuglede, Commuting self-adjoint partial differential operators and a group theoretic problem, J. Functional Analysis, 16 (1974), pp. 101–121.
* [12] K. Gröchenig and A. Haas, Self-similar lattice tilings, J. Fourier Anal. Appl., 1 (1994), pp. 131–170.
* [13] O. G. Harrold, Jr., Locally peripherally euclidean spaces are locally euclidean, Ann. of Math. (2), 74 (1961), pp. 207–220.
* [14] , A characterization of locally euclidean spaces, Trans. Amer. Math. Soc., 118 (1965), pp. 1–16.
* [15] M. Hata, On the structure of self-similar sets, Japan J. Appl. Math., 2 (1985), pp. 381–414.
* [16] A. Hatcher, Algebraic topology, Cambridge University Press, Cambridge, 2002.
* [17] J. E. Hutchinson, Fractals and self-similarity, Indiana Univ. Math. J., 30 (1981), pp. 713–747.
* [18] T. Kamae, J. Luo, and B. Tan, A gluing lemma for iterated function systems, Fractals, 23 (2015), pp. 1550019, 10.
* [19] R. Kenyon, Self-replicating tilings, in Symbolic dynamics and its applications (New Haven, CT, 1991), vol. 135 of Contemp. Math., Amer. Math. Soc., Providence, RI, 1992, pp. 239–263.
* [20] I. Kirat and K.-S. Lau, On the connectedness of self-affine tiles, J. London Math. Soc. (2), 62 (2000), pp. 291–304.
* [21] K. W. Kwun, A characterization of the $n$-sphere, Trans. Amer. Math. Soc., 101 (1961), pp. 377–383.
* [22] J. C. Lagarias and Y. Wang, Integral self-affine tiles in $\mathbb{R}^{n}$. I. Standard and nonstandard digit sets, J. London Math. Soc. (2), 54 (1996), pp. 161–179.
* [23] , Self-affine tiles in ${\bf R}^{n}$, Adv. Math., 121 (1996), pp. 21–49.
* [24] , Integral self-affine tiles in ${\bf R}^{n}$. II. Lattice tilings, J. Fourier Anal. Appl., 3 (1997), pp. 83–102.
* [25] C.-K. Lai and K.-S. Lau, Some recent developments of self-affine tiles, in Recent developments in fractals and related fields, Trends Math., Birkhäuser/Springer, Cham, 2017, pp. 207–232.
* [26] C.-K. Lai, K.-S. Lau, and H. Rao, Classification of tile digit sets as product-forms, Trans. Amer. Math. Soc., 369 (2017), pp. 623–644.
* [27] K.-S. Leung and K.-S. Lau, Disklikeness of planar self-affine tiles, Trans. Amer. Math. Soc., 359 (2007), pp. 3337–3355.
* [28] J. Luo, S. Akiyama, and J. M. Thuswaldner, On the boundary connectedness of connected tiles, Math. Proc. Cambridge Philos. Soc., 137 (2004), pp. 397–410.
* [29] J. Luo and J. M. Thuswaldner, On the fundamental group of self-affine plane tiles, Ann. Inst. Fourier (Grenoble), 56 (2006), pp. 2493–2524. Numération, pavages, substitutions.
* [30] R. D. Mauldin and S. C. Williams, Hausdorff dimension in graph directed constructions, Trans. Amer. Math. Soc., 309 (1988), pp. 811–829.
* [31] S.-M. Ngai and T.-M. Tang, A technique in the topology of connected self-similar tiles, Fractals, 12 (2004), pp. 389–403.
* [32] , Topology of connected self-similar tiles in the plane with disconnected interiors, Topology Appl., 150 (2005), pp. 139–155.
* [33] K. Scheicher and J. M. Thuswaldner, Neighbours of self-affine tiles in lattice tilings, in Fractals in Graz 2001, Trends Math., Birkhäuser, Basel, 2003, pp. 241–262.
* [34] T. Tao, Fuglede’s conjecture is false in 5 and higher dimensions, Math. Res. Lett., 11 (2004), pp. 251–258.
* [35] J. Thuswaldner and S.-Q. Zhang, On self-affine tiles whose boundary is a sphere, Trans. Amer. Math. Soc., 373 (2020), pp. 491–527.
| arxiv-papers | 2021-07-26T09:55:54 | 2024-09-04T03:07:18.196452 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "J\\\"org M. Thuswaldner and Shu-Qin Zhang",
"submitter": "Joerg Thuswaldner",
"url": "https://arxiv.org/abs/2107.12076"
} |
2107.12081 | # Towards the Unseen: Iterative Text Recognition by Distilling from Errors
Ayan Kumar Bhunia1 Pinaki Nath Chowdhury1,2 Aneeshan Sain1,2 Yi-Zhe Song1,2
1SketchX, CVSSP, University of Surrey, United Kingdom.
2iFlyTek-Surrey Joint Research Centre on Artificial Intelligence.
{a.bhunia, p.chowdhury, a.sain, y.song}@surrey.ac.uk
###### Abstract
Visual text recognition is undoubtedly one of the most extensively researched
topics in computer vision. Great progress have been made to date, with the
latest models starting to focus on the more practical “in-the-wild” setting.
However, a salient problem still hinders practical deployment – prior state-
of-arts mostly struggle with recognising unseen (or rarely seen) character
sequences. In this paper, we put forward a novel framework to specifically
tackle this “unseen” problem. Our framework is iterative in nature, in that it
utilises predicted knowledge of character sequences from a previous iteration,
to augment the main network in improving the next prediction. Key to our
success is a unique cross-modal variational autoencoder to act as a feedback
module, which is trained with the presence of textual error distribution data.
This module importantly translate a discrete predicted character space, to a
continuous affine transformation parameter space used to condition the visual
feature map at next iteration. Experiments on common datasets have shown
competitive performance over state-of-the-arts under the conventional setting.
Most importantly, under the new disjoint setup where train-test labels are
mutually exclusive, ours offers the best performance thus showcasing the
capability of generalising onto unseen words (Figure 1 offers a summary).
## 1 Introduction
Text recognition being a longstanding problem in computer vision plays a
pivotal role in a diverse set of applications, ranging from OCR systems [4,
42, 48, 54], navigation and guiding board recognition [10], to more recent
ones such as visual question answering [5]. With the advance of deep learning
[50, 62, 10, 43], recognition accuracy have notably increased over traditional
methods [36]. Research focus has thus shifted to the more practical “in-the-
wild” setting in an attempt towards ubiquity. Of these, irregular scene text
recognition [50, 10, 58, 60] has gained considerable attention, yet the focus
is placed on irregular-image rectification process [62, 58] other than the
core recognition problem itself.
Figure 1: Figure shows how the performance of baseline model [50] is limited
under disjoint setup where testing words are not encountered during training.
Our method performs way better than the baseline in the disjoint setup,
reducing the performance gap with standard setup and showcasing its potential
onto rarely seen words. Nevertheless, improvement in standard setup can be
noticed over all datasets as well.
In this paper, we continue this push towards practicality, albeit with a
different perspective – we importantly focus on the understudied problem of
unseen (or rarely seen) word recognition, where no (or limited) word image of
a particular character sequence is present during training. Our motivation is
straightforward – humans can recognise a word image, even when it falls beyond
the scope of known vocabulary. In fact, robustness of a text recognition
framework largely depends on its performance on rarely or unseen words [52].
Note that unlike the conventional zero-shot [59] setting where the transfer
happens on class-level, here the combination of characters is “unseen”
although the characters individually have come up in training. The fact that
the sequences not being encountered during training is what makes this task
challenging. Our solution for this “unseen” problem is intuitive: (i) we
leverage an iterative framework with a feedback mechanism to give the model a
chance to re-visit its false predictions, and (ii) we explicitly ask such
feedback to encapsulate useful information that would help the model to
correct itself at the following iteration.
Our first contribution is therefore an iterative framework, where characters
predicted in the previous iteration provide clues through a feedback loop [17]
to enhance performance in the subsequent iterations. This is fundamentally
different to current state-of-the-arts [50, 10, 29], most of which adapt a
feed-forward framework consisting of three-components (feature-extracting
backbone, bidirectional-RNN encoder, and attention-based recurrent decoder).
Despite the attention mechanism, its single-pass nature still dictates wrong
predictions, thereby leaving no chance for the model to recover. To this end,
our iterative design enables the revision of incorrect intermediate
predictions in its subsequent steps, via a novel cross-modal (i.e., text
prediction to image feature-maps) feedback mechanism. The key to our success
lies with how feedback is progressed at each iteration. A naive solution might
be to apply an independent spelling correction network [13, 56] chained
serially to a basic text recognition model. Apart from not being end-to-end
trainable, this also ignores the intermediate visual features from the
recognition network, ultimately bisecting the feedback loop. We on the other
hand advocate that earlier word predictions (text labels) should be fed back
cross-modal to the main text recognition network and directly modulate the
visual feature maps at the next iteration. That is, the feedback module
triggers a mapping from the discrete predicated label space, to a continuous
space of affine transformation parameters (akin to [41]) which are
consequently used to condition visual features (hence closing the feedback
loop).
Simply knowing how feedback works is not enough – we still need to devise what
information should be fed back to give a model its best shot at rectifying
itself. For this, we resort to distilling knowledge from textual error
distributions collected from state-of-the-art text recognition models – this
is akin to humans who use prior experiences to help them to make corrections.
For example, ‘$hello$’ might be wrongly predicted as ‘$nello$’ or ‘$bello$’
due to partial structural similarity of ‘$h$’ with ‘$n$’ or ‘$b$’. By
distilling such error distributions into the feedback module (during training
only), the model will gain knowledge of correct character associations. Our
second contribution is therefore designing the feedback module via a
conditional variational auto-encoder (CVAE) [51] that learns from such error
distributions. More specifically, we augment the vanilla CVAE with an
_auxiliary decoder_ that tries to directly reconstruct the correct word, given
any incorrect prediction at each iteration. Note that deterministic
alternatives such as typical feedback networks [47, 17] or spelling correction
(prediction refining) networks, [13, 56] would not work well since they do not
model the uncertainty among multiple erroneous alternatives, dictating a
variational formulation like ours.
Our contributions are: [a] We for the first time propose an _iterative
approach_ to specifically tackle the “unseen” text recognition problem. [b] We
design a conditional variational autoencoder to act as a feedback module,
which works cross-modal to propagate predicted text labels from an earlier
iteration to condition the visual features from the main network. [c] Our
novel cross-modal feedback module is trained by distilling knowledge learned
from _textual error distributions_ that model multiple erroneous character
sequences to a given candidate word.
Experiments confirm our framework to be capable of adopting unseen words
better than state-of-the-art frameworks on various public scene-text
recognition and handwriting recognition datasets. Further ablative studies
demonstrate the superiority of our iterative framework over naive spell
checking [56, 13] and language model alternatives [22], and that the proposed
feedback module can be plug-and-play with more than one base network.
## 2 Related Works
Text Recognition: With the rising applicability of deep learning methods,
Jaderberg _et al_. [20] employed convolution neural network for text
recognition, but such methods were constrained to dictionary words. Although
this limitation was eliminated by Jaderberg _et al_. [18], it still needed
huge resources for character level localisation. Sequence discriminative
training using connectionist temporal classification (CTC) [11] coupled with
recurrent neural networks, dealt with the need for character level
localisation. This lead to an end-to-end trainable convolutional recurrent
neural network for reading texts [48]. This was further enhanced by
incorporating the idea of attention [3] for text recognition [26, 49]. Usually
a two-fold process is implemented [58, 49, 50, 62], where an irregular image
is first passed through a rectification network, and then followed by a text
recognition network. Ideas like 2D attention mechanism, focusing attention
network (FAN) [9] has been explored recently along-with the possibility of
enhancing text reading accuracy using synthetically generated large datasets
[63]. Despite such extensive research, reading irregular curved texts had not
been explored much in details until recently in [10], which describes how
arbitrarily oriented texts can be read by extracting four directional features
from the 2D input image. Baek _et al_. [2] has conducted a comparative study
where different popular text recognition architectures were trained in a
similar setting. Hence it can be observed that although recent works in text
reading have emphasised on designing a better rectification network [62, 58],
all such researches [31, 57] essentially used an off-the-shelf [48]
recognition module. On the other side, handwriting recognition poses a tougher
challenge owing to a free flow nature [4] of writing. Poznanski _et al_. [42]
employed a ConvNet to estimate an n-gram frequency profile along with a large
dictionary having true frequency profiles for recognition.
Feedback Mechanism: Carreira _et al_. [7] augments input space based on a
corrective signal output manifold, improving on human pose estimation and
generalising to instance segmentation tasks [28]. While Wei _et al_. [55] used
a ConvNet followed by a similar module having a larger receptive field, Newell
_et al_. [37] developed an hour-glass network design, stacked together for
merging information across all input scales. Zamir _et al_. [61] proposed a
novel network architecture aligned with a feedback notion, functionally akin
to ResNet architecture. Others include instance segmentation [28], few-shot
learning [64], object detection [8], super resolution [35] and image
generation [47, 17]. However, we here introduce cross-modal iterative feedback
for text recognition with a conditional variational autoencoder that enables
modelling of a prior knowledge of linguistically correct character-sequences.
Error Correction: Earlier efforts to utilise this idea of refining character-
sequence prediction can be found in automatic speech recognition (ASR)
community. In works such as Rozovskaya _et al_. [46] and Hans _et al_. [15]
preposition errors were detected using classifiers. Grammatical error
correction was approached using statistical machine translation methods by Ng
_et al_. [38]. Recently,the idea of using recurrent encoder-decoder
architecture in conjunction with attention mechanism was presented by Xie _et
al_. [56] to address complex orthographic errors. Later this idea was adopted
by Guo _et al_. [13] for tasks related to ASR.
Figure 2: Training of the network consists of 2 steps: (i) Predicting Y (green
arrow); (ii) Refining Y to $Y^{\prime}$ using feedback mechanism. Feedback
module excluding $F_{\mathcal{P}}$ can be trained using additional text-only
data $\mathcal{G}$ (red). However, when trained using prediction $Y$, the
entire path is followed (red+blue). Inference in the model consists of
predicting $\mathrm{Y}^{t}$ using any arbitrary number of correction steps.
## 3 Methodology
Instead of generating results in a single feed forward pass [50, 30, 58, 65],
we propose an iterative approach towards text recognition. As our work solely
contributes to the text recognition part, we have refrained from detailing on
the initial rectification network. We have used an off-the-shelf rectification
network based on Spatial Transformer Network [21] and Thin Plate Splines [2]
from Shi _et al_. [50].
### 3.1 Text Recognition Module
The rectified image received from the rectification network [50] is fed into
this text recognition network $\mathbf{T}$ aiming to produce a character
sequence $\mathrm{Y}=\\{y_{1},y_{2},...,y_{K}\\}$, where $K$ denotes the
variable length of text. Given an image $\mathrm{I}\in\mathbb{R}^{H\times
W\times C}$, the convolutional feature extractor tries to learn rich visual
information and produce a feature map of size $\mathbb{R}^{H^{\prime}\times
W^{\prime}\times D}$, where $H^{\prime}$, $W^{\prime}$, and $D$ are the
height, width and number of channels in the output feature map respectively.
That output is reshaped into a sequence of feature vectors
$\mathrm{B}=[b_{1},b_{2},...,b_{L}]$, where $L=H^{\prime}\times W^{\prime}$,
and $b_{i}\in\mathbb{R}^{D}$. Every D dimensional feature vector $b_{i}$
encodes a particular local image region based on its receptive fields.
Thereafter a bidirectional-LSTM is employed to capture the long range
dependency in both the directions, thus alleviating the constraints of limited
receptive fields. It outputs an updated feature sequence of same length,
denoted by $\mathrm{H}\in[h_{1},h_{2},...,h_{L}]$. Following this $y_{k}$ is
decoded based on three factors viz; bidirectional-encoder output $\mathrm{H}$,
the previous internal state $s_{k-1}$, and the character $y_{k-1}$ predicted
in the last step. At time step $k$, a recurrent decoder network generates an
output vector $o_{k}$ and a new state vector $s_{k}$, defined as:
$\mathrm{(o_{k},s_{k})=RNN(s_{k-1},[g_{k},E(y_{k-1})])}$ where $g_{k}$ is the
glimpse vector [50] that encodes the information from specific relevant parts
of the encoded feature $\mathrm{H}$ to predict $y_{k}$; $\mathrm{E}$ is an
embedding layer, and $[\cdot]$ signifies a concatenation operation. Here,
$g_{k}$ is computed as:
$g_{k}=\sum_{i=0}^{L}\left(\frac{\exp(a_{k,i})}{\sum_{j=0}^{L}\exp(a_{k,j})}\right)h_{i}$
and, attention score
$\mathrm{a_{k,i}=v^{\top}tanh(W_{s}s_{k-1}+W_{h}h_{i}+b_{a})}$, where
$\mathrm{v},\mathrm{W_{s}},\mathrm{W_{h}},\mathrm{b_{a}}$ are the learnable
parameters. Finally the current step character $y_{k}$ is predicted by:
$\mathrm{p(y_{k})=softmax(W_{o}o_{k}+b_{o})}$ where $W_{o}$ and $b_{o}$ are
trainable parameters.
### 3.2 Cross-Modal Variational Feedback
Overview: Unlike previous attempts of devising mostly deterministic feedback
modules [17, 47, 61], we propose a Cross-Modal Variational Feedback network
instilling benefits of variational autoencoder (VAE) [25], a powerful class of
probabilistic models. Let us consider a text recognition network $\mathbf{T}$
that has been dissected into two parts, namely $\mathbf{T_{A}}$ and
$\mathbf{T_{B}}$ where the particular position of dissection is obtained
empirically as described in section 4.3. The prior knowledge from the
resultant discrete character space is modelled using a _Feedback Network_
$\mathbf{F}$ by predicting affine transformation parameters. Such parameters
modulate the output activation map of $\mathbf{T_{A}}$, as
$\mathrm{\Psi=T_{A}(I)}\in\mathbb{R}^{\hat{H}\times\hat{W}\times\hat{T}}$, via
a designed feedback conditioning layer $\mathrm{\Phi}$. In other words, for
iteration step t, the feedback network takes the preceding iteration’s output
$\mathrm{Y^{t-1}}$ as its input and predicts the transformation parameters
$\mathcal{P}^{t}$ as its output. As a result it learns the mapping:
$\mathrm{\mathbf{F}:Y\rightarrow\mathcal{P}}$, such that feedback conditioning
layer $\mathrm{\Phi}$ modulates $\mathrm{\Psi}$ based on $\mathcal{P}^{t}$.
This can be depicted as $\mathrm{\hat{\Psi^{t}}=\Phi(\Psi;\mathcal{P}^{t})}$
where $\mathrm{\hat{\Psi^{t}}}$ being fed to $\mathbf{T_{B}}$ predicts
$\mathrm{Y^{t}}$ with higher precision.
Feedback Conditioning Layer: The primary objective of this layer is the
propagation of prior knowledge from previous feed-forward pass prediction to
$\mathbf{T}$. This action couples the rich visual information extracted by
$\mathbf{T_{A}}$, with the prior feedback signal coming from earlier
prediction. A noteworthy mention in this context would be the work by Perez
_et al_. [41], where a general purpose conditioning layer FiLM has been
designed based on simple feature-wise affine transformation operation for
visual reasoning. Works involving visual-question-answering [41], image style
transfer [16] and semantic image synthesis [39] have been seen to endorse a
similar idea as well. Based on some prior or conditional information, the
intermediate activation map $\mathrm{\Psi}$ could be modulated as:
$\mathrm{\hat{\Psi}=\Psi\odot\gamma+\omega}$, where $\mathrm{\gamma}$,
$\mathrm{\omega}$ are global affine transformation parameters. They both have
dimensions $\mathbb{R}^{\hat{T}}$, and are usually predicted by a network
receiving the conditional information as input. In lieu of transforming each
channel globally, we allow local transformations [39] that attune each spatial
position of the activation map. Following earlier mathematical notations and
assumptions, the feedback conditioning layer can be formulated as:
$\mathrm{\hat{\Psi}=\Psi\odot\Gamma+\Omega}$ where
$\\{\Gamma,\Omega\\}\triangleq\mathcal{P}$ are the local transformation
parameters predicted by the feedback network having dimension of
$\mathbb{R}^{\hat{H}\times\hat{W}\times\hat{T}}$, similar to $\mathrm{\Psi}$.
This layer couples the prediction $Y$ with visual feature $\mathrm{\Psi}$ by
scaling, shifting and ReLU influenced selective thresholding, alternatively.
Compared to global tuning [41], such local harmonisation of features ensure a
better fine-grained control over the activations at each layer.
Feedback Network: Our goal is to model a conditional distribution
$p(\mathcal{P}|Y)$ from a discrete predicted character space $Y$ to the
transformation parameter space $\mathcal{P}$ of feedback conditioning layer
via a feedback network based on CVAE [51]. Sohn _et al_. [51] has shown that
the variational lower bound of this conditional distribution can be written
as:
$\mathrm{\mathcal{\widetilde{L}}(Y,\mathcal{P};\theta,\psi)=-KL(q_{\psi}(z|\mathcal{P},Y)||p_{\theta}(z|Y))+}\\\
\mathrm{\mathbb{E}_{q_{\psi}}[log\;p(\mathcal{P}|z,Y)]\leq
log\;p(\mathcal{P}|Y)}$ (1)
where $z$ is a latent variable assumed to follow a multivariate Gaussian
distribution with a diagonal covariance matrix. Due to intractability of true
posterior, we approximate the posterior distribution of $z$ through a
recognition neural network $q_{\psi}(z|\mathcal{P},Y)$. The prior distribution
of $z$ given $\mathrm{Y}$ is modelled through a prior network
$p_{\theta}(z|Y)$. For more details about CVAE, we refer to [51].
(i) Ideally speaking, $\mathcal{P}$ is supposed to adjust the activation map
$\mathrm{\Psi}$ in a way that predicts $\hat{Y}$ when passed through a fixed
$\mathbf{T_{B}}$. In other words, for time-step $t$, given $\mathcal{P}^{t}$
predicted by the feedback network with a conditioning on $Y^{t-1}$, the
modulated feature map $\noindent{\hat{\Psi^{t}}}$ is fed into $\mathbf{T_{B}}$
obtains the output of next iteration $\mathrm{Y^{\prime}}$. Therefore,
$\mathrm{Y^{\prime}=\mathbf{T_{B}}(\hat{\Psi^{t}})}$, where
$\mathrm{\hat{\Psi^{t}}=\Phi(\Psi;\mathcal{P}^{t})}$. Thus, minimizing the
cross-entropy loss between $Y^{\prime}$ and $\hat{Y}$ is equivalent to
maximizing the likelihood of $\mathcal{P}$.
(ii) We assume that posterior of $z$ depends on the actual ground-truth label
$\hat{Y}$ instead of $\mathcal{P}$, so $q_{\psi}(z|\mathcal{P},Y)\approx
q_{\psi}(z|\hat{Y},Y)$ which effectively makes the latent space $z$ aware of
exact ground-truth character sequence $\hat{Y}$.
(iii) Inspired by the auxiliary task approach towards improving primary task
objective [66], we aim to decode the ground truth character sequence $\hat{Y}$
directly from $z$ by using an auxiliary character sequence decoder. Firstly,
this approach tackles the problem of vanishing latent variable [6] and
provides better gradient to regularize the learning of feedback module.
Secondly, we discover a choice of training our feedback module (refer Figure
2) via relativistic information of prediction:ground-truth pairs (say
$\mathcal{G}$) generated from other state-of-the-arts, instead of depending on
$\mathbf{T}$ predicted $Y$ alone. This helps the module in learning an
associative relation between candidate correct words and closely related
erroneous instances. Loosely speaking ‘$hello$’ might be predicted as
‘$nello$’ or ‘$bello$’ due to a partial structural similarity of ‘$h$’ with
‘$n$’ or ‘$b$’. Learning this sense from _error distribution_ imparts the
model a semantic knowledge of required character association to form a valid
word. Additionally, using text-only data $\mathcal{G}$ alleviates the issue of
limited availability of image-paired datasets during training.
Hence, we adapt Eqn. 1 for our feedback network to generate a prior knowledge
$\mathcal{P}^{*}$, instead of transformation parameters only. This prior
knowledge has two components. One encapsulates relationship between $Y$ and
$\hat{Y}$, while the other generates $\mathcal{P}$ and injects $Y$ into
$\mathbf{T}$ for next prediction. Therefore adopting the aforementioned
variational lower bound expression (Eqn. 1), and assuming conditional
independence of those two knowledge components (given $z$ and $Y$) denoted by
$\mathrm{p(\mathcal{P}^{*}|z,Y)=p(\mathcal{P}|z,Y)p(\hat{Y}|z,Y)}$, we get a
modified lower bound as:
$\mathrm{\mathcal{\widetilde{L^{\prime}}}(Y,\mathcal{P}^{*};\theta,\psi)=-KL(q_{\psi}(z|\hat{Y},Y)||p_{\theta}(z|Y))+}\\\
\mathrm{\mathbb{E}_{q_{\psi}}[log\;p(\mathcal{P}|z,Y)]+\mathbb{E}_{q_{\psi}}[log\;p(\hat{Y}|z,Y)]}$
(2)
Network Components: Ignoring the time-step notation, let us consider any word
image $I$ having ground-truth $\hat{Y}$, that undergoes the first forward-pass
of $\mathbf{T}$ predicting $Y$ and $Y^{\prime}$ in current and successive
post-feedback iterations respectively. During training, we obtain an embedding
representation of both $\hat{Y}$ and $Y$ through a shared encoder network
$\mathbf{F}_{enc}$: $\hat{Y}_{enc}=\mathbf{F}_{enc}(\hat{Y})$ and
$Y_{enc}=\mathbf{F}_{enc}(Y)$. Moving on we have two independent branches: A
posterior network $\mathbf{F}_{post}$ to estimate parameters of posterior
distribution, and a prior network $\mathbf{F}_{prior}$ to do the same for
prior distribution. Following this, we get:
$\mathrm{\mu_{post},\sigma_{post}=\mathbf{F}_{post}([Y_{enc},\hat{Y}_{enc}])}$
and $\mathrm{\mu_{prior},\sigma_{prior}=\mathbf{F}_{prior}(Y_{enc})}$. A
latent variable $z$ is sampled from the posterior (or prior during testing)
distribution and merged with $Y_{enc}$ before feeding it to the auxiliary
ground-truth character sequence decoder $\mathbf{F}_{aux}$ and transformation
parameter prediction sub-module $\mathbf{F}_{\mathcal{P}}$. Therefore we have,
$\mathcal{P}=\mathbf{F}_{\mathcal{P}}([Y_{enc},z])$, and
$\bar{Y}=\mathbf{F}_{aux}([Y_{enc},z])$ where $\mathcal{P}$ encapsulates both
$\Gamma$ and $\Omega$, and $\mathbf{\bar{Y}}$ is output from the auxiliary
decoder ${F}_{aux}$. Thereafter, we evaluate the prediction of next iteration
as: $\mathrm{Y^{\prime}=\mathbf{T_{B}}(\hat{\Psi})}$, where
$\mathrm{\hat{\Psi}=\Phi(\Psi;\mathcal{P}})$. Please refer to Figure 2 for
clarity.
Learning Objectives: Our baseline text recognition network $\mathbf{T}$
(parameters $\mathrm{\mathbf{\theta_{T}}}$) is trained using Cross-Entropy
(ce) loss summed over the ground-truth output sequence $\mathrm{\hat{Y}}$
$\mathrm{L^{\mathbf{\theta_{T}}}=\mathrm{L_{ce}(Y,\hat{Y})=-\sum_{k=1}^{K}\hat{y_{k}}logP(y_{k}|I,\hat{y_{k-1}}})}\vspace{-0.3cm}$
(3)
Feedback module $\mathbf{F}$ is to be trained from two input sources: (a) by
using the prediction $Y$ obtained from $\mathbf{T}$. In cases where the
forward-pass prediction $Y$ is accurate the very first time, a diverged
prediction value in the next iteration is highly undesirable. Hence, along
with the lower bound (Eqn. 2), we impose a monotonically decreasing constraint
$L_{c}$. This enforces the loss value (Eqn. 3) related to the current
iteration $\\{Y^{\prime},\hat{Y}\\}$ to be lesser than its previous
$\\{Y,\hat{Y}\\}$, thus converging predictions to a higher precision. Hence,
we optimize all the parameters $\mathrm{\mathbf{\theta_{F}}}$ of feedback
module using:
$\mathrm{L^{\mathbf{\theta_{F}}}=\lambda_{1}L_{ce}(Y^{\prime},\hat{Y})+\lambda_{2}L_{ce}(\bar{Y},\hat{Y})+\lambda_{3}L_{KL}+\lambda_{4}L_{c}}\\\
\mathrm{where,L_{c}=max(0,L_{ce}(Y^{\prime},\hat{Y})-L_{ce}(Y,\hat{Y}))}$ (4)
(b) By using pre-collected text-only data $\mathcal{G}$ generated from
existing text-recognition methods [30, 10, 2, 50]. Doing so develops a
semantic sense of associative relation between candidate correct words and
erroneous alternatives. Keeping $F_{\mathcal{P}}$ fixed, rest of the feedback
module (parameters denoted by $\mathbf{\theta_{F^{\prime}}}$) is optimised
solely using auxiliary decoder reconstruction loss and KL divergence loss as:
$\mathrm{L^{\mathbf{\theta_{F^{\prime}}}}=\lambda_{2}L_{ce}(\bar{Y},\hat{Y})+\lambda_{3}L_{KL}}$
(5)
During testing we exclude both $\mathbf{F}_{aux}$ and $\mathbf{F}_{post}$,
where iterative prediction is done using the transformation parameter
predicted by $\mathbf{F}_{\mathcal{P}}$ (see Figure 2).
Comparative Discussions: While state-of-the-art text recognition frameworks
model a conditional distribution $\mathrm{p(Y|I)}$, our modelling objective is
$\mathrm{p(Y^{t}|Y^{t-1},I)}$. This could be decoupled into two marginal
distributions related via a transformation parameter space $\mathcal{P}^{t}$
(more strictly prior knowledge $\mathcal{P}^{*t}$). Assuming the obvious
conditional independence, we reformulate it as:
$\mathrm{p(Y^{t}|Y^{t-1},I)=p(Y^{t}|I,\mathcal{P}^{t})\times
p(\mathcal{P}^{t}|Y^{t-1})}$, where $\mathrm{p(Y^{t}|I,\mathcal{P}^{t})}$ is
our modified feed forward text recognition model and
$\mathrm{p(\mathcal{P}^{t}|Y^{t-1})}$ resembles our feedback network.
Algorithm 1 Training algorithm of the proposed framework
1:Input: Image and ground-truth pairs $\mathcal{D}$; prediction and ground-
truth pairs $\mathcal{G}$.
2:Initialise hyper params: $\alpha_{1}$, $\alpha_{2}$ be learning rate for
$\mathbf{T}$, $\mathbf{F}$ respectively.
3:Initialise model params: $\theta_{T}$, $\theta_{F}$
($\theta_{F^{\prime}}\subset\theta_{F}$ excluding $F_{\mathcal{P}}$)
4:while not done training do
5: Sample a mini-batch $\mathcal{D}_{i}$ from $\mathcal{D}$
6: Update
$\theta_{T}:=\theta_{T}-\alpha_{1}\nabla_{\theta_{T}}(L^{\mathbf{\theta_{T}}})$
$\triangleright$ Eqn. 3
7: Update
$\theta_{F}:=\theta_{F}-\alpha_{2}\nabla_{\theta_{F}}(L^{\mathbf{\theta_{F}}})$
$\triangleright$ Eqn. 4
8: Sample a mini-batch $\mathcal{G}_{i}$ from $\mathcal{G}$
9: Update
$\theta_{F^{\prime}}:=\theta_{F^{\prime}}-\alpha_{2}\nabla_{\theta_{F^{\prime}}}(L^{\mathbf{\theta_{F^{\prime}}}})$
$\triangleright$ Eqn. 5
10:end while
11:Output: $\theta_{T}$, $\theta_{F}$
## 4 Experiments
Table 1: Comparison of unconstrained WRA for novel words not encountered
during training. $t=0$ signifies no feedback.
Methods | IIIT5K | SVT | IC13 | IC15 | SVTP | CUTE80 | IAM | RIMES
---|---|---|---|---|---|---|---|---
$\left\\{\begin{array}[]{l}\text{Shi \emph{et al}.\hbox{} \cite[cite]{[\@@bibref{}{ShiBaiPAMI2019}{}{}]} (t=0) No-Feedback}\\\ \text{Baseline Seq-SCM}\\\ \text{Baseline Deterministic-Feedback}\\\ \text{Shi \emph{et al}.\hbox{} \cite[cite]{[\@@bibref{}{ShiBaiPAMI2019}{}{}]} {+ CVAE-Feedback} (t=1)}\\\ \text{Shi \emph{et al}.\hbox{} \cite[cite]{[\@@bibref{}{ShiBaiPAMI2019}{}{}]} {+ CVAE-Feedback} (t=2)}\\\ \text{Shi \emph{et al}.\hbox{} \cite[cite]{[\@@bibref{}{ShiBaiPAMI2019}{}{}]} {+ CVAE-Feedback} (t=3)}\\\ \end{array}\right.$ | 84.3 | 84.2 | 82.6 | 65.7 | 74.4 | 61.6 | 54.3 | 59.7
85.6 | 84.1 | 83.7 | 65.5 | 75.8 | 63.4 | 57.6 | 63.7
87.9 | 86.8 | 85.9 | 70.4 | 78.6 | 64.7 | 59.9 | 69.7
90.6 | 88.7 | 89.3 | 72.2 | 79.6 | 65.1 | 64.5 | 70.4
90.8 | 88.9 | 89.4 | 72.6 | 79.6 | 66.1 | 64.8 | 70.5
90.7 | 88.8 | 89.4 | 72.5 | 79.6 | 65.8 | 64.6 | 70.3
Relative Gain (t=0 vs t=2) | 6.5$\uparrow$ | 4.7$\uparrow$ | 6.8$\uparrow$ | 6.9$\uparrow$ | 5.2$\uparrow$ | 4.5$\uparrow$ | 10.5$\uparrow$ | 10.8 $\uparrow$
$\left\\{\begin{array}[]{l}\text{Show, Attend and Read \cite[cite]{[\@@bibref{}{li2019show}{}{}]} (t=0) No-Feedback}\\\ \text{Show, Attend and Read \cite[cite]{[\@@bibref{}{li2019show}{}{}]} {+ CVAE-Feedback} (t=2)}\\\ \end{array}\right.$ | 85.8 | 86.5 | 84.7 | 68.4 | 82.2 | 71.8 | 57.9 | 62.8
91.5 | 90.5 | 91.2 | 74.8 | 87.1 | 75.0 | 68.0 | 73.0
Relative Gain (t=0 vs t=2) | 5.7$\uparrow$ | 4.0$\uparrow$ | 6.5$\uparrow$ | 6.4$\uparrow$ | 4.9$\uparrow$ | 3.2$\uparrow$ | 10.1$\uparrow$ | 10.2 $\uparrow$
$\left\\{\begin{array}[]{l}\text{SCATTER \cite[cite]{[\@@bibref{}{litman2020scatter}{}{}]} (t=0) No-Feedback}\\\ \text{SCATTER \cite[cite]{[\@@bibref{}{litman2020scatter}{}{}]} {+ CVAE-Feedback} (t=2) }\\\ \end{array}\right.$ | 84.7 | 86.9 | 84.3 | 71.8 | 82.6 | 69.3 | 59.0 | 62.9
91.1 | 90.9 | 90.0 | 77.7 | 87.3 | 72.7 | 68.7 | 73.1
Relative Gain (t=0 vs t=2) | 6.4$\uparrow$ | 4.0$\uparrow$ | 6.6$\uparrow$ | 5.9$\uparrow$ | 4.7$\uparrow$ | 3.4$\uparrow$ | 9.7$\uparrow$ | 10.2 $\uparrow$
| | | | | | | |
Datasets: We train our method using an approach similar to [62, 58, 2, 10, 50,
30], on synthetic datasets such as Synth90k [19] and SynthText [14] that hold
8 and 6 million images respectively. Evaluation is done on: IIIT5K-Words,
Street View Text (SVT), SVT-Perspective (SVT-P), ICDAR 2013 (IC13), ICDAR 2015
(IC15), and CUTE80. IIIT5K-Words [34] presents randomly picked 3000 cropped
word images. Street View Text [53] contains 647 images, mostly being blurred,
noisy or having low resolution. SVT-Perspective [44] offers 645 samples from
side-view angle snapshots having perspective distortion. ICDAR2013 [24]
presents 1015 words while ICDAR2015 [23] has 2077 images, 200 of which are
irregular. CUTE80 [45] distinguishes itself by presenting 288 cropped high
quality curved text images. Handwritten Text Recognition (HTR) and Scene-Text
Recognition (STR) both share a common objective in terms of recognition, which
is usually handled by similar network architecture. Hence, we validate our
results on two different public HTR datasets. The evaluation setup described
in [4] is employed on two large standard datasets viz, IAM [33] containing
1,15,320 words and RIMES having 66,982 words. For IAM we use the same
partition for training, validation and testing as provided. For RIMES, we
follow the partition released by ICDAR 2011 competition.
### 4.1 Implementation Details
Network Design: Keeping text rectification and recognition networks similar to
Shi _et al_. [50], we implement our framework using open sourced codes [2, 30]
in PyTorch [40]. A bi-directional LSTM of hidden size 256 is used in designing
$F_{enc}$ of our feedback module which accepts a one layer MLP embedded
128-dimensional representation of discrete character sequences. For both
posterior $F_{post}$ and prior $F_{prior}$ networks, we use 2 layer MLPs with
tanh non-linearity. The latent variable $z$ has a size of 256. The auxiliary
decoder $F_{aux}$ is a one layer LSTM decoder whose initial hidden state is
initialized by applying a FC-layer on the concatenated representation of
$Y_{enc}$ and sampled $z$. The parameter prediction network $F_{\mathcal{P}}$
is a convolutional decoder network inspired from [67]. The first layer is a
fully-connected layer implemented through a 1x1 convolution that maps to a
tensor of size equal to the last CNN layer of $\mathbf{T}$ via a reshaping
operation. Thereafter we introduce a sequence of residual decoder blocks which
upsamples feature maps to higher spatial dimensions in the reversed order of
down-sampling followed by $\mathbf{T}$. In other words, if a ResNet encoder
block in $\mathbf{T}$ halves the height of feature map, the corresponding
ResNet decoder block [67] in $\mathbf{F}_{\mathcal{P}}$ will double it. This
strategy essentially formulates intermediate feature maps of a decoder ResNet
block ($\mathbf{F}_{\mathcal{P}}$), whose spatial size is similar to the
corresponding layer in the encoder ResNet block ($\mathbf{T}$), thus
predicting required affine transformation parameters of similar dimensions as
well. Since we need to predict both $\Gamma$ and $\Omega$, we double the
number of convolutional filters in the last layer of
$\mathbf{F}_{\mathcal{P}}$ and split the output channel-wise to obtain
$\Gamma$ and $\Omega$ individually.
Training Details: It has been observed in practice, that warming up individual
components in the initial phase, followed by a joint training operation
provides better stability than training the entire framework at one go. Text
recognition network (T), along with rectification network, is trained using
ADADELTA optimizer having a learning rate of 1.0 and a batch size of 64.
Meanwhile Feedback module $\mathbf{F}$ is trained via Adam optimizer with a
learning rate 0.001, and gradient clipping at 5. In the warm-up phase,
firstly, text recognition and rectification networks are trained from a union
of MJSynth and SynthText datasets, for 600K iterations. The rectification
network is frozen thereafter. Then, to capture a linguistic prior from text-
data, the feedback module $\mathbf{F}$ is trained independently from
$\mathcal{G}$ for 300K iterations, thereby ignoring the $F_{\mathcal{P}}$ part
(Eqn. 5). Finally, keeping $\mathbf{T}$ fixed, we train the complete feedback
module using $Y$ (Eqn. 4), with the same training specifications for 300K
iterations. Now, for the joint training (see algorithm 1), after updating
$\mathbf{T}$, $\mathbf{F}$ is updated with the prediction $Y$ from
$\mathbf{T}$, as input. Thereafter, keeping $F_{\mathcal{P}}$ fixed,
$\mathbf{F}$ is updated using $\mathcal{G}$. During this training, we reduce
the learning rate of $\mathbf{T}$ to 0.01 which continues for 600K iterations.
Owing to corresponding data sizes in HTR, iterations for warm-up phases are
100K, 50K and 50K respectively, while for joint training it is 100K. For both
STR and HTR, we resize the image to 32x100 and train our model in a 11 GB
Nvidia RTX 2080-Ti GPU. $\lambda_{1}$, $\lambda_{2}$, $\lambda_{3}$ and
$\lambda_{4}$ has been assigned the values of 1, 1, 50 and 0.5 respectively
for the same purpose. In order to generate the text-only data $\mathcal{G}$,
we follow an approach similar to [68]. The entire training dataset is divided
into train-validation split in a cross validation setting, where top ten beam
search decoded hypotheses are collected from different state-of-the-art (SOTA)
models [30, 10, 2, 50], to harness (using open-source code) the error
information from closest possible erroneous alternatives. Such a collection
may consist of correctly or incorrectly predicted words. This requires the
feedback module to learn a language prior for fixing a wrongly predicted word,
as well as for a one-to-one character-sequence mapping for correctly predicted
words.
Figure 3: Unconstrained WRA on IAM dataset with varying _word length_ in (a)
CS, (b) DS setups. (c) Few samples which defeat single feed forward pass
mechanisms, but are acceptably recognised via our iterative framework in CS
setup.
### 4.2 Performance Analysis
Baselines: Aligned to our iterative approach we explore two alternatives as
baselines. Seq-SCN: Inspired from Automatic Speech Recognition community [56],
a naive baseline could be designed where we train an independent Spelling
Correction Module (SCM) based on sequence-to-sequence architecture from text-
only training data [13] consisting of paired model-hypothesis and
corresponding ground-truth. Deterministic-Feedback: Here, we simply replace
the CVAE based feedback module by a deterministic encoder(bi-LSTM)-decoder
(parameter prediction network) architecture along with Shi _et al_. [50].
New Evaluation Setup: Here we design a new disjoint train-test split (DS) in
addition to conventional train-test split (CS). While training we remove all
words from MJSynth and Synth90K whose truth-pairs appear in any of the
mentioned STR testing datasets. Additionally we ensure, $\mathcal{G}$ does not
contain any information from the testing set. Due to size constraint in HTR
datasets, we split it such that all word-image pairs corresponding to one
particular ground-truth character sequence must collectively fall in either
one of training or testing set, thus ensuring disjointedness. This evaluation
protocol grades our model’s recognition performance on word images whose
ground-truth character sequences never appeared in the training dataset. We
use it to verify generalising capability of our model for unseen or rarely
seen words. Furthermore, superiority of our model in this scenario confirms a
fair result on datasets having _less unique words_. As large datasets are
rarely available for text recognition (specifically handwriting) apart from
English, it is ideal to use a dataset created by collecting multiple instances
of a small set of unique words which thus need to be annotated just once per
unique word. To do so any model needs to learn character-specific fine-grained
details from a small available set of unique words, and generalise onto other
unseen character sequences. Please note that we re-train SOTA models [30, 10,
2, 50], used to collect the error distribution, by ensuring no words from
evaluation set appear in their training (ensuring no “leak” of information),
while collecting error distribution for DS setup.
Result Analysis: Along with the designed baselines, we incorporate our
iterative design on top of three popular state-of-the-art (SOTA) feed-forward
text recognition frameworks – a) Shi _et al_. [50], b) Show, Attend and Read
[27] c) SCATTER [29]. Show, Attend and Read [27] extends [50] by including 2D
attention and SCATTER [29] couples multiple BLSTM layers for richer context
modelling. We follow similar training protocol in [50, 27, 29] respectively.
Nevertheless, ours is a meta-framework and could be added on top of most SOTA
frameworks. Table 1 (highest scores are in red) depicts unconstrained word
recognition accuracy (WRA) on unseen words (DS setup). [i] Comparison with
Baselines: Seq-SCN performs inferior to our method as the rich visual feature
is not harnessed while refining the prediction. At times it fails to copy an
already accurate prediction, leading to a lower accuracy in certain datasets.
Deterministic-Feedback being an iterative framework, performs better than
other baselines at $t=2$, however, it lags behind our design since any
uncertainty handling potential is absent. [ii] Significant improvement under
DS setup: From Table 1, improvement due to our iterative pipeline is quite
evident in the DS scenario over three SOTA baselines [50, 27, 29] . Similarly,
in handwritten dataset (Table 1), the performance drop in DS setting is way
more severe than its STR counterpart. This signifies that HTR poses a greater
challenge due to its free-flow nature of writing. Improvement against Shi _et
al_. [50] reaches to 10.5% and 10.8%, without lexicon information
(unconstrained) in HTR dataset of IAM and RIMES respectively, while for STR
dataset of IC15 it secures a 6.9% rise in DS setup. [iii] Additional
Observations: Improvement at $t$=2 w.r.t $t$=0 is shown as relative gain where
our method outperforms fairly in CS setup, and largely in DS setup (Table 2).
In fact optimal WRA is seen at iteration $t=2$, which then diminishes.
Contrary to a possible impression, that our feedback module might remember the
erroneous pairings from $\mathcal{G}$, the improvement on “unseen” words
empirically validates against it. Figure 3 shows qualitative results.
Table 2: Comparison with SOTA results using standard setup [2].
Methods | IIIT5K | IC13 | IC15 | SVTP | CUTE80 | IAM
---|---|---|---|---|---|---
Yang _et al_. [58] | 94.4 | 93.9 | 78.7 | 80.8 | 87.5 | -
Luo _et al_. [30] | 91.2 | 92.4 | 68.8 | 76.1 | 77.4 | 82.1
Cheng _et al_. [10] | 87.0 | - | 68.2 | 73.0 | 76.8 | -
Zhang _et al_. [65] | 83.8 | - | - | - | - | -
Baek _et al_. [2] | 87.9 | 92.3 | 71.8 | 79.2 | 74.0 | -
Lyu _et al_. [32] | 94.0 | 92.7 | 76.3 | 82.3 | 86.8 | -
Zhan _et al_. [62] | 93.3 | - | 76.9 | 79.6 | 83.3 | -
Shi _et al_. [49] | 81.9 | - | - | - | - | 80.3
Cheng _et al_. [9] | 87.4 | 93.3 | 70.6 | - | - | -
$\circledast$ Shi _et al_. [50] | 93.4 | 91.8 | 76.1 | 78.5 | 79.5 | -
$\circledast$ Li _et al_. [27] | 95.0 | 94.0 | 78.8 | 86.4 | 89.6 | -
$\circledast$ Litman _et al_. [29] | 93.7 | 93.9 | 82.2 | 86.9 | 87.5 | -
Shi _et al_. [50] (t=0) | 93.2 | 91.6 | 75.9 | 78.2 | 79.3 | 82.3
Li _et al_. [27] (t=0) | 94.8 | 93.7 | 78.6 | 86.0 | 89.5 | 85.9
Litman _et al_. [29] (t=0) | 93.6 | 93.8 | 82.0 | 86.5 | 87.0 | 86.0
Baseline Seq-SCM | 93.4 | 91.8 | 75.8 | 78.5 | 79.9 | 82.9
Deterministic-Feed. | 93.5 | 92.7 | 77.1 | 79.6 | 80.5 | 85.6
[50]+CVAE-Feed. (t=2) | 94.9 | 93.7 | 78.8 | 80.9 | 82.9 | 87.5
[27] +CVAE-Feed.(t=2) | 96.3 | 95.4 | 81.4 | 88.5 | 91.0 | 89.7
[29] +CVAE-Feed.(t=2) | 95.2 | 95.7 | 84.6 | 88.9 | 89.7 | 90.3
| | | | | |
### 4.3 Further Analysis and Insights
Ablation Study: We have done a thorough ablative study to justify the
contribution of every design choice on both IAM (HTR) and IC15 (STR) datasets
using Shi _et al_. [50] as baseline. [i] Significance of auxiliary decoder: On
removing this part along with its respective loss function from Eqn. 4 we see
a performance drop of 2.19%(1.98%) and 5.01%(2.94%) in the CS and DS setting
for IAM (IC15) dataset respectively, thus confirming its contribution. [ii]
Significance of monotonically decreasing constraint: Removing it, destabilizes
by 5.47% (3.87%) in DS setup, for IAM (IC15) datasets respectively, thus
confirming its importance. [iii] Significance of using error distribution:
Discarding data inclusion from $\mathcal{G}$(_error distribution_), leads to a
performance drop of 2.17% (1.87%) in conventional train-test split, and a
further drop of 4.9% (2.48%) in disjoint setup, for IAM (IC15) datasets.
Please refer to Table 4 for more analysis. [iv] Finding optimal block for
feedback: We evaluated the performance by providing a feedback signal into
every ResNet block of backbone feature extractor of $\mathbf{T}$ at a time.
Table 3 shows a complete analysis on both IAM and IC15 datasets in CS and DS
setups which shows the optimum result to be obtained by supplying feedback
signal to Block-3 of ResNet convolutional architecture. Moreover, local
transformation is seen to outperform the global one. [v] Performance with
varying text length It is often observed that any text recognition framework
struggles to recognize lengthy words. Owing to its iterative refining
approach, along with a modelled linguistic prior, our method shows a
considerably higher performance in comparison to no-feedback baseline on
increasing character sequence length, as shown in Figure 3. [vi] Computational
cost: Finally, we want to notify that benefit of any iterative pipeline [7, 8,
28] does incur extra computational expenses, be it text rectification [62] or
in our case text-recognition. A through study on complexity and speed analysis
(Intel(R) Xeon(R) W-2123 CPU @ 3.60GHz) in Table 5 reveals that $T_{B}$ takes
most time due to its sequential decoding operation, whereas $F$ adds minimal
burden. Please refer to _supplementary_ as well.
Table 3: WRA of using feedback after a specific ResNet Block (abbreviated as
‘Blk’) such as Block 1 $(16\times 50\times 64)$, Block 2 $(8\times 25\times
128)$, Block 3 $(4\times 25\times 256)$, Block 4 $(2\times 25\times 256)$,
Block 5 $(1\times 25\times 256)$ as described in ASTER [50].
Methods | Conventional Setup (CS) & Disjoint Setup (DS)
---|---
Blk_1 | Blk_2 | Blk_3 | Blk_4 | Blk_5
CS | DS | CS | DS | CS | DS | CS | DS | CS | DS
Global(IAM) | 84.7 | 59.9 | 85.5 | 60.8 | 86.7 | 61.9 | 86.2 | 60.8 | 84.5 | 58.5
Local(IAM) | 84.9 | 61.0 | 86.7 | 64.2 | 87.5 | 64.8 | 87.3 | 63.9 | 85.6 | 63.2
Global(IC15) | 78.5 | 68.6 | 76.6 | 70.2 | 78.6 | 71.4 | 78.5 | 70.4 | 78.4 | 69.6
Local(IC15) | 78.5 | 68.9 | 78.7 | 71.3 | 78.8 | 72.6 | 78.6 | 71.5 | 78.5 | 69.8
Table 4: Relative contribution (WRA) of three design choices behind training
Feedback Network for iterative prediction: (a) Regularisation constraint via
$L_{C}$ (Eqn. 4), (b) Modified variational lower bound for feedback network
accommodating $F_{aux}$, (c) Using $\mathcal{G}$ to capture the prior-
knowledge from error distribution.
Constraint | Auxiliary | Use Error | CS Setup | DS Setup
---|---|---|---|---
$L_{C}$ | Decoder $F_{aux}$ | Dist. $\mathcal{G}$ | IC15 | IAM | IC15 | IAM
✓ | - | - | 76.8 | 85.3 | 69.6 | 59.8
- | ✓ | ✓ | 76.6 | 84.5 | 68.7 | 59.3
✓ | ✓ | - | 76.9 | 85.3 | 70.1 | 59.9
✓ | ✓ | ✓ | 78.8 | 87.5 | 72.6 | 64.8
Feedback module vs Language Model: We could have substituted our iterative
approach towards refining text prediction with a Language model(LM). For
fairness, we use a state-of-the-art RNN-LM [13] trained from text corpus
(librispeech) at character level [1] that aims to predict the next likely
character. This could be fused with the text recognition decoder using two
state-of-the-art methods introduced in [12] via Shallow Fusion (weighted sum
of predicted scores) and Deep Fusion (fusing their hidden states). Our method
performs better in both CS and DS setups in comparison to these LM
integrations (Table 6). The limited performance of off-the-shelf LM [22] can
be attributed to: (i) LM is mostly used in speech recognition tasks, where
data is present at sentence-level which provides enough context. For distract
word recognition (our focus) however, LM cannot harness such extent of context
information. (ii) The LM corpus is significantly different from that used for
training word-image recognition system. This leads to a biased incorrectness
[13]. (iii) LM being an independent post processing step, not only ignores
rich visual features from the input image, but is also unaware of the error
distribution of the model. On the contrary, our model revisits the rich visual
features iteratively after every prediction, considering the error
distribution while training. Furthermore to align with the evaluation
standards for _unconstrained word recognition_ we cite all results in our work
using greedy decoding only – no LM based post-processing.
Table 5: Complexity and speed analysis against no. of parameters and flops
(Multiply-Add), for both individual component (left) and varying no, of
iterations (right), using CPU time during inference.
Network | Parameters | Multiply-Add | CPU | | Iteration | CPU
---|---|---|---|---|---|---
$T_{A}$ | 5.4M | 1461M | 8.27ms | | t=0 | 28.64ms
$T_{B}$ | 23.7M | 1428M | 12.82ms | | t=1 | 43.69ms
F | 24.4M | 1897M | 2.19ms | | t=2 | 58.66ms
Table 6: Comparison with different LM integration methods.
Methods | Conventional Setup & Disjoint Setup
---|---
IIIT5K | IC15 | CUTE80 | IAM | RIMES
CS | DS | CS | DS | CS | DS | CS | DS | CS | DS
Shi _et al_. [50] | 93.2 | 84.3 | 75.9 | 65.7 | 79.3 | 61.6 | 82.36 | 54.4 | 88.9 | 59.7
[50] \+ Shallow | 93.3 | 84.3 | 75.9 | 65.7 | 79.3 | 61.6 | 82.30 | 54.3 | 88.7 | 59.7
[50] \+ Deep | 93.5 | 85.6 | 76.5 | 67.4 | 81.2 | 62.9 | 83.67 | 57.5 | 89.9 | 63.6
[50] \+ CVAE-Feed. (t=2) | 94.9 | 90.8 | 78.8 | 72.6 | 82.9 | 66.1 | 87.5 | 64.8 | 92.7 | 70.5
## 5 Conclusion
Here we have proposed a novel _iterative approach_ towards text recognition.
Using a conditional variational autoencoder (CVAE) as a feedback module, the
knowledge of predicted character sequences is passed from the previous
iteration, into the main recognition network, improving subsequent
predictions. Our feedback network learns to use the _error distribution_ among
multiple character sequences that are closely related to a candidate word.
Experiments on various STR and HTR datasets show our network to outperform
others on the conventional setting, and more significantly on the more
practical disjoint (unseen) setting.
## References
* [1] End-to-end automatic speech recognition systems - pytorch implementation. https://github.com/Alexander-H-Liu/End-to-end-ASR-Pytorch. Accessed on: 04-03-2021.
* [2] Jeonghun Baek, Geewook Kim, Junyeop Lee, Sungrae Park, Dongyoon Han, Sangdoo Yun, Seong Joon Oh, and Hwalsuk Lee. What is wrong with scene text recognition model comparisons? dataset and model analysis. In ICCV, 2019.
* [3] Dzmitry Bahdanau, Kyunghyun Cho, and Yoshua Bengio. Neural machine translation by jointly learning to align and translate. In ICLR, 2015.
* [4] Ayan Kumar Bhunia, Abhirup Das, Ankan Kumar Bhunia, Perla Sai Raj Kishore, and Partha Pratim Roy. Handwriting recognition in low-resource scripts using adversarial learning. In CVPR, 2019.
* [5] Ali Furkan Biten, Ruben Tito, Andres Mafla, Lluis Gomez, Marçal Rusiñol, Ernest Valveny, CV Jawahar, and Dimosthenis Karatzas. Scene text visual question answering. In CVPR, 2019.
* [6] Samuel R. Bowman, Luke Vilnis, Oriol Vinyals, Andrew Dai, Rafal Jozefowicz, and Samy Bengio. Generating sentences from a continuous space. In CoNLL, 2016.
* [7] João Carreira, Pulkit Agrawal, Katerina Fragkiadaki, and Jitendra Malik. Human pose estimation with iterative error feedback. In CVPR, 2016.
* [8] Xinlei Chen, Li-Jia Li, Li Fei-Fei, and Abhinav Gupta. Iterative visual reasoning beyond convolutions. In CVPR, 2018.
* [9] Zhanzhan Cheng, Fan Bai, Yunlu Xu, Gang Zheng, Shiliang Pu, and Shuigeng Zhou. Focusing attention: Towards accurate text recognition in natural images. In ICCV, 2017.
* [10] Zhanzhan Cheng, Yangliu Xu, Fan Bai, Yi Niu, Shiliang Pu, and Shuigeng Zhou. Aon: Towards arbitrarily-oriented text recognition. In CVPR, 2018.
* [11] Alex Graves, Santiago Fernández, Faustino Gomez, and Jürgen Schmidhuber. Connectionist temporal classification: Labelling unsegmented sequence data with recurrent neural networks. In ICML, 2006.
* [12] Caglar Gulcehre, Orhan Firat, Kelvin Xu, Kyunghyun Cho, Loic Barrault, Huei-Chi Lin, Fethi Bougares, Holger Schwenk, and Yoshua Bengio. On using monolingual corpora in neural machine translation. arXiv preprint arXiv:1503.03535, 2015.
* [13] Jinxi Guo, Tara N Sainath, and Ron J Weiss. A spelling correction model for end-to-end speech recognition. In ICASSP, 2019.
* [14] Ankush Gupta, Andrea Vedaldi, and Andrew Zisserman. Synthetic data for text localisation in natural images. In CVPR, 2016.
* [15] Na-Rae Han, Martin Chodorow, and Claudia Leakcock. Detecting errors in english article usage by non-native speakers. Natural Language Engineering, 2006.
* [16] Xun Huang and Serge Belongie. Arbitrary style transfer in real-time with adaptive instance normalization. In ICCV, 2017.
* [17] Minyoung Huh, Shao-Hua Sun, and Ning Zhang. Feedback adversarial learning: Spatial feedback for improving generative adversarial networks. In CVPR, 2019.
* [18] Max Jaderberg, Andrea Simonyan, Karen Vidaldi, and Andrew Zisserman. Deep structured output learning for unconstrained text recognition. In ICLR, 2015.
* [19] Max Jaderberg, Karen Simonyan, Andrea Vedaldi, and Andrew Zisserman. Synthetic data and artificial neural networks for natural scene text recognition. In NeurIPS Deep Learning Workshop, 2014.
* [20] Max Jaderberg, Karen Simonyan, Andrea Vedaldi, and Andrew Zisserman. Reading text in the wild with convolutional neuralnetworks. IJCV, 2016.
* [21] Max Jaderberg, Karen Simonyan, Andrew Zisserman, and koray kavukcuoglu. Spatial transformer networks. In NeurIPS, 2015.
* [22] Lei Kang, Pau Riba, Mauricio Villegas, Alicia Fornés, and Marçal Rusiñol. Candidate fusion: Integrating language modelling into a sequence-to-sequence handwritten word recognition architecture. arXiv preprint arXiv:1912.10308, 2019.
* [23] Dimosthenis Karatzas, Lluis Gomez-Bigorda, Anguelos Nicolaou, Suman Ghosh, Andrew Bagdanov, Masakazu Iwamura, Jiri Matas, Lukas Neumann, Vijay Ramaseshan Chandrasekhar, Shijian Lu, et al. Icdar 2015 competition on robust reading. In ICDAR, 2015.
* [24] Dimosthenis Karatzas, Faisal Shafait, Seiichi Uchida, Masakazu Iwamura, Lluis Gomez i Bigorda, Sergi Robles Mestre, Joan Mas, David Fernandez Mota, Jon Almazan Almazan, and Lluis Pere De Las Heras. Icdar 2013 robust reading competition. In ICDAR, 2013.
* [25] Diederik P. Kingma and Max Welling. Auto-encoding variational bayes. In ICLR, 2014.
* [26] Chen-Yu Lee and Simon Osindero. Recursive recurrent nets with attention modeling for OCR in the wild. In CVPR, 2016.
* [27] Hui Li, Peng Wang, Chunhua Shen, and Guyu Zhang. Show, attend and read: A simple and strong baseline for irregular text recognition. In AAAI, 2019.
* [28] Ke Li, Bharath Hariharan, and Jitendra Malik. Iterative instance segmentation. In CVPR, 2016.
* [29] Ron Litman, Oron Anschel, Shahar Tsiper, Roee Litman, Shai Mazor, and R Manmatha. Scatter: selective context attentional scene text recognizer. In CVPR, 2020.
* [30] Canjie Luo, Lianwen Jin, and Zenghui Sun. Moran: A multi-object rectified attention network for scene text recognition. Pattern Recognition, 90, 2019.
* [31] Canjie Luo, Yuanzhi Zhu, Lianwen Jin, and Yongpan Wang. Learn to augment: Joint data augmentation and network optimization for text recognition. In CVPR, 2020.
* [32] Pengyuan Lyu, Zhicheng Yang, Xinhang Leng, Xiaojun Wu, Ruiyu Li, and Xiaoyong Shen. 2d attentional irregular scene text recognizer. arXiv preprint arXiv:1906.05708, 2019.
* [33] U-V Marti and Horst Bunke. The iam-database: an english sentence database for offline handwriting recognition. IJDAR, 2002.
* [34] Anand Mishra, Karteek Alahari, and C. V. Jawahar. Scene text recognition using higher order language priors. In BMVC, 2012.
* [35] Norimichi Ukita Muhammad Haris, Greg Shakhnarovich. Deep back-projection networks for super-resolution. In CVPR, 2018.
* [36] Lukáš Neumann and Jiří Matas. Real-time scene text localization and recognition. In CVPR, 2012.
* [37] Alejandro Newell, Kaiyu Yang, and Jia Deng. Stacked hourglass networks for human pose estimation. In ECCV, 2016.
* [38] Hwee Tou Ng, Siew Mei Wu, Ted Briscoe, Christian Hadiwinoto, Raymond Hendy Susanto, and Christopher Bryant. The CoNLL-2014 shared task on grammatical error correction. In CoNLL, 2014.
* [39] Taesung Park, Ming-Yu Liu, Ting-Chun Wang, and Jun-Yan Zhu. Semantic image synthesis with spatially-adaptive normalization. In CVPR, 2019.
* [40] Adam Paszke, Sam Gross, Soumith Chintala, Gregory Chanan, Edward Yang, Zachary DeVito, Zeming Lin, Alban Desmaison, Luca Antiga, and Adam Lerer. Automatic differentiation in PyTorch. In NeurIPS Autodiff Workshop, 2017.
* [41] Ethan Perez, Florian Strub, Harm De Vries, Vincent Dumoulin, and Aaron Courville. Film: Visual reasoning with a general conditioning layer. In AAAI, 2018.
* [42] Arik Poznanski and Lior Wolf. Cnn-n-gram for handwritingword recognition. In CVPR, 2016.
* [43] Zhi Qiao, Yu Zhou, Dongbao Yang, Yucan Zhou, and Weiping Wang. Seed: Semantics enhanced encoder-decoder framework for scene text recognition. In CVPR, 2020.
* [44] Trung Quy Phan, Palaiahnakote Shivakumara, Shangxuan Tian, and Chew Lim Tan. Recognizing text with perspective distortion in natural scenes. In ICCV, 2013.
* [45] Anhar Risnumawan, Palaiahankote Shivakumara, Chee Seng Chan, and Chew Lim Tan. A robust arbitrary text detection system for natural scene images. Expert Systems with Applications, 2014.
* [46] Alla Rozovskaya and Dan Roth. Generating confusion sets for context-sensitive error correction. In EMNLP, 2010.
* [47] Firas Shama, Roey Mechrez, Alon Shoshan, and Lihi Zelnik-Manor. Adversarial feedback loop. In ICCV, 2019.
* [48] Baoguang Shi, Xiang Bai, and Cong Yao. An end-to-end trainable neural network for image-based sequence recognition and its application to scene text recognition. IEEE T-PAMI, 2017.
* [49] Baoguang Shi, Xinggang Wang, Pengyuan Lyu, Cong Yao, and Xiang Bai. Robust scene text recognition with automatic rectification. In CVPR, 2016.
* [50] Baoguang Shi, Mingkun Yang, Xinggang Wang, Pengyuan Lyu, Cong Yao, and Xiang Bai. Aster: An attentional scene text recognizer with flexible rectification. IEEE T-PAMI, 2018.
* [51] Kihyuk Sohn, Honglak Lee, and Xinchen Yan. Learning structured output representation using deep conditional generative models. In NeurIPS, 2015.
* [52] Zhaoyi Wan, Jielei Zhang, Liang Zhang, Jiebo Luo, and Cong Yao. On vocabulary reliance in scene text recognition. In CVPR, 2020.
* [53] Kai Wang, Boris Babenko, and Serge Belongie. End-to-end scene text recognition. In ICCV, 2011.
* [54] Wenjia Wang, Enze Xie, Xuebo Liu, Wenhai Wang, Ding Liang, Chunhua Shen, and Xiang Bai. Scene text image super-resolution in the wild. In ECCV, 2020.
* [55] Shih-En Wei, Varun Ramakrishna, Takeo Kanade, and Yaser Sheikh. Convolutional pose machines. In CVPR, 2016.
* [56] Ziang Xie, Anand Avati, Naveen Arivazhagan, Dan Jurafsky, and Andrew Y Ng. Neural language correction with character-based attention. arXiv preprint arXiv:1603.09727, 2016.
* [57] Xing Xu, Jiefu Chen, Jinhui Xiao, Lianli Gao, Fumin Shen, and Heng Tao Shen. What machines see is not what they get: Fooling scene text recognition models with adversarial text images. In CVPR, 2020.
* [58] MingKun Yang, Yushuo Guan, Minghui Liao, Xin He, Kaigui Bian, Song Bai, Cong Yao, and Xiang Bai. Symmetry-constrained rectification network for scene text recognition. In ICCV.
* [59] Meng Ye and Yuhong Guo. Zero-shot classification with discriminative semantic representation learning. In CVPR, 2017.
* [60] Xiaoyu Yue, Zhanghui Kuang, Chenhao Lin, Hongbin Sun, and Wayne Zhang. Robustscanner: Dynamically enhancing positional clues for robust text recognition. In ECCV, 2020.
* [61] Amir Roshan Zamir, Te-Lin Wu, Lin Sun, William B. Shen, Jitendra Malik, and Silvio Savarese. Feedback networks. In CVPR, 2017.
* [62] Fangneng Zhan and Shijian Lu. Esir: End-to-end scene text recognition via iterative image rectification. In CVPR, 2019.
* [63] Fangneng Zhan, Shijian Lu, and Chuhui Xue. Verisimilar image synthesis for accurate detection and recognition of texts in scenes. In ECCV, 2018.
* [64] Chi Zhang, Guosheng Lin, Fayao Liu, Rui Yao, and Chunhua Shen. Canet: Class-agnostic segmentation networks with iterative refinement and attentive few-shot learning. In CVPR, 2019.
* [65] Yaping Zhang, Shuai Nie, Wenju Liu, Xing Xu, Dongxiang Zhang, and Heng Tao Shen. Sequence-to-sequence domain adaptation network for robust text image recognition1. In CVPR, 2019.
* [66] Tiancheng Zhao, Ran Zhao, and Maxine Eskénazi. Learning discourse-level diversity for neural dialog models using conditional variational autoencoders. In ACL, 2017.
* [67] Chuanxia Zheng, Tat-Jen Cham, and Jianfei Cai. Pluralistic image completion. In CVPR, 2019.
* [68] Quanlong Zheng, Xiaotian Qiao, Ying Cao, and Rynson WH Lau. Distraction-aware shadow detection. In CVPR, 2019.
| arxiv-papers | 2021-07-26T10:06:42 | 2024-09-04T03:07:18.218999 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Ayan Kumar Bhunia, Pinaki Nath Chowdhury, Aneeshan Sain, Yi-Zhe Song",
"submitter": "Ayan Kumar Bhunia",
"url": "https://arxiv.org/abs/2107.12081"
} |
2107.12087 | # Text is Text, No Matter What:
Unifying Text Recognition using Knowledge Distillation
Ayan Kumar Bhunia1 Aneeshan Sain1,2 Pinaki Nath Chowdhury1,2 Yi-Zhe Song1,2
1SketchX, CVSSP, University of Surrey, United Kingdom.
2iFlyTek-Surrey Joint Research Centre on Artificial Intelligence.
{a.bhunia, p.chowdhury, a.sain, y.song}@surrey.ac.uk
###### Abstract
Text recognition remains a fundamental and extensively researched topic in
computer vision, largely owing to its wide array of commercial applications.
The challenging nature of the very problem however dictated a fragmentation of
research efforts: Scene Text Recognition (STR) that deals with text in
everyday scenes, and Handwriting Text Recognition (HTR) that tackles hand-
written text. In this paper, for the first time, we argue for their
unification – we aim for a single model that can compete favourably with two
separate state-of-the-art STR and HTR models. We first show that cross-
utilisation of STR and HTR models trigger significant performance drops due to
differences in their inherent challenges. We then tackle their union by
introducing a knowledge distillation (KD) based framework. This however is
non-trivial, largely due to the variable-length and sequential nature of text
sequences, which renders off-the-shelf KD techniques that mostly works with
global fixed length data inadequate. For that, we propose four distillation
losses all of which are specifically designed to cope with the aforementioned
unique characteristics of text recognition. Empirical evidence suggests that
our proposed unified model performs on par with individual models, even
surpassing them in certain cases. Ablative studies demonstrate that naive
baselines such as a two-stage framework, multi-task and domain
adaption/generalisation alternatives do not work as well, further
authenticating our design.
## 1 Introduction
Text recognition has been studied extensively in the past two decades [37],
mostly due to its potential in commercial applications. Following the advent
of deep learning, great progress [4, 35, 57, 63, 5, 8, 7] has been made in
recognition accuracy on different publicly available benchmark datasets [41,
58, 30, 39]. Beyond supervised text recognition, very recent attempts have
been made that utilise synthetic training data via domain adaptation [67],
learn optimal augmentation strategy [38, 6], couple with visual question
answering [10], and withhold adversarial attacks [60].
Albeit with great strides made, the field of text recognition remains
fragmented, with one side focusing on Scene Text Recognition (STR) [30], and
the other on Handwriting Text Recognition (HTR) [39]. This however is not
surprising given the differences in the inherent challenges found in each
respective problem: STR studies text in scene images posing challenges like
complex backgrounds, blur, artefacts, uncontrolled illumination [63], whereas
HTR tackles handwritten texts where the main challenge lies with the free-flow
nature of writing [6] of different individuals. As a result, utilising models
trained for STR on HTR (and vice versa) straightforwardly would trigger a
significant performance drop (see Figure 1). This leads to our motivation –
how to design a unified text recognition model that works ubiquitously across
both scenarios.
Figure 1: Despite performing well for scene images (IAM [39]), a model trained
on HTR datasets (a), performs poorly in STR scenarios (ICDAR-2015 [30]) and
vice-versa (b). Although jointly training a model (c) using both STR and HTR
datasets helps improve the disparity between the datasets, the gap still
remains far behind the specialist models. Our KD based proposed method leads
to performance at par or even better than individual models.
While there is no existing work addressing this issue, one might naively think
of training a single text recognition network using training data from _both_
STR and HTR datasets. However, for the apparent issues of large domain gap and
model capacity limitation [54], while the jointly trained model reduces the
performance gap between HTR and STR datasets, it still lags significantly
behind individual specialised models. Another solution is to include a
classification network prior to specialised STR and HTR models (i.e., a two-
stage network). During evaluation, the classifier decides if an input belongs
to scene or handwritten text, followed by choosing an appropriate model for
downstream recognition. Yet, this solution has two downsides: a)
classification network will incur additional computational cost and extra
memory consumption to store all three neural networks. b) cascaded connection
of the classifier and text recognition models will compound cumulative errors.
In this work, we introduce a _knowledge distillation_ (KD) [22, 49] based
framework to unify individual STR and HTR models into a _single_ multi-
scenario model. Our design at a high-level, does not deviate much from a
conventional KD setting where a learnable student model tries to mimic the
behaviour of a pre-trained teacher. We first train both STR and HTR models
separately using their respective training data. Next, each individual model
takes turns to act as a teacher in the distillation process, to train a single
unified student model. It is this transfer of knowledge captured by
specialised teachers into a single model, that leads to our superior
performance in contrast to training a single model using joint STR and HTR
datasets (see Figure 1).
Making such a design (KD) to work with text recognition is however non-
trivial. The difficulty mainly arises from the variable-length and sequential
natures of text images – each consists of a sequence of different number of
individual characters. Hence, employing off-the-shelf KD methods [49] that aim
at matching output probabilities and/or hidden representations between pre-
trained teacher and learnable student model, which are used for global fixed
length data, may not be sufficient to transfer knowledge at local character
level. We thus propose _three_ additional distillation losses to tackle the
unique characteristics of text recognition.
More specifically, we first impose a _character aligned hint loss_. This
encourages the student to mimic character-specific hidden representations of
specialised teacher over the varying sequence of characters in a text image.
Next, an _attention distillation loss_ is further imposed over the attention
map obtained at every step of character decoding process by an attentional
decoder. This compliments the character localised hint-loss, as attention-maps
capture rich and diverse contextual information emphasising on localised
regions [23]. Besides localised character level information, capturing long-
range non-local dependencies among the sequential characters is of critical
importance, especially for an auto-regressive attentional decoder framework
[34]. Accordingly we propose an _affinity distillation loss_ as our third
loss, to capture the interactions between every pair of positions of the
variable character length sequence, and guide the unified student model to
emulate the affinity matrix of the specialised teachers. Finally, we also make
use of state-of-the-art _logit distillation loss_ to work with our three
proposed losses. It aims at matching output probabilities of student network
over the character vocabulary, with that of pre-trained teachers.
Our main contributions can be summarised as follows: (a) We design a
practically feasible _unified_ text recognition setting that asks a single
model to perform equally well across both HTR and STR scenarios. (b) We
introduce a novel knowledge distillation paradigm where an unified student
model learns from two pre-trained teacher models specialised for STR and HTR.
(c) We design three additional distillation losses to specifically tackle the
variable-length and sequential nature of text data. (d) Extensive experiments
coupled with ablative studies on public datasets, demonstrate the superiority
of our framework.
## 2 Related Works
Text Recognition: With the inception of deep learning, Jaderberg _et al_. [27,
26] introduced a dictionary-based text recognition framework employing deep
networks. Alternatively, Poznanski _et al_. [44] addressed the added
difficulty in HTR by using a CNN to estimate an n-gram frequency profile.
Later on, connectionist temporal classification (CTC) layer [17] made end-to-
end sequence discriminative learning possible. Subsequently, CTC module was
replaced by attention-based decoding mechanism [33, 51] that encapsulates
language modeling, weakly supervised character detection and character
recognition under a single model. Needless to say attentional decoder became
the state-of-the-art paradigm for text recognition for both scene text [35,
63, 61, 66] and handwriting [6, 38, 59, 67]. Different incremental
propositions [5, 8, 7] have been made like, improving the rectification module
[66, 61], designing multi-directional convolutional feature extractor [12],
improving attention mechanism [11, 34] and stacking multiple BLSTM layer for
better context modelling [35].
Besides improving word recognition accuracy, some works have focused on
improving performance in low data regime by designing adversarial feature
deformation module [6], and learning optimal augmentation strategy [38],
towards handling adversarial attack [60] for text recognition. Zhang _et al_.
[67] introduced unsupervised domain adaptation to deal with images from new
scenarios, which however definitely demands a fine-tuning step to specialise
in new domain incurring additional server costs. On the contrary, we focus on
unifying a single model capable of performing consistently well across both
HTR and STR images.
Knowledge Distillation: Earlier, knowledge distillation (KD) was motivated
towards training smaller student models from larger teacher models for cost-
effective deployment. Caruana and his collaborators [1] pioneered in this
direction, by using mean square error with the output _logits_ of deeper model
to train a shallower one. The seminal work by Hinton _et al_. [22] introduced
_softer probability distribution_ over classes by a temperature controlled
softmax layer for training smaller student models. Furthermore, Romero _et
al_. [48] employed features learned by the teacher in the intermediate layers,
to act as a hint for student’s learning. Later works explored different ideas
like mimicking _attention maps_ [64] from powerful teacher, transferring
_neuron selectivity_ pattern [24] by minimising Maximum Mean Discrepancy (MMD)
metric, _graminian matrices_ [62] for faster knowledge transfer, multiple
_teacher assistants_ [40] for step-wise knowledge distillation and so on. In
addition to classification setup, KD has been used in object detection [14],
semantic segmentation [21], depth-estimation [43], pose estimation [42], lane
detection [23], neural machine translation [54] and so forth. Vongkulbhisal
_et al_. [56] proposed a methodology of _unifying heterogeneous classifiers_
having different label set, into a single unified classifier. In addition to
obtaining smaller fast-to-execute model, using KD in _self-distillation_ [3]
improves performance of student having identical architecture like teacher.
Keeping with self-distillation [3], our teacher networks and trainable student
share exactly same architecture, but our motivation lies towards obtaining an
unified student model from two pre-trained specialised teachers.
Unifying models: A unified model bestows several benefits compared to
specialised individual models such as lower annotation and deployment cost as
unlike it’s counterpart, unified models need not grow linearly with increasing
domains [46] or tasks [65] while simultaneously cherishing the benefits of
shared supervision. Towards embracing the philosophy of general AI, where the
goal is to develop a single model handling multiple purposes, attempts have
been made towards solving multiple tasks [28, 32, 65] via _multi-task
learning_ , working over multiple domains [9, 46], and employing _universal
adversarial attack_ [36]. While unsupervised _domain adaptation_ [55] still
needs fine-tuning over target domain images, _domain generalisation_ [15] aims
to extract domain invariant features, eliminating the need of post-updating
step. In NLP community, handling multiple language pairs in one model via
multi-lingual neural-machine-translation [18, 54], has been a popular research
direction in the last few years. Albeit all these text recognition and _model
unifying_ approaches are extensively studied topics, we introduce an entirely
new aspect of text recognition by unifying STR and HTR scenarios into a single
model having significant commercial advantage.
Figure 2: STR and HTR models, pre-trained using respective images, are used as
teachers to train a unified student model via knowledge distillation, with
four distillation losses and a cross-entropy loss ($\mathcal{L}_{C}$). The tth
time-step for decoding is shown, which unrolls across time.
## 3 Methodology
Overview: Our objective is to design a single unified model working both for
STR (S) and HTR (H) word images. In this context, we have access to labelled
STR datasets
$\mathcal{D_{S}}=\\{(\mathrm{I}_{s},\mathrm{Y}_{s})\in\mathcal{I}_{s}\times\mathcal{Y}_{s}\\}$,
as well as labelled HTR datasets
$\mathcal{D_{H}}=\\{(\mathrm{I}_{h},\mathrm{Y}_{h})\in\mathcal{I}_{h}\times\mathcal{Y}_{h}\\}$.
Here, $\mathrm{I}$ denotes word image from respective domain with label
$\mathrm{Y}=\\{y_{1},y_{2},\cdots,y_{K}\\}$, and $K$ denotes the variable
length of ground-truth characters. We first train two individual text-
recognition models using $\mathcal{D_{S}}$ and $\mathcal{D_{H}}$
independently. Thereafter, a single unified model is obtained from two domain
specific teacher via knowledge distillation.
### 3.1 Baseline Text Recognition Model
Given an image $\mathrm{I}$, text recognition model $\mathcal{R}$ tries to
predict the machine readable character sequence $\mathrm{Y}$. Out of the two
state-of-the-art choices dealing with irregular texts, we adopt 2-D attention
that localises individual characters in a weakly supervised way, over
complicated rectification network [61]. Our text recognition model consists of
three components: (a) a backbone convolutional feature extractor [52], (b) a
RNN decoder predicting the characters autoregressively one at each time-step,
(c) a 2D attentional block.
Let the extracted convolutional feature map be
$\mathcal{F}\in\mathbb{R}^{h^{\prime}\times w^{\prime}\times d}$, where
$h^{\prime}$, $w^{\prime}$ and $d$ signify height, width and number of
channels. Every $d$ dimensional feature at $\mathcal{F}_{i,j}$ encodes a
particular local image region based on the receptive fields. At every time
step $t$, the decoder RNN predicts an output character or end-of-sequence
(EOS) $y_{t}$ based on three factors: a) previous internal state $s_{t-1}$ of
decoder RNN, (b) the character $y_{t-1}$ predicted in the last step, and (c) a
glimpse vector $g_{t}$ representing the most relevant part of $\mathcal{F}$
for predicting $y_{t}$. To obtain $g_{t}$, previous hidden state $s_{t-1}$
acts as a query to discover the attentive regions as follows:
$\displaystyle
J=\mathrm{tanh}(W_{F}\mathcal{F}_{i,j}+W_{\mathcal{B}}\circledast\mathcal{F}+W_{s}s_{t-1})$
$\displaystyle\alpha_{i,j}=\mathrm{softmax}(W_{a}^{T}J_{i,j})$ (1)
$\displaystyle
g_{t}=\sum_{i,j}\alpha_{i,j}\cdot\mathcal{F}_{i,j}\;\;i=[1,..,h^{\prime}],\;j=[1,..,w^{\prime}]$
(2)
where, $W_{F}$, $W_{s}$, $W_{a}$ are the learnable weights. Calculating the
attention weight $\alpha_{i,j}$ at every spatial position $(i,j)$, we employ a
convolution operation “$\circledast$” with $3\times 3$ kernel
$W_{\mathcal{B}}$ to consider the neighbourhood information in 2D attention
mechanism. There exists $\alpha_{t}\in\mathbb{R}^{h^{\prime}\times
w^{\prime}}$ corresponding to every time step of decoding, however $t$ is
dropped in Eqn. 1 and 2 for notational brevity. The current hidden state
$S_{t}$ is updated by:
$\mathrm{\mathbf{(o_{t},s_{t})=RNN(s_{t-1};\;[E(y_{t-1}),\;g_{t}]))}}$, where
$E(.)$ is character embedding layer with embedding dimension
$\mathbb{R}^{128}$, and [.] signifies a concatenation operation. Finally,
$\bm{\tilde{}}{y}_{t}$ is predicted as:
$p(\bm{\tilde{}}{y}_{t})=\mathrm{softmax(W_{o}o_{t}+b_{o})}$ with learnable
parameters $W_{o}$ and $b_{o}$. This model is trained end-to-end using cross-
entropy loss $\mathcal{H}(\cdot,\cdot)$ summed over the ground-truth sequence
$Y=\\{y_{1},y_{2},\cdots,y_{K}\\}$, where $y_{t}$ is one-hot encoded vector of
size $\mathrm{\mathbb{R}^{|V|}}$, and $|V|$ is the character vocabulary size.
$\mathcal{L}_{C}=\sum_{t=1}^{K}\mathcal{H}(y_{t},\bm{\tilde{}}{y_{t}})=-\sum_{t=1}^{K}\sum_{i=1}^{|V|}y_{t,i}\log
p(\bm{\tilde{}}{y}_{t,i})\vspace{-0.1cm}$ (3)
### 3.2 Basics: Knowledge Distillation
Initially, knowledge distillation (KD) [22] was proposed for classification
tasks to learn a smaller student model by mimicking the output of a pre-
trained teacher. Given a particular data, let the output from pre-trained
teacher be $\tilde{y}^{T}_{t}=\mathrm{softmax}(l^{T}_{t})$ and that of
learnable student be $\tilde{y}^{S}_{t}=\mathrm{softmax}(l^{S}_{t})$, where
$l_{t}$ is pre-softmax logits from respective models. Temperature ($\tau$)
normalised $\mathrm{softmax}$ is used to soften the output so that more
information regarding inter-class similarity could be captured for training.
Therefore, given
$\tilde{y}^{T}_{\tau,t}=\mathrm{softmax}(\frac{l^{T}_{t}}{\tau})$,
$\tilde{y}^{S}_{\tau,t}=\mathrm{softmax}(\frac{l^{S}_{t}}{\tau})$ and ground-
truth $y$, the student network is trained to optimise the following loss
function:
$\mathcal{L}_{KD}=\sum_{t=1}^{K}\mathcal{H}(y_{t},\tilde{y}^{S}_{t})+\lambda\sum_{t=1}^{K}\mathcal{H}(\tilde{y}^{T}_{\tau,t}\
,\tilde{y}^{S}_{\tau,t})\vspace{-0.3cm}$ (4)
where $\lambda$ is a hyper-parameter balancing the two terms, and the first
term signifies traditional cross-entropy loss between the output of student
network and ground-truth labels, whereas the second term encourages the
student to learn from softened output of teacher.
Adopting basic KD formulation however is unsuitable for our purpose. Firstly,
text recognition dealing with varied-length sequence recognition requires
distilling local fine-grained character information. Additionally, there
exists a sequential dependency among the predicted characters due to auto-
regressive nature of attentional decoder, thus requiring a global consistency
criteria during distillation process. (b) While training teacher and student
usually involves same (single domain) dataset, we here have two separate
domains, STR and HTR, which thus needs to deal with larger domain gap and data
coming from two separate domains.
### 3.3 Unifying Text Recognition Models
Overview: We propose a knowledge distillation method for sequential text
images to unify both scene-text and handwriting recognition process into a
_single_ model. Compared to traditional knowledge distillation, we have _two_
pre-trained teacher networks
${T}\in\\{{T}_{\mathcal{S}},{T}_{\mathcal{H}}\\}$, where ${T}_{\mathcal{S}}$
is a specialised model trained from $\mathcal{S}$cene text images
$\mathcal{D_{S}}$, and ${{T}_{\mathcal{H}}}$ from $\mathcal{H}$andwritten text
images $\mathcal{D_{H}}$. Given these pretrained teachers, we aim to learn a
single $\mathcal{U}$nified $\mathcal{S}$tudent model ${S_{\mathcal{U}}}$ by
_four_ distillation losses tailored for sequential recognition task, along
with typical cross-entropy loss. ${{T}_{\mathcal{S}}}$, ${{T}_{\mathcal{H}}}$
and ${S_{\mathcal{U}}}$ all have identical architecture to text recognition
network $\mathcal{R}(\cdot)$. Directly training a single model by including
images from both the STR and HTR datasets leads to sub-optimal performance due
to limited model capacity and large domain-gap. In contrast, training of
_specialised_ models might assist to extract underlying structure from
respective data, which can _then_ be distilled into a unified student network
with guidance from the specialised teachers.
We have two pre-trained teachers
$\mathrm{{T}\in\\{{T}_{\mathcal{S}},{T}_{\mathcal{H}}}\\}$, with images coming
from two different domains ${I}\in\\{{I_{s}},{I_{h}}\\}$. In order to train a
student network ${S_{\mathcal{U}}}$, we will get one loss instance using STR
pre-trained teacher and respective dataset $({T}_{\mathcal{S}},{I_{s}})$, and
similarly another loss term for HTR counterpart $({T}_{\mathcal{H}},{I_{h}})$.
We describe the loss functions using generalised notation $\mathrm{{(T,I)}}$
which basically has two elements, $({T}_{\mathcal{S}},{I_{s}})$ and
$({T}_{\mathcal{H}},{I_{h}})$ respectively. Thus mathematically,
$\mathrm{{(T,I)}:\\{(T_{\mathcal{S}},{I_{s}}),(T_{\mathcal{H}},{I_{h}})\\}}$.
Please refer to Figure 2.
Logits' Distillation Loss: We extend the traditional knowledge distillation
loss for our sequence recognition task by aggregating cross-entropy loss over
the sequence. Given an image ${I}$, let the temperature normalised softmax
output from a particular pre-trained teacher and trainable student be
$\tilde{y}_{t}^{{T}}({I})$ and $\tilde{y}_{t}^{{S}_{\mathcal{U}}}({I})$ at a
particular time-step $t$. We ignore $\tau$ of Eqn. 4 here for notational
brevity. We call this logits’ distillation loss and define it as:
$\mathrm{\mathcal{L}_{logits}({T,I})=\sum_{t=1}^{K}\mathcal{H}\left(\tilde{y}_{t}^{{T}}({I}),\;\tilde{y}_{t}^{{S}_{\mathcal{U}}}({I})\right)}\vspace{-0.1
cm}$ (5)
where,
$\mathrm{{(T,I)}:\\{({T_{\mathcal{S}}},{I_{s}}),({T_{\mathcal{H}}},{I_{h}})\\}}$.
We get two of such logits’ distillation loss with respect to STR and HTR
datasets (and pre-trained teachers) respectively.
Character Localised Hint Loss: The fact that intermediate features learned by
the teacher could further act as a ‘hint’ in the distillation process, was
shown by Romero _et al_. [48]. Being a sequence recognition task however, text
recognition needs to deal with variable length of sequence, with each
character having variable width within itself. While predicting every
character, attention based decoder focuses on specific regions of
convolutional feature-map. In order to circumvent the discrepancy due to
variable character-width, we perform feature distillation loss at the space of
character localised visual feature, termed as _glimpse vector_ (see Eqn. 2)
instead of global convolutional feature-map. This provides the teacher’s
supervision at local level. As our student shares the same architecture
identical to the pre-trained teachers, we do not need any parametric
transformation layer to match the feature-space between them. The character
localised hint loss is given by:
$\mathrm{\mathcal{L}_{hint}({T,I})=\sum_{t=1}^{K}\left\|g_{t}^{{T}}({I})-g_{t}^{{S}_{\mathcal{U}}}({I})\right\|_{2}}\vspace{-0.15cm}$
(6)
where,
$\mathrm{{(T,I)}:\\{({T_{\mathcal{S}}},{I_{s}}),({T_{\mathcal{S}}},{I_{h}})\\}}$.
Given an input image $\mathrm{I}$, $g_{t}^{{T}}({I})$ and
$g_{t}^{{S}_{\mathcal{U}}}({I})$ are glimpse vector of size $\mathbb{R}^{d}$
at $t$-th times step from a particular pre-trained teacher and trainable
student.
Attention Distillation Loss: While Character Localised Hint Loss aids in
enriching the localised information (i.e. absolute information in the cropped
region roughly enclosing the specific character), computed attention map (see
Eqn 2) brings _contextual information_ giving insights about which region is
_relatively_ more important than the others, over a convolutional feature map.
Unlike attentional distillation, logits’ distillation does not explicitly take
into account the degree of influence each pixel has on model prediction, thus
making the attention map computed at every step a complementary source of
information [64] to learn from the student. Furthermore, HTR usually shows
overlapping characters, which however rarely occurs in STR. Thus the student
must learn the proper ‘look-back’ (attention) mechanism from specialised
teachers. Let $\alpha_{t}^{{T}}({I})$ and
$\alpha_{t}^{{S}_{\mathcal{U}}}({I})$ represent the attention map from
respective teacher and learnable student at $t$-th time step, both having size
$\mathbb{R}^{h^{\prime}\times w^{\prime}}$ for a given an input image
$\mathrm{I}$. Considering
$\mathrm{{(T,I)}:\\{({T_{\mathcal{S}}},{I_{s}}),({T_{\mathcal{H}}},{I_{h}})\\}}$,
the attention distillation loss is computed as follows:
$\mathrm{\mathcal{L}_{attn}({T,I})=\sum_{t=1}^{K}\left\|\alpha_{t}^{{T}}({I})-\alpha_{t}^{{S}_{\mathcal{U}}}({I})\right\|_{2}}\vspace{-0.15cm}$
(7)
Affinity Distillation Loss: Attention based decoder encapsulates an implicit
language model within itself, and the information of previously predicted
characters flows through its hidden state. While previous character localised
hint loss and attention distillation loss mostly contribute to information
distillation at local level, with the later (attention) additionally
contributing towards the contextual information, we need a global consistency
loss to handle the long-range dependency among the characters. Thus we
introduce an affinity distillation loss to model long-range non-local
dependencies from the specialised teachers. Given character aligned features
$\\{g_{1},g_{2},\dots,g_{K}\\}$ for a given image, the affinity matrix
capturing the pair-wise correlation between every pair of characters is
computed as:
$\mathcal{A}_{i,j}=\frac{1}{K\times
K}\cdot\frac{g_{i}}{||g_{i}||_{2}}\cdot\frac{g_{j}}{||g_{j}||_{2}}\vspace{-0.18cm}$
(8)
where, $\mathcal{A}\in\mathbb{R}^{K\times K}$ represents the affinity matrix
for a word image having character sequence length $K$. We use $l_{2}$ loss to
match the affinity matrix of specialised teacher $\mathcal{A}^{{T}}({I})$ and
that of learnable student $\mathcal{A}^{{S}_{\mathcal{U}}}({I})$:
$\mathcal{L}_{aff}({T,I})=\left\|\mathcal{A}^{{T}}({I})-\mathcal{A}^{{S}_{\mathcal{U}}}({I})\right\|_{2}\vspace{-0.18cm}$
(9)
Optimisation Procedure: Apart from the four distillation loss in order to
learn from the specialised teacher, the unified student model
${S}_{\mathcal{U}}$ is trained from ground-truth label for image
$I\in\\{I_{s},I_{h}\\}$ using typical cross-entropy loss (see Enq. 3). Thus,
given
$\mathrm{{(T,I)}:\\{({T_{\mathcal{S}}},{I_{s}}),({T_{\mathcal{H}}},{I_{h}})\\}}$,
the overall training objective for student becomes:
$\mathrm{\mathcal{L}_{all}=\sum_{\forall({T,I})}\Big{(}\mathcal{L}_{C}({I})+\lambda_{1}\cdot\mathcal{L}_{logits}({T,I})+\lambda_{2}\cdot\mathcal{L}_{attn}({T,I})}\\\\[-10.0pt]
\mathrm{+\lambda_{3}\cdot\mathcal{L}_{hint}({T,I})+\lambda_{4}\cdot\mathcal{L}_{aff}({T,I})\Big{)}}\vspace{-0.5cm}$
(10)
Due to difference in complexity of the task of HTR and STR and their
respective training data size, we observe a tendency to learn a biased model
that over-fits on either STR or HTR dataset. To alleviate this, we employ a
conditional distillation mechanism that stabilise training by deciding in what
proportion to learn from two different individual specialised teacher that
results in a unified student model performing ubiquitously over both STR and
HTR scenarios.
Algorithm 1 Training algorithm of the proposed framework
1:Input: Dataset: $\\{\mathcal{D_{S},D_{H}}\\}$; Teachers:
$\\{{T}_{\mathcal{S}},{T}_{\mathcal{H}}\\}$; Learning rate: $\eta$; Total
Training Steps: $\mathcal{T}$, distil check: $\mathcal{T^{\prime}}$; Accuracy
metric: $\mathcal{A}cc$; distil acc. thresh. $\omega\geq 1$
2:Initialise: Unified Student Model: $\mathcal{S_{U}}$, params:
$\theta^{{S}_{\mathcal{U}}}$; Step: $t=1$; Gradient: $g$; Flags:
$\\{f^{\mathcal{S}},f^{\mathcal{H}}\\}$ are $True$
3:while $t\leq\mathcal{T}$ do
4: $g=0$
5: Get:
$(\mathrm{I}_{s},\mathrm{Y}_{s})\in\mathcal{D_{S}}^{train};(\mathrm{I}_{h},\mathrm{Y}_{h})\in\mathcal{D_{H}}^{train}$
6:
$g\mathrel{{+}{=}}\partial(\mathcal{L}_{C}(\mathrm{I}_{s})+\mathcal{L}_{C}(\mathrm{I}_{h}))/\partial\theta^{{S}_{\mathcal{U}}}$
$\triangleright$ see eq. 3
7: for each $\mathcal{L}_{KD}$ in $\mathcal{L}_{all}-\\{\mathcal{L}_{C}\\}$ do
$\triangleright$ see eq. 10
8: if$f^{\mathcal{S}}$ then
$g\mathrel{{+}{=}}\partial\mathcal{L}_{KD}({T}_{\mathcal{S}},\mathrm{I}_{s})/\partial\theta^{{S}_{\mathcal{U}}}$
9: if$f^{\mathcal{H}}$ then
$g\mathrel{{+}{=}}\partial\mathcal{L}_{KD}({T}_{\mathcal{H}},\mathrm{I}_{h})/\partial\theta^{{S}_{\mathcal{U}}}$
10: end for
11: Update $\theta^{{S}_{\mathcal{U}}}:\
\theta^{{S}_{\mathcal{U}}}=\theta^{{S}_{\mathcal{U}}}-\eta*g$
12: if $t\%\mathcal{T^{\prime}}==0$ then $\triangleright$ _conditional
distillation_
13: $\mathcal{L}=\mathcal{L}_{all}-\\{\mathcal{L}_{C}\\}$
14: $\\{\mathcal{I}_{s}^{val},\mathcal{Y}_{s}^{val}\\}=\mathcal{D_{S}}^{val}$;
$\\{\mathcal{I}_{h}^{val},\mathcal{Y}_{h}^{val}\\}=\mathcal{D_{H}}^{val}$
15:
if$\mathcal{L}(T_{\mathcal{S}},\mathcal{I}_{s})>\omega\cdot\mathcal{L}(T_{\mathcal{H}},\mathcal{I}_{h})$
then $f^{\mathcal{H}}=False$
16: else $f^{\mathcal{H}}=True$
17:
if$\mathcal{L}(T_{\mathcal{H}},\mathcal{I}_{h})>\omega\cdot\mathcal{L}(T_{\mathcal{S}},\mathcal{I}_{s})$
then $f^{\mathcal{S}}=False$
18: else $f^{\mathcal{S}}=True$
19: end if
20: $t=t+1$
21:end while
## 4 Experiments
Datasets: Training paradigm for STR involves using large synthetic datasets
such as Synth90k [25] and SynthText [20] with $8$ and $6$ million images
respectively, and evaluating (without fine-tuning) on real images such as:
IIIT5K-Words, Street View Text (SVT), SVT-Perspective (SVT-P), ICDAR 2013
(IC13), ICDAR 2015 (IC15), and CUTE80. IIIT5-K Words [41] has 5000 cropped
words from Google image search. SVT [58] hosts $647$ images collected from
Google Street View where most images are blurry, noisy and have low
resolution. SVT-P [45] has $639$ word images also taken from Google Street
view but with side-view snapshots resulting in severe perspective distortions.
ICD13 [31] contains $848$ cropped word patches with mostly regular images
unlike IC15 [30] which has 2077 word images that are irregular i.e. oriented,
perspective or curved. Unlike others, CUTE80 [47] dataset contains high
resolution image but have curved text. In context of HTR, we follow the
evaluation setup described in [6] on two large standard datasets viz, IAM [39]
(1,15,320 words) and RIMES (66,982 words).
Implementation Details: We use a 31-layer CNN backbone feature extractor [34]
without any pre-training. The input image is resized to $48\times 160$
following [34]. We first pre-train the specialised HTR and STR model at a
time. For STR, we use Synth90k [25] and SynthText [20] dataset together, and
respective training set is used for experiments on IAM and RIMES dataset
individually. We use Adam optimiser with initial learning rate of $0.001$ and
batch size of 32 for both specialised teacher pre-training, and distillation
based unified student model training. Decay rate of $0.9$ is applied after
every $10^{4}$ iteration till the learning rate drops to $10^{-5}$. During
conditional distillation (Algorithm 1), loss is compared over the validation
set with $\omega=1.05$. We set $\lambda_{1}$, $\lambda_{2}$, $\lambda_{3}$,
and $\lambda_{4}$ as $0.5$, $5$, $1$ and $1$ respectively. We implement the
network and its training paradigm using PyTorch trained in a 11 GB NVIDIA
RTX-2080-Ti GPU.
Evaluation Protocol: To better understand the challenges of unifying STR and
HTR, and recognise contribution of each alternative training paradigm we
evaluate as follows: (i) we first evaluate the pre-trained teacher models on
the dataset for what it has been trained for, e.g. $\mathcal{T_{S}}$ on
testing set of STR dataset, and $\mathcal{T_{H}}$ on that of HTR dataset. (ii)
Next, we evaluate on the alternative dataset for pre-trained teacher model and
see how the performance drops in cross-dataset scenarios, e.g.
$\mathcal{T_{S}}$ on testing set of HTR dataset, and vice-versa. ii) Finally,
we evaluate the unified student model $\mathcal{S_{U}}$ on both STR and HTR
datasets to verify if a single model can perform ubiquitously for both
scenarios.
Table 1: Quantitative performance against various alternatives. Competitors use _combined_ STR+HTR datasets in different setups: (a) Multi-Task (Joint) Training, (b) Unsupervised and Supervised Domain Adaptation (DA), (c) Domain Generalization (DG). Methods | STR datasets | HTR dataset
---|---|---
IIIT5-K | SVT | IC13 | IC15 | SVT-P | CUTE80 | IAM | RIMES
Multi-Task-Training-(I) | 86.1 | 83.6 | 87.2 | 70.4 | 77.8 | 79.4 | 81.8 | 86.2
Multi-Task-Training-(II) | 35.4 | 34.5 | 36.3 | 29.1 | 32.1 | 32.5 | 81.9 | 85.9
Multi-Task-Training-(III) | 83.2 | 80.5 | 84.1 | 67.1 | 74.1 | 76.3 | 77.9 | 82.3
DA-Adv-Unsup (STR $\rightarrow$ HTR) | 82.6 | 80.1 | 84.2 | 66.8 | 74.2 | 75.8 | 58.7 | 64.1
DA-Adv-Unsup (HTR $\rightarrow$ STR) | 16.6 | 12.9 | 15.4 | 12.1 | 12.7 | 13.4 | 78.1 | 82.4
DA-Adv-Sup | 88.1 | 85.6 | 89.2 | 72.5 | 79.9 | 81.6 | 83.1 | 87.5
DA-Corr-Unsup (STR $\rightarrow$ HTR) | 82.7 | 80.2 | 84.5 | 67.8 | 74.7 | 76.1 | 82.7 | 87.1
DA-Corr-Unsup (HTR $\rightarrow$ STR) | 17.1 | 13.1 | 15.9 | 12.7 | 13.1 | 13.9 | 82.7 | 87.1
DA-Corr-Sup | 88.3 | 85.8 | 89.4 | 72.7 | 80.1 | 81.8 | 83.2 | 87.6
DG-training | 88.5 | 86.0 | 89.5 | 72.9 | 80.3 | 82.0 | 83.4 | 87.7
Proposed | 92.3 | 89.9 | 93.3 | 76.9 | 84.4 | 86.3 | 86.4 | 90.6
Table 2: Quantitative comparison of our STR-only and HTR-only models, trained on STR and HTR datasets respectively, against state-of-the-arts. Our method uses STR-only and HTR-only as teachers during KD. Methods | STR datasets | HTR dataset
---|---|---
IIIT5-K | SVT | IC13 | IC15 | IAM | RIMES
Shi _et al_. [52] | 93.4 | 93.6 | 91.8 | 76.1 | – | –
Baek _et al_. [2] | 87.9 | 87.5 | 92.3 | 71.8 | – | –
Yu _et al_. [63] | 94.8 | 91.5 | 95.5 | 82.7 | – | –
Litman _et al_. [35] | 93.7 | 92.7 | 93.9 | 82.2 | – | –
Bhunia _et al_. [6] | – | – | – | – | 82.81 | 88.53
STR-only Model | 93.1 | 90.9 | 93.5 | 78.2 | 53.4 | 58.5
HTR-only Model | 11.5 | 7.6 | 10.3 | 7.1 | 85.9 | 90.2
Joint STR-HTR Model | 86.1 | 83.6 | 87.2 | 70.4 | 81.8 | 86.2
Proposed (Unified) | 92.3 | 89.9 | 93.3 | 76.9 | 86.4 | 90.6
### 4.1 Competitors
To the best of our knowledge, there has been no prior work dealing with the
objective of unifying STR and HTR models into a single model. Thus, we design
a few strong baselines based on the existing literature by our own. _(i)_
Multi-Task-Training: This is a naive _frustratingly easy_ training paradigm
[13] where samples belonging to both STR and HTR datasets are used to train a
single network guided by cross-entropy loss. Since STR has overwhelmingly
large synthetic training samples [25, 20] compared to HTR dataset [39], we use
weighted random sampling (variant-I) to balance training data. Conversely, we
randomly sample a subset from STR dataset (variant-II) to forcefully make the
number of training images similar for HTR and STR datasets in order to
validate the utility of conditional distillation. In variant-III, we treat HTR
and STR character units as different classes, thus extending it to N-class to
2N class classification at each time step. _(ii)_ DA-Corr-Unsup: An obvious
alternative is to try out any domain adaptation method introduced for sequence
recognition task. Zhang _et al_. [67] proposed unsupervised domain adaptation
(DA) technique for text images. We start by training a model on either STR (or
HTR) images that acts as our source domain, followed by unsupervised
adaptation to the target HTR (or STR) images – thus we have two version of
this model STR model adapted to HTR as (HTR $\mapsto$STR), and (STR
$\mapsto$HTR). Second-order statistics-correlation distance [53] is used to
align feature distribution from two domain. [_iii_] DA-Corr-Sup: As we have
the access to both labelled STR and HTR datasets, we further extend the
unsupervised DA setup of Zhang _et al_. [67] by considering target domain to
be annotated, allowing supervised DA. Cross-entropy loss is minimised for both
source and target domain in association to second-order statistics-correlation
between both STR and HTR domains. [_iv_] DA-Adv-Unsup: We further adopt a
recent work by Kang _et al_. [29] employing adversarial learning for
unsupervised domain adaptation for text recognition. Here, the setup remains
same as DA-Corr-Unsup having two versions as (HTR $\mapsto$STR) and (STR
$\mapsto$HTR), but domain adaptation tackled through a discriminator with a
preceding gradient-reversal layer. _(v)_ DA-Adv-Sup: This is again a similar
adaptation of [29] following supervised DA which minimise Cross-Entropy and
domain classification loss for both STR and HTR. _(vi)_ DG-Training: Another
alternative way to address this problem could be to use Domain Generalisation
(DG) training based on model agnostic meta-learning using episodic-training
[16]. It involves using weighted ($\lambda$) summation [19] for gradient (over
meta-train set) and meta-gradient (over meta-test split through inner loop
update) to train our baseline text recognition model. The inner-loop update
process consists of support set consisting images of either STR (or HTR) word
images while the outer-loop update process is materialised using images from a
different domain i.e. HTR (or STR). Such inner and outer-loop based
optimisation strategy helps learn a model that aims to generalise well for
both scenarios without further fine-tuning.
Figure 3: Illustrative examples with attention maps, and prediction
($\mathrm{{\color[rgb]{1,0,0}red}\rightarrow incorrect}$,
$\mathrm{{\color[rgb]{0,0,1}blue}\rightarrow correct}$). While discrepancy
exists for cross-dataset scenarios, attention-map from unified model is nearly
consistent with that of respective specialised model.
### 4.2 Performance Analysis
From Table 2, it can be seen that while a model trained on HTR fails miserably
when evaluated on STR datasets, training on STR followed by testing on HTR
does not result in a similar collapse in performance. This indicates that
although STR scenarios partially encompass domain specific HTR attributes, the
reverse is not true. Interestingly, this is likely why there is a positive
transfer for HTR datasets using _unified model_ compared to HTR-only
counterpart. Moreover, our KD based unifying approach for multi-scenario text
recognition outperforms all other baselines by a significant margin. In
particular, (i) For baselines designed for unification, we attribute the
limitation of all three multitask-learning-training (also named as joint-
training) variants to the reason that it does not consider the varying
complexity of two different tasks during joint training. Instead, our pre-
trained teacher models first discover the _specialised knowledge_ from
respective scenario. Given the specialised knowledge, our framework can
encapsulate it into a single framework by balancing the learning via
_conditional distillation_ from two different data sources (see Figure 3). We
outperform this joint-training (variant-I being the best performing
competitor) baseline by a margin of almost $6-7\%$ on every dataset. Limited
performance of variant-II validates the necessity and motivation of
conditional distillation. (ii) The performance of unsupervised DA is limited
by a significant margin while evaluating on both HTR and STR datasets.
Starting from any source domain, it hardly gives any significant rise in
target domain, rather the performance even decreases in the source domain
after adaptation. An inevitable corollary of unsupervised DA is the lack of
any guarantee that a model will retain information about source domain after
successful adaptation to the target domain. (iii) The Domain Adaptation (DA)
based pipelines suppress multitask-learning-training baseline while using
supervised-labels from both the datasets, but lags behind us by $3.5-4.5\%$ on
an average. Even using supervised-labels from both the datasets, the learning
process oscillates around discovering domain invariant representation, and
ignores main objective of unification of two specialised knowledge available
from labelled datasets. Furthermore, adversarial learning based DA [29] falls
short compared to covariance based character-wise distribution alignment [67]
for text recognition – this also supports our design of using distillation
loss over glimpse vectors. (iv) Both [67] and [57] train a text recognition
model on a source domain comprising of easily available synthetic images
followed by unsupervised adaptation to target domain consisting of real world
text images. While cost-effective training from synthetic-data is their major
objective, we consider to have access to both the labelled datasets (which are
readily available nowadays) to design an unified model working for both
scenarios – making our work orthogonal to these two DA based pipelines. (v)
The purpose of Domain Generalisation (DG) is to find a model robust to domain-
shift, giving satisfactory performance without the need of further adaptation.
While such technique play a key role in unseen data regime, given enough
labelled data, a frustratingly-simpler [13] alternative – multi-task learning
– also achieves similar performance gains. Given the labelled STR and HTR
training data, we observe that although DG-training outperforms multi-task-
training, it lags behind our proposed method by almost $4\%$ due to
unavailability of privilege information (Table 2). (vi) The diversity of
vocabulary (words present in the dataset) between STR and HTR scenarios forms
an important limitation to achieve SOTA performance [57]. While nouns (‘stop’,
‘walk’) are observed in STR images (placard, road signs), verbs or adverbs
(‘taking’, ‘giving’) are more prevalent in HTR. Our specialised knowledge
discovery bridges this discrepancy via unification.
Table 3: Contribution (WRA) of each KD constraint with $\mathcal{L}_{C}$ $\mathcal{L}_{logits}$ | $\mathcal{L}_{attn}$ | $\mathcal{L}_{hint}$ | $\mathcal{L}_{aff}$ | IC15 | IAM
---|---|---|---|---|---
- | - | - | - | 70.4 | 81.8
✓ | - | - | - | 75.3 | 84.9
✓ | ✓ | - | - | 75.7 | 85.3
✓ | ✓ | ✓ | - | 76.4 | 85.9
✓ | ✓ | ✓ | ✓ | 76.9 | 86.4
Table 4: Analysis of Time and Space complexities. Methods | IC15 | IAM | GFlops | Params.
---|---|---|---|---
M.T.T | 70.4 | 81.8 | 0.67 | 19M
B.C.R | 74.4 | 83.1 | 0.80 | 50M
KD-Res-12 | 74.2 | 83.9 | 0.38 | 16M
KD-Res-31 | 74.7 | 84.2 | 0.12 | 9M
Proposed | 76.9 | 86.4 | 0.67 | 19M
### 4.3 Ablation Study:
[i] Competitiveness of our baseline: Our baseline text recognition model is
loosely inspired from the work by Li _et al_. [34] that also uses 2D attention
to locate the characters in weakly supervised manner even from irregular text
images for recognition. An alternative is to use a two-stage framework
consisting of an _image rectification module_ [52] followed by text
recognition [2]. But as observed by Zhang _et al_. [67], although
rectification based networks designed to handle spatial distortions lead to
good performance in irregular STR datasets, it becomes a bottleneck for HTR
tasks due to distortion caused by handwriting styles. Hence, for the purpose
of unified text recognition, 2D attention mechanism provides a reasonable
choice to bypass the rectification network in the text recognition system.
Table 2 shows our baseline text recognition model to have a competitive
performance in comparison to existing methods in both STR and HTR datasets.
Moreover, we tried to replicate our KD based pipeline incorporating _image
rectification module_ on the top of [2], but performance gets limited to
$75.9\%$ and $85.5\%$ on IC15 and IAM dataset, respectively. [ii] Binary-
Classifier based two-stage alternative: Besides _Multi-Task-Training_ (M.T.T),
another alternative is to use a binary-classifier (B.C.R) to classify between
HTR and STR samples, then followed by selecting either STR or HTR model
accordingly. While this achieves comparable performance with ours, it involves
heavy computational expenses for maintaining three networks ($2$ specialised
models + 1 classifier) together even while using simple ResNet18 as binary
classifier – thus making it inefficient for online deployment. A thorough
analysis on the computational aspect is shown in Table 4. [iii] Significance
of individual losses: Among the four knowledge distillation losses
($\mathrm{\mathcal{L}_{logits},\mathcal{L}_{attn},\mathcal{L}_{hint},\mathcal{L}_{aff}}$),
we use one of these distillation constraints along with
$\mathrm{\mathcal{L_{C}}}$ to understand their individual relative
contribution. Table 4 shows $\mathcal{L}_{hint}$ to have the greatest impact
among others, increasing accuracy on IC15 (IAM) by $5.1\%$ $(3.3\%)$, followed
by $\mathrm{\mathcal{L}_{logits}}$ resulting in an increase of $4.9\%$
$(3.1\%)$, $\mathrm{\mathcal{L}_{aff}}$ by $4.8\%$ $(3.0\%)$ and
$\mathrm{\mathcal{L}_{attn}}$ by $4.3\%$ $(2.6\%)$. [iv] Significance of
conditional distillation: Besides the wide difference in training data size,
the complexity of the task of HTR and STR is different. A simple multi-task-
training often over-fits on either STR or HTR dataset – leading to sub-optimal
performance of the unified student model. Thus, conditional distillation not
only stabilises training, but also helps the student model to decide in what
proportion to learn from two different individual specialised teachers, so
that the unified model performs ubiquitously over both STR and HTR scenarios.
Without conditional distillation, the performance is reduced by $2.5\%$ and
$0.4\%$ on IC15 and IAM datasets, respectively. The hyperparameter $\omega$
controlling the conditional distillation process is varied at
$1.01,1.03,1.05,1.07,1.10$, and results on IC15 (IAM) are $76.8\%$ $(86.3\%)$,
$76.9\%$ $(86.3\%)$, $76.9\%$ $(86.4\%)$, $76.8\%$ $(86.4\%)$, $76.8\%$
$(86.4\%)$. [vi] Hint Loss location: While hint-based training leads to
performance enhancements, the location of feature distillation loss is
debatable based on the model’s architecture. Thus, we employ
$\mathcal{L}_{hint}$ on: (a) CNN features $\mathcal{F}$ and (b) hidden state
$s_{t}$ of attentional decoder. Using $\mathcal{L}_{hint}$ on $\mathcal{F}$
lead to a performance improvement of $3.8\%$ ($2.2\%$) while on $s_{t}$
results in $4.6\%$ ($2.5\%$) enhancement on IC15(IAM) datasets; both of which
are lower as compared to $\mathcal{L}_{hint}$ on context vector $g$ giving
$5.1\%$ ($3.3\%$) improvement over the baseline model. [vii] Reduce model size
using KD: Knowledge distillation is a generic method used to compress [22] any
deep model regardless of the structural difference between teacher and
student. Hence, we further check if our tailored KD method for attentional
decoder based text recognition framework could be used off-the-shelf to reduce
the model size of unified student. We replace our student model having
31-layer ResNet with just 12-layer (2+2+3+3+2) as KD-ResNet-12, and replace
normal convolution by depth-wise convolution following MobileNetV2
architecture [50] to obtain KD-ResNet-31. The two resulting light-weight
architectures give $74.2\%$ $(83.9\%)$ and $74.7\%$ $(84.2\%)$ accuracies in
IC15 (IAM) datasets without much significant drop compared to our full version
as shown in Table 4. This suggests that our framework could be widened further
for model compression of text recognition model.
## 5 Conclusion
We put forth a novel perspective towards text recognition – unifying multi-
scenario text recognition models. To this end we introduced a robust resource-
economic online serving solution by proposing a knowledge distillation based
framework employing four distillation losses to tackle the varying length of
sequential text images. This helps us reduce the domain gap between scene and
handwritten images while alleviating language diversity and model capacity
limitations. The resulting unified model proves capable of handling both
scenarios, performing at par with individual models, even surpassing them at
times (e.g. in HTR).
## References
* [1] Jimmy Ba and Rich Caruna. Do deep nets really need to be deep? In NeurIPS, 2014.
* [2] Jeonghun Baek, Geewook Kim, Junyeop Lee, Sungrae Park, Dongyoon Han, Sangdoo Yun, Seong Joon Oh, and Hwalsuk Lee. What is wrong with scene text recognition model comparisons? dataset and model analysis. In ICCV, 2019.
* [3] Hessam Bagherinezhad, Maxwell Horton, Mohammad Rastegari, and Ali Farhadi. Label refinery: Improving imagenet classification through label progression. arXiv preprint arXiv:1805.02641, 2018.
* [4] Fan Bai, Zhanzhan Cheng, Yi Niu, Shiliang Pu, and Shuigeng Zhou. Edit probability for scene text recognition. In CVPR, 2018.
* [5] Ayan Kumar Bhunia, Pinaki Nath Chowdhury, Aneeshan Sain, and Yi-Zhe Song. Towards the unseen: Iterative text recognition by distilling from errors. In ICCV, 2021.
* [6] Ayan Kumar Bhunia, Abhirup Das, Ankan Kumar Bhunia, Perla Sai Raj Kishore, and Partha Pratim Roy. Handwriting recognition in low-resource scripts using adversarial learning. In CVPR, 2019.
* [7] Ayan Kumar Bhunia, Shuvozit Ghose, Amandeep Kumar, Pinaki Nath Chowdhury, Aneeshan Sain, and Yi-Zhe Song. Metahtr: Towards writer-adaptive handwritten text recognition. In CVPR, 2021.
* [8] Ayan Kumar Bhunia, Aneeshan Sain, Amandeep Kumar, Shuvozit Ghose, Pinaki Nath Chowdhury, and Yi-Zhe Song. Joint visual semantic reasoning: Multi-stage decoder for text recognition. In ICCV, 2021.
* [9] Hakan Bilen and Andrea Vedaldi. Universal representations: The missing link between faces, text, planktons, and cat breeds. arXiv preprint arXiv:1701.07275, 2017.
* [10] A.F. Biten, R. Tito, A. Mafla, L. Gomez, M. Rusi˜nol, E. Valveny, C. Jawahar, and D. Karatzas. Scene text visual question answering. In CVPR, 2019.
* [11] Zhanzhan Cheng, Fan Bai, Yunlu Xu, Gang Zheng, Shiliang Pu, and Shuigeng Zhou. Focusing attention: Towards accurate text recognition in natural images. In ICCV, 2017.
* [12] Zhanzhan Cheng, Yangliu Xu, Fan Bai, Yi Niu, Shiliang Pu, and Shuigeng Zhou. Aon: Towards arbitrarily-oriented text recognition. In CVPR, 2018.
* [13] Hal Daumé III. Frustratingly easy domain adaptation. In ACL, 2007.
* [14] Jiajun Deng, Yingwei Pan, Ting Yao, Zhou Wengang, Li Houqiang, and Tao Mei. Relation distillation networks for video object detection. In ICCV, 2019.
* [15] Qi Dou, Daniel Coelho de Castro, Konstantinos Kamnitsas, and Ben Glocker. Domain generalization via model-agnostic learning of semantic features. In NeurIPS, 2019.
* [16] Chelsea Finn, Pieter Abbeel, and Sergey Levine. Model-agnostic meta-learning for fast adaptation of deep networks. In ICML, 2017.
* [17] Alex Graves, Santiago Fernández, Faustino Gomez, and Jürgen Schmidhuber. Connectionist temporal classification: Labelling unsegmented sequence data with recurrent neural networks. In ICML, 2006.
* [18] Jiatao Gu, Hany Hassan, Jacob Devlin, and Victor O. K. Li. Universal neural machine translation for extremely low resource languages. In NAACL-HLT, 2018.
* [19] Jianzhu Guo, Xiangyu Zhu, Chenxu Zhao, Dong Cao, Zhen Lei, and Stan Z Li. Learning meta face recognition in unseen domains. In CVPR, 2020.
* [20] Ankush Gupta, Andrea Vedaldi, and Andrew Zisserman. Synthetic data for text localisation in natural images. In CVPR, 2016.
* [21] Tong He, Chunhua Shen, Zhi Tian, Dong Gong, Changming Sun, and Youliang Yan. Knowledge adaptation for efficient semantic segmentation. In CVPR, 2019.
* [22] Geoffrey Hinton, Oriol Vinyals, and Jeff Dean. Distilling the knowledge in a neural network. arXiv preprint arXiv:1503.02531, 2015.
* [23] Yuenan Hou, Zheng Ma, Chunxiao Liu, and Chen Change Loy. Learning lightweight lane detection cnns by self attention distillation. In ICCV, 2019.
* [24] Zehao Huang and Naiyan Wang. Like what you like: Knowledge distill via neuron selectivity transfer. 2017\.
* [25] Max Jaderberg, Karen Simonyan, Andrea Vedaldi, and Andrew Zisserman. Synthetic data and artificial neural networks for natural scene text recognition. In NeurIPS, 2014.
* [26] Max Jaderberg, Karen Simonyan, Andrea Vedaldi, and Andrew Zisserman. Reading text in the wild with convolutional neuralnetworks. IJCV, 2016.
* [27] Max Jaderberg, Andrea Vedaldi, and Andrew Zisserman. Deep features for text spotting. In ECCV, 2014.
* [28] Lukasz Kaiser, Adian N Gomez, Noam Shazeer, Ashish Vaswani, Niki Parmar, Llion Jones, and Jakob Uszkoreit. One model to learn them all. arXiv preprint arXiv:1706.05137, 2017.
* [29] Lei Kang, Marçal Rusiñol, Alicia Fornés, Pau Riba, and Mauricio Villegas. Unsupervised adaptation for synthetic-to-real handwritten word recognition. In WACV, 2020.
* [30] Dimosthenis Karatzas, Lluis Gomez-Bigorda, Anguelos Nicolaou, Suman Ghosh, Andrew Bagdanov, Masakazu Iwamura, Jiri Matas, Lukas Neumann, Vijay Ramaseshan Chandrasekhar, Shijian Lu, et al. Icdar 2015 competition on robust reading. In ICDAR, 2015.
* [31] Dimosthenis Karatzas, Faisal Shafait, Seiichi Uchida, Masakazu Iwamura, Lluis Gomez i Bigorda, Sergi Robles Mestre, Joan Mas, David Fernandez Mota, Jon Almazan Almazan, and Lluis Pere De Las Heras. Icdar 2013 robust reading competition. In ICDAR, 2013.
* [32] Iasonas Kokkinos. Ubernet: Training a universal convolutional neural network for low-, mid-, and high-level vision using diverse datasets and limited memory. In CVPR, 2017.
* [33] Chen-Yu Lee and Simon Osindero. Recursive recurrent nets with attention modeling for OCR in the wild. In CVPR, 2016.
* [34] Hui Li, Peng Wang, Chunhua Shen, and Guyu Zhang. Show, attend and read: A simple and strong baseline for irregular text recognition. In AAAI, 2019.
* [35] Ron Litman, Tsiper Shahar, Roee Litman, Shai Mazor, and Manmatha R. Scatter: Selective context attentional scene text recognizer. In CVPR, 2020.
* [36] Hong Liu, Rongrong Ji, Jie Li, Baochang Zhang, Yue Gao, Yongjian Wu, and Feiyue Huang. Universal adversarial perturbation via prior driven uncertainty approximation. In ICCV, 2019.
* [37] Shangbang Long, Xin He, and Cong Yao. Scene text detection and recognition: The deep learning era. IJCV, 2020.
* [38] Canjie Luo, Yuanzhi Zhu, Lianwen Jin, and Yongpan Wang. Learn to augment: Joint data augmentation and network optimization for text recognition. In CVPR, 2020.
* [39] U-V Marti and Horst Bunke. The iam-database: an english sentence database for offline handwriting recognition. IJDAR, 2002.
* [40] Seyed-Imam Mirzadeh, Mehrdad Farajtabar, Ang Li, Nir Levine, Akihiro Matsukawa, and Hassan Ghasemzadeh. Improved knowledge distillation via teacher assistant. In AAAI, 2020.
* [41] Anand Mishra, Karteek Alahari, and C. V. Jawahar. Scene text recognition using higher order language priors. In BMVC, 2012.
* [42] Xuecheng Nie, Yuncheng Li, Linjie Luo, Ning Zhang, and Jiashi Feng. Dynamic kernel distillation for efficient pose estimation in videos. In ICCV, 2019.
* [43] Andrea Pilzer, Stéphane Lathuilière, Nicu Sebe, and Ricci Elisa. Refine and distill: Exploiting cycle-inconsistency and knowledge distillation for unsupervised monocular depth estimation. In CVPR, 2019.
* [44] Arik Poznanski and Lior Wolf. Cnn-n-gram for handwriting word recognition. In CVPR, 2016.
* [45] Trung Quy Phan, Palaiahnakote Shivakumara, Shangxuan Tian, and Chew Lim Tan. Recognizing text with perspective distortion in natural scenes. In ICCV, 2013.
* [46] Sylvester-Alvise Rebuffi, Hakan Bilen, and Andrea Vedaldi. Learning multiple visual domains with residual adapters. In NeurIPS, 2017.
* [47] Anhar Risnumawan, Palaiahankote Shivakumara, Chee Seng Chan, and Chew Lim Tan. A robust arbitrary text detection system for natural scene images. Expert Systems with Applications, 2014.
* [48] Adriana Romero, Nicolas Ballas, Samira Ebrahimi Kahou, Antonie Chassang, Carlo Gatta, and Yoshua Bengio. Fitnets: Hints for thin deep nets. In ICLR, 2015.
* [49] Fabian Ruffy and Karanbir Chahal. The state of knowledge distillation for classification. arXiv preprint arXiv:1912.10850, 2019.
* [50] Mark Sandler, Andrew Howard, Menglong Zhu, Andrey Zhmoginov, and Liang-Chieh Chen. Mobilenetv2: Inverted residuals and linear bottlenecks. In CVPR, 2018.
* [51] Baoguang Shi, Xiang Bai, and Cong Yao. An end-to-end trainable neural network for image-based sequence recognition and its application to scene text recognition. T-PAMI, 2017.
* [52] B. Shi, M. Yang, X. Wang, P. Lyu, C. Yao, and X. Bai. Aster: An attentional scene text recognizer with flexible rectification. TPAMI, 2018.
* [53] Baochen Sun and Kate Saenko. Deep coral: Correlation alignment for deep domain adaptation. In ECCV, 2016.
* [54] Xu Tan, Yi Ren, Di He, Tao Qin, Zhou Zhao, and Tie-Yan Liu. Multilingual neural machine translation with knowledge distillation. In ICLR, 2019.
* [55] Eric Tzeng, Judy Hoffman, Kate Saenko, and Trevor Darrell. Adversarial discriminative domain adaptation. In CVPR, 2017.
* [56] Jayakorn Vongkulbhisal, Phongtharin Vinayavekhin, and Marco Visentini-Scarzanella. Unifying heterogeneous classifiers with distillation. In CVPR, 2019.
* [57] Zhaoyi Wan, Jielei Zhang, Liang Zhang, Luo, Jiebo, and Cong Yao. On vocabulary reliance in scene text recognition. In CVPR, 2020.
* [58] Kai Wang, Boris Babenko, and Serge Belongie. End-to-end scene text recognition. In ICCV, 2011.
* [59] Tianwei Wang, Yuanzhi Zhu, Lianwen Jin, Canjie Luo, Xiaoxue Chen, Yaqiang Wu, Qianying Wang, and Mingxiang Cai. Decoupled attention network for text recognition. In AAAI, 2020.
* [60] Xing Xu, Jiefu Chen, Jinhui Xiao, Lianli Gao, Fumin Shen, and Heng Tao Shen. What machines see is not what they get: Fooling scene text recognition models with adversarial text images. In CVPR, 2020.
* [61] MingKun Yang, Yushuo Guan, Minghui Liao, Xin He, Kaigui Bian, Song Bai, Cong Yao, and Xiang Bai. Symmetry-constrained rectification network for scene text recognition. In ICCV, 2019.
* [62] Junho Yim, Donggyu Joo, Jihoon Bae, and Junmo Kim. A gift from knowledge distillation: Fast optimization, network minimization and transfer learning. In CVPR, 2017.
* [63] Deli Yu, Xuan Li, Chengquan Zhang, Tao Liu, Junyu Han, Jingtuo Liu, and Errui Ding. Towards accurate scene text recognition with semantic reasoning networks. In CVPR, 2020.
* [64] Sergey Zagoruyko and Nikos Komodakis. Paying more attention to attention: Improving the performance of convolutional neural networks via attention transfer. In ICLR, 2017.
* [65] Amir R Zamir, Alexander Sax, William Shen, Leonidas Guibas, Jitendra Malik, and Silvio Savarese. Taskonomy: Disentangling task transfer learning. In CVPR, 2018.
* [66] Fangneng Zhan, Shijian Lu, and Chuhui Xue. Verisimilar image synthesis for accurate detection and recognition of texts in scenes. In ECCV, 2018.
* [67] Yaping Zhang, Shuai Nie, Wenju Liu, Xing Xu, Dongxiang Zhang, and Heng Tao Shen. Sequence-to-sequence domain adaptation network for robust text image recognition. In CVPR, 2019.
| arxiv-papers | 2021-07-26T10:10:34 | 2024-09-04T03:07:18.233711 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Ayan Kumar Bhunia, Aneeshan Sain, Pinaki Nath Chowdhury, Yi-Zhe Song",
"submitter": "Ayan Kumar Bhunia",
"url": "https://arxiv.org/abs/2107.12087"
} |
2107.12090 | # Joint Visual Semantic Reasoning: Multi-Stage Decoder for Text Recognition
First Author
Institution1
Institution1 address
[email protected] Second Author
Institution2
First line of institution2 address
[email protected]
###### Abstract
Although text recognition has significantly evolved over the years, state-of
the-art (SOTA) models still struggle in the wild scenarios due to complex
backgrounds, varying fonts, uncontrolled illuminations, distortions and other
artifacts. This is because such models solely depend on visual information for
text recognition, thus lacking semantic reasoning capabilities. In this paper,
we argue that semantic information offers a complimentary role in addition to
visual only. More specifically, we additionally utilize semantic information
by proposing a multi-stage multi-scale attentional decoder that performs joint
visual-semantic reasoning. Our novelty lies in the intuition that for text
recognition, prediction should be refined in a stage-wise manner. Therefore
our key contribution is in designing a stage-wise unrolling attentional
decoder where non-differentiability, invoked by discretely predicted character
labels, needs to be bypassed for end-to-end training. While the first stage
predicts using visual features, subsequent stages refine on-top of it using
joint visual-semantic information. Additionally, we introduce multi-scale 2D
attention along with dense and residual connections between different stages
to deal with varying scales of character sizes, for better performance and
faster convergence during training. Experimental results show our approach to
outperform existing SOTA methods by a considerable margin.
## 1 Introduction
Text recognition has been a popular area of research [baek2019wrong,
li2019show, shi2018aster] for decades owing to its wide range of commercial
applications [long2018scene], from translation apps in mixed reality, street
signs recognition in autonomous driving to assistive technology for the
visually impaired [biten2019scene], to name a few. Significant progress in
fundamental deep learning components [jaderberg2015spatial,
bahdanau2014neural] alongside sequence-to-sequence learning frameworks
[shi2018aster, li2019show, qiao2020seed], have boosted unconstrained word
recognition accuracy (WRA) in recent times. Despite all such developments,
state-of-the-art text recognition frameworks [bai2018edit, cheng2017focusing,
litman2020scatter, wan2020vocabulary, yu2020towards] still struggle in wild
scenarios [baek2019wrong, long2018scene] due to complex backgrounds, varying
fonts, uncontrolled illuminations, distortions and other artifacts. While
machines struggle with a combination of these challenges, humans recognise
them easily via joint visual-semantic reasoning. Therefore, the question in
focus is – how to develop a visual-semantic reasoning skill for text
recognition?
Figure 1: Compared to existing attentional decoder [shi2018aster, li2019show]
architecture, we design a novel multi-scale attention decoder for text
recognition which is _unpacked in a stage-wise manner._ The problem of non-
differentiability due to discrete-character prediction is bypassed by
straight-through Gumbel-Softmax operator [jang2016categorical], such that the
later stages can learn refining strategy over the previous prediction in an
end-to-end differentiable way, with joint visual-semantic information.
State-of-the-art text recognition systems [baek2019wrong] mostly rely on
extracted visual features to recognize a word image as a machine readable
character-sequence. Follow-up efforts have been made towards improving
reasoning ability by increasing the depth of convolutional feature extractor
[cheng2017focusing] having larger receptive fields, or introducing pyramidal
pooling [wan2020vocabulary] and stacking multiple Bi-LSTM layers
[litman2020scatter]. Despite all these attempts that merely lead towards a
better context modeling [baek2019wrong], a semantic reasoning potential
[chen2018iterative] is largely missing beyond enriching the visual feature. In
wild scenarios, a word image might be blurred, distorted, partly noisy or have
artifacts, making recognition extremely difficult using visual feature alone.
In such cases, we humans first try to interpret the easily recognizable
characters using visual cues alone. A semantic reasoning skill is then applied
to decode the final text by jointly processing the visual and semantic
information from previously recognized character sequence. Motivated by this
intuition, we propose a novel _multi-stage prediction_ paradigm for text
recognition. Here the first stage predicts using visual cues, while subsequent
stages refine on top of it using joint visual-semantic information, by
iteratively [chen2018iterative, CarreiraMalikCVPR2016] building up the
estimates.
Designing this joint visual-semantic reasoning framework for text recognition
is non-trivial. One might argue that attentional decoder being a sequence-to-
sequence model, encapsulates the character dependency [shi2018aster,
li2019show, qiao2020seed] and serves the purpose of semantic reasoning.
However, due to its auto-regressive nature [bahdanau2014neural], only those
characters predicted previously, could provide semantic context at a given
step, thus making the semantic context flow unidirectional during inference.
While semantic context becomes negligible towards the initial steps, one wrong
prediction here would deal a cumulative adverse impact on the later steps
(which stays unrefined due to single stage prediction). Therefore, this single
stage attentional decoder fails to model the global semantic context, leaving
joint visual-semantic reasoning unaccomplished. To explore the entire global
semantic context, we need the completely unrolled prediction from first stage,
upon which we can build up the global semantic information. Hence as our first
contribution we propose a multi-stage attentional decoder (see Figure 1),
where we build up global semantic reasoning on the initial estimate of first
stage, which is further refined by subsequent stages.
Let us consider the word ‘aeroplane’. For a single stage attentional decoder,
if the model predicts ‘n’ instead of ‘r’, ‘aen’ would adversely affect rest of
the prediction, without any chance of refinement (being single stage). Also,
it holds almost negligible semantic context while predicting the first few
characters. Considering we unroll the prediction stage-wise, if a character is
predicted wrongly, like ‘aenoplane’, rest of the characters provide
significant context as semantic information. This helps in refining ‘n’ to ‘r’
during the later stages coupled with visual information.
Moreover, obtaining the prediction from earlier stages, needs a non-
differentiable argmax operation [jang2016categorical] as characters are
discrete tokens. This leads to an inefficient modelling of influence of a
prior stage on the next predictions. An apparent approach here might be to
adapt teacher forcing [lamb2016professor] for the later stages during
training. The later stages intend to learn _how to refine_ the initial (might
be incorrect) hypothesis towards a correct prediction. This motivation however
is defeated on feeding exact ground-truth labels as teacher forcing for
subsequent stages. Consequently, we make use of Gumbel-Softmax operation
[jang2016categorical] bypassing non-differentiability, and making the network
end-to-end trainable even across stages.
In summary our contributions are: First and foremost, we propose a multi-stage
character decoding paradigm with stage-wise unrolling. While the first stage
predicts using visual features, subsequent stages refine on-the-top of them
using joint visual-semantic information. Secondly, we employ a Gumbel-softmax
layer to make visual-to-semantic embedding layer differentiable. The model
thus learns its refining strategy from initial to final prediction in an end-
to-end manner. Thirdly, from the architectural design, we introduce multi-
scale 2D attention to deal with varying scales of character size, and
empirically found adding dense and residual connection between different
stages stabilize training for better performance leading to outperforming
other state-of-the-arts significantly on benchmark datasets.
## 2 Related Works
Text Recognition: While connectionist temporal classification (CTC) layer
[GravesICML2006] does not model dependency in the output character space
[shi2016rare], an attention based decoder [shi2018aster] encases language
modeling, weakly supervised character detection and character recognition in a
single paradigm. Following some seminal works [shi2018aster,
lee2016recursive], attention based decoder became state-of-the-art pipeline
for text recognition which includes four successive modules: i) a
rectification network [shi2018aster] to simplify irregular text image, ii)
convolutional encoder for feature extraction, iii) Bi-LSTM layer for context
modeling, and iv) an attentional decoder predicting the characters
autoregressively.
Furthermore, the motivation of recent followed-up works can broadly be
classified into following directions: (i) improve rectification network by
introducing iterative pipeline [ESIR2019] and modelling geometrical attributes
[yang2019symmetry] of text image; (ii) four directional feature encoder
[cheng2018aon] for better convolutional feature extraction; (iii) improving
attention mechanism by extending to 2-D attention [li2019show] and hard
character localized annotation [cheng2017focusing, liao2019scene], to better
guide the attention based character alignment process. (iv) Recently, stacking
multiple Bi-LSTM layers [litman2020scatter] and pyramidal pooling
[wan2020vocabulary] on convolutional feature were employed towards the goal of
_better context modeling_. These approaches however mainly focus on exploiting
visual features, via different architectural modifications [yanplugnet,
yue2020robustscanner] on top of Shi _et al_. [shi2018aster], but mostly lack
in any semantic reasoning capabilities.
Although some works claim to model semantic reasoning by stacking additional
Bi-LSTM layers [litman2020scatter, wan2020vocabulary], it only helps in
modelling better contextual information without having actual reasoning
potential. In this context, word-embeddings [qiao2020seed] from pre-trained
language model were used to initialize the hidden state of attentional
decoder, however we are skeptical towards this. For e.g. two related words
“Chair” and “Table” may lie close in word-embedding space, but their character
combination is way apart, thus questioning usage of word-embedding for text
recognition. Yu _et al_.’s [yu2020towards] architectural design in this
direction, gets severely limited on using argmax operation in visual-to-
semantic embedding layer which invokes non-differentiability, restricting
gradient flow from final prediction layer through this block; making learning
deficient (Section 4.1). To our believe, ours is the first work employing a
fully-differentiable semantic reasoning block that caters multi-stage refining
objective for discrete character sequence prediction task.
Multi-Scale Learning: This learning paradigm is widely prevalent in object
detection, recognition [kong2016hypernet, bell2016inside, liu2016ssd] and
semantic segmentation [long2015fully, hariharan2015hypercolumns]. Instead of
solely relying on low resolution, semantically strong features, multi-scale
frameworks like MSCNN [cai2016unified], DAG-CNNs [yang2015multi], and FPN
[lin2017feature] combine them with high-resolution, semantically weak features
for object detection across a diverse range of shape and sizes. We couple
multi-scale feature to generate multi-scale attention vectors for text
recognition.
Multi-Stage Frameworks: In spite of computational overhead, multi-stage
framework has gained popularity in computer vision task like pose estimation
[ramakrishna2014pose], object detection [chen2018iterative] and action
recognition [farha2019ms] for significantly improved performance.
Specifically, Convolutional Pose Machine [wei2016convolutional] is one of the
most successful and widely accepted multi-stage deep frameworks for pose-
estimation.
Joint Visual-Semantic Learning: Reasoning trails back to symbolic approaches
[newell1980physical], that used abduction [hobbs1993interpretation], and
deduction to relate abstract symbols. In computer vision, Graph Convolution
Networks [kipf2016semi] achieved success in object detection
[chen2018iterative], image-text matching [li2019visual], image captioning
[krishna2017visual], semantic navigation [yang2018visual] by generating
enhanced visual features with local and global semantic relationship. In our
work, we use transformer network [vaswani2017attention] for joint visual
semantic reasoning in subsequent stages of our multi-stage multi-scale
attentional decoder.
Figure 2: With the extracted context-rich holistic feature ($h_{L}$) and
multi-scale feature maps ($B_{L},B_{L-1},B_{L-2}$), a multi-stage attentional
decoder predicts the character sequences in consecutive stages. Once the
previous stage’s decoder completely unrolls itself across time, the current
one begins prediction using the global-semantic information from previous
stage’s predicted character sequence, coupled with visual features refined via
joint visual-semantic reasoning. [$\oplus$ = concatenation ; $\otimes$ =
residual connection with LayerNorm]. Best viewed when zoomed.
## 3 Methodology
Overview: Given an input word image $I$, we intend to predict the character
sequence $Y=\\{y_{1},y_{2},...,y_{T}\\}$, where $T$ denotes the variable
length of text. Our framework is two-fold: _(i)_ a _visual feature extractor_
extracts context-rich holistic feature and multi-scale feature maps. _(ii)_
Following that, a _multi-stage attentional decoder_ builds up the character
sequence estimates in a stage-wise successive manner. While dealing with
irregular/curved word images [yang2019symmetry, cheng2018aon], image
rectification based approach [yang2019symmetry] often encounters difficulties
[cheng2018aon, liao2019scene]. To do away with the burden of adding a separate
sophisticated rectification network entirely, we follow a 2D attention
mechanism [li2019show] that helps to localize individual character in a
weakly-supervised manner during decoding.
### 3.1 Visual Feature Extraction
We adopt ResNet from [shi2018aster] as a backbone convolutional network to
extract visual features from input image. To deal with characters of varying
scales, we extend to multi-scale architecture for text recognition, with the
help of Feature Pyramid Networks [lin2017feature] which makes every resolution
level semantically strong using lateral connections. Let a feature-map from
particular scale be represented as $B_{l}\in\mathbb{R}^{H_{l}\times
W_{l}\times D}$; where $l=L$ denotes deepest residual block having lowest
resolution but highest level semantics. $H_{l}$ and $W_{l}$ are the height and
width of the feature map from respective scales which depend on the
accumulated strides of successive pooling layers, with all scales having $D$
channels uniformly [lin2017feature]. To balance between computational ease and
performance gain, we consider ${l=\\{L,L-1,L-2\\}}$ through empirical
validation. Visual features have two components, _(i)_ _multi-scale feature-
maps_ $\mathbf{\\{B_{L},B_{L-1},B_{L-2}\\}}$ which acts as context for 2D
attention in the later decoding process. _(ii)_ The _holistic feature_
$\mathbf{h_{L}}$, used to initialize the initial state of first stage decoder.
This $\mathbf{h_{L}}$ is recognised as the final hidden state of a 2-layer Bi-
LSTM which takes in a sequential feature ($W_{L}\times D$), obtained from
column-wise max-pooling on feature-map $B_{L}$ from deepest residual block
(ensuring height stays unity), followed by reshaping.
### 3.2 Joint Visual-Semantic Reasoning Decoder
Overview: Let the prediction from $s^{\mathrm{th}}$ stage decoder be denoted
as $Y^{s}=\\{y_{1}^{s},y_{2}^{s},...,y_{T}^{s}\\}$. Specifically, the first-
stage decoder relies only on the extracted feature. Subsequent stages
additionally use _global semantic information_ that is built on top of the
initial estimate, in a stage-wise decoding paradigm. For completeness, we
first describe basic attentional decoder in a generalized fashion (ignoring
stage notation). Later on we particularly illustrate the design for first
stage ($s=0$) vs. later stages ($s\geq 1$).
#### 3.2.1 Attentional Decoder Background
Text recognition framework aims to model conditional distribution
$\mathrm{P(Y|I)}$, which can be factorized as
$\mathrm{P(Y|I)=\prod_{t=0}^{T}P(y_{t}|\mathcal{V},y_{<t})}$ where each
character output $y_{t}$ is modelled via conditional distribution over
extracted visual information $\mathcal{V}$, and the history of previously
predicted characters $y_{<t}$ till then. The basic attentional decoder
[shi2018aster] models this factored conditional distribution using an auto-
regressive Recurrent Neural Network (RNN) as follows:
$P(Y|I)=\prod_{t=0}^{T}P(y_{t}|g_{t},H_{t-1},y_{t-1})\vspace{-0.25cm}$ (1)
Every time-step prediction $y_{t}$ is conditioned on three factors: (i)
$H_{t-1}\in\mathbb{R}^{d_{rnn}}$ : the previous hidden state of RNN that
captures the history knowledge of previously predicted characters
$y_{<t}=\\{y_{0},\cdots,y_{t-1}\\}$. (ii) The apparent influence of previously
predicted character $y_{t-1}$ upon predicting $y_{t}$, following character
modelling protocol. (iii) The glimpse vector $g_{t}$, that learns to encode
the visual information by attending a smaller _specific_ part of visual
feature, which is maximally relevant to predict the character $y_{t}$.
Technically, $\mathbf{g_{t}&=\psi(\mathcal{B},{Q_{t}})}$, where $\mathcal{B}$
is a spatial feature-map, encoding visual information from previous
convolutional network, and $Q_{t}$ acts as a query to locate the attentive
regions for predicting $y_{t}$. Mathematically put,
$\displaystyle\begin{cases}J=\mathrm{tanh}(W_{\mathcal{B}}\circledast\mathcal{B}+W_{H}\otimes
Q_{t})\\\ \alpha_{i,j}=\mathrm{softmax}(W_{attn}\otimes J_{i,j})\\\
g_{t}=\sum_{i,j}\alpha_{i,j}\cdot\mathcal{B}_{i,j}\;i=[1,..H],\;j=[1,..W]\end{cases}\vspace{-0.8cm}$
(2)
Here, “$\circledast$” and “$\otimes$” denote convolution and matrix
multiplication respectively. $W_{B}$, $W_{H}$, $W_{attn}$ are the learnable
weights. Usually, $\mathbf{Q_{t}=H_{t-1}}$ containing history of prediction
information is used as a query to locate $y_{t}$. Moreover, query vector
enriched in global semantic information (e.g. as in $s\geq 1$) could also be
used instead, for better performance. While calculating the attention weight
$\alpha_{i,j}$ at every spatial position $(i,j)$, we employ a convolution
operation with $3\times 3$ kernel $W_{\mathcal{B}}$ to consider the
neighborhood information in 2D attention mechanism.
The current hidden state $H_{t}$ is updated by:
$\mathbf{H_{t}={f_{rnn}}(H_{t-1};\;[E(y_{t-1}),\;g_{t}]))}$, where $E(.)$ is
character embedding layer with embedding dimension $\mathbb{R}^{128}$, and [.]
signifies a concatenation operation. Finally, we apply a final linear
classification layer having learnable weights ($W_{c}$, $b_{c}$) and giving
logits $l_{t}=\mathrm{\mathbf{F_{cls}}(H_{t});\;l_{t}\in\mathbb{R}^{|V|}}$
where $|V|$ denotes the character vocabulary size. The current step character
is obtained as: $\mathbf{P(y_{t})=\mathrm{\texttt{softmax}}(l_{t})}$.
#### 3.2.2 Decoder Stage $\mathbf{s=0}$
Henceforth, we affix notation for specific decoder stage keeping earlier
mathematical notation intact. For the first stage decoder RNN $f_{rnn}^{0}$,
the initial hidden state is initialized from holistic visual feature:
$\mathrm{\mathbf{H_{0}^{0}=\mathrm{tanh}(W_{v}\otimes h_{L}+b_{v})}}$, with
$W_{v}$, $b_{v}$ being trainable parameters. This enriches $f_{rnn}^{0}$ with
holistic visual information, while
$\mathrm{\mathbf{g_{t}^{0}=\psi^{0}(B_{L},H_{t-1}^{0})}}$ augments with
localized character specific information. At every $t$-th time step, we obtain
the distribution over the output character space as
$\mathrm{\mathbf{P(y_{t}^{0})=\texttt{softmax}(F_{cls}^{0}(H_{t}^{0}))}}$ and
$\mathrm{\mathbf{H_{t}^{0}={f_{rnn}^{0}}(H_{t-1}^{0};\;[E(y_{t-1}^{0}),\;g_{t}^{0}])}}$.
The decoding process stops once the ‘end-token’ is predicted. Sequences having
variable length in batches are handled by zero-padding.
#### 3.2.3 Decoder Stage $\mathbf{s\geq 1}$
Incorrect instances might exist in the prediction of preceding stage, which is
why the later stages should work towards refining erroneous predictions while
keeping the correct ones intact. While this seems similar to Language Model
(LM) based post-processing [RozovskayaCorrection] or Error Correction Network
[RozovskayaCorrection], our proposed stage-wise decoders are all coupled in an
end-to-end trainable deep architecture. Here, gradients can backpropagate
across stages during training, thus leading to learning better data driven
refining strategy _re-utilising_ the visual feature. The later stage decoders
$\mathrm{s\geq 1}$ are modelled as follows:
$P(Y^{s}|I)=\prod_{t=0}^{T}P(y_{t}^{s}|g_{t}^{s},H_{t-1}^{s},y_{t}^{s-1},\mu_{t}^{s})\vspace{-0.25cm}$
(3)
Fundamentally, there are three differences compared to basic attentional
decoder (Eqn. 1):
(i) $y_{t}^{s}$ is conditioned on _joint visual-semantic information_
$\mathbf{\mu_{t}^{s}=[\vartheta_{t}^{s},\chi_{t}^{s}]}$, where visual-part
comes from $\mathbf{\vartheta_{t}^{s}=\phi_{t}(H^{s-1})}$ and global semantic
part comes from $\mathbf{\chi_{t}^{s}=\omega_{t}(\mathrm{E}(Y^{s-1}))}$. Here,
$\phi(\cdot)$ and $\omega(\cdot)$ are _reasoning modules_ working on previous
stage’s character aligned visual feature $H^{s-1}\in\mathbb{R}^{T\times
d_{rnn}}$ and semantic characters $Y^{s-1}\in\mathbb{R}^{T\times|V|}$ feeding
through character embedding layer $E(\cdot)$ respectively. $\phi_{t}(\cdot)$
and $\omega_{t}(\cdot)$ represent $t$-th time step output for respective
module. Once the previous stage decoder completely unrolls itself, all
characters from $Y^{s-1}=\\{y_{1}^{s-1},y_{2}^{s-1},\cdots,y_{T}^{s-1}\\}$
being concurrently present, augments a global semantic information for
reasoning. The main motive of later stages is to learn a refinement strategy.
As we already obtain _character aligned visual-semantic feature_
$H^{s-1}=\\{H_{0}^{s-1},H_{1}^{s-1},\cdots,H_{T}^{s-1}\\}$ from the previous
stage, we employ a reasoning module to capture enhanced visual reasoning over
all the character aligned visual-semantic features from the previous stage.
(ii) For $g_{t}^{s}$, we additionally use joint visual semantic information
$\mathbf{\mu_{t}^{s}}$ for query; thus
$\mathbf{Q_{t}^{s}=[\mu_{t}^{s},H_{t-1}^{s}]}$, and higher resolution feature-
map is used as $\mathcal{B}=B_{L-s}$ (e.g., $B_{L-1}$ for $s=1$) to couple
multi-scale feature learning in a multi-stage decoder. Thus glimpse vector is
$\mathbf{g_{t}^{s}=\psi^{s}(B_{L-s},[\mu_{t}^{s},H_{t-1}^{s}])}$.
(iii) While $s=0$ acts following baseline attentional decoder (Eqn. 1), the
role for $s\geq 1$ is to learn _refining strategy_ over previous predictions.
Thus instead of feeding previous time-step prediction $y_{t-1}^{s}$, we feed
prediction from previous stage corresponding to the same time-step as
$y_{t}^{s-1}$.
Differentiable Semantic Space: Obtaining _discrete character token_ from
distribution over the character vocabulary $P(y_{t})$ requires non-
differentiable argmax operation. As our motivation lies in coupling multi-
stage decoder in a end-to-end trainable framework, we employ Gumbel-softmax
re-parameterisation trick [jang2016categorical] with Straight-Through (ST)
gradient estimator such that gradient can backpropagate across stages. This
empowers the model to learn _reasoning based refining strategy_ over previous
prediction. In Gumbel-softmax, discontinuous argmax operation is replaced by a
_differentiable_ softmax function. Given the output logits
$l_{t}^{s}=F_{cls}^{s}(H_{t}^{s})$ and $l_{t}\in\mathbb{R^{|V|}}$, the output
probabilities of choosing $j$-th character token are:
$p_{t,j}^{s}=\frac{\exp(l_{t,j}^{s}+g_{t,j}^{s})/\tau}{\sum_{j=1}^{j=|V|}\exp(l_{t,j}^{s}+g_{t,j}^{s})/\tau}\vspace{-0.2cm}$
(4)
where, $g_{t,j}^{s}$ represents Gumbel-noise [jang2016categorical], and $\tau$
is a temperature parameter. During forward pass, it generates one-hot vector
$y_{t}^{s}=\\{y_{t,1}^{s},y_{t,2}^{s},\cdots,y_{t,|V|}^{s}\\}=$ Gumbel-
Softmax$(l_{t}^{s})$ where
${y}_{t,i}^{s}=\mathds{1}_{[i\;=\;\mathrm{argmax}_{j}(p_{t,j}^{s})]}$. During
backward pass, it uses the continuous $p_{t,j}^{s}$, allowing backpropagation.
At inference, largest index in $l_{t}^{s}$ is chosen.
Visual-Semantic Reasoning: The visual and semantic reasoning functions
$\phi(\cdot)$ and $\omega(\cdot)$ are employed by Transformer module
[vaswani2017attention] that uses multi-headed self-attention mechanism to
gather global context information. In brief, given key (K), query (Q) and
value (V), attention is calculated as:
$\textit{Attention}(K,Q,V)=\textit{softmax}(\frac{QK^{\intercal}}{\sqrt{dim}})V$.
At each time step output $\phi_{t}(\cdot)$ and $\omega_{t}(\cdot)$, feature
representation is enriched by information from remaining time-steps and thus
long-range dependencies are modelled carefully. Semantic reasoning module
$\omega(\cdot)$ is pre-trained separately following BERT [devlin2018bert]
language model training topology. We mask out (also purposefully replace by
erroneous instances) certain input time steps and force to predict masked
token by a linear layer. This helps the model to learn better refining
potential using text-only data in advance.
Dense and Residual Design: Glimpse vector $g_{t}$ provide character localized
visual information. For later stages $g_{t}^{s}$ is computed based on joint
visual-semantic information so that more enriched representation can be
extracted. To take advantage from multiple stages, we add a _dense connection_
[huang2017densely] between computed current $g_{t}^{s}$ and preceding
$g_{t}^{<s}=\\{g_{t}^{s-1},\cdots,g_{t}^{0}\\}$ as :
$\mathrm{\mathbf{\overline{g_{t}^{s}}=W_{g}^{s}\otimes[g_{t}^{s},g_{t}^{s-1},\cdots,g_{t}^{0}]}}$,
where, $W_{g}^{s}$ is trainable parameter and implemented through $1\times 1$
convolution.
To sum up, we get a differentiable semantic space represented by one-hot
encoding as: $Y^{s-1}=\\{y_{t}^{s-1}\\}_{t=1}^{T}$, where
$y_{t}^{s-1}=\texttt{Gumbel-Softmax}(l_{t}^{s-1})$. Next, we calculate joint
visual-semantic feature $\mu_{t}^{s}$ and successively $g_{t}^{s}$ is
computed. Glimpse vector $g_{t}^{s}$ for $s\geq 1$ is enhanced by dense
connection to give $\overline{g_{t}^{s}}$. Now we update the hidden state of
current stage decoder RNN by:
$\mathrm{\mathbf{H_{t}^{s}={f_{rnn}^{s}}(H_{t-1}^{s};\;[E(y_{t}^{s-1}),\;\overline{g_{t}^{s}},\;\mu_{t}^{s}])}}$.
Excluding the final stage, we directly apply linear classifier to get:
$\mathrm{P(y_{t}^{s})=\texttt{softmax}(F_{cls}^{s}(H_{t}^{s}))}$.
For the final stage, $s=S$, we add a residual connection [he2016deep] between
initial $H_{t}^{0}$ and final $H_{t}^{S}$using LayerNorm
[vaswani2017attention] as follows:
$\overline{H_{t}^{S}}=\texttt{LayerNorm}(H_{t}^{S}+H_{t}^{0})$. The motivation
aligns with original residual convolutional architecture [he2016deep], but
here we integrate it to train deeper model with multiple attention decoder
stages for text recognition. The final prediction is obtained as
$\mathrm{\mathbf{P(y_{t}^{S})=\texttt{softmax}(F_{cls}^{S}(\overline{H_{t}^{S}}))}}$.
See Figure 2 for more clarity.
### 3.3 Learning Objective
We accumulate cross-entropy loss from all stages of attentional decoder to
train our text-recognition model.
$L_{C}=-\sum_{s=0}^{S}\sum_{t=1}^{T}\hat{y}_{t}\cdot
logP(y_{t}^{s}|H_{t}^{s})$ (5)
where $\mathrm{\hat{Y}=\\{\hat{y_{1}},\hat{y_{2}},\cdots,\hat{y_{T}}\\}}$ is
the ground-truth label. Furthermore, we use additional auxiliary linear
classifier over character aligned individual visual and semantic features
$\vartheta_{t}^{s}$ and $\chi_{t}^{s}$ respectively, that are processed
through reasoning modules. The next two losses could be thought of as an
auxiliary loss driving towards better convergence that enrich individual
character aligned feature with better visual and semantic information. This is
given by: ${L_{V}=-\sum_{s=1}^{S}\sum_{t=1}^{T}\hat{y}_{t}\cdot
logP(y_{t}^{s}|\vartheta_{t}^{s})}$ and
${L_{S}=-\sum_{s=1}^{S}\sum_{t=1}^{T}\hat{y}_{t}\cdot
logP(y_{t}^{s}|\chi_{t}^{s})}$. The network is thus trained using :
$\mathrm{L_{Total}=\lambda_{1}\cdot L_{C}+\lambda_{2}\cdot
L_{V}+\lambda_{3}\cdot L_{S}}$, where $\lambda_{1},\lambda_{2},\lambda_{3}$
are weights decided empirically.
## 4 Experiments
Datasets: Following the similar approach described in [ESIR2019,
yang2019symmetry, baek2019wrong, cheng2018aon, shi2018aster, moran], we train
our model on synthetic datasets (without any further fine-tuning) such as
SynthText [SynthText] and Synth90k [jaderberg2014synthetic], which holds 6 and
8 million images respectively. The evaluation is performed without fine-tuning
on datasets containing real images like: Street View Text (SVT), ICDAR 2013
(IC13), ICDAR 2015 (IC15), CUTE80, SVT-Perspective (SVT-P), IIIT5K-Words.
Street View Text dataset [WangICCV2011] consists of 647 images, most of which
are blurred, noisy or have low resolution. While ICDAR 2013 [ICDAR2013] has
1015 words, ICDAR 2015 contains a total of 2077 images of which 200 images are
irregular. CUTE80 [CUTE80] offers 288 cropped high quality curved text images.
SVT-Perspective [SVT-P] presents 645 samples from side-view angle snapshots
containing perspective distortion. IIIT5K-Words [IIIT5K-Words] distinguishes
itself by presenting randomly picked 3000 cropped word images.
Implementation Details: We use ResNet architecture from [shi2018aster] with
FPN heads having 256 channels in each multi-scale feature-maps. The kernel
size of intermediate pooling layers is so adjusted that
$B_{L},B_{L-1},B_{L-2}$ have spatial size of $4\times 25$, $8\times 25$, and
$16\times 50$ respectively. The hidden state size of two-layer encoder BLSTM
and each decoder LSTM is kept at 256. Semantic $(\omega)$ and visual $(\phi)$
reasoning blocks consist of 2 stacked transformer units [vaswani2017attention]
with 4 heads and hidden state size 256. The hidden units in attention block is
of size 128. A total of 37 classes are taken including alphatbets, numbers and
end-tokens; with the maximum sequence length (N) set to 25. We use ADADELTA
optimizer [baek2019wrong] with learning rate 1.0 and batch size 32. We resize
the image to 32x100 and train our model in a 11 GB NVIDIA RTX-2080-Ti GPU
using PyTorch. We first warm-up using single stage attentional decoder for 50K
iterations, and then train our proposed three-stage ($S=\\{0,1,2\\}$)
attentional decoder (ablation on optimal stages in Sec. 4.2) framework end-to-
end, for 600K iterations with $\lambda_{1},\lambda_{2},\lambda_{3}$ set to 1,
0.1, 0.1 respectively. Please note that the first stage is fed with one-time
step shifted ground truth label to accommodate teacher forcing in sequence
modeling, however, later stages are fed with model’s prediction from previous
stage in order to learn the data driven refining strategy.
Table 1: Comparison of proposed method with different state-of-the-art
methods.
Methods | Year | IIIT-5K | SVT | IC13 | IC15 | SVT-P | CUTE80 | Remarks
---|---|---|---|---|---|---|---|---
Shi _et al_. [Shi2015] | 2015 | 81.2 | 82.7 | 89.6 | - | 66.8 | 54.9 | • End-to-end trainable CNN + RNN + CTC.
Lee _et al_. [lee2016recursive] | 2016 | 78.4 | 80.7 | - | 90.8 | - | 42.7 | • Recursive CNN + RNN + Atten. decoder.
Shi _et al_. [shi2016rare] | 2016 | 81.9 | 81.9 | 88.6 | - | - | - | • Introduce rectification network for irregular images.
Cheng _et al_. [cheng2017focusing] | 2017 | 87.4 | 85.9 | 93.3 | 70.6 | 71.5 | 63.9 | • Learning to focus on character centre, but needs char. location label.
Liu _et al_. [liu2018charnet] | 2018 | 83.6 | 84.4 | - | 60.0 | 73.5 | - | • Rectify the distortion at individual character level.
Bai _et al_. [bai2018edit] | 2018 | 88.3 | 87.5 | 94.4 | 73.9 | - | - | • Edit distance based seq. dissimilarity modeled to handle noisy characters.
Liu _et al_. [liu2018feature] | 2018 | 89.4 | 87.1 | 94.0 | - | 73.9 | 62.5 | • Leverage rendering parameters of synth. word image generation for training.
Shi _et al_. [shi2018aster] | 2018 | 93.4 | 93.6 | 91.8 | 76.1 | 78.5 | 79.5 | • Improved rectification network by Thin-Plate Spline.
Cheng _et al_. [cheng2018aon] | 2018 | 87.0 | 82.8 | - | 68.2 | 73.0 | 76.8 | • Four directional convolutional feature extraction for irregular images.
Liao _et al_. [liao2019scene] | 2019 | 91.9 | 86.4 | 91.5 | - | - | 79.9 | • Segment individual character + discrete char. recog. and word formation.
Yang _et al_. [yang2019symmetry] | 2019 | 94.4 | 88.9 | 93.9 | 78.7 | 80.8 | 87.5 | • Models geometrical attributes of text for better images rectification.
Li _et al_. [li2019show] | 2019 | 95.0 | 91.2 | 94.0 | 78.8 | 86.4 | 89.6 | • Introduce 2D-attention to deal with irregular images.
Baek _et al_. [baek2019wrong] | 2019 | 87.9 | 87.5 | 92.3 | 71.8 | 79.2 | 74.0 | • Comparative study of different methods and insightful analysis.
Zhan _et al_. [ESIR2019] | 2019 | 93.3 | 90.2 | 91.3 | 76.9 | 79.6 | 83.3 | • Iterative image rectification.
Litman _et al_. [litman2020scatter] | 2020 | 93.7 | 92.7 | 93.9 | 82.2 | 86.9 | 87.5 | • Stacking more Bi-LSTM layers + gated fusion of visual-contextual feature.
Qiao _et al_. [qiao2020seed] | 2020 | 93.8 | 89.6 | 92.8 | 80.0 | 81.4 | 83.6 | • Tries to predict the word-embedding vector to initialise the state of decoder.
Yu _et al_. [yu2020towards] | 2020 | 94.8 | 91.5 | 95.5 | 82.7 | 85.1 | 87.8 | • Faster parallel decoding + semantic reasoning block (_non-differentiable_).
Our Baseline (Stage-0) | - | 88.0 | 84.9 | 90.4 | 74.5 | 75.3 | 82.6 | • Joint visual-semantic reasoning through multi-stage decoding using multi-scale feature maps and differential semantic space.
Our Baseline (Stage-1) | - | 92.6 | 89.5 | 93.9 | 80.3 | 81.5 | 87.2
Proposed (Stage-2) | - | 95.2 | 92.2 | 95.5 | 84.0 | 85.7 | 89.7
Our Baseline (Stage-3) | - | 95.2 | 92.1 | 95.5 | 83.6 | 85.5 | 89.6
### 4.1 Result Analysis and Discussion
Table 1 shows our proposed method to surpass SOTA methods by a reasonable
margin. Every method’s salient contributions are briefly mentioned there as
well. In this section, we first describe the limitations of the existing or
alternative (naive) designs and then illustrate (_using_ IC15) how and why all
our design components/choices contribute towards superiority over others.
Figure 3: Examples showing how joint visual-semantic information could help to
recognise through refining over stages $(s=0\rightarrow s=1\rightarrow s=2)$,
shown left to right.
_[i] Limitation of previous attentional decoders_ : Existing methods relying
on unidirectional auto-regressive attentional decoders exhibit a bottleneck,
and its drawback becomes evident from the following scenario : An easily
recognizable character present towards the end of a word would fail to provide
any contextual semantic information towards recognizing some noisy character
present earlier. We on the contrary let the first stage completely unroll
itself. Thereafter the prediction of previous stage (even if certain time-
step’s character is incorrect) could be rectified in the subsequent stages
using joint visual-semantic information. Although SCATTER [litman2020scatter]
stacks multiple BLSTM layers on the top of baseline design from ASTER
[shi2018aster], both methods lack semantic reasoning as they barely enrich
_visual_ feature encoding. Examples from our stage-wise decoder are shown in
Figure 3.
_[ii] Significance of Differentiable Semantic Space:_ Improving semantic
reasoning for better text recognition was only considered by [yu2020towards]
and [qiao2020seed] among all SOTA methods. Although Qiao _et al_.
[qiao2020seed] proposed to use word embedding, such technique relies on
semantic meaning of a word instead of the required character sequence. For
example, the word “table” and “chair”, although semantically related have
character combinations that are way-apart. Therefore, we emphasise on
modelling character sequences instead, to help recognize a noisy character
based on two-way information passing. Even though Yu _et al_. [yu2020towards]
took this direction to some extent, their non-differentiable semantic-
reasoning block imposes a significant limitation. We alleviate that with the
help of gumbel-softmax [jang2016categorical] to develop a differentiable
semantic space and allow learning of multi-stage semantic reasoning. While the
use of _teacher forcing_ for later stages by feeding ground-truth label for
training multi-stage decoder might seem an alternative, empirical evidence
suggests otherwise. The third stage decoder obtains $74.4\%$ accuracy as
compared to $74.5\%$ accuracy (on IC15) in first stage – no practical gains.
Another straight-forward way is to use _straight-through estimator_
[bengio2013estimating], which simply copies gradients from argmax output to
the next input. However, this results in significant instability where later
stage performance drops by $3.9\%$ to $80.1\%$ due to discrepancies between
forward and backward passes resulting in much higher variance than gumbel-
softmax [jang2016categorical].
_[iii] Why not directly use logits instead of gumbel-softmax for semantic
reasoning_ : Feeding logits (probability distribution over character
vocabulary prior to $argmax$) from a previous stage to the next, is a
reasonable argument that would make everything differentiable and eliminate
the need for gumbel-softmax. However, it is important to remember that
characters are _discrete_ tokens [baek2019wrong]. Using logits requires one to
replace character embedding layer $\mathrm{E(\cdot)}$ by a simple FC layer.
Unlike $\mathrm{E(\cdot)}$ that picks up a particular row of a trainable
matrix based on discrete one-hot vector, a FC layer will give varying
representations for the same character sequence based on the _confidence_ of
predictions. We confirm this hypothesis of sub-optimality empirically, as
results drop from $84.0\%$ to $82.8\%$.
_[iv] Why use top-down attentional decoder_ : While low resolution and
semantically strong features are good for classification, tasks requiring
focus in local regions, such as object detection and semantic segmentation,
benefit even further when combined with high-resolution semantically weak
features found in shallower regions of a feature extractor [cai2016unified].
Although our first stage is similar to a basic attentional decoder focusing on
feature map of the last layer to benefit from rich semantic information, that
is more invariant to distortion, later stages (refining stages) combine higher
resolution feature-map from preceding layers. This not only handles varying
character size, but also verifies prior prediction by exploiting joint
information between high resolution feature and previous predictions to guide
the _refining_ process. This hypothesis is verified by contradiction, using
high-resolution semantically weak feature $B_{L-2}$ in $s=0$ and lower
resolution semantically strong features in later stages $s>1$. We observe
performance collapses to $72.1\%$ in IC15 dataset due to inability of high
resolution semantically weak features to output the initial estimates.
_[v] Significance of self-attention based Joint Visual-Semantic Reasoning_ :
To emulate _human-like_ inference, self-attention based reasoning functions
allow two way information passing across visual and semantic spaces to obtain
a joint visual-semantic context. Its significance could be empirically
understood by removing the visual reasoning block and modifying the
architecture accordingly, which drops result by $2.9\%$. A similar drop of
$4.8\%$ was observed when the semantic reasoning block was removed. On
removing both we observe $77.1\%$ accuracy – a significant drop of $6.9\%$
from our method (Table 2).
_[vi] Do multi-scale (resolution) feature maps help?_ We empirically validate
this by excluding multi-scale feature maps and use $B_{L}$, instead of
$B_{L-s}$, to calculate $g^{s}_{t}$ at every stage $s$. Such modification
drops performance by $2.7\%$ (against ours), to $81.3\%$, which highlights the
contribution of multi-scale feature maps in our method.
_[vii] Comparison with alternative _multi-scale_ attentional decoder designs_:
In text recognition, the only other work realising importance of multi-scale
information is by Wan _et al_. [wan2020vocabulary], where pyramid pooling was
used. Here visual feature maps from different spatial resolutions were
concatenated, which eventually harmed downstream tasks owing to the large
semantic gaps between such feature maps. Consequently, we introduce lateral
connections following Feature Pyramid Networks [lin2017feature], semantically
strengthening high-resolution levels for superior performance. Simply
employing pyramid pooling for all stages $s=\\{0,1,2\\}$ however, drops
performance by $2.1\%$ (against ours) to $81.9\%$ .
_[viii] Significance of Dense and Residual Connections:_ Beside improving
visual information flow in the forward pass, the residual connection between
initial $H_{t}^{0}$ and final $H_{t}^{S}$ ensures efficient gradient flow in
visual feature networks, accelerating convergence of the whole network.
Furthermore, the dense connection is used to adaptively learn a more
discriminative glimpse vector by combining its features from preceding stages
with the current one, thus stabilising the training of multi-stage multi-scale
attentional decoder. Removing dense connection ($g_{t}$ calculation) decreases
the performance by $1.6\%$, and removing residual connection decreases it by
$1.3\%$. On removing both we get an even larger drop of $1.9\%$. Faster
training is observed while using both dense and residual connections.
_[ix] Significance of Multiple Constraints:_ We design experimental setups
(see Table 2) that reveal the following observations: (a) imposing loss
$L_{C}$ only in the last stage harms the model, resulting in $73.1\%$
accuracy. We attribute this to the poor gradient flow across stages. (b)
Adding multi-stage $L_{C}$ loss results in $77.1\%$ accuracy, performing
closer to the proposed method. (c) Adding visual-semantic constraints $L_{V}$
and $L_{S}$ finally gives the best performance of $84.0\%$. This shows multi-
stage constraint is vital for training and convergence. The intuition behind
multiple constraints sources from multi-task learning, which ensures better
convergence, thus enriching individual character aligned feature, with better
visual-semantic information.
_[ix] Varying training data size:_ Following [luo2020learn], we also vary the
training size and evaluate our proposed framework compared to single stage
baseline and Yu _et al_. [yu2020towards] in Table 2. Significant overhead at
low data regime brings the superiority to our proposed method over others.
Table 2: (Left) Effect of multiple constraints on IC15. (Right) Varying training data size. $L_{C}^{\prime}$: Last stage only, $L_{C}$: Multi-Stage, GAP: WRA margin against final performance. | $L_{C}^{\prime}$ | $L_{C}$ | $L_{V}$ | $L_{S}$ | IC15 | GAP
---|---|---|---|---|---
✓ | - | - | - | 73.1 | 10.9
- | ✓ | - | - | 77.1 | 6.9
- | ✓ | ✓ | - | 79.2 | 4.8
- | ✓ | - | ✓ | 81.1 | 2.9
- | ✓ | ✓ | ✓ | 84.0 | -
| Method | Syn | Syn | Syn | Syn
---|---|---|---|---
10K | 50K | 100K | 1M
Yu _et al_. [luo2020learn] | 21.7 | 37.7 | 51.2 | 67.4
Luo _et al_. [yu2020towards] | 13.3 | 32.1 | 47.3 | 63.7
Baseline (s=0) | 9.9 | 27.2 | 44.9 | 62.3
Proposed | 25.3 | 41.5 | 56.4 | 73.1
### 4.2 Further Analysis and Insights
_[i] Design of Visual-Semantic Reasoning Module:_ One can capture two-way
visual semantic information using (a) Bi-LSTM (b) Transformer
[vaswani2017attention] with multi-headed self-attention mechanism. Table 3
shows Transformer to outperform LSTM by $1.3\%$. Furthermore, pre-training
global semantic reasoning module $\omega(\cdot)$ using BERT [devlin2018bert]
like training topology, scores $0.9\%$ higher accuracy than without it.
Table 3: Significance of joint visual-semantic reasoning module and comparison with Language Models (LM). Methods | IC15 | GAP
---|---|---
Our Baseline (Stage-0) + LM-shallow | 74.3 | 9.7
Our Baseline (Stage-0) + LM-deep | 75.9 | 8.1
Joint Visual-Semantic using LSTM | 81.8 | 2.2
Joint Visual-Semantic using Transformer | 83.1 | 0.9
Transformer with Pre-Training Semantic reasoning | 84.0 | -
_[ii] Weight sharing across stages:_ The stage-wise attentional decoder has
five trainable modules, $\mathrm{F_{cls}(\cdot)}$, $\mathrm{E(\cdot)}$,
$\mathrm{\Phi}(\cdot)$, $\mathrm{\omega(\cdot)}$ and $\mathrm{\psi(\cdot)}$,
whose weights can either be shared across stages or have a separate model for
each stage. Using separate weights achieves $82.5\%$ accuracy on IC15, whereas
sharing across stages results in $82.3\%$. Interestingly using a separate
$\mathrm{F_{cls},\psi}$, and shared $\mathrm{E(\cdot),\;\Phi,\;\omega}$ gives
$84.0\%$, a $1.7\%$ rise, in contrast to sharing all weights – probably
because sharing parameters which are not _stage dependent_ reduce model
complexity and has better optimization.
[iii] _Computational Analysis:_ Each stage needs to unroll itself completely,
before the next starts processing. Hence, the performance gain comes at a cost
of extra computational expenses (analysis in Table 4), which is reasonable
given the superior performance over strong baselines. Even so, we experimented
with ResNet-101 as a backbone feature extractor, having similar number of
parameters and flops to ours. This naive stacking of multiple-layers lags by
8.9%, which accredits our gain to our novel design choice.
Table 4: Computational analysis of the proposed method. Method | GFlops | Params | CPU | IC15
---|---|---|---|---
Our Baseline (Stage-0) | 15.3 | 38M | 16.38ms | 74.5
Proposed Method | 22.5 | 44M | 26.31ms | 84.0
_[iv] Comparison with SOTA Language Model:_ We compare our framework with
state-of-the-art Language Modeling (LM) based post-processing techniques based
on librispeech text-corpus. Based on [gulcehre2015using] we adopt two
techniques: (a) Shallow Fusion that results in $74.3\%$ and (b) Deep Fusion
giving $75.9\%$ accuracy on IC15 (see Table 3).
_[v] Optimum Stages:_ The optimal value for the number of stages $s$ is found
empirically on IC15. For $s=1$ we have $80.3\%$ accuracy that improves at
$s=2$ to give $84.0\%$, but saturates at $s=3$ giving $83.6\%$. Hence we
consider $s=2$ to be optimal. This performance saturation could be attributed
to vanishing gradient problem which is addressed via residual/dense
connection, but still persists to some extent. Also, for $s>2$, the joint
visual-semantic information might reach its optimum, where the result
saturates. Please refer to _supplementary material_ as well.
## 5 Conclusion
We propose a novel joint visual-semantic reasoning based multi-stage multi-
scale attentional decoding paradigm. The first stage predicts from visual
features, followed by refinement using joint visual-semantic information. We
further exploit Gumbel-softmax operation to make visual-to-semantic embedding
layer differentiable. This enables backpropagation across stages to learn the
refining strategy using joint visual-semantic information. Experimental
results indicate the superior efficiency of our model.
| arxiv-papers | 2021-07-26T10:15:14 | 2024-09-04T03:07:18.247119 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Ayan Kumar Bhunia, Aneeshan Sain, Amandeep Kumar, Shuvozit Ghose,\n Pinaki Nath Chowdhury, Yi-Zhe Song",
"submitter": "Ayan Kumar Bhunia",
"url": "https://arxiv.org/abs/2107.12090"
} |
2107.12094 | # Liquidity Provision with Adverse Selection and Inventory Costs
Martin Herdegen University of Warwick, Department of Statistics,
[email protected]. Johannes Muhle-Karbe Imperial College London,
Department of Mathematics, email [email protected]. Research
supported by the CFM-Imperial Institute of Quantitative Finance. Florian
Stebegg Columbia University, Department of Statistics, email
[email protected].
(July 26, 2021)
###### Abstract
We study one-shot Nash competition between an arbitrary number of identical
dealers that compete for the order flow of a client. The client trades either
because of proprietary information, exposure to idiosyncratic risk, or a mix
of both trading motives. When quoting their price schedules, the dealers do
not know the client’s type but only its distribution, and in turn choose their
price quotes to mitigate between adverse selection and inventory costs. Under
essentially minimal conditions, we show that a unique symmetric Nash
equilibrium exists and can be characterized by the solution of a nonlinear
ODE.
Mathematics Subject Classification: (2010) 91A15, 91B26, 91B54, 49J55
JEL Classification: C61, C72, C78, G14
Keywords: liquidity provision, Nash competition, adverse selection, inventory
costs
## 1 Introduction
Trades in financial markets are typically executed either to profit from
superior information or for idiosyncratic “liquidity reasons”, e.g., to
offload certain risks by hedging. As succinctly summarized by Treynor (1971),
“the essence of market making, viewed as a business, is that in order for the
market maker to survive and prosper, his gains from liquidity-motivated
transactors must exceed his losses to information motivated transactions.”
Designated market makers have mostly been replaced by either centralized
limit-order books or OTC markets comprised of discretionary dealers.
Nevertheless, this basic tradeoff between exposure to adverse selection
through counterparties with superior information and rents earned by servicing
liquidity trades remains at the heart of liquidity provision.
The other main risk that liquidity providers are exposed to is “inventory
risk”, incurred from “uncertainty about the return on their inventory but also
from the uncertainty about when future transactions will occur (which affects
how long they must bear return uncertainty)” (Ho and Stoll, 1981).
This paper studies several strategic liquidity providers (“dealers”), who
compete for the business of a liquidity-taking “client” by quoting price
schedules, which indicate at what prices the dealers stand ready to fill
orders of different sizes. As in the seminal works of Biais, Martimort, and
Rochet (2000, 2013); Back and Baruch (2013), we assume that clients trade
either because they have private information about the payoff of the risky
asset, for liquidity reasons (because they are exposed to some idiosyncratic
risk), or due to a mix of both trading motives.
When quoting their price schedules, the dealers do not know the clients’ type,
but can only mitigate their adverse selection risk based on its distribution.
In addition, as in Bielagk, Horst, and Moreno-Bromberg (2019), the dealers
also take into account inventory risk through a quadratic cost on their post-
trade positions.111The case of zero inventory costs studied in Biais et al.
(2000, 2013); Back and Baruch (2013) is natural in markets with very short
holding times such as equities or currencies; inventory costs become
increasingly important in markets with longer holding times such as (exotic)
options. Bielagk et al. (2019) incorporate convex holding costs into a model
with a single dealer and a dark pool; we add such inventory costs to a Nash
competition between several strategic dealers as in Biais et al. (2000).
In this setting, we show that there is a unique symmetric Nash equilibrium,
where the dealers’ optimal price schedules are characterized by a nonlinear
ODE. The corresponding equilibrium prices naturally exhibit a bid-ask spread
between the best buying and selling prices; as a result, only clients with
sufficiently strong trading motives end up engaging with the dealers.
Furthermore, we show that convexity of equilibrium price schedules for several
competing dealers is endogenous, and does not have to be assumed a priori as
in Glosten (1989); Biais et al. (2000, 2013); Back and Baruch (2013). While
markets organized as limit-order books do not allow dealers to offer discounts
for large quantities, justifying this assumption, we are therefore able to
show that such discounts are also not optimal in other dealer-based markets.
In contrast, quantity discounts can be optimal for markets dominated by a
monopolist dealer.
We establish existence and uniqueness of a symmetric Nash equilibrium under
very weak sufficient conditions on the distribution of clients. To wit, we
consider general log-concave distributions for the client-type supported on
the whole real line. In concrete examples with Gaussian or Exponential
distributions, the characteristic ODE can be analyzed directly and optimality
can in turn be verified by a direct verification argument (Back and Baruch,
2013). We show that a unique solution of the ODE exists in general, despite
the lack of natural boundary conditions, complementing results of Biais et al.
(2000, 2013) for type distributions with compact support. Our proof of
existence is constructive in that it puts forward explicit upper and lower
solutions. Using these as boundary conditions, standard ODE solvers for
uniformly Lipschitz equations directly yield very tight upper and lower bounds
of the optimal price schedule on any finite interval.
As pointed out by Back and Baruch (2013); Biais et al. (2013), a well-behaved
solution of the characteristic ODE (derived from the dealers’ first-order
conditions) is generally _not_ sufficient to produce a Nash equilibrium.
Instead, for risk-neutral dealers, sufficiently strong adverse selection by
informed clients is required to guarantee that the solution of the ODE indeed
leads to an equilibrium. We show that the dealers’ inventory costs (relative
to the clients’) serve as a partial substitute for this, in that the solution
of the ODE leads to an equilibrium _if_ a combination of adverse selection and
inventory costs is sufficiently large. Sharp conditions are expressed in terms
of the solution of the characteristic ODE; sufficient conditions in terms of
primitives of the model can be derived from explicit upper and lower
solutions. In the special case of Gaussian client-type distributions and risk-
neutral dealers, this recovers the adverse-selection bounds of Back and Baruch
(2013).
From a mathematical perspective, the main challenges are that we do not work
on compact type intervals as in Biais et al. (2000, 2013), and that we do not
make any convexity assumptions, neither for the clients’ problem as in Back
and Baruch (2013) nor the dealer’s problem as in Biais et al. (2000). Also, we
cannot rely on special properties of the Gaussian distribution as in Back and
Baruch (2013).
For the client’s problem, the lack of compactness implies that existence and
continuity properties of the optimizer do not follow from Berge’s theorem.
Since – unlike the extant literature – we do not assume convexity a priori
(but prove it for the oligopolistic case), establishing sufficient and
necessary conditions for price schedules to be admissible is rather delicate.
As a remedy, we combine the precise structure of the aggregated goal function
(which is linear in the type variable of the client) with the a priori
$C^{2}$-regularity of the goal function in the optimisation variable, and the
necessary and sufficient first-order conditions of interior optimizers to
gradually derive more and more structure for the optimizer as a function of
the type variable.
For the dealers’ problem, the lack of compactness implies that the candidate
ODE in the oligopolistic case has no boundary conditions. To obtain existence
and to apply numerical schemes, we therefore need to construct explicit upper
and lower solutions with appropriate properties. Combining these with a
taylor-made Grönwall estimate in turn also allows to prove uniqueness. The
lack of convexity for the dealer’s goal functional implies that to verify
optimality (both in the monopolistic and the oligopolistic case), we cannot
rely on second-order conditions but rather have to work with first-order
conditions which are more general but delicate to establish, in particular,
since the optimal price schedules exhibit a nontrivial bid-ask spread.
This article is organized as follows. In Section 2, we study the liquidity-
taking clients’ problem, taking the price schedules quoted by the dealers as
given. With the clients’ optimal demand function at hand, we then turn to the
dealers’ problem in Section 3. As a benchmark, we first study in Section 3.1
the (most tractable) case of a single monopolistic dealer. Then, in Section
3.2, we analyze the symmetric Nash competition between an arbitrary number of
identical dealers. For better readability, all proofs are collected in the
appendices.
## 2 The Client’s Problem
We consider $K\geq 1$ symmetric dealers who compete for the orders of a client
in a Stackelberg-type game: the dealers “lead” by quoting price schedules that
describe at what prices they are willing to trade various quantities. The
client “follows” by choosing her optimal trade sizes.
As is customary for Stackelberg equilibria, we start by analyzing the optimal
response function of the “follower”. In the present context, this means that
we first focus on the client and study her optimal trade sizes given the price
schedules quoted by the dealers. This analysis needs to be carried out for
heterogenous price schedules, even though we focus on identical dealers who
quote the same price schedules in equilibrium. The reason is the Nash
competition between the dealers that we will analyze in Section 3: for a Nash
equilibrium, one needs to verify that unilateral deviations are suboptimal.
Accordingly, the response function of the client is required for heterogeneous
off-equilibrium price schedules, even if the equilibrium itself is symmetric.
For the client’s problem, the prices quoted by the dealers are fixed. To wit,
dealer $k\in\\{1,\ldots,K\\}$ quotes a _price schedule_
$P_{k}:\mathbb{R}\to\mathbb{R}$, i.e., a continuous function that satisfies
$P_{k}(0)=0$ and is twice continuously differentiable on
$\mathbb{R}\setminus\\{0\\}$.222This means that prices are a smooth function
of trade sizes except for a potential “bid-ask spread” between buying and
selling prices. The equilibrium we construct in Section 3 will be of this
form. This means that $n$ shares of the risky asset can be bought from dealer
$k$ for $P_{k}(n)$ units of cash. The client has inventory costs
$\gamma_{c}>0$, an initial position $M=m$ in the risky asset, and receives a
_signal_ $S=s$ about its payoff $V$:
$V=S+\varepsilon.$
Here, the mean-zero error term $\varepsilon$, the signal $S$, and the client’s
initial position $M$ are independent. The client observes the realizations $s$
and $m$ of her signal $S$ and inventory $M$; in contrast, the dealers only
know the distributions of these random variables. Setting
$\mathbf{P}=(P_{1},\ldots,P_{K}),$
the client then chooses her trades
$\mathbf{n}=(n_{1},\ldots,n_{K})$
to maximize her expected profits penalized for quadratic inventory costs
$\gamma_{c}>0$ (if the error term $\varepsilon$ is normally distributed as in
Biais et al. (2000), then one could equivalently assume that the client
maximizes her expected exponential utility):
$\bar{J}_{c}^{\bf
P}(s,m;\mathbf{n}):=s\left(m+\sum_{k=1}^{K}n_{k}\right)-\sum_{k=1}^{K}P_{k}(n_{k})-\frac{\gamma_{c}}{2}\left(m+\sum_{k=1}^{K}n_{k}\right)^{2}.$
(2.1)
Here, the first term is the expected payoff of the client’s post-trade
position, conditional on her information set $M=m$ and $S=s$. The second term
collects the cash payments to the dealers, and the last term describes the
inventory cost of the client’s post-trade position.
We make the following standing assumption on the distribution of type
variables; the standard example are normal distributions as in, e.g., Glosten
(1989), but many other common distributions such as two-sided exponential or
gamma distributions also fall into this framework. In the appendix, we prove
our results under weaker but less intuitive conditions; these also allow to
cover other distributions, e.g., of Pareto type.333Distributions with compact
support are studied in Biais et al. (2000) or Cetin and Waelbroeck (2021), for
example; discrete types are analyzed by Attar et al. (2019).
###### Assumption 2.1.
The distributions of the type variables $M$ and $S$ have positive densities
$f_{m}$ and $f_{S}$ on $\mathbb{R}$ that are _log-concave_ , i.e.,
$\log(f_{M})$ and $\log(f_{S})$ are concave functions
While the client’s problem apparently depends on the two type variables $M=m$
and $S=s$, their impact on the client’s problem can in fact be summarized by a
single “effective” type as already observed by Glosten (1989):
$Y:=S-\gamma_{c}M.$
Indeed, for each realization $Y=y=s-\gamma_{c}m$, maximizing (2.1) over
$\mathbf{n}=(n_{1},\ldots,n_{K})$ is equivalent to maximizing the “normalized”
goal functional
$\displaystyle J_{c}^{\bf P}(y;{\bf n})$ $\displaystyle:=\bar{J}^{\bf
P}_{c}(s,m;\mathbf{n})-sm-\frac{\gamma_{c}}{2}m^{2}=y\sum_{k=1}^{K}n_{k}-\sum_{k=1}^{K}P_{k}(n_{k})-\frac{\gamma_{c}}{2}\left(\sum_{k=1}^{K}n_{k}\right)^{2}.$
(2.2)
We denote the density of the effective type variable $Y$ by $f$. Assumption
2.1 implies that $f$ is log-concave and continuously differentiable with
bounded derivative; see Proposition D.2(a). The corresponding cumulative
distribution function and survival function are denoted by
$F(x):=\int_{-\infty}^{x}f(y)\,\mathrm{d}y\quad\mbox{and}\quad\overline{F}(x)=1-F(x)=\int_{x}^{\infty}f(y)\,\mathrm{d}y,\quad
x\in\mathbb{R}.$
###### Remark 2.2.
The client’s optimization only depends on her effective type
$Y=S-\gamma_{c}M$. We nevertheless impose log-concavity on both $S$ and $M$,
which implies log-concavity of $Y$, rather than assuming this directly as in
Biais et al. (2000). This provides an intuitive condition in terms of the
primitives of the model – as pointed out by Miravete (2002), only assuming
log-concavity of either $S$ or $M$ does not guarantee log-concavity of $Y$.
Moreover, log-concavity of both signals and inventories already ensures that
most other regularity conditions required for the subsequent analysis hold
automatically. For example, by Proposition 3.1, log-concavity of the
primitives already implies the bounds on the sensitivity of the expected asset
payoff conditional on the client’s effective type assumed directly in (Biais
et al., 2000, p. 807).
As a minimal requirement for unique symmetric Nash equilibria (with pure
strategies), we impose that dealers only quote price schedules $P_{k}$ for
which a symmetric quote $P_{1},\ldots,P_{K}=P$ gives rise to a unique solution
of the clients’ problem (2.2) for all realizations $y$ of the type $Y$:
###### Definition 2.3.
A price schedule $P$ is _admissible (for $K$ dealers)_ if – for every type
$y\in\mathbb{R}$ and given that all dealers quote the price schedule $P$ – the
client’s goal function
$J_{c}^{P}(y;\mathbf{n}):=J^{(P,\ldots,P)}(y;\mathbf{n})$
has a unique maximizer ${\bf n}^{P}(y)=(n^{P}(y),\ldots,n^{P}(y))$.444Note
that if $K\geq 2$ and a unique maximizer ${\bf
n}^{P}=(n_{1}^{P}(y),\ldots,n_{K}^{P}(y))$ exists, then it follows from
symmetry of the function $J^{P}_{c}(y;n_{1},\ldots,n_{K})$ in
$n_{1},\ldots,n_{K}$ that $n_{1}^{P}(y)=\ldots=n_{K}^{P}(y)$.
The following lemma collects the properties of the client’s optimal trade
sizes $n^{P}$ for identical, admissible price schedules quoted by all dealers.
###### Lemma 2.4.
Let $P:\mathbb{R}\to\mathbb{R}$ be an admissible price schedule for $K\geq 1$
dealers and denote by
$I^{P}:=\left\\{y\in\mathbb{R}:n^{P}(y)\neq 0\right\\}$
the set of types for which trading is optimal. Then:
1. (a)
The function $y\mapsto n^{P}(y)$ is continuous;
2. (b)
There are $-\infty<a^{P}\leq b^{P}<\infty$ such that
$I^{P}=(-\infty,a^{P})\cup(b^{P},+\infty)$;
3. (c)
The function $n^{P}$ is increasing on $I^{P}$ with
$n^{P}(I^{P})=\mathbb{R}\setminus\\{0\\}$ and satisfies
$\displaystyle\lim_{y\to-\infty}n^{P}(y)=-\infty,\quad\lim_{y\uparrow
a^{P}}n^{P}(y)=0=\lim_{y\downarrow
b^{P}}n^{P}(y),\quad\text{and}\quad\lim_{y\to+\infty}n^{P}(y)=\infty.$
Properties (b) and (c) in Lemma 2.4 show that when facing identical admissible
price schedules, client types are divided into three categories. To wit,
clients optimally sell to the dealers if their type is sufficiently small $y$
(i.e., they either receive signals indicating sufficiently unfavorable
payoffs, or hold large initial inventories that need to be reduced to limit
inventory costs). Conversely, clients with large type $y$ purchase risky
shares since they expect favorable payoffs or because they start with a
negative inventory that they wish to reduce. Clients with an intermediate type
$y\in[a^{P},b^{P}]$ in turn prefer not to trade with the dealers. Moreover,
Property (c) shows that there is no limit on what the client will buy or sell
if their type is sufficiently small or large. Property (a) asserts that the
dependence of the trade size on the type is smooth.
With competition between two or more dealers, admissibility in the sense of
Definition 2.3 is equivalent to convexity of the price schedules, which is
often assumed a priori (Biais et al., 2000, 2013; Back and Baruch, 2013). In
contrast, admissible price schedules for a monopolistic dealer need not be
strictly convex and can in fact be strictly concave (compare (Biais et al.,
2000, Section 4.2)):
###### Lemma 2.5.
1. (a)
A price schedule $P$ is admissible for $K\geq 2$ dealers if and only if it is
strictly convex.
2. (b)
A price schedule $P$ is admissible for $K=1$ dealer if and only if
$n\mapsto\frac{\gamma_{c}}{2}n^{2}+P(n)$ is strictly convex on $\mathbb{R}$
and satisfies
$\lim_{n\to\pm\infty}\left(\gamma_{c}n+P^{\prime}(n)\right)=\pm\infty$.
###### Remark 2.6.
1. (a)
By Lemma 2.5, admissible price schedules for $K\geq 2$ dealers are also
admissible for a monopolist. By contrast, admissibility for a monopolist does
not necessarily imply admissibility for two or more dealers.
2. (b)
For a monopolist dealer ($K=1$), admissible price schedule can be concave, and
more concave if the client is more inventory averse.
Admissibility of a price schedule $P$ in the sense of Definition 2.3 requires
that the client’s problem has a unique solution for all types $Y=y$ given that
all dealers post $P$. This is a natural minimal requirement for symmetric
equilibria, but not sufficient to analyse Nash competition between the
dealers. Indeed, if one of the dealers unilaterally deviates from a symmetric
Nash equilibrium, then the client will face asymmetric price schedules.
Whence, her optimization problem is no longer guaranteed to have a (unique)
solution even if the price schedules in the equilibrium are admissible. A
natural way out is to focus on deviations for which the asymmetric price
schedules remain “compatible”, in that the client’s problem still has a
solution at least for some type:
###### Definition 2.7.
Admissible price schedules $P_{1},\ldots,P_{K}$ for $K\geq 2$ dealers are
_compatible_ if the client’s (normalized) problem
$\max_{\mathbf{n}}J^{\mathbf{P}}(y;\mathbf{n})$ has a solution for some type
$y\in\mathbb{R}$. (Uniqueness then follows automatically since the strict
convexity of the admissible price implies that the client’s goal functional is
strictly concave, cf. the proof of Theorem 2.8.)
Note that compatibility of the price schedules (and whence existence for some
client types) can fail even if the price schedules are integrals of increasing
marginal prices as in Biais et al. (2000), cf. Example A.1.
For not necessarily identical but compatible price schedules, _all_ clients’
problems are still well posed. The next result provides a simple criterion for
compatibility in terms of limiting marginal prices and collects the properties
of the corresponding optimal trade sizes:
###### Theorem 2.8.
Let $P_{1},\ldots,P_{K}$ be admissible price schedules for $K\geq 2$ dealers
and set
$\ell_{k}:=\lim_{n\to-\infty}P^{\prime}_{k}(n)\quad\mbox{and}\quad
r_{k}:=\lim_{n\to\infty}P^{\prime}_{k}(n)\quad\mbox{for
$k\in\\{1,\ldots,K\\}$.}$ (2.3)
Then $P_{1},\ldots,P_{K}$ are compatible if and only if
$\max_{k\in\\{1,\ldots
K\\}}\ell_{k}=:\overline{\ell}<\overline{r}:=\min_{k\in\\{1,\ldots
K\\}}r_{k}.$ (2.4)
Moreover, in this case, setting ${\bf P}=(P_{1},\ldots,P_{k})$, we have the
following properties:
1. (a)
For _every_ type $y\in\mathbb{R}$, the client’s (normalized) problem
$\max_{\mathbf{n}}J^{\mathbf{P}}(y;\mathbf{n})$ has a _unique_ solution ${\bf
n}^{\bf P}(y)=(n_{1}^{\bf P}(y),\ldots,n_{K}^{\bf P}(y))$;
2. (b)
For each $k\in\\{1,\ldots,K\\}$, the function $n_{k}^{\bf
P}:\mathbb{R}\to\mathbb{R}$ is continuous, nondecreasing, and increasing on
$I^{\bf P}_{k}:=\\{y\in\mathbb{R}:n_{k}^{\bf P}(y)\neq 0\\}$;
3. (c)
For each $k\in\\{1,\ldots,K\\}$, $n_{k}^{\bf P}(I^{\bf
P}_{k})=\big{(}(P^{\prime}_{k})^{-1}(\overline{\ell}),(P^{\prime}_{k})^{-1}(\overline{r})\big{)}\setminus\\{0\\}$,
where $(P^{\prime}_{k})^{-1}(m):=0$ if
$m\in[P^{\prime}_{k}(0-),P^{\prime}_{k}(0+)]$.
As for the symmetric case, Theorem 2.8(b) and (c) show that – for each dealer
– clients with sufficiently negative type sell, clients with sufficiently
positive type buy, and clients with intermediate types do not trade at all.
However, unlike in the symmetric case, each of these three intervals (“buy”,
“no-trade” and “sell”) can now be empty for a given dealer. In particular,
part (c) shows that there may be a limit on what the client will buy or sell
from a given dealer irrespective of their type. Note, however, that
compatibility ensures that at least one of the buy, no-trade and sell
intervals is nonempty for each dealer, and there exists a at last one dealer
where there is no limit on how much the client will buy if their type is large
enough (if $r_{k}=\overline{r}$) and there is at last one dealer where there
is no limit on how much the client will sell if their type is small enough (if
$\ell_{k}=\overline{\ell}$).
## 3 The Dealers’ Problem
### 3.1 Monopolistic Case
As a benchmark, we first consider the most tractable case of a single
monopolistic dealer, which has been studied by Glosten (1989) for risk-neutral
preferences and Gaussian primitives. Generally, the monopolistic dealer
chooses an admissible price schedule $P$ to maximise expected profits
penalized for quadratic inventory costs:555Here, we use the convention that
the integral equals minus infinity if its negative part is not integrable.
$\displaystyle J_{d}(P)$
$\displaystyle:=E\left[P(n^{P}(Y))-Vn^{P}(Y)-\frac{\gamma_{d}}{2}n^{P}(Y)^{2}\right]$
$\displaystyle=\int_{-\infty}^{\infty}E\left[P(n^{P}(Y))-Vn^{P}(Y)-\frac{\gamma_{d}}{2}n^{P}(Y)^{2}\,\Big{|}\,Y=y\right]f(y)\,\mathrm{d}y$
$\displaystyle=\int_{-\infty}^{\infty}\left(P(n^{P}(y))-E[V\,|\,Y=y]n^{P}(y)-\frac{\gamma_{d}}{2}n^{P}(y)^{2}\right)f(y)\,\mathrm{d}y.$
(3.1)
The first term on the right-hand side of (3.1) are the cash payments received
from the clients for selling the risky asset. The second term describes the
corresponding payoff of the risky assets, and the third is a quadratic cost
$\gamma_{d}\geq 0$ levied on the post-trade inventory. For example, in the
context of exotic options, the inventory cost can be a multiple of the
portfolio variance after hedging the option.
As the clients’ optimal response function $n^{P}(\cdot)$ from Section 2 only
depends on their effective type $Y=y$, the relevant statistic for the payoff
of the risky asset is its corresponding conditional expectation
$E[V\,|\,Y=y]$. For log-concave client types as in Assumption 2.1, this
conditional-mean function has the following properties:
###### Proposition 3.1.
The expected payoff of the risky asset conditional on the clients’ type,
$y\mapsto g(y):=E[V\,|\,Y=y]$ (3.2)
is continuously differentiable with $0<g^{\prime}(y)<1$ for all
$y\in\mathbb{R}$.
The properties $0<g^{\prime}$ and $g^{\prime}<1$ mean that the agents’ type
has some positive correlation with the asset payoff, but is not perfectly
informative. This is assumed in (Biais et al., 2000, p. 807) but in fact holds
automatically if the densities of both signals and inventories are log
concave.
###### Example 3.2.
Suppose the client’s inventory $M$ and signal $S$ are both normally
distributed with means $\mu_{M}$ and $\mu_{S}$ and variances $\sigma^{2}_{M}$
and $\sigma^{2}_{S}$, respectively. Then $Y=S-\gamma_{c}M$ is also normally
distributed with mean $\mu_{Y}=\mu_{S}-\gamma_{c}\mu_{M}$ and variance
$\sigma^{2}_{Y}=\sigma^{2}_{S}+\gamma_{c}^{2}\sigma^{2}_{M}$. Moreover, the
conditional mean is a linear function of the client’s type:
$\displaystyle g(y)$ $\displaystyle=E[V\,|\,Y=y]=E[S\,|\,S-\gamma_{c}M=y]$
$\displaystyle=E[S]+\frac{\mathrm{Cov}[S,S-\gamma_{c}M]}{\mathrm{Var}[S-\gamma_{c}M]}(y-E[S-\gamma_{c}M])$
$\displaystyle=(1-\beta)\mu_{s}+\beta\gamma_{c}\mu_{M}+\beta y$
$\displaystyle=(1-\beta)\mu_{y}+\gamma_{c}\mu_{M}+\beta y,\quad\mbox{for
}\beta=\frac{\sigma_{S}^{2}}{\sigma_{S}^{2}+\gamma_{c}^{2}\sigma_{M}^{2}}\in(0,1).$
(3.3)
###### Example 3.3.
Linear functions $g(y)$ as in Example (3.2) arise more generally if the
client’s signal and inventory are both generated using iid copies of the same
distribution. To wit, suppose that $S=\bar{S}+S^{(1)}+\ldots+S^{(k)}$ and
$\tilde{M}:=-\gamma_{c}M=-\gamma_{c}M^{(1)}-\ldots-\gamma_{c}M^{(l)}=\tilde{M}^{(1)}+\ldots+\tilde{M}^{(l)}$,
where $\bar{S}\in\mathbb{R}$ and all random variables are iid and have the
same log-concave distribution. Then, by symmetry, the conditional mean is
indeed affine linear in the type:
$\displaystyle g(y)$ $\displaystyle=E\left[S\,|\,S-\gamma_{c}M=y\right]$
$\displaystyle=\bar{S}+kE\left[S^{(1)}\,|\,S^{(1)}+\ldots+S^{(k)}+\tilde{M}^{(1)}+\ldots+\tilde{M}^{(l)}=y\right]=\bar{S}+\frac{k}{k+l}y.$
For log-concave distributions of the client types as in Assumption 2.1, the
cumulative distribution functions $F$ and survival function $\bar{F}$ of the
effective type $Y$ are log-concave as well (cf. Proposition D.1(a)). In
particular, both $F/f$ and $-\bar{F}/f$ are nondecreasing. Denoting by
$\mathrm{id}$ the identity function, this implies via Proposition 3.1 that the
functions $F/f+\mathrm{id}-g$ and $-\overline{F}/f+\mathrm{id}-g$ are
increasing and continuously differentiable with positive derivatives. In
particular, their inverses are well defined, increasing and continuously
differentiable. They in turn determine the monopolistic dealer’s optimal price
schedule in closed form:
###### Lemma 3.4.
Suppose Assumption 2.1 is satisfied. Then the monopolistic dealer’s problem
(3.1) has a unique solution
$P_{*}(n)=\int_{0}^{n}P_{*}^{\prime}(x)\,\mathrm{d}x$, where the optimal
marginal prices are given by
$P^{\prime}_{*}(n)=\begin{cases}\left(\frac{F}{f}+\mathrm{id}-g\right)^{-1}\Big{(}(\gamma_{d}+\gamma_{c})n\Big{)}-\gamma_{c}n,&\text{
if }n<0,\\\
\left(-\frac{\overline{F}}{f}+\mathrm{id}-g\right)^{-1}\Big{(}(\gamma_{d}+\gamma_{c})n\Big{)}-\gamma_{c}n,&\text{
if }n>0.\end{cases}$ (3.4)
###### Remark 3.5.
Note that log-concavity of the client types is the only assumption needed for
this result. In particular, no parameter constraints are required for Gaussian
primitives, for example. If one additionally wants to guarantee that the
optimal price schedule is convex (which is natural for a limit-order book, but
not necessarily for a market dominated by a monopolist dealer) then additional
conditions are needed. To wit, differentiation of (3.4) shows that the optimal
price schedule for the monopolist is convex if and only if
$\displaystyle(F/f)^{\prime}-g^{\prime}$
$\displaystyle\leq\frac{\gamma_{d}}{\gamma_{c}}\quad\text{on
}\left(-\infty,\left(F/f+\mathrm{id}-g\right)^{-1}(0)\right),$ (3.5)
$\displaystyle(-\bar{F}/f)^{\prime}-g^{\prime}$
$\displaystyle\leq\frac{\gamma_{d}}{\gamma_{c}}\quad\text{on
}\left(\left(-\bar{F}/f+\mathrm{id}-g\right)^{-1}(0),\infty\right).$ (3.6)
For normally distributed inventories and signals as in Example 3.2, this can
be translated into explicit parameter constraints. Suppose for simplicity as
in Back and Baruch (2013) that the dealer has no inventory costs
($\gamma_{d}=0$). Then the optimal price schedule for the monopolist is convex
if and only if
$\tfrac{\gamma_{c}^{2}\mu_{M}^{2}}{\sigma_{S}^{2}+\gamma_{c}^{2}\sigma_{M}^{2}}<\frac{\pi}{2}\quad\text{and}\quad\beta\geq
1+z_{\textrm{mon}}(\beta)\frac{\Phi}{\phi}\left(z_{\textrm{mon}}(\beta)\right),$
(3.7)
where $\Phi$ and $\phi$ denote the cumulative distribution function and the
probability density function of the standard normal distribution,
respectively, and
$z_{\textrm{mon}}(\beta)=\tfrac{\gamma_{c}}{2(1-\beta)}\tfrac{|\mu_{M}|}{\sqrt{\sigma_{S}^{2}+\gamma_{c}^{2}\sigma_{M}^{2}}}-\sqrt{\tfrac{\gamma^{2}_{c}\mu_{M}^{2}}{4(1-\beta)^{2}(\sigma_{S}^{2}+\gamma_{c}^{2}\sigma_{M}^{2})}+1}.$
In particular if $\mu_{M}=0$, then $z_{\textrm{mon}}(\beta)=-1$ and (3.7)
specializes to the condition of Back and Baruch (2013):
$\beta\geq 1-\frac{\Phi}{\phi}(-1)\approx 0.3422.$
This parameter constraint means that there is sufficient adverse selection to
discourage the monopolist from offering quantity discounts for large trades.
For inventories with non-zero mean, the second part of the corresponding
condition (3.7) has the same interpretation. The first part additionally
requires that client inventories are not too large on average. If they are,
then large trades are likely enough to come from uninformed traders so that
quantity discounts may be optimal. The crossover from convex optimal price
schedules (i.e., increasing marginal prices $P^{\prime}$) to locally concave
ones is illustrated in Figure 3.1. If the dealer is sufficiently inventory-
averse relative to the client, then (3.5), (3.6) show that this effect
disappears.
Figure 3.1: Optimal marginal prices $P^{\prime}(n)$ for monopolist dealers for
normally distributed noise, client signals and inventories with $\beta=0.4$
(solid) and $\beta=0.25$ (dashed) and inventory costs $\gamma_{c}=1$,
$\gamma_{d}=0$ (left panel). The right panel shows the marginal prices for
$\beta=0.4$, $\gamma_{c}=1$ and $\gamma_{d}=0$ (solid), $\gamma_{d}=0.5$
(dashed).
The right panel in Figure 3.1 displays the dependence of the monopolist’s
optimal marginal prices on the corresponding inventory costs. The bid-ask
spread is independent of the inventory costs here and (3.4) shows that this in
fact holds in general. Whereas monopolist spreads remain invariant, larger
inventory costs of the dealer lead to steeper marginal price curves and hence
(more) convex price schedules. Differentiation of (3.4) shows that this also
holds in general.
### 3.2 Oligopolistic Case
We now turn to competition between several $K\geq 2$ identical, strategic
dealers. To identify a symmetric Nash equilibrium, we suppose without loss of
generality that the dealers $k\in\\{2,\ldots,K\\}$ post the same admissible
price schedule $P$ and dealer $k=1$ then chooses an admissible price schedule
$P_{1}$ such that ${\bf P}=(P_{1},P,\ldots,P)$ is compatible. The common price
schedule $P$ in turn is a _Nash equilibrium_ if dealer $k=1$ has no incentive
to deviate, in that the common price schedule is also optimal for her.
After fixing the price schedules of the other dealers, the goal functional of
dealer 1 is
$J_{d}^{P}(P_{1}):=\int_{-\infty}^{\infty}\left(P_{1}(n^{\bf
P}_{1}(y))-g(y)n^{\bf P}_{1}(y)-\frac{\gamma_{d}}{2}n^{\bf
P}_{1}(y)^{2}\right)f(y)\,\mathrm{d}y.$
This is of the same form as the goal functional (3.1) of the monopolistic
dealer, except that the trade $n^{\bf P}_{1}(y)$ that the client conducts with
dealer 1 now depends on the price schedules ${\bf P}=(P_{1},P,\ldots,P)$
quoted by _all_ dealers through the clients’ optimal response function from
Section 2.
Our first result shows that _if_ a Nash equilibrium exists, then the
corresponding marginal prices have to satisfy a nonlinear first-order ODE,
derived from the dealers’ first-order conditions for pointwise optimality.
Note that unlike for the distributions with compact support considered in
Biais et al. (2000, 2013), there are no natural boundary conditions here.
###### Lemma 3.6.
Suppose Assumption 2.1 is satisfied. If ${\bf P_{*}}=(P_{*},\ldots,P_{*})$ is
a Nash equilibrium for $K$ dealers, then the corresponding marginal prices
satisfy the following ODE:
$\displaystyle P^{\prime\prime}(n)$
$\displaystyle=\begin{cases}\dfrac{(K-1)\gamma_{c}\left(\gamma_{d}n-P^{\prime}(n)+g(P^{\prime}(n)+\gamma_{c}Kn)\right)}{\frac{F}{f}\left(P^{\prime}(n)+\gamma_{c}Kn\right)-(\gamma_{d}n-P^{\prime}(n)+g(P^{\prime}(n)+\gamma_{c}Kn))},&n\in(-\infty,0),\\\
\dfrac{(K-1)\gamma_{c}\left(\gamma_{d}n-P^{\prime}(n)+g(P^{\prime}(n)+\gamma_{c}Kn)\right)}{-\frac{\overline{F}}{f}\left(P^{\prime}(n)+\gamma_{c}Kn\right)-(\gamma_{d}n-P^{\prime}(n)+g(P^{\prime}(n)+\gamma_{c}Kn))},&n\in(0,\infty).\end{cases}$
(3.8)
(In particular, it is part of the assertion that the denominators never
vanish.)
We next establish existence and uniqueness of a solution to the ODE (3.8).
Compared to the monopolistic case from Section 3.1, this requires an
additional assumption. To wit, log-concavity of the client types as in
Assumption 2.1 guarantees that $g^{\prime}(y)<1$ on $\mathbb{R}$ by
Proposition 3.1. However, for well-posedness of the ODE, we need that this
also remains true in the limit $y\to\pm\infty$.
###### Assumption 3.7.
The function $g$ from (3.2) satisfies
$\limsup_{w\to\pm\infty}g^{\prime}(w)<1$.
For normally distributed type variables s in Example 3.2 (or, more generally,
if inventories and signals are generated from the same distributions as in
Example 3.3), $g(y)$ is just a linear function with slope equal to the
projection coefficient. Therefore Assumption 3.7 is evidently satisfied in
this case.
###### Theorem 3.8.
Suppose Assumptions 2.1 and 3.7 are satisfied. Then, there exists a unique
function $P^{\prime}_{*}:\mathbb{R}\setminus\\{0\\}\to\mathbb{R}$ that is
increasing, continuously differentiable and satisfies the ODE (3.8).
###### Remark 3.9.
Note that uniqueness for (3.8) holds despite the absence of natural boundary
conditions. For distributions with compact support, such boundary conditions
are derived from a local analysis of the corresponding ODEs near the boundary
points by Biais et al. (2000). For distributions with support on the entire
real line, monotonicity and finiteness of the solution suffice to guarantee
uniqueness: there is only one value of the left and right derivatives at zero
for which the solution remains increasing and finite on the entire real line.
Figure 3.2: Upper and lower solutions (dashed) and numerical solutions of the
ODE (3.8) starting from these boundary values for standard normal noise,
client signals and inventories, $K=2$ dealers and inventory costs
$\gamma_{c}=1$, $\gamma_{d}=0$.
Solving the equation numerically by a grid search for these derivatives is
evidently extremely unstable. In contrast, the ODE can be solved in a stable
manner (with concrete error bounds) by starting from the upper and lower
solutions that we construct for the existence part of the proof of Theorem
3.8, and then solving the equation backwards, cf. Remark B.6. For standard
normal primitives, this is illustrated in Figure 3.2, which plots the
numerical solutions of the ODE (3.8) starting from the upper and lower
solutions. Evidently, the convergence is very fast (as illustrated by the left
panel that zooms in near the boundary points). Moreover, the right panel shows
that the corresponding values of the solutions at zero (which are required for
verifying Assumption 3.10) are indistinguishable.
Lemma 3.6 and Theorem 3.8 show that there is at most one symmetric Nash
equilibrium. However, as pointed out by Back and Baruch (2013), existence of a
well-behaved solution to the ODE (3.8) is _not_ enough to identify a Nash
equilibrium. The reason is that the ODE corresponds to the dealers’ first-
order conditions for pointwise optimality, which are not generally sufficient
for global optimality here due to the absence of convexity in the dealers’
optimization problems.
As a way out, Biais et al. (2013) impose additional restrictions on the
primitives of the model that guarantee this convexity. Conditions of this type
rule out standard examples like exponential or normal types, so we instead
follow Back and Baruch (2013) in assuming that there is sufficient adverse
selection in the market, in that the client’s type has a sufficiently strong
relation with the asset payoff. More specifically, our next result shows that
if adverse selection _and_ the dealer’s inventory costs (relative to the
client’s) are large enough, then the solution to the ODE (3.8) indeed
identifies the unique symmetric Nash equilibrium.
###### Assumption 3.10.
The distribution of the client type $f$ and the function $g$ from (3.2)
satisfy
$\displaystyle(F/f)^{\prime}-g^{\prime}\leq\frac{\gamma_{d}}{\gamma_{c}}\quad\text{on
}(-\infty,P_{*}^{\prime}(0-)],$ (3.9)
$\displaystyle(\bar{F}/f)^{\prime}-g^{\prime}\leq\frac{\gamma_{d}}{\gamma_{c}}\quad\text{on
}[P_{*}^{\prime}(0+),\infty),$ (3.10)
where $P^{\prime}_{*}$ is the unique solution of the ODE (3.8) from Theorem
3.8.
###### Theorem 3.11.
Suppose Assumptions 2.1, 3.7 and 3.10 are satisfied and let $P_{*}^{\prime}$
be the solution of the ODE (3.8) from Theorem 3.8. Then, ${\bf
P_{*}}=(P_{*},\ldots,P_{*})$ with
$P_{*}(n)=\int_{0}^{n}P^{\prime}_{*}(x)\,\mathrm{d}x$ is the unique symmetric
Nash equilibrium for $K$ dealers.
Assumption 3.10 is analogous to the conditions (3.5)-(3.6) for convexity of
the optimal price schedules quoted by a monopolistic dealer. The only
difference is the range of marginal prices on which these constraints need to
be imposed. In the monopolistic case, these are given by the range of (the
inverse of) an explicit function; here they are instead determined by the
solution of the ODE (3.8). Using the explicit upper and lower solutions from
the existence proof in Theorem 3.8 as boundary values, upper and lower bounds
can readily be computed numerically using standard solvers for (uniformly
Lipschitz) ODEs.
Alternatively, sufficient conditions in terms of model primitives can be
derived directly from upper and lower solutions of the ODE. For standard
normal client inventories and signals and risk-neutral dealers, these
conditions are satisfied if the projection coefficient $\beta$ from Example
3.2 is bigger than $0.55$. However, the numerical solution of the
corresponding ODEs suggests that (3.5)-(3.6) are in fact already satisfied for
$\beta>0.465$ for two dealers ($K=2$) and for $\beta\geq 0.5$ if the number of
dealers is very large.
The bound $\beta\geq 0.5$ coincides with the sufficient condition of Back and
Baruch (2013), which can be derived by exploiting the specific properties of
the normal distribution. To wit, in this case, the competitive price schedule
of Glosten (1989) yields a smaller upper solution on $(-\infty,0)$ and a
larger lower solution on $(0,\infty)$ for ODE (3.8), that is still known in
closed form for normally-distributed types. This in turn provides an explicit
sufficient condition for our general condition (3.9)–(3.10). The same argument
can also be applied to general non-centered Gaussian types as in Example
(3.2):
###### Remark 3.12.
For normally distributed types as in Example 3.2, suppose that $\gamma_{d}=0$
and
$\tfrac{\gamma_{c}^{2}\mu_{M}^{2}}{\sigma_{S}^{2}+\gamma_{c}^{2}\sigma_{M}^{2}}<\frac{\pi}{2}\quad\text{and}\quad\beta\geq\frac{1}{2}+\frac{1}{2}\frac{\Phi}{\phi}\big{(}z_{\mathrm{oli}}(\beta)\big{)}\tfrac{\gamma_{c}|\mu_{M}|}{\sqrt{\sigma_{S}^{2}+\gamma_{c}^{2}}}.$
(3.11)
Here, $\Phi$ and $\phi$ denote the cumulative distribution function and the
probability density function of the standard normal distribution,
respectively, and
$z_{\mathrm{oli}}(\beta):=-\frac{(1-\beta)}{2\beta-1}\tfrac{\gamma_{c}|\mu_{M}|}{\sqrt{\sigma_{S}^{2}+\gamma_{c}^{2}\sigma_{M}^{2}}},\quad\mbox{for
}\beta>\frac{1}{2}.$
Then Assumptions (3.9)–(3.10) are satisfied. In particular, if $\mu_{M}=0$,
then $z_{\mathrm{oli}}(\beta)=0$ and (3.11) specialises to the sufficient
condition $\beta\geq 1/2$ of Back and Baruch (2013).666The boundary case
$\beta=1/2$ can be treated with a limiting argument.
For Gaussian primitives as in Glosten (1989); Back and Baruch (2013), the left
panel in Figure 3.3 displays the impact of the dealers’ inventory costs on the
optimal price schedules with competition. Unlike in the monopolistic case,
bid-ask spreads no longer remain invariant but instead increase with the
dealers’ inventory costs.
Figure 3.3: Left panel: optimal marginal prices $P^{\prime}(n/K)$ for $K=2$
dealers with inventory costs $\gamma_{d}=0$ (solid) and $\gamma_{d}=0.4$
(dashed). Right panel: marginal prices for $K=2$ competing dealers (solid) and
a monopolist dealer (dashed) with inventory costs $\gamma_{d}=0$. In each
case, noise, client signals and inventories are standard normal ($\beta=0.5$)
and the client’s inventory cost is $\gamma_{c}=1$.
The right panel of Figure 3.3 compares the monopolistic marginal prices
$P^{\prime}_{\textrm{mon}}(n)$ from Lemma 3.4 to the marginal prices
$P^{\prime}_{\textrm{oli}}(n/K)$ of the aggregate price schedule
$KP_{\textrm{oli}}(n/K)$ quoted by $K$ symmetric oligopolistic dealers, cf.
Theorem 3.11. We see that competition between the dealers leads to both
tighter bid-ask spreads (as in Ho and Stoll (1981)) and flatter price
schedules (i.e., “deeper” markets). Both properties follow directly from the
fact that, by the proof of Theorem 3.8, the marginal prices
$P^{\prime}_{\textrm{mon}}(n)$ of the monopolist on $(-\infty,0)$ are a lower
solution to the ODE for the marginal prices $P^{\prime}_{\textrm{oli}}(n/K)$
quoted in the oligopolistic case.
## Appendix A Proofs for Section 2
###### Proof of Lemma 2.4.
Since all dealers quote the same price schedule in the context of this lemma,
the client’s goal functional (2.2) simplifies. Indeed, the client’s optimal
trade $n^{P}(y)$, $y\in\mathbb{R}$ then is the unique maximizer of the scalar
function
$n\mapsto
H^{P}(y;n):=\frac{1}{K}J^{P}_{c}(y;n,\ldots,n)=yn-P(n)-\frac{K\gamma_{c}}{2}n^{2}.$
(A.1)
(a) Fix $y_{(0)}\in\mathbb{R}$ and let $(y_{(i)})_{i\in\mathbb{N}}$ be a
sequence in $\mathbb{R}$ with $\lim_{i\to\infty}y_{(i)}=y_{(0)}$. For
$i\in\mathbb{N}_{0}$, set $n_{(i)}:=n^{P}(y_{(i)})$. We have to show that
$\lim_{i\to\infty}n_{(i)}=n_{(0)}$. Denote by $\mathcal{A}$ the set of all
accumulation points in $[-\infty,\infty]$ of the sequence
$(n_{(i)})_{i\in\mathbb{N}}$. As $\mathcal{A}\neq\emptyset$, it suffices to
show that
$\mathcal{A}\cap\left([-\infty,\infty]\setminus\\{n_{(0)}\\}\right)=\emptyset$.
First, we show that $-\infty,\infty\notin\mathcal{A}$. We only establish the
claim for $\infty$; the corresponding assertion for $-\infty$ follows from a
similar argument. Seeking a contradiction, suppose that
$\infty\in\mathcal{A}$. Then there exists a subsequence, again denoted by
$(n_{(i)})_{i\in\mathbb{N}}$ for convenience, such that
$\lim_{i\to\infty}n_{(i)}=\infty$. By maximality of each $n_{(i)}$ for
$H^{P}(y_{(i)};\cdot)$ and continuity of $H^{P}(\cdot,n_{(0)})$,
$\liminf_{i\to\infty}H^{P}\left(y_{(i)};n_{(i)}\right)\geq\liminf_{i\to\infty}H^{P}\left(y_{(i)};n_{(0)}\right)=H^{P}\left(y_{(0)};n_{(0)}\right).$
Together with maximality of $n^{P}(y_{(0)}+1)$ for $H^{P}(y_{(0)}+1;\cdot)$
and the definition of $H^{P}$ in (A.1), this leads to the desired
contradiction:
$\displaystyle H^{P}\left(y_{(0)}+1;n^{P}(y_{(0)}+1)\right)$
$\displaystyle\geq\liminf_{i\to\infty}H^{P}\left(y_{(0)}+1;n_{(i)}\right)$
$\displaystyle=\liminf_{i\to\infty}\left(n_{(i)}\left(1+y_{(0)}-y_{(i)}\right)+H^{P}\left(y_{(i)};n_{(i)}\right)\right)$
$\displaystyle\geq\liminf_{i\to\infty}\left(n_{(i)}\left(1+y_{(0)}-y_{(i)}\right)\right)+H^{P}\left(y_{(0)};n_{(0)}\right)$
$\displaystyle=\infty.$
It remains to show that
$\mathcal{A}\cap(\mathbb{R}\setminus\\{n_{(0)}\\})=\emptyset$. Seeking a
contradiction, suppose there is
$\bar{n}\in\mathcal{A}\cap(\mathbb{R}\setminus\\{n_{(0)}\\})$. Then there
exists a subsequence, again denoted by $(n_{(i)})_{i\in\mathbb{N}}$ for
convenience, such that $\lim_{i\to\infty}n_{(i)}=\bar{n}$. Recall that
$n_{(0)}$ is the _unique_ maximizer of $H^{P}(y_{(0)};\cdot)$, $H^{P}$ is
continuous in both variables, and each $n_{(i)}$ is maximal for
$H^{P}(y_{(i)};\cdot)$. Whence, we again arrive at a contradiction:
$H^{P}\left(y_{(0)};n_{(0)}\right)>H^{P}\left(y_{(0)};\overline{n}\right)=\lim_{i\to\infty}H^{P}\left(y_{(i)};n_{(i)}\right)\geq\liminf_{i\to\infty}H^{P}\left(y_{(i)};n_{(0)}\right)=H^{P}\left(y_{(0)},n_{(0)}\right).$
We conclude that the function $n^{P}(y)$ is continuous as asserted.
(b) To prove this part of the lemma, we only show the following formally
weaker claim:
(b’) There are $a^{P}\in(-\infty,\infty]$ and $b^{P}\in[-\infty,\infty)$ such
that $I^{P}=(-\infty,a^{P})\cup(b^{P},+\infty)$.
We will then use only (b’) to establish (c) and argue in (c) that $a^{P}\leq
b^{P}$. Since $H^{P}(y,0)=0$, we have $I^{P}=I^{P}_{+}\cup I^{P}_{-}$, where
$\displaystyle I^{P}_{+}$ $\displaystyle:=\left\\{y\in\mathbb{R}:\text{there
is }n>0\text{ with }H^{P}(y;n)>0\right\\},$ $\displaystyle I^{P}_{-}$
$\displaystyle:=\left\\{y\in\mathbb{R}:\text{there is }n<0\text{ with
}H^{P}(y;n)>0\right\\}.$
These sets are both nonempty, because
$\lim_{y\to\infty}H^{P}(y;1)=\infty\quad\text{and}\quad\lim_{y\to-\infty}H^{P}(y,-1)=\infty.$
(A.2)
Observe that if $y\in I^{P}_{-}$, then $y^{\prime}\in I^{P}_{-}$ for all
$y^{\prime}\leq y$ and also for all $y^{\prime}>y$ in a (sufficiently small)
neighbourhood of $y$. Set $a^{P}:=\sup\\{y\in\mathbb{R}:y\in
I_{-}^{P}\\}\in(-\infty,\infty]$. The previous argument implies that
$I_{-}^{P}=(-\infty,a^{P})$. Similarly, set $b^{P}:=\inf\\{y\in\mathbb{R}:y\in
I_{+}^{P}\\}\in[-\infty,\infty)$. Then $I^{P}_{+}=(b_{P},\infty)$.
(c) For $y\in I^{P}$, we have $n^{P}(y)\neq 0$ so that $H^{P}(y,\cdot)$ is
differentiable in $n$ at $n^{P}(y)$. Hence, maximality of $n^{P}(y)$ for
$H^{P}(y,\cdot)$ implies the following first-order condition (FOC):
$\frac{\mathrm{d}H^{P}}{\mathrm{d}n}\left(y;n^{P}(y)\right)=0,\quad y\in
I^{P}.$ (A.3)
We now use this to show that for all $\overline{y}\in I^{P}$, there is an open
neighbourhood $U_{\overline{y}}\subset I^{P}$ of $\overline{y}$ such that for
all $y\in U_{\overline{y}}$,
$n^{P}(y)\begin{cases}<n^{P}(\overline{y})&\text{if }y<\overline{y},\\\
>n^{P}(\overline{y})&\text{if }y>\overline{y}.\end{cases}$ (A.4)
Fix $\overline{y}\in I^{P}$ and set $\overline{n}:=n^{P}(\overline{y})$. As
$\overline{n}$ is the unique maximum of $H^{P}(\overline{y};\cdot)$, there
exists an open neighbourhood $U_{\overline{n}}$ of $\overline{n}$ such that,
for all $n\in U_{\overline{n}}$, we have
$\frac{\mathrm{d}H^{P}}{\mathrm{d}n}(\overline{y};n)\begin{cases}>0&\text{if
}n<\overline{n},\\\ <0&\text{if }n>\overline{n}.\end{cases}$ (A.5)
As $n^{P}$ is continuous, there exists an open neighbourhood
$U_{\overline{y}}\subset I^{P}$ of $\overline{y}$ such that
$n^{P}(U_{\overline{y}})\subset U_{\overline{n}}$. Now, if $y\in
U_{\overline{y}}$ with $y<\overline{y}$, then (A.3) and the definition of
$H^{P}$ give
$\frac{\mathrm{d}H^{P}}{\mathrm{d}n}\left(\overline{y};n^{P}(y)\right)=\frac{\mathrm{d}H^{P}}{\mathrm{d}n}\left(\overline{y};n^{P}(y)\right)-\frac{\mathrm{d}H^{P}}{\mathrm{d}n}\left(y;n^{P}(y)\right)=\overline{y}-y>0.$
Together with (A.5), this implies $n^{P}(y)<\overline{n}$. Similarly, if $y\in
U_{\overline{y}}$ with $y>\overline{y}$, then $n^{P}(y)>\overline{n}$.
Therefore, (A.4) indeed holds on a suitable neighbourhood of $\bar{y}$.
We proceed to show that (A.4) together with (b’) and Lemma C.1 implies that
$n^{P}$ is increasing on $I^{P}$. First, if $a^{P}>b^{P}$, then
$I^{P}=\mathbb{R}$ and the claim follows directly from Lemma C.1. Otherwise,
if $a^{P}\leq b^{P}$, Lemma C.1 implies that $n^{P}$ is increasing on
$I^{P}_{+}=(-\infty,a^{P})$ and on $I^{P}_{-}=(b^{P},\infty)$. Since $n^{P}$
is zero on $\mathbb{R}\setminus(I^{P}_{+}\cup I^{P}_{-})=[a^{P},b^{P}]$ and
continuous on $\mathbb{R}$, it follows that $n^{P}$ is negative on
$(-\infty,a^{P})$ and positive on $(b^{P},\infty)$. Hence, $n^{P}$ is also
increasing on $I^{P}$.
Finally, we show that $\lim_{y\to\infty}n^{P}(y)=\infty$ and
$\lim_{y\to-\infty}n^{P}(y)=-\infty$. Recall that $n^{P}$ is continuous on
$\mathbb{R}$ (cf. (a)), increasing and nonzero on $I^{P}$ (as shown above) and
zero on $\mathbb{R}\setminus I^{P}$ by definition. Therefore, the limits at
$\pm\infty$ in turn yield that $a^{P}\leq b^{P}$,
$n^{P}(I^{P})=\mathbb{R}\setminus\\{0\\}$ and $\lim_{y\uparrow
a^{P}}n^{P}(y)=0=\lim_{y\downarrow b^{P}}n^{P}(y)$.
We only spell out the argument for $\lim_{y\to-\infty}n^{P}(y)=-\infty$; the
corresponding argument for $\lim_{y\to\infty}n^{P}(y)=\infty$ is similar. Set
$n(-\infty):=\lim_{y\to-\infty}n^{P}(y)$. As $n^{P}$ is increasing on $I^{P}$
and $y\in I^{P}$ for $y<a^{P}$, it follows that $n(-\infty)$ is well defined
and valued in $[-\infty,\infty)$. Seeking a contradiction, suppose that
$n(-\infty)>-\infty$. We distinguish two cases. First, assume that
$n(-\infty)\geq 0$. Then $n(y)>0$ for all $y\in I^{P}$. In particular for all
$y<\min(a^{P},0)$, by maximality of $n^{P}(y)$ for $H^{P}(y;\cdot)$ and the
definition of $H^{P}$, we obtain
$H^{P}(y;-1)\leq H^{P}\left(y;n^{P}(y)\right)\leq
H^{P}\left(0;n^{P}(y)\right)\leq H^{P}\left(0,n^{P}(0)\right).$
Hence, it follows that
$\lim_{y\to-\infty}H^{P}(y;-1)\leq H^{P}\left(0,n^{P}(0)\right),$
which contradicts (A.2). Next, assume that $n(-\infty)\in(-\infty,0)$. Then
there exists $\overline{y}<a^{P}$ such that $n^{P}(y)<0$ for all
$y\leq\overline{y}$. By the FOC (A.3), we have
$K\gamma_{c}n^{P}(y)+P^{\prime}\left(n^{P}(y)\right)=y,\quad\mbox{for
$y\leq\overline{y}$}.$
As $P^{\prime}$ is continuous on $(-\infty,0)$, taking limits as $y\to-\infty$
yields
$K\gamma_{c}n(-\infty)+P^{\prime}(n(-\infty))=-\infty.$
This contradicts $n(\infty)\in(-\infty,0)$. In summary, we conclude that
$n(\infty)=\infty$ as claimed. ∎
###### Proof of Lemma 2.5.
(a) “$\Rightarrow$”: Suppose $P$ is admissible for $K=1$ dealer. To establish
strict convexity of $n\mapsto\frac{\gamma_{c}}{2}n^{2}+P(n)$, it suffices to
show that $n\mapsto\gamma_{c}n+P^{\prime}(n)$ is increasing on
$\mathbb{R}\setminus\\{0\\}$. So fix
$n_{1},n_{2}\in\mathbb{R}\setminus\\{0\\}$ with $n_{1}<n_{2}$. Recall that by
the first-order condition (A.3),
$0=\frac{\mathrm{d}}{\mathrm{d}n}H^{P}\left((n_{P})^{-1}(n_{i});n_{i}\right)=(n_{P})^{-1}(n_{i})-P^{\prime}(n_{i})-\gamma_{c}n_{i},\quad\mbox{for
$i\in\\{1,2\\}$,}$
and $(n_{P})^{-1}$ is increasing on $\mathbb{R}\setminus\\{0\\}$ by Lemma
2.4(c). As a consequence,
$\gamma_{c}n_{1}+P^{\prime}(n_{1})-(\gamma
n_{2}+P^{\prime}(n_{2}))=(n_{P})^{-1}(n_{1})-(n_{P})^{-1}(n_{2})<0.$
Hence, $n\mapsto\gamma_{c}n+P^{\prime}(n)$ is increasing on
$\mathbb{R}\setminus\\{0\\}$, and $n\mapsto\frac{\gamma_{c}}{2}n^{2}+P(n)$ is
in turn strictly convex. Finally, as
$\gamma_{c}n+P^{\prime}(n)=(n_{P})^{-1}(n)$ by (A.3) for all $n\in\mathbb{N}$,
Lemma 2.4(c) yields
$\lim_{n\to\pm\infty}\left(\gamma_{c}n+P^{\prime}(n)\right)=\pm\infty$.
Conversely, suppose $n\mapsto\frac{\gamma}{2}n^{2}+P(n)$ is strictly convex on
$\mathbb{R}$ with
$\lim_{n\to\pm\infty}\left(\gamma_{c}n+P^{\prime}(n)\right)=\pm\infty$. By
(Bertsekas, 1999, Proposition B.22(b)), the function $P$ has left and right
derivatives for all $n\in\mathbb{R}$, denoted by $P^{\prime}(n-)$ and
$P^{\prime}(n+)$ (which coincide with $P^{\prime}(n)$ for $n\neq 0$).
Moreover, for each fixed $y\in\mathbb{R}$, the function $H^{P}(y;\cdot)$ is
strictly concave on $\mathbb{R}$. Hence it has at most one maximum, and by
(Bertsekas, 1999, Proposition B.24(f)), $n$ is a maximum of $H^{P}(y;\cdot)$
if and only if
$0\in\partial_{n}H^{P}(y;n)=\left[y-\gamma_{c}n-P^{\prime}(n+),y-\gamma_{c}n-P^{\prime}(n-)\right].$
Here, $\partial_{n}H^{P}(y;n)$ denotes the subdifferential of $H^{P}(y;\cdot)$
at $n$. Concavity of the functions $H^{P}(y;\cdot)$, continuous
differentiability of $P$ on $\mathbb{R}\setminus\\{0\\}$, and
$\lim_{n\to\pm\infty}\left(\gamma_{c}n+P^{\prime}(n)\right)=\pm\infty$ imply
that $\bigcup_{n\in\mathbb{R}}\partial_{n}H^{P}(y;n)=\mathbb{R}$ for each
$y\in\mathbb{R}$. Hence, for each $y\in\mathbb{R}$, there exists
$n\in\mathbb{R}$ such that $0\in\partial_{n}H_{P}(y;n)$.
(b) “$\Rightarrow$”: Let $P$ be an admissible price schedule for $K\geq 2$
dealers. We first show that $P$ is convex. To this end, it suffices to check
that $P^{\prime\prime}(n)\geq 0$ for all $n\in\mathbb{R}\setminus\\{0\\}$. So
fix $n\in\mathbb{R}\setminus\\{0\\}$ and set $y:=(n^{P})^{-1}(n)\in I^{P}$, so
that ${\bf n}:=(n,\ldots,n)\in\mathbb{R}^{K}$ is the unique maximum of the
function $J^{P}_{c}(y;\cdot)$. As $n\neq 0$, $J^{P}_{c}(y;\cdot)$ is twice
differentiable in $\mathbf{n}$ and the second-order necessary optimality
condition in turn implies that
$\nabla^{2}_{\bf n}J^{P}_{c}\left(y;{\bf
n}\right)=-\gamma_{c}\mathbf{1}\mathbf{1}^{\top}-\mathrm{diag}(P^{\prime\prime}(n),\ldots
P^{\prime\prime}(n))\;\mbox{is negative semidefinite}.$
(Here, $\mathbf{1}=(1,\ldots,1)^{\top}\in\mathbb{R}^{K}$.) Hence, all
eigenvalues of
$\gamma_{c}\mathbf{1}\mathbf{1}^{\top}+\mathrm{diag}(P^{\prime\prime}(n),\ldots
P^{\prime\prime}(n))$ are nonnegative. Using the matrix determinant lemma, it
is not difficult to verify that the matrix
$\gamma_{c}\mathbf{1}\mathbf{1}^{\top}+\mathrm{diag}(P^{\prime\prime}(n),\ldots
P^{\prime\prime}(n))$ has the eigenvalue $K\gamma_{c}+P^{\prime}(n)$ with
algebraic multiplicity $1$ and the eigenvalue $P^{\prime\prime}(n)$ with
algebraic multiplicity $K-1$. Whence, for $K\geq 2$, we have
$P^{\prime\prime}(n)\geq 0$ so that $P$ is indeed convex.
We proceed to show that the price schedule $P$ is even strictly convex. To
this end, it suffices to show that $P^{\prime}$ is increasing on
$\mathbb{R}\setminus\\{0\\}$. Seeking a contradiction, suppose that there are
$n_{1},n_{2}\in\mathbb{R}\setminus\\{0\\}$ with $n_{1}<n_{2}$ such that
$P^{\prime}(n_{1})\geq P^{\prime}(n_{2})$. Since $P^{\prime}$ is nondecreasing
on $\mathbb{R}\setminus\\{0\\}$ by convexity of $P$ on $\mathbb{R}$, it
follows that $P^{\prime}(n)=P^{\prime}(n_{1})=P^{\prime}(n_{2})$ for all
$n\in[n_{1},n_{2}]\setminus\\{0\\}$. Set
$\tilde{n}_{2}:=\begin{cases}n_{2}&\text{if }n_{1}>0,\\\
\frac{n_{1}}{2}&\text{if }n_{1}<0.\end{cases}$
Then $\tilde{n}_{2}>n_{1}$, we have
$[n_{1},\tilde{n}_{2}]\subset\mathbb{R}\setminus\\{0\\}$, and $P^{\prime}$ is
constant on $[n_{1},\tilde{n}_{2}]$. As a consequence,
$P(n)=P(n_{1})+P^{\prime}(n_{1})(n-n_{1})$ for all
$n\in[n_{1},\tilde{n}_{2}]$. Let $\overline{n}\in(n_{1},\tilde{n}_{2})$,
choose $\varepsilon>0$ such that
$\overline{n}-\varepsilon,\overline{n}+\varepsilon\in[n_{1},\tilde{n}_{2}]$,
and set $\overline{y}:=(n_{P})^{-1}(\overline{n})$. Then
$\displaystyle J^{P}_{c}(\overline{y};\overline{n},\ldots,\overline{n})$
$\displaystyle=J^{P}_{c}(\overline{y};\overline{n}-\varepsilon,\overline{n}+\varepsilon,\overline{n},\ldots,\overline{n}).$
Hence, the function $J^{P}_{c}(\overline{y};\cdot)$ has at least two
maximizers, contradicting the admissibility of $P$.
“$\Leftarrow$”: this part of the assertion follows from Theorem 2.8, which
treats the more general case of not necessarily symmetric price schedules.
(Note that the proof of this result only uses the “$\Rightarrow$” direction of
Lemma 2.5, which has already been established.) ∎
###### Proof of Theorem 2.8.
First, assume that $P_{1},\ldots,P_{K}$ are compatible. Then there is
$\overline{y}\in\mathbb{R}$ such that the client’s problem
$\max_{\mathbf{n}}J^{\mathbf{P}}(\overline{y};\mathbf{n})$ has a solution
${\bf\overline{n}}=(\overline{n}_{1},\ldots,\overline{n}_{K})$. Since the
price schedules $P_{1},\ldots,P_{K}$ are admissible, they are strictly convex
by Theorem 2.5(b). As a consequence, the client’s (normalized) goal function
$J^{\bf P}(\overline{y},\cdot)$ is strictly concave and therefore has only one
maximizer. Moreover, ${\bf\overline{n}}\in\mathbb{R}^{K}$ is a maximizer of
$J^{\bf P}(\overline{y},\cdot)$ if and only if ${\bf 0}\in\partial_{\bf
n}J^{\bf P}(\overline{y},{\bf\overline{n}})$. Hence, for each
$k\in\\{1,\ldots,K\\}$, we have
${\bf 0}\in\left(\partial_{\bf n}J^{\bf
P}(\overline{y},{\bf\overline{n}})\right)_{k}=\left[\overline{y}-\gamma_{c}\sum_{i=1}^{K}\overline{n}_{i}-P^{\prime}_{k}(\overline{n}_{k}+),\overline{y}-\gamma_{c}\sum_{i=1}^{K}\overline{n}_{i}-P^{\prime}_{k}(\overline{n}_{k}-)\right].$
Since $P_{k}^{\prime}$ is increasing on $\mathbb{R}\setminus\\{0\\}$ by strict
convexity of $P_{k}$, this is equivalent to
$\overline{y}-\gamma_{c}\sum_{i=1}^{K}\overline{n}_{i}\in\left[P^{\prime}_{k}(\overline{n}_{k}-),P^{\prime}_{k}(\overline{n}_{k}+)\right]\subset\left(\ell_{k},r_{k}\right).$
As this holds for any $k\in\\{1,\ldots,K\\}$, it follows that
$\bigcap_{k=1}^{K}(\ell_{k},r_{k})\neq\emptyset$. This in turn yields (2.4).
Conversely, assume that (2.4) is satisfied. We proceed to show that then (b)
and (c) and in turn (a) are satisfied. The latter also implies a fortiori that
$P_{1},\ldots,P_{K}$ are compatible.
For $k\in\\{1,\ldots,K\\}$, set $p_{k}:=P_{k}^{\prime}$ and denote its inverse
function by $p_{k}^{-1}$, with the convention that $p_{k}^{-1}(m)=0$ if
$m\in[p_{k}(0-),p_{k}(0+)].$ Then each $p_{k}^{-1}$ is nondecreasing on
$(\overline{\ell},\overline{r})$ and increasing on
$(\overline{\ell},\overline{r})\setminus[p_{k}(0-),p_{k}(0+)]$. Hence, the
function $y^{\bf P}:(\overline{\ell},\overline{r})\to\mathbb{R}$ defined by
$y^{\bf P}(m):=m+\gamma_{c}\sum_{k=1}^{K}p^{-1}_{k}(m),$
is continuous and increasing. Moreover, it satisfies
$\lim_{m\to\overline{\ell}}y^{\bf P}(m)=-\infty$ and
$\lim_{m\to\overline{r}}y^{\bf P}(m)=\infty$. To wit, there exists at least
one $k_{1}\in\\{1,\ldots,K\\}$ such that $\ell_{k_{1}}=\overline{\ell}$ and at
least one $k_{2}\in\\{1,\ldots,K\\}$ such that $r_{k_{2}}=\overline{r}$. For
these indices, we then have
$\lim_{\ell\to\ell_{k_{1}}}p^{-1}_{k_{1}}(\ell)=-\infty$ and $\lim_{\ell\to
r_{k_{2}}}p^{-1}_{k_{2}}(\ell)=\infty$ by the definition of $\ell_{k_{1}}$ and
$\ell_{k_{2}}$ in (2.3). Now, for $k=1,\ldots,K$, define the functions
$n_{k}^{\bf P}:\mathbb{R}\to\mathbb{R}$ by
$n_{k}^{\bf P}(y):=p^{-1}_{k}\left((y^{\bf P})^{-1}(y)\right).$
Then, in view of the properties of the functions $p^{-1}_{k}$ and $y^{\bf P}$
established above, $n_{k}^{\bf P}$ is continuous, nondecreasing on
$\mathbb{R}$ and increasing on $I^{\bf P}_{k}$. So we have (c). This in turn
implies that
$n_{k}^{\bf P}(I^{\bf P}_{k})=\left(\lim_{y\to-\infty}p^{-1}_{k}\left((y^{\bf
P})^{-1}(y)\right),\lim_{y\to\infty}\left((y^{\bf
P})^{-1}(y)\right)\right)=(p^{-1}_{k}(\overline{\ell}),p^{-1}_{k}(\overline{r}))$
and we have (b). To complete the proof, it now remains to establish (a). Let
$\overline{y}\in\mathbb{R}$. We need to establish that $\overline{\bf
n}=(\bar{n}_{1},\ldots,\bar{n}_{K}):=(n_{1}^{\bf
P}(\overline{y}),\ldots,n_{K}^{\bf P}(\overline{y}))$ is the maximizer of
$J^{\bf P}(\overline{y},\cdot)$. Uniqueness follows as in the first part of
the proof by strict convexity of $P_{1},\ldots,P_{K}$. By (Bertsekas, 1999,
Proposition B.24(f)), it suffices show that ${\bf 0}\in\partial_{\bf n}J^{\bf
P}(\overline{y};{\bf\overline{n}})$. So fix $k\in\\{1,\ldots,K\\}$. We first
consider the case $\overline{n}_{k}\neq 0$. Then, the definition of
$n_{k}^{\bf P}$ and $P^{\prime}_{k}(\overline{n}_{k})=(y^{\bf
P})^{-1}(\overline{y})$ give
$\displaystyle\left(\partial_{\bf n}J^{\bf
P}(\overline{y};{\bf\overline{n}})\right)_{k}$
$\displaystyle=\left\\{\overline{y}-\gamma_{c}\sum_{i=1}^{K}p^{-1}_{k}((y^{\bf
P})^{-1}(\overline{y}))-(y^{\bf
P})^{-1}(\overline{y})\right\\}=\left\\{\overline{y}-y^{\bf P}((y^{\bf
P})^{-1}(\overline{y}))\right\\}=\\{0\\},$
so that $0\in\left(\partial_{\bf n}J^{\bf
P}(\overline{m},{\bf\overline{n}})\right)_{k}$. Next, we turn to the case
$\overline{n}^{k}=0$. Then,
$\left(\partial_{\bf n}J^{\bf
P}(\overline{y};{\bf\overline{n}})\right)_{k}=\left[\overline{y}-\gamma_{c}\sum_{i=1}^{K}\overline{n}_{i}-p_{k}(0+),\overline{y}-\gamma_{c}\sum_{i=1}^{K}\overline{n}_{i}-p_{k}(0-)\right].$
The definition of $y^{\bf P}$ in turn yields that zero is an element of this
subdifferential also in the second case,
$0=\overline{y}-\gamma_{c}\sum_{i=1}^{K}p^{-1}_{k}((y^{\bf
P})^{-1}(\overline{y}))-(y^{\bf P})^{-1}(\overline{y})\in\left(\partial_{\bf
n}A_{\bf P}(\overline{m},{\bf\overline{n}})\right)_{k}.$
Here, the set membership follows from the definition of $n^{\bf P}_{k}$ and
from $(y^{\bf P})^{-1}(\overline{y})\in[p_{k}(0-),p_{k}(0+)]$ (which holds by
definition of $p^{-1}_{k}$ because $\overline{n}_{k}=0$). ∎
###### Example A.1.
The following price schedules are admissible for $K=2$ dealers, but not
compatible:
$P_{1}(x):=\int_{0}^{x}\arctan(y)\,\mathrm{d}y,\quad
P_{2}(x):=\int_{0}^{x}(\arctan(y)+\pi)\,\mathrm{d}x.$
Indeed, $(r_{1},\ell_{1})=(-\frac{\pi}{2},\frac{\pi}{2})$ and
$(r_{2},\ell_{2})=(\frac{\pi}{2},\frac{3}{2}\pi)$, so that $P_{1},P_{2}$ are
not compatible by Theorem 2.8. Analogous counterexamples can be constructed
for distributions with compact support, which shows that a compatibility
condition for unilateral deviations also needs to be imposed in the setting of
Biais et al. (2000).
For the proofs of Lemmas 3.4 and 3.6, we need the following estimates:
###### Lemma A.2.
1. (a)
Let $P$ be an admissible price schedule for $K=1$ dealer. Then:
$|n^{P}(y)|\frac{P^{\prime}(0-)+P^{\prime}(0+)}{2}-\frac{\gamma_{c}}{2}n^{P}(y)^{2}\leq
P(n^{P}(y))\leq\frac{1}{2\gamma_{c}}y^{2}.$ (A.6)
2. (b)
Let $P_{1},\ldots,P_{K}$ be admissible price schedules for $K\geq 2$ dealers
that are compatible and set ${\bf P}:=(P_{1},\ldots,P_{K})$. Then for all
$k\in\\{1,\ldots,K\\}$ and $y\in\mathbb{R}$,777Here, we use (as always) the
convention that $(P^{\prime}_{k})^{-1}(0)=0$ if
$0\in[P^{\prime}_{k}(0-),P^{\prime}_{k}(0+)]$.
$|n^{\bf P}_{k}(y)|\frac{P^{\prime}_{k}(0-)+P^{\prime}_{k}(0+)}{2}\leq
P_{k}(n^{\bf
P}_{k}(y))\leq\frac{1}{2\gamma}y^{2}+\frac{\gamma}{2}\bigg{(}\sum_{j\neq
K}(P^{\prime}_{j})^{-1}(0)\bigg{)}^{2}.$ (A.7)
###### Proof.
(a) Fix $y\in\mathbb{R}$. It suffices to consider the case $n^{P}(y)\neq 0$.
We only consider the case $n^{P}(y)>0$, the case $n^{P}(y)<0$ can be argued
similarly. Using that the function $n\mapsto\gamma_{c}n+P^{\prime}(n)$ is
increasing on $\mathbb{R}\setminus\\{0\\}$ by Lemma 2.4(a), it follows from
the mean value theorem that
$P^{\prime}(0+)n^{P}(y)\leq\frac{\gamma_{c}}{2}n^{P}(y)^{2}+P(n^{P}(y))\leq\Big{(}\gamma_{c}n^{P}(y)+P^{\prime}(n^{P}(y))\Big{)}n^{P}(y).$
(A.8)
Now, the lower bound in (A.6) follows from the first inequality in (A.8)
together with $\frac{P^{\prime}(0-)+P^{\prime}(0+)}{2}\leq P^{\prime}(0+)$.
Finally, using that $\gamma_{c}n+P^{\prime}(n)=(n^{P})^{-1}(n)$ for $n\neq 0$
and then rearranging the second inequality in (A.8) gives
$P(n^{P}(y))\leq yn^{P}(y)-\frac{\gamma_{c}}{2}n^{P}(y)^{2}.$
Now the upper bound in (A.6) follows from the elementary inequality
$ab\leq\frac{1}{2\gamma_{c}}a^{2}+\frac{\gamma_{c}}{2}b^{2}$ for
$a,b\in\mathbb{R}$.
(b) The argument is very similar to the proof of part (a). The only difference
is that we now use that
$\gamma n^{\bf P}_{k}(y)+P_{k}^{\prime}(n^{\bf
P}_{k}(y))+\gamma\bigg{(}\sum_{j\neq
k}(P^{\prime}_{j})^{-1}(P_{k}^{\prime}(n^{\bf P}_{k}(y)))\bigg{)}=y.\qed$
## Appendix B Proofs for Section 3
###### Proof of Proposition 3.1.
Recall that $V=S+\varepsilon$ and $Y=S-\gamma M$, where $\varepsilon,S,M$ are
independent. Because the error term $\varepsilon$ has mean zero, it follows
that $g(y)=E[V\,|\,Y=y]=E[S\,|\,Y=y]$. Since
$\mathrm{id}(y)-g(y)=y-E[S\,|\,Y=y]=E[-\gamma_{c}M\,|\,Y=y]$, it therefore
suffices to show that $y\mapsto E[S\,|\,Y=y]$ as well as $y\mapsto
E[-\gamma_{c}M\,|\,Y=y]$ are continuously differentiable with positive
derivatives. This follows from Proposition D.3. ∎
### B.1 Proof of Lemma 3.4
In this section, we prove Lemma 3.4 about the monopolistic dealer’s optimal
price schedule. We do this under weaker (but substantially less intuitive)
assumptions than the convenient sufficient condition imposed in Assumption
2.1.
###### Assumption B.1.
The expected payoff of the risky asset conditional on the clients’ type,
$y\mapsto g(y)=E[V\,|\,Y=y]$ is continuously differentiable and of linear
growth.
###### Assumption B.2.
The probability density function $f$ of $Y$ is positive on $\mathbb{R}$ and
satisfies $\int_{-\infty}^{\infty}y^{2}f(y)\,\mathrm{d}y<\infty$. Moreover,
setting
$\displaystyle y_{-}$
$\displaystyle:=\inf\\{y\in\mathbb{R}:F(y)/f(y)+y-g(y)=0\\},$ $\displaystyle
y_{+}$
$\displaystyle:=\sup\\{y\in\mathbb{R}:-\overline{F}(y)/f(y)+y-g(y)=0\\},$
we have:
1. (i)
$(F/f)+\mathrm{id}-g$ is continuously differentiable on $(-\infty,y_{-})$ with
positive derivative and nonnegative on $[y_{-},\infty)$;
2. (ii)
$-(\overline{F}/f)+\mathrm{id}-g$ is continuously differentiable on
$(y_{+},\infty)$ with positive derivative and nonpositive on
$(-\infty,y_{+}]$.
###### Remark B.3.
Note that since $(F/f)+\mathrm{id}-g>-(\overline{F}/f)+\mathrm{id}-g$,
Assumption B.2 implies in particular that $y_{-}<y_{+}$. It is straightforward
to check using Proposition 3.1 that log-concavity as in Assumption 2.1 indeed
implies Assumptions B.2 and B.1. When the conditional mean function $g$ as
well as the probability density and cumulative distribution functions $f,F$ of
the client’s type are available, e.g., for Gaussian types, then $y_{\mp}$ can
be computed in a straightforward manner as the roots of explicit scalar
functions.
###### Proof of Lemma 3.4.
We prove the result under the weaker Assumptions B.1 and B.2. In light of the
square-integrability of $f$ and the estimate (A.6), for all admissible
schedules we have $J_{d}(P)<\infty$ and $J_{d}(P)>-\infty$ if and only if
$\int_{-\infty}^{\infty}n^{P}(y)^{2}f(y)\,\mathrm{d}y<\infty$. So fix an
admissible schedule $P$ such that
$\int_{-\infty}^{\infty}n^{P}(y)^{2}f(y)\,\mathrm{d}y<\infty$ and let $a^{P}$
and $b^{P}$ be as in Lemma 2.4(b). Define the function
$h:\mathbb{R}\to\mathbb{R}$ by $h:=fg$ and set
$H(x):=\int_{-\infty}^{x}h(y)\,\mathrm{d}y$ and
$\overline{H}(x):=\int_{x}^{\infty}h(y)\,\mathrm{d}y$. Moreover, denote the
inverse function of $n^{P}$ on $(-\infty,a^{P})\cup(b^{P},\infty)$ by $y^{P}$,
set $p:=P^{\prime}$ and note that
$y^{P}(n)=p(n)+\gamma_{c}n,\quad n\neq 0,$ (B.1)
is increasing by Lemma 2.5(a). Then using Lemma 2.4(c), the substitution rule
and an integration by parts in the form of Lemma C.2, the monopolist dealer’s
goal functional can be rewritten as follows:
$\displaystyle J_{d}(P)$
$\displaystyle=\int_{-\infty}^{a_{P}}\left(P(n^{P}(y))-g(y)n^{P}(y)-\frac{\gamma_{d}}{2}n^{P}(y)^{2}\right)f(y)\,\mathrm{d}y$
$\displaystyle\quad+\int_{b_{P}}^{\infty}\left(P(n^{P}(y))-g(y)n^{P}(y)-\frac{\gamma_{d}}{2}n^{P}(y)^{2}\right)f(y)\,\mathrm{d}y$
$\displaystyle=\int_{-\infty}^{0}\left(P(n)-\frac{\gamma_{d}}{2}n^{2}\right)f(y^{P}(n))\,\mathrm{d}(y^{P})(n)-\int_{-\infty}^{0}nh(y^{P}(n))\,\mathrm{d}(y^{P})(n)$
$\displaystyle\quad+\int_{0}^{\infty}\left(P(n)-\frac{\gamma_{d}}{2}n^{2}\right)f(y^{P}(n))\,\mathrm{d}(y^{P})(n)-\int_{0}^{\infty}nh(y^{P}(n))\,\mathrm{d}(y^{P})(n),$
and in turn
$\displaystyle J_{d}(P)$
$\displaystyle=\int_{-\infty}^{0}\left(\gamma_{d}n-p(n)\right)F(y^{P}(n))+H(y^{P}(n))\,\mathrm{d}n$
$\displaystyle\quad+\int_{0}^{\infty}\left(p(n)-\gamma_{d}n\right)\overline{F}(y^{P}(n))-\overline{H}(y^{P}(n))\,\mathrm{d}n$
$\displaystyle=\int_{-\infty}^{0}\left((\gamma_{d}+\gamma_{c})n-y^{P}(n)\right)F(y^{P}(n))+H(y^{P}(n))\,\mathrm{d}n$
(B.2)
$\displaystyle\quad+\int_{0}^{\infty}\left(y^{P}(n)-(\gamma_{d}+\gamma_{c})n\right)\overline{F}(y^{P}(n))-\overline{H}(y^{P}(n))\,\mathrm{d}n.$
(B.3)
We now establish uniqueness of the optimal price schedule. To this end,
suppose that $P_{*}$ is an optimizer of $J_{d}(P)$. We seek a formula for
$y^{P_{*}}$, which in turn yields a formula for $P_{*}$ via (B.1). To this
end, we employ a localised calculus of variation argument on (B.2)–(B.3). We
only spell this out for (B.2); the argument for (B.3) is analogous. Let
$K\subset(-\infty,0)\cap\\{\frac{\mathrm{d}}{\mathrm{d}n}y^{P_{*}}>0\\}$ be
compact and $\kappa:\mathbb{R}\setminus\\{0\\}\to\mathbb{R}$ a continuously
differentiable function that is supported on $K$ (and hence vanishes on
$(0,\infty)$). Using that $y^{P_{*}}$ is increasing, Lemma C.3(b) shows that
there exists $\varepsilon^{\prime}>0$ such that $y^{P_{*}}+\varepsilon\kappa$
is increasing on $\mathbb{R}\setminus\\{0\\}$ and hence the corresponding
price schedule is admissible by Lemma 2.5(a) for all
$\varepsilon\in[-\varepsilon^{\prime},\varepsilon^{\prime}]$. After plugging
$y^{P_{*}}+\varepsilon\kappa$ into (B.2)–(B.3), dividing by $\varepsilon$ and
sending $\varepsilon\to 0$ (and using that $\kappa$ is zero on $(0,\infty)$),
optimality of $P_{*}$ yields
$\int_{-\infty}^{0}\left(-F(y^{P_{*}}(n))+((\gamma_{d}+\gamma_{c})n-y^{P_{*}}(n)+g(y^{P_{*}}(n)))f(y^{P_{*}}(n))\right)\kappa(n)\,\mathrm{d}n=0.$
Since $\kappa$ was arbitrary, the continuity of $\kappa$, $y^{P*}$, $f$, $g$,
$F$ in turn gives
$-F(y^{P_{*}}(n))+((\gamma_{d}+\gamma_{c})n-y^{P_{*}}(n)+g(y^{P_{*}}(n)))f(y^{P_{*}}(n)),\quad
n\in K.$
Thus, it follows that
$\displaystyle-F(y^{P_{*}}(n))+((\gamma_{d}+\gamma_{c})n-y^{P_{*}}(n)+g(y^{P_{*}}(n)))f(y^{P_{*}}(n))$
$\displaystyle=0,\quad
n\in(-\infty,0)\cap\left\\{\frac{\mathrm{d}}{\mathrm{d}n}y^{P_{*}}>0\right\\},$
$\displaystyle\overline{F}(y^{P_{*}}(n))+((\gamma_{d}+\gamma_{c})n-y^{P_{*}}p(n)+g(y^{P_{*}}(n)))f(y^{P_{*}}(n))$
$\displaystyle=0,\quad
n\in(0,\infty)\cap\left\\{\frac{\mathrm{d}}{\mathrm{d}n}y^{P_{*}}>0\right\\}.$
Since $y^{P_{*}}$ is increasing, both
$(0,\infty)\setminus\left\\{\frac{\mathrm{d}}{\mathrm{d}n}y^{P_{*}}>0\right\\}$
and
$(-\infty,0)\setminus\left\\{\frac{\mathrm{d}}{\mathrm{d}n}y^{P_{*}}>0\right\\}$
are nowhere dense sets by Lemma C.3(a). By continuity of $y^{P*}$, $f$, $g$,
$F$ and $\overline{F}$, this implies that
$\displaystyle-F(y^{P_{*}}(n))+((\gamma_{d}+\gamma_{c})n-y^{P_{*}}(n)+g(y^{P_{*}}(n)))f(y^{P_{*}}(n))$
$\displaystyle=0,\quad n\in(-\infty,0),$ (B.4)
$\displaystyle\overline{F}(y^{P_{*}}(n))+((\gamma_{d}+\gamma_{c})n-y^{P_{*}}(n)+g(y^{P_{*}}(n)))f(y^{P}(n))$
$\displaystyle=0,\quad n\in(0,\infty).$ (B.5)
Rearranging gives (3.4), so if an optimal price schedule exists it has to be
of the proposed form.
We now verify that this price schedule is indeed optimal. To this end, note
that (B.4) together with positivity of $f$ and Assumption B.2 on
$F/f+\mathrm{id}-g$ imply for fixed $n\in(-\infty,0)$ that
$-F(y)+((\gamma_{d}+\gamma_{c})n-y+g(y))f(y)\begin{cases}>0&\text{if
}y<y^{P_{*}}(n),\\\ <0&\text{if }y>y^{P_{*}}(n).\end{cases}$ (B.6)
Similarly, (B.5) together with positivity of $f$ and Assumption B.2 on
$-\frac{\overline{F}}{f}+\mathrm{id}-g$ imply for fixed $n\in(0,\infty)$ that
$\overline{F}(y)+((\gamma_{d}+\gamma_{c})n-y+g(y))f(y)\begin{cases}>0&\text{if
}y<y^{P_{*}}(n),\\\ <0&\text{if }y>y^{P_{*}}(n).\end{cases}$ (B.7)
Now, let $P_{*}$ be as above and $P$ be any competitor price schedule such
that $\int_{-\infty}^{\infty}n^{P}(y)^{2}f(y)\,\mathrm{d}y<\infty$. Then the
mean value theorem together with (B.6) and (B.7) implies that
$\displaystyle J_{d}(P)-J_{d}(P_{*})$
$\displaystyle=\int_{-\infty}^{0}\Big{(}-F(y(n))+((\gamma_{d}+\gamma_{c})n-y+g(y(n)))f(y(n))\Big{)}(y^{P}(n)-y^{P_{*}}(n))\,\mathrm{d}n$
$\displaystyle\quad+\int_{-\infty}^{0}\Big{(}-\overline{F}(y(n))+((\gamma_{d}+\gamma_{c})n-y+g(y(n)))f(y(n)\Big{)}(y^{P}(n)-y^{P_{*}}(n))\,\mathrm{d}n$
$\displaystyle\leq 0+0=0,$
where for each $n$, $y(n)$ lies in the interval with the endpoints $y^{P}(n)$
and $y^{P_{*}}(n)$. Whence, $P_{*}$ is indeed optimal as asserted. ∎
###### Proof of Remark 3.5.
In order to prove that the optimal price schedule for the monopolist is
(strictly) convex in the context of Example 3.2 if and only if (3.7) holds,
set
$y_{-}:=(F/f+\mathrm{id}-g)^{-1}(0)\quad\mbox{and}\quad
y_{+}:=(-\overline{F}/f+\mathrm{id}-g)^{-1}(0).$
Since $(F/f)^{\prime}$ and $(-\bar{F}/f)^{\prime}$ are increasing on
$\mathbb{R}$ and $g^{\prime}=\beta$, (3.5) and (3.6) are equivalent to
$\displaystyle(F/f)^{\prime}(y_{-})\leq\beta\quad\text{and}\quad(-\bar{F}/f)^{\prime}(y_{+})\leq\beta.$
(B.8)
Define
$z_{-}:=\frac{y_{-}-\mu_{Y}}{\sigma_{Y}}\quad\mbox{and}\quad
z_{+}:=\frac{y_{+}-\mu_{Y}}{\sigma_{Y}}.$
Then, using the scaling properties of the normal distribution and the symmetry
of $\Phi$, it follows that (B.8) is equivalent to
$\displaystyle(\Phi/\phi)^{\prime}(z_{-})\leq\beta\quad\text{and}\quad(\Phi/\phi)^{\prime}(-z_{+})\leq\beta.$
(B.9)
As $(\Phi/\phi)^{\prime}$ is increasing on $\mathbb{R}$, (B.9) is equivalent
to
$\displaystyle(\Phi/\phi)^{\prime}(z_{\max})\leq\beta,\quad\mbox{where
$z_{\max}:=\max(z_{-},-z_{+})$.}$ (B.10)
Next, the scaling properties of the normal distribution, the symmetry of
$\Phi$ and the definition of $z_{-}$ and $z_{+}$ show that $z_{-}$ and $z_{+}$
are the unique solutions of
$\displaystyle(\Phi/\phi)(z_{-})+(1-\beta)z_{-}=\gamma_{c}\frac{\mu_{M}}{\sigma_{Y}}\quad\text{and}\quad(\Phi/\phi)(-z_{+})+(1-\beta)z_{+}=-\gamma_{c}\frac{\mu_{M}}{\sigma_{Y}}.$
Again using that $(\Phi/\phi)^{\prime}$ is increasing on $\mathbb{R}$, it
follows that $z_{\max}$ is the unique solution of
$(\Phi/\phi)(z_{\max})+(1-\beta)z_{\max}=\gamma_{c}\frac{|\mu_{M}|}{\sigma_{Y}}.$
(B.11)
If $\gamma_{c}\frac{|\mu_{M}|}{\sigma_{Y}}\geq(\Phi/\phi)(0)=\sqrt{\pi/2}$,
then it follows that $z_{\max}\geq 0$, whence (B.10) cannot be satisfied as
$\beta<1$. Conversely, if
$\gamma_{c}\frac{|\mu_{M}|}{\sigma_{Y}}<(\Phi/\phi)(0)=\sqrt{\pi/2}$, then it
follows that $z_{\max}<0$.
Finally, let $z<0$ such that
$(\Phi/\phi)^{\prime}(z)=1+z(\Phi/\phi)(z)=\beta$, which exists and is unique
since $(\Phi/\phi)^{\prime}$ is increasing. We obtain
$\displaystyle z\geq z_{\max}\quad$
$\displaystyle\Leftrightarrow\quad(\Phi/\phi)(z)+(1-\beta)z\geq\gamma_{c}\frac{|\mu_{M}|}{\sigma_{Y}}$
$\displaystyle\Leftrightarrow\quad
z^{2}-\frac{\gamma_{c}}{(1-\beta)}\frac{|\mu_{M}|}{\sigma_{Y}}z-1\leq
0.\quad\Leftrightarrow\quad z\geq z_{\mathrm{mon}}(\beta),$ (B.12)
where
$z_{\mathrm{mon}}(\beta):=\frac{\gamma_{c}}{2(1-\beta)}\frac{|\mu_{M}|}{\sigma_{Y}}-\sqrt{\frac{\gamma^{2}_{c}\mu_{M}^{2}}{4(1-\beta)^{2}\sigma_{Y}^{2}}+1}$
denotes the negative solution of
$z^{2}-\frac{\gamma_{c}}{(1-\beta)}\frac{|\mu_{M}|}{\sigma_{Y}}z-1=0$. By
monotonicity of $(\Phi/\phi)^{\prime}$, this implies that (B.10) is equivalent
to the second part of (3.7) ∎
### B.2 Proofs for Section 3.2
We now turn to the proofs for the Nash competition between several strategic
dealers.
###### Proof of Lemma 3.6.
We prove this result under the weaker Assumptions B.1 and B.2. Let ${\bf
P_{*}}=(P_{*},\ldots,P_{*})$ be a Nash-equilibrium and $\ell^{*}$ and $r^{*}$
be defined as in (2.3). Let $P_{1}$ be an admissible price schedule for $K$
dealers that satisfies
$\lim_{n\to-\infty}P^{\prime}_{1}(n)=\ell^{*}\quad\mbox{and}\quad\lim_{n\to\infty}P^{\prime}_{1}(n)=r^{*}.$
(B.13)
Set ${\bf P}=(P_{1},P_{*},\ldots,P_{*})$. Then $\bar{\ell}$ and $\bar{r}$
defined in (2.4) satisfy $\bar{\ell}=\ell^{*}$ and $\bar{r}=r^{*}$. By Theorem
2.8, this implies that $P_{1},P_{*},\ldots,P_{*}$ are compatible. In light of
the square-integrability of $f$ and the estimate (A.7), $K^{\bf P}(P_{1})$ is
always less than $\infty$ and it is greater then $-\infty$ if and only if
$\int_{-\infty}^{\infty}n^{\bf P}_{1}(y)^{2}f(y)\,\mathrm{d}y<\infty$. So
assume in addition that $P_{1}$ is such that $\int_{-\infty}^{\infty}n^{\bf
P}_{1}(y)^{2}f(y)\,\mathrm{d}y<\infty$. To ease notation, set
$p_{*}:=P_{*}^{\prime}\quad\mbox{and}\quad p_{1}=P^{\prime}_{1}.$
Denote the inverse function of $n^{\bf P}_{1}$ on $I^{\bf
P}_{1}=\\{y\in\mathbb{R}:n^{\bf P}_{1}(y)\neq 0\\}$ by $y^{\bf P}_{1}$ and
note that (with the convention $p_{*}^{-1}(x)=0$ if
$x\in[p_{*}(0-),p_{*}(0+)]$),
$y^{\bf
P}_{1}(n)=p_{1}(n)+\gamma_{c}n+\gamma_{c}(K-1)p_{*}^{-1}(p_{1}(n)),\quad n\neq
0$
is increasing and valued in $\mathbb{R}\setminus\\{0\\}$ by Theorem 2.8(b) and
(c). Now setting $H(x)=\int_{-\infty}^{x}h(x)dx$ and
$\bar{H}(x)=\int_{x}^{\infty}h(x)dx$, a change of variable together with an
integration by parts in the form of Lemma C.2 allows to rewrite the goal
functional of dealer $1$ as
$\displaystyle K^{P_{*}}(P_{1})$
$\displaystyle=\int_{\mathbb{R}}\left(P_{1}(n^{\bf P}_{1}(y))-g(y)n^{\bf
P}_{1}(y)-\frac{\gamma_{d}}{2}n^{\bf P}_{1}(y)^{2}\right)f(y)\,\mathrm{d}y$
$\displaystyle=\int_{\mathbb{R}\setminus\\{0\\}}\left(\left(P_{1}(n)-\frac{\gamma_{d}}{2}n^{2}\right)f(y^{\bf
P}_{1}(n))-nh(y^{\bf P}_{1}(n))\right)dy^{\bf P}_{1}(n)$
$\displaystyle=\int_{-\infty}^{0}\left(\left(\gamma_{d}n-p_{1}(n)\right)F(y^{\bf
P}_{1}(n))+H(y^{\bf P}_{1}(n))\right)\,\mathrm{d}n$
$\displaystyle\quad+\int_{0}^{\infty}\left(\left(p_{1}(n)-\gamma_{d}n\right)\overline{F}(y^{\bf
P}_{1}(n))-\overline{H}(y^{\bf P}_{1}(n))\right)\,\mathrm{d}n$
$\displaystyle=\int_{-\infty}^{0}\bigg{(}\left(\gamma_{d}n-p_{1}(n)\right)F\Big{(}p_{1}(n)+\gamma_{c}n+\gamma(K-1)(p_{*})^{-1}(p_{1}(n))\Big{)}$
$\displaystyle\qquad+H\Big{(}p_{1}(n)+\gamma_{c}n+\gamma(K-1)(p_{*})^{-1}(p_{1}(n))\Big{)}\bigg{)}\,\mathrm{d}n$
(B.14)
$\displaystyle\quad+\int_{0}^{\infty}\bigg{(}\left(p_{1}(n)-\gamma_{d}n\right)\overline{F}\Big{(}p_{1}(n)+\gamma_{c}n+\gamma(K-1)(p_{*})^{-1}(p_{1}(n))\Big{)}$
$\displaystyle\qquad-\overline{H}\Big{(}p_{1}(n)+\gamma_{c}n+\gamma_{c}(K-1)(p_{*})^{-1}(p_{1}(n))\Big{)}\bigg{)}\,\mathrm{d}n.$
(B.15)
Since ${\bf P_{*}}=(P_{*},\ldots,P_{*})$ is a Nash equilibrium, $P_{*}$ is a
maximizer of $K^{P_{*}}(\cdot)$. In particular, it is a maximizer among all
admissible price schedules that satisfy (B.13). Now using a localised calculus
of variations argument separately on (B.14) and (B.15) as in the proof of
Lemma 3.4 and noting that the perturbed strategies still satisfy (B.13), we
obtain that
$\displaystyle-F(p_{*}(n)+\gamma Kn)$
$\displaystyle+\Big{(}\gamma_{d}n-p_{*}(n)+g(p_{*}(n)+\gamma Kn)\Big{)}$
$\displaystyle\quad\times f(p_{*}(n)+\gamma
Kn)\left(1+\frac{\gamma(K-1)}{p^{\prime}_{*}(n)}\right)=0,\quad
n\in(-\infty,0)\cap\left\\{p^{\prime}_{*}>0\right\\},$
and
$\displaystyle\overline{F}(p_{*}(n)+\gamma Kn)$
$\displaystyle+\Big{(}\gamma_{d}n-p_{*}(n)+g(p_{*}(n)+\gamma Kn)\Big{)}$
$\displaystyle\quad\times f(p_{*}(n)+\gamma
Kn)\left(1+\frac{\gamma(K-1)}{p^{\prime}_{*}(n)}\right)=0,\quad
n\in(0,\infty)\cap\left\\{p^{\prime}_{*}>0\right\\}.$
Rearranging terms gives
$\displaystyle p^{\prime}_{*}(n)$
$\displaystyle=\frac{(K-1)\gamma\left(\gamma_{d}n-p_{*}(n)+g(p_{*}(n)+\gamma
Kn)\right)}{\frac{F}{f}\left(p_{*}(n)+\gamma
Kn\right)-(\gamma_{d}n-p_{*}(n)+g(p_{*}(n)+\gamma Kn))},\quad
n\in(-\infty,0)\cap\left\\{p^{\prime}_{*}>0\right\\},$ (B.16) $\displaystyle
p^{\prime}_{*}(n)$
$\displaystyle=\frac{(K-1)\gamma\left(\gamma_{d}n-p_{*}(n)+g(p_{*}(n)+\gamma
Kn)\right)}{-\frac{\overline{F}}{f}\left(p_{*}(n)+\gamma
Kn\right)-(\gamma_{d}n-p_{*}(n)+g(p_{*}(n)+\gamma Kn))},\quad
n\in(0,\infty)\cap\left\\{p^{\prime}_{*}>0\right\\}.$ (B.17)
Note that the rearrangement also shows that the numerator and denominator on
the right hand sides of (B.16) and (B.17) cannot be zero on
$(-\infty,0)\cap\left\\{p^{\prime}_{*}>0\right\\}$ and
$(0,\infty)\cap\left\\{p^{\prime}_{*}>0\right\\}$, respectively. Since $p_{*}$
is increasing by strict convexity of $P_{*}$, both
$(-\infty,0)\cap\\{p_{*}^{\prime}>0\\}$ and
$(0,\infty)\cap\\{(p_{*})^{\prime}>0\\}$ are nowhere dense sets by Lemma
C.3(a). By continuity of $F/f$, $\overline{F}/f$, $g$ and $p^{\prime}_{*}$ on
$\mathbb{R}\setminus\\{0\\}$, this implies that
$\displaystyle p^{\prime}_{*}(n)$
$\displaystyle=\frac{(K-1)\gamma\left(\gamma_{d}n-p_{*}(n)+g(p_{*}(n)+\gamma
Kn)\right)}{\frac{F}{f}\left(p_{*}(n)+\gamma
Kn\right)-(\gamma_{d}n-p_{*}(n)+g(p_{*}(n)+\gamma Kn))},\quad
n\in(-\infty,0),$ (B.18) $\displaystyle p^{\prime}_{*}(n)$
$\displaystyle=\frac{(K-1)\gamma\left(\gamma_{d}n-p_{*}(n)+g(p_{*}(n)+\gamma
Kn)\right)}{-\frac{\overline{F}}{f}\left(p_{*}(n)+\gamma
Kn\right)-(\gamma_{d}n-p_{*}(n)+g(p_{*}(n)+\gamma Kn))},\quad n\in(0,\infty).$
(B.19)
This argument also shows that the denominators on the right hand sides of
(B.18) and (B.19) cannot be zero on $(-\infty,0)$ or $(0,\infty)$,
respectively. Indeed, if we multiply (B.16) and (B.17) by the corresponding
denominators, we get equations between two continuous functions that hold
outside a nowhere dense set, hence everywhere. But this implies that if the
denominator in (B.18) and (B.19) can be zero only if the numerator is. But the
denominator never vanishes if the corresponding numerator does because $F/f$
and $\overline{F}/f$ are positive on $\mathbb{R}$. ∎
Next, we establish the wellposedness results for the nonlinear ODE (3.8)
collected in Theorem 3.8. Again, we do this under weaker (but much less
intuitive) assumptions than the convenient sufficient conditions imposed in
Assumptions 2.1 and 3.7.
###### Assumption B.4.
Suppose $f$, $g$ are continuously differentiable and there exist
$\delta,C_{g},C_{f}>0$ such that:
$\displaystyle\delta$ $\displaystyle\leq 1-g^{\prime}\leq
C_{g}<\frac{\gamma_{d}+K\gamma c}{K\gamma_{c}},$ (B.20) $\displaystyle\delta$
$\displaystyle\leq 1+(F/f)^{\prime}-g^{\prime}\leq C_{f}\quad\text{on
}(-\infty,y_{-}],$ (B.21) $\displaystyle\delta$ $\displaystyle\leq
1-(\bar{F}/f)^{\prime}-g^{\prime}\leq C_{f}\quad\text{on }[y_{+},\infty).$
(B.22)
###### Assumption B.5.
Suppose that $f$ and $g$ are continuously differentiable and there are
$-\infty<n_{-}\leq 0\leq n_{+}<\infty$ and $\delta_{-},\delta_{+}\geq\delta$
with
$\displaystyle 1-g^{\prime}$ $\displaystyle\geq\delta_{-}\text{ on
}(-\infty,n_{-}]\quad\text{and}\quad 1-g^{\prime}\geq\delta_{+}\text{ on
}[n_{+},\infty),$ (B.23)
such that, moreover,
$\displaystyle\lim_{z\to-\infty}|z|^{\frac{K-1}{\delta_{-}^{2}(K+\frac{\gamma_{d}}{\gamma_{c}})}}F(z)=0\quad\text{and}\quad\lim_{z\to+\infty}|z|^{\frac{K-1}{\delta^{2}_{+}(K+\frac{\gamma_{d}}{\gamma_{c}})}}\bar{F}(z)=0.$
(B.24)
Note that Assumptions 2.1 and 3.7 from the body of the paper indeed imply
Assumptions B.4 and B.5. To wit, Proposition 3.1 gives (B.20) and (B.21) and
(B.22) follows from Proposition D.2(a) and the fact that, fo rlo-concave
distributions as in Assumptions 2.1, $f^{\prime}(n)>0$ for all sufficiently
small $n$ and $f^{\prime}(n)<0$ for all sufficiently large $n$ by Proposition
D.1(c). Finally, setting $\delta_{+}:=\delta=:\delta_{-}$, (B.24) follows from
Proposition D.1(b) and an integration by parts. However, the above conditions
are more general and cover, e.g., two-sided Pareto distributions with
sufficiently light tails if the conditional-mean function $g$ is linear as in
Example LABEL:??.
###### Proof of Theorem 3.8.
We prove the result under the weaker Assumptions B.4 and B.5. Moreover, we
also prove the following two additional claims – part (a) is useful for the
analysis of concrete examples and part (b) will be crucial for proving Theorem
3.11.
1. (a)
For any $\varepsilon_{v},\varepsilon_{w}\in(0,1)$ such that
$\displaystyle\frac{\gamma_{d}+K\gamma_{c}}{\delta}-K\gamma_{c}-\frac{(K-1)\gamma_{c}\delta(1-\varepsilon_{v})}{C_{f}\varepsilon_{v}}$
$\displaystyle\leq 0,$ (B.25)
$\displaystyle(1-\varepsilon_{w})\frac{\gamma_{d}+K\gamma_{c}}{C_{g}}+\varepsilon_{w}\frac{\gamma_{d}+K\gamma_{c}}{C_{f}}-K\gamma_{c}-\frac{(K-1)\gamma_{c}C_{g}\varepsilon_{w}}{\delta(1-\varepsilon_{w})}$
$\displaystyle\geq 0,$ (B.26)
we have $\varepsilon_{v}+\varepsilon_{w}<1$ and
$\displaystyle P^{\prime}(0-)$
$\displaystyle\in[(1-\varepsilon_{v})y_{-}+\varepsilon_{v}(\mathrm{id}-g)^{-1}(0),\varepsilon_{w}y_{-}+(1-\varepsilon_{w})(\mathrm{id}-g)^{-1}(0)].$
(B.27) $\displaystyle P^{\prime}(0+)$
$\displaystyle\in[\varepsilon_{w}y_{+}+(1-\varepsilon_{w})(\mathrm{id}-g)^{-1}(0)],(1-\varepsilon_{v})y_{+}+(\varepsilon_{v})(\mathrm{id}-g)^{-1}(0)].$
(B.28)
2. (b)
The unique solution $P^{*}$ to the ODE (3.8) has derivatives that are bounded
and bounded away from zero, which implies that
$\lim_{n\to-\infty}P^{\prime}_{*}(n)=-\infty$ and
$\lim_{n\to\infty}P^{\prime}_{*}(n)=\infty$.
The proof is based on constructing explicit upper and lower solutions of the
ODE (3.8), and in turn use these to deduce the existence of a solution. The
natural candidates for these upper and lower solutions are the functions that
make the numerator and denominator in the fractions of the right-hand side of
(3.8) vanish.888On $(-\infty,0)$, the function corresponding to the numerator
is the upper solution and the function corresponding to the denominator is the
lower solution; on $(0,\infty)$, the function corresponding to the numerator
is the lower solution and the function corresponding to the denominator is the
upper solution. Of course, the function that makes the denominator vanish
cannot really be used (since it would lead to an infinite derivative) so that
another approximation argument is required. Uniqueness follows by a rather
delicate Grönwall estimate showing that if there were two solutions between
the constructed upper and lower solutions, then the difference between them
would grow so fast that at least one of them would cross the upper or lower
solution, which is a contradiction.
To ease notation, define the functions
$A,B_{-},B_{+}:\mathbb{R}^{2}\to\mathbb{R}$ by
$\displaystyle A(n,z)$
$\displaystyle=(\gamma_{d}+K\gamma_{c})n-(\mathrm{id}-g)(z+\gamma_{c}Kn),$
$\displaystyle B_{-}(n,z)$
$\displaystyle=-(\gamma_{d}+K\gamma_{c})n+\left(\mathrm{id}+\frac{F}{f}-g\right)(z+\gamma_{c}Kn),$
$\displaystyle B_{+}(n,z)$
$\displaystyle=-(\gamma_{d}+K\gamma_{c})n+\left(\mathrm{id}-\frac{\overline{F}}{f}-g\right)(z+\gamma_{c}Kn),$
and set
$p:=P^{\prime}.$
Then, the ODE (3.8) can be rewritten as
$\displaystyle
p^{\prime}(n)=\begin{cases}(K-1)\gamma_{c}\dfrac{A(n,p(n))}{B_{-}(n,p(n))},\quad
n\in(-\infty,0),\\\ (K-1)\gamma_{c}\dfrac{A(n,p(n))}{B_{+}(n,p(n))},\quad
n\in(0,\infty).\end{cases}$ (B.29)
Note that
$B_{-}(n,z)=(F/f)(z+\gamma_{c}Kn)-A(n,z)\quad\text{and}\quad
B_{+}(n,z)=-(\overline{F}/f)(z+\gamma_{c}Kn)-A(n,z).$ (B.30)
This implies that $A/B_{-}$ can only be nonnegative if $A$ is nonnegative and
$A/B_{+}$ can only be nonnegative if $A$ is negative. Hence, if
$p:\mathbb{R}\setminus\\{0\\}\to\mathbb{R}$ is increasing, continuously
differentiable and satisfies (B.29) (in particular, the denominators do not
vanish), then
$\displaystyle 0$ $\displaystyle\leq
A(n,p(n))<\frac{F}{f}\left(p(n)+\gamma_{c}Kn\right)\quad n\in(-\infty,0),$
(B.31) $\displaystyle 0$ $\displaystyle\geq
A(n,p(n))>-\frac{\overline{F}}{f}\left(p(n)+\gamma_{c}Kn\right),\quad
n\in(0,\infty).$ (B.32)
Next, if a nondecreasing function $p_{-}$ satisfies (B.31) and a nondecreasing
function $p_{+}$ satisfies (B.32), then by the fact that $A$ is decreasing in
$z$ by (B.20), it follows that
$\lim_{n\uparrow 0}p_{1}(n)\leq\lim_{n\downarrow 0}p_{2}(n).$
Thus, the result follows if we can can show that the ODE (B.29) has a unique
solution $p_{-}$ on $(-\infty,0]$ whose derivatives are bounded and bounded
away from zero, and a unique solution $p_{+}$ on $[0,\infty)$ whose
derivatives are bounded and bounded away from zero. Then,
$p(n)=\begin{cases}p_{-}(n)&\text{if }n<0,\\\ p_{+}(n)&\text{if
}n>0,\end{cases}$ (B.33)
as well as $p(0-)=p_{-}(0)$ and $p(0+)=p_{+}(0)$.
We only establish the assertion for $p_{-}$, the assertion for $p_{+}$ follows
in a similar manner.
We first establish existence of a solution $p_{-}$ to (B.29) on $(-\infty,0)$
that has derivatives that are bounded and bounded away from zero. The idea is
to construct lower and upper solutions as in Proposition C.4. Given that the
right-hand side of the ODE (B.29) on $(-\infty,0)$ is a (multiple of) the
fraction with numerator $A$ and denominator $B_{-}$, it is natural to consider
functions $v,w:(-\infty,0]\to\mathbb{R}$ such that $A(n,w(n))=0$ and
$B_{-}(n,v(n))=0$. So define the functions $v,w:(-\infty,0]\to\mathbb{R}$ by
$\displaystyle v(n)$
$\displaystyle:=\left(\mathrm{id}+\frac{F}{f}-g\right)^{-1}((\gamma_{d}+K\gamma_{c})n)-K\gamma_{c}n,$
(B.34) $\displaystyle w(n)$
$\displaystyle:=\left(\mathrm{id}-g\right)^{-1}((\gamma_{d}+K\gamma_{c})n)-K\gamma_{c}n.$
(B.35)
Note that $v<w$ because $\mathrm{id}+F/f-g>\mathrm{id}-g$ by the fact that
$F/f>0$. Moreover, it follows from (B.20) and (B.21) that $v$ and $w$ have
bounded derivatives:
$\displaystyle\frac{\gamma_{d}+K\gamma_{c}}{C_{f}}-K\gamma_{c}$
$\displaystyle\leq
v^{\prime}(n)\leq\frac{\gamma_{d}+K\gamma_{c}}{\delta}-K\gamma_{c},$ (B.36)
$\displaystyle 0<\frac{\gamma_{d}+K\gamma_{c}}{C_{g}}-K\gamma_{c}$
$\displaystyle\leq
w^{\prime}(n)\leq\frac{\gamma_{d}+K\gamma_{c}}{\delta}-K\gamma_{c}.$ (B.37)
By definition of $v$, $w$ and (B.30), it follows that $A(n,w(n))=0$ and
$B_{-}(n,w(n))=(F/f)(w(n)+\gamma_{c}Kn)>0$ as well as
$A(n,v(v))=-(F/f)(v(n)+\gamma_{c}Kn)<0$ and $B_{-}(n,v(n))=0$. Together with
(B.34) and (B.35), this implies that $w$ is an upper solution of the ODE
(B.29) on $(-\infty,0)$ and $v$ is _essentially_ a lower solution of the ODE –
note that $A(n,v(n))/B_{-}(n,v(n))$ is not defined but can be interpreted as
$\infty$.999Indeed, one can show that $\lim_{z\downarrow
v(n)}A(n,z))/B_{-}(n,z)=\infty$. For this reason, we have to modify $v$ to get
a proper lower solution and it will be useful to also modify $w$ to get some
sharper estimates. (These refined upper and lower solutions are compared to
$v$ and $w$ in Figure B.1 below.)
To this end, we first establish some estimates on the derivatives of $A$ and
$B_{-}$ with respect to $z$. Fix $n\in(-\infty,0]$ and let $v(n)\leq z\leq
w(n)$. Then by (B.20) and (B.21),101010Note that since $\mathrm{id}-g$ is
increasing, $z+K\gamma_{c}n\leq
w(n)+K\gamma_{c}n=\left(\mathrm{id}-g\right)^{-1}((\gamma_{d}+K\gamma_{c})n)\leq\left(\mathrm{id}-g\right)^{-1}(0)$.
$\displaystyle-\delta$ $\displaystyle\geq\frac{\partial}{\partial
z}A(n,z)\geq-C_{g},$ (B.38) $\displaystyle\delta$
$\displaystyle\leq\frac{\partial}{\partial z}B_{-}(n,z)\leq C_{f}.$ (B.39)
Together with the fact that $A(n,w(n))=0$ and $B_{-}(n,v(n))=0$, this gives
$\displaystyle\delta(w(n)-z))$ $\displaystyle\leq A(n,z)\leq C_{g}(w(n)-z),$
(B.40) $\displaystyle\delta(z(n)-v))$ $\displaystyle\leq B_{-}(n,z)\leq
C_{f}(z-v(n)).$ (B.41)
We proceed to construct a solution $p_{-}$ that lies strictly between $v$ and
$w$. To this end, for $\varepsilon\in(0,1)$ (to be chosen sufficiently small
later on) define the functions
$v_{\varepsilon},w_{\varepsilon}:(-\infty,0]\to\mathbb{R}$ by
$\displaystyle v_{\varepsilon}(n)$
$\displaystyle:=(1-\varepsilon)v(n)+\varepsilon w(n),$ (B.42) $\displaystyle
w_{\varepsilon}(n)$ $\displaystyle:=(1-\varepsilon)w(n)+\varepsilon v(n).$
(B.43)
Then $v<v_{\varepsilon_{v}}<w_{\varepsilon_{w}}<w$ for all
$\varepsilon_{v},\varepsilon_{w}\in(0,1)$ with
$\varepsilon_{v}+\varepsilon_{w}<1$. Moreover, for each $\varepsilon\in(0,1)$,
$v_{\varepsilon}-v=\varepsilon(w-v)=w-w_{\varepsilon}$ and
$w-v_{\varepsilon}=(1-\varepsilon)(w-v)=w_{\varepsilon}-v$. Together with
(B.36)–(B.37) and (B.40)–(B.41), this gives
$\displaystyle
v^{\prime}_{\varepsilon}-\frac{(K-1)\gamma_{c}A(n,v_{\varepsilon}(n))}{B_{-}(n,v_{\varepsilon}(n))}$
$\displaystyle\leq\frac{\gamma_{d}+K\gamma_{c}}{\delta}-K\gamma_{c}-\frac{(K-1)\gamma_{c}\delta(w(n)-v_{\varepsilon}(n))}{C_{f}(v_{\varepsilon}(n)-v(n))}$
$\displaystyle\leq\frac{\gamma_{d}+K\gamma_{c}}{\delta}-K\gamma_{c}-\frac{(K-1)\gamma_{c}\delta(1-\varepsilon)}{C_{f}\varepsilon},$
(B.44) $\displaystyle
w^{\prime}_{\varepsilon}-\frac{(K-1)\gamma_{c}A(n,w_{\varepsilon}(n))}{B_{-}(n,w_{\varepsilon}(n))}$
$\displaystyle\geq(1-\varepsilon)\frac{\gamma_{d}+K\gamma_{c}}{C_{g}}+\varepsilon\frac{\gamma_{d}+K\gamma_{c}}{C_{f}}-K\gamma_{c}$
$\displaystyle\quad-\frac{(K-1)\gamma_{c}C_{g}(w(n)-w_{\varepsilon}(n))}{\delta(w_{\varepsilon}(n)-v(n))}$
$\displaystyle\geq(1-\varepsilon)\frac{\gamma_{d}+K\gamma_{c}}{C_{g}}+\varepsilon\frac{\gamma_{d}+K\gamma_{c}}{C_{f}}-K\gamma_{c}-\frac{(K-1)\gamma_{c}C_{g}\varepsilon}{\delta(1-\varepsilon)}.$
(B.45)
Now, if we choose $\varepsilon_{v}\in(0,1)$ such that the right-hand side of
(B.44) is nonpositive and $\varepsilon_{w}\in(0,1)$ such that the right-hand
side of (B.45) is nonnegative, then we automatically have
$\varepsilon_{v}+\varepsilon_{w}<1$ so that
$v_{\varepsilon_{v}}<w_{\varepsilon_{w}}$ and Proposition C.4 in turn shows
that there exists a solution $p_{-}$ to the ODE (3.8) on $(-\infty,0)$ with
$v_{\varepsilon_{v}}\leq p_{-}\leq w_{\varepsilon_{w}}$. In particular, we
also have the additional Property (a). For normally distributed primitives,
Figure B.1 illustrates how the refined upper and lower solutions
$w_{\varepsilon_{w}}$, $v_{\varepsilon_{v}}$ improve the bounds that can be
gleaned from $w$, $v$.
Figure B.1: The functions $w$, $v$ and the upper and lower solutions
$w_{\varepsilon_{w}}$, $v_{\varepsilon_{v}}$ for $K=2$ dealers, standard
normal noise, client signals and inventories, and inventory costs
$\gamma_{c}=1$, $\gamma_{d}=0$.
Moreover, Property (b) follows from (B.29) and (B.40)–(B.41) via
$\displaystyle p_{-}^{\prime}(n)$
$\displaystyle=\frac{(K-1)\gamma_{c}A(n,p(n))}{B_{-}(n,p(n))}\geq\frac{(K-1)\gamma_{c}\delta(w(n)-p(n))}{C_{f}(p(n)-v(n))}$
$\displaystyle\geq\frac{(K-1)\gamma_{c}\delta(w(n)-w_{\varepsilon_{w}}(n))}{C_{f}(w_{\varepsilon_{w}}(n)-v(n))}\geq\frac{(K-1)\gamma_{c}\delta{\varepsilon_{w}}}{C_{f}(1-{\varepsilon_{w}})},$
$\displaystyle p_{-}^{\prime}(n)$
$\displaystyle=\frac{(K-1)\gamma_{c}A(n,p(n))}{B_{-}(n,p(n))}\leq\frac{(K-1)\gamma_{c}C_{g}(w(n)-p(n))}{\delta(p(n)-v(n))}$
$\displaystyle\leq\frac{(K-1)\gamma_{c}C_{g}(w(n)-v_{\varepsilon_{v}}(n))}{\delta(v_{\varepsilon_{v}}(n)-v(n))}\leq\frac{(K-1)\gamma_{c}C_{g}(1-{\varepsilon_{v}})}{\delta{\varepsilon_{v}}}.$
Finally, we establish uniqueness of a solution $p_{-}$ to (3.8) that satisfies
(B.31). It follows from (B.23) that for $n\leq n_{-}$,
$\displaystyle w^{\prime}(n)+K\gamma_{c}$
$\displaystyle\leq\frac{\gamma_{d}+K\gamma_{c}}{\delta_{-}},$ (B.46)
$\displaystyle\frac{\partial}{\partial z}A(n,z)$
$\displaystyle\leq-\delta_{-}.$ (B.47)
Seeking a contradiction, suppose there are two solutions $z_{1},z_{2}$ to
(3.8) that satisfy (B.31). By local uniqueness (the right-hand side of (3.8)
is local Lipschitz-continuous whenever it is well defined), it follows that
$z_{1}$ and $z_{2}$ are ordered everywhere. Hence, we may assume without loss
of generality that $w\geq z_{1}>z_{2}>v$, where the last inequality follows
from (B.31). Set $\Delta z:=z_{1}-z_{2}$. Using the growth conditions of $g$
and $F$ in (B.23) and (B.24), we aim to show that then $\Delta z(n)>w(n)-v(n)$
for $n$ sufficiently small, which yields a contradiction. Using that $A(n,z)$
is decreasing in $z$ by (B.38) and $B_{-}(n,z)$ is increasing in $z$ by
(B.39), it follows from (B.47) and (B.30) (recalling that $A(n,w(n))=0$) that
for, $n\leq n_{-}$,
$\displaystyle\Delta z^{\prime}(n)$
$\displaystyle=(K-1)\gamma_{c}\left(\frac{A(n,z_{1}(n))}{B_{-}(n,z_{1}(n))}-\frac{A(n,z_{2}(n))}{B_{-}(n,z_{2}(n))}\right)\leq(K-1)\gamma_{c}\frac{A(n,z_{1}(n))-A(n,z_{2}(n))}{B_{-}(n,z_{1}(n))}$
$\displaystyle\leq-\frac{(K-1)\gamma_{c}\delta_{-}\Delta
z(n)}{B_{-}(n,w(n))}=-\frac{(K-1)\gamma_{c}\delta_{-}\delta
z(n)}{\frac{F}{f}(w(n)+K\gamma_{c}n)}.$
Using (B.46) and Grönwall’s lemma, we obtain
$\displaystyle\Delta z(n)$ $\displaystyle\geq\Delta
z(n_{-})\exp\left((K-1)\gamma_{c}\delta_{-}\int_{n}^{n-}\frac{f}{F}\Big{(}w(m)+K\gamma_{c}m\Big{)}\,\mathrm{d}m\right)$
$\displaystyle\geq\Delta
z(n_{-})\exp\left(\frac{(K-1)\gamma_{c}}{\gamma_{d}+K\gamma_{c}}\delta_{-}^{2}\int_{n}^{n-}\frac{f}{F}\Big{(}w(m)+K\gamma_{c}m\Big{)}(w^{\prime}(m)+K\gamma_{c})\,\mathrm{d}m\right)$
$\displaystyle=\Delta
z(n_{-})\exp\left(\frac{(K-1)\gamma_{c}}{\gamma_{d}+K\gamma_{c}}\delta_{-}^{2}\Big{(}\log\big{(}F(w(n_{-})+K\gamma_{c}n_{-})\big{)}-\log\big{(}F(w(n)+K\gamma_{c}n)\big{)}\Big{)}\right)$
$\displaystyle=\Delta
z(n_{-})\big{(}F(w(n_{-})+K\gamma_{c}n_{-})\big{)}^{\frac{(K-1)\gamma_{c}}{\gamma_{d}+K\gamma_{c}}\delta_{-}^{2}}\big{(}F(w(n)+K\gamma_{c}n)\big{)}^{-\frac{(K-1)\gamma_{c}}{\gamma_{d}+K\gamma_{c}}\delta_{-}^{2}}.$
We arrive at the contradiction $\Delta z(n)>w(n)-v(n)$ for $n$ sufficiently
small, if we can show that
$\lim_{n\to\infty}(w(n)-v(n))\big{(}F(w(n)+K\gamma_{c}n)\big{)}^{\frac{(K-1)\gamma_{c}}{\gamma_{d}+K\gamma_{c}}\delta_{-}^{2}}=0.$
(B.48)
To this end, note that by the fact that
$\lim_{n\to\infty}w(n)+K\gamma_{c}n=-\infty$ by (B.37), de l’Hôpital, (B.36)
and (B.37),
$\limsup_{n\to-\infty}\frac{w(n)-v(n)}{|w(n)+K\gamma_{c}n|}\leq\frac{\limsup_{n\to-\infty}(v^{\prime}(n)-w^{\prime}(n))}{\liminf_{n\to\infty}w^{\prime}(n)+K\gamma_{c}}\leq\frac{C_{g}}{\delta}-1<\infty.$
Moreover, by (B.24) and the fact that
$\lim_{n\to\infty}w(n)+K\gamma_{c}n=-\infty$, we obtain
$\lim_{n\to\infty}|w(n)+K\gamma_{c}n|\big{(}F(w(n)+K\gamma_{c}n)\big{)}^{\frac{(K-1)\gamma_{c}}{\gamma_{d}+K\gamma_{c}}\delta_{-}^{2}}=0.$
Combining these two limits gives (B.48) and thereby completes the proof. ∎
###### Remark B.6.
The upper and lower solutions constructed in the proof of Theorem 3.8] can be
used to solve the ODE 3.8 numerically as follows:
1. (a)
Choose the optimal values of the constants $\varepsilon_{v}$,
$\varepsilon_{w}$ by solving the quadratic equations obtained by setting the
right-hand sides of (B.44) and (B.45) to zero.
2. (b)
With these values of $\varepsilon_{v}$, $\varepsilon_{w}$ and the explicit
functions $v$, $w$ from (B.34), (B.35), the functions $v_{\varepsilon_{v}}$
and $w_{\varepsilon_{w}}$ from (B.42), (B.43) are given in closed form and in
turn provide upper and lower for the exact solution of the ODE (3.8).
3. (c)
Starting from these upper and lower bounds at some negative and positive
values $n_{-}$ and $n_{+}$, solve the 3.8 on $[n_{-},0]$ and $[0,n_{+}]$ with
a standard ODE solver for uniformly Lipschitz ODEs. This in turn leads to
upper and lower bounds for the exact solution, as depicted in Figure 3.2.
Already for moderate values of $n_{-}$, $n_{+}$, these upper and lower
solutions converge very quickly. They therefore provide extremely accurate
bounds for the exact solution and, in particular, its value at $0-$ and $0+$
that are crucial for the application of the Verification Theorem 3.11.
Finally, we prove the Verification Theorem 3.11, which ensures that solution
to the ODE (3.8) indeed identifies a Nash equilibrium.
###### Proof of Theorem 3.11.
We prove the result under the weaker Assumptions B.2, B.4, B.5 and 3.10. The
idea of the proof is to show by a direct argument that given the candidate
price schedule $P_{*}$ for dealers $k=2,\ldots,K$, any deviation for dealer
$k=1$ from the candidate $P_{*}$ is suboptimal, i.e., $K^{P_{*}}(P_{1})\leq
K^{P_{*}}(P^{*})$. To this end, we write
$K^{P_{*}}(P_{1})=\int_{\mathbb{R}}\eta(n,(P^{\prime}_{*})^{-1}(P^{\prime}_{1}(n)),P^{\prime}_{1}(n))\,\mathrm{d}n$
for a suitable function $\eta:\mathbb{R}^{3}\to\mathbb{R}$ and establish the
pointwise optimality
$\eta\Big{(}n,(P^{\prime}_{*})^{-1}(P^{\prime}_{1}(n)),P^{\prime}_{1}(n)\Big{)}\leq\eta\Big{(}n,(P^{\prime}_{*})^{-1}(P^{\prime}_{*}(n)),P^{\prime}_{*}(n)\Big{)},\quad
n\neq 0.$
Given the bid-ask spread at $n=0$ zero, this is rather delicate. A similar
sufficient optimality condition also appears in (Back and Baruch, 2013,
Equation (10)), but is only verified in a number of concrete examples, e.g.
normally-distributed client types.
Let $P_{1}$ be an admissible price schedule for $K$ dealers and set
$\lim_{n\to-\infty}P^{\prime}_{1}(n)=:\ell_{1}\quad\mbox{and}\quad\lim_{n\to\infty}P^{\prime}_{1}(n)=:r_{1}.$
(B.49)
Since $\lim_{n\to-\infty}P^{\prime}_{*}(n)=-\infty$ and
$\lim_{n\to\infty}P^{\prime}_{*}(n)=\infty$ by the proof of Theorem 3.8,
$\bar{\ell}$ and $\bar{r}$ from (2.4) satisfy $\bar{\ell}=\ell_{1}$ and
$\bar{r}=r_{1}$. Hence, $P_{1}$ is automatically compatible with $P_{*}$ by
Theorem 2.8. Set ${\bf P}=(P_{1},P_{*},\ldots,P_{*})$ and
$p_{*}=P^{\prime}_{*},\quad p_{1}=P^{\prime}_{1}.$
Now setting $H(x)=\int_{-\infty}^{x}h(x)dx$ and
$\bar{H}(x)=\int_{x}^{\infty}h(x)dx$, the same calculations as in (B.15) give
$\displaystyle K^{P_{*}}(P_{1})$
$\displaystyle=\int_{-\infty}^{0}\eta_{-}\Big{(}n,(p_{*})^{-1}(p_{1}(n)),p_{1}(n)\Big{)}\,\mathrm{d}n+\int_{-\infty}^{0}\eta_{+}\Big{(}n,(p_{*})^{-1}(p_{1}(n)),p_{1}(n)\Big{)}\,\mathrm{d}n,$
(B.50)
where $\eta_{-},\eta_{+}:\mathbb{R}^{3}\to\mathbb{R}$ are given by
$\displaystyle\eta_{-}(n,x,z)$
$\displaystyle=(\gamma_{d}n-z)F(z+\gamma_{c}n+\gamma_{c}(K-1)x)+H(z+\gamma_{c}n+\gamma_{c}(K-1)x),$
(B.51) $\displaystyle\eta_{+}(n,x,z)$
$\displaystyle=-(\gamma_{d}n-z)\overline{F}(z+\gamma_{c}n+\gamma_{c}(K-1)x)-\overline{H}(z+\gamma_{c}n+\gamma_{c}(K-1)x).$
(B.52)
To establish optimality of $P_{*}$, it suffices to establish pointwise
optimality, that is,
$\displaystyle\eta_{-}\left(n,n,p_{*}(n)\right)$
$\displaystyle\geq\eta_{-}\left(n,(p_{*})^{-1}(p_{1}(n)),p_{1}(n)\right),\quad
n\in(-\infty,0),$ (B.53) $\displaystyle\eta_{+}\left(n,n,p_{*}(n)\right)$
$\displaystyle\geq\eta_{+}\left(n,(p_{*})^{-1}(p_{1}(n)),p_{1}(n)\right),\quad
n\in(0,\infty).$ (B.54)
We only establish (B.53); (B.54) follows by a similar argument.
We first derive some preliminary estimates on derivatives of the function
$\eta_{-}$. To this end, define the functions
$A,B_{-},B_{+}:\mathbb{R}^{3}\to\mathbb{R}$ by111111In view of the proof of
Theorem 3.8, this is a slight abuse of notation. But this is justified as
$A(n,x,z)$ coincides with $A(n,z)$ from Theorem 3.8 for $x=n$, and the same is
true for $B_{-}$ and $B_{+}$.
$\displaystyle A(n,x,z)$
$\displaystyle=(\gamma_{d}+\gamma_{c})n+(K-1)x-(\mathrm{id}-g)(z+\gamma_{c}n+\gamma_{c}(K-1)x),$
$\displaystyle B_{-}(n,x,z)$
$\displaystyle=-(\gamma_{d}+\gamma_{c})n-(K-1)x+\left(\mathrm{id}+\frac{F}{f}-g\right)(z+\gamma_{c}n+\gamma_{c}(K-1)x),$
$\displaystyle B_{+}(n,x,z)$
$\displaystyle=-(\gamma_{d}+\gamma_{c})n-(K-1)x+\left(\mathrm{id}-\frac{\overline{F}}{f}-g\right)(z+\gamma_{c}n+\gamma_{c}(K-1)x).$
It follows from (B.20), (3.9) and (3.10) that
$\displaystyle\frac{\partial}{\partial n}A(n,x,z)$
$\displaystyle\geq(\gamma_{d}+\gamma_{c})-\gamma_{c}\left(1+\frac{\gamma_{d}}{K\gamma_{c}}\right)\geq
0,$ (B.55) $\displaystyle\frac{\partial}{\partial n}B_{-}(n,x,z)$
$\displaystyle\leq-(\gamma_{d}+\gamma_{c})+\gamma_{c}\left(1+\frac{\gamma_{d}}{\gamma_{c}}\right)=0,\quad\text{
if }z+\gamma_{c}n+\gamma_{c}(K-1)x\leq p^{*}(0-),$ (B.56)
$\displaystyle\frac{\partial}{\partial n}B_{+}(n,x,z)$
$\displaystyle\leq-(\gamma_{d}+\gamma_{c})+\gamma_{c}\left(1+\frac{\gamma_{d}}{\gamma_{c}}\right)=0,\quad\text{
if }z+\gamma_{c}n+\gamma_{c}(K-1)x\geq p^{*}(0+).$ (B.57)
Also note that
$B_{+}(n,x,z)=B_{-}(n,x,z)-\frac{1}{f}(z+\gamma_{c}n+\gamma_{c}(K-1)x)\leq
B_{-}(n,x,z).$ (B.58)
The above implies that
$B_{-}(n,0,z)\geq B_{-}(0,0,y_{-})=0\quad\text{if }z\geq p_{*}(0-).$ (B.59)
Indeed, the equality in (B.59) follows from the fact that
$y_{-}=(\mathrm{id}+F/f-g)^{-1}(0)$. For the inequality in (B.59), recall that
$y_{-}\leq p_{*}(0-)$ by Theorem 3.8. We distinguish two cases: First, if
$z+\gamma_{c}n\leq y_{-}\leq p_{*}(0-)$, (B.56) and positivity of
$\mathrm{id}+F/f-g$ on $[y_{-},\infty)$ give
$B_{-}(n,0,z)\geq B_{-}(0,0,z)\geq B_{-}(0,0,y_{-}).$
Next, if $z+\gamma_{c}n>y_{-}$, there is $y_{-}<z^{\prime}<z$ with
$z^{\prime}+\gamma_{c}n=y_{-}$. Then by positivity of $\mathrm{id}+F/f-g$ on
$[y_{-},\infty)$, $B_{-}(n,0,z)\geq B_{-}(n,0,z^{\prime})$, and for
$z^{\prime}$ the inequality follows as in the first case.
The importance of $A,B_{-},B_{+}$ becomes clear when we note that the ODE
(3.8) can be written as
$p^{\prime}_{*}(x)=\begin{cases}\dfrac{(K-1)\gamma_{c}A(x,x,p_{*}(x))}{B_{-}(x,x,p_{*}(x))}&\text{
if }x<0,\\\ \dfrac{(K-1)\gamma_{c}A(x,x,p_{*}(x))}{B_{+}(x,x,p_{*}(x))}&\text{
if }x>0,\end{cases}$ (B.60)
and by the definition of $\eta_{-}$ in (B.51),
$\displaystyle\frac{\partial}{\partial x}\eta_{-}(n,x,z)$
$\displaystyle=(K-1)\gamma_{c}f\left(z+\gamma_{c}n+\gamma_{c}(K-1)x\right)A(n,x,z),$
(B.61) $\displaystyle\frac{\partial}{\partial z}\eta_{-}(n,x,z)$
$\displaystyle=-f\left(z+\gamma_{c}n+\gamma_{c}(K-1)x\right)B_{-}(n,x,z).$
(B.62)
After these preparations fix $n\in(-\infty,0)$ and set
$x:=(p_{*})^{-1}(p_{1}(n))$ and $z:=p_{1}(n)$. We shall distinguish the two
cases $x\leq n$ and $x>n$. For the latter, we have to consider the subcases
$x\in(n,0)$, $x=0$ and $x>0$. This is due due to the fact that price schedules
are discontinuous at zero.
Case 1. Let $x\leq n$. Then $z=p_{*}(x)$. By (B.55) and (B.56), we obtain for
$\xi\leq n$
$\displaystyle\frac{\partial}{\partial x}\eta_{-}(n,\xi,p^{*}(\xi))$
$\displaystyle=(K-1)\gamma_{c}f\left(p^{*}(\xi)+\gamma_{c}n+\gamma_{c}(K-1)\xi\right)A(n,\xi,p^{*}(\xi))$
$\displaystyle\geq(K-1)\gamma_{c}f\left(p^{*}(\xi)+\gamma_{c}n+\gamma_{c}(K-1)\xi\right)A(\xi,\xi,p^{*}(\xi)),$
$\displaystyle\frac{\partial}{\partial z}\eta_{-}(n,x,p^{*}(\xi))$
$\displaystyle=-f\left(p^{*}(\xi)+\gamma_{c}n+\gamma_{c}(K-1)\xi\right)B_{-}(n,\xi,p^{*}(\xi))$
$\displaystyle\geq-f\left(p^{*}(\xi)+\gamma_{c}n+\gamma_{c}(K-1)\xi\right)B_{-}(\xi,\xi,p^{*}(\xi)).$
Combining this with the ODE (B.60) for $p_{*}$, we obtain
$\frac{\mathrm{d}\eta_{-}}{\mathrm{d}x}(n,\xi,p_{*}(\xi))+p^{\prime}_{*}(\xi)\frac{\mathrm{d}\eta_{-}}{\mathrm{d}z}(n,\xi,p_{*}(\xi))\geq
0,\quad\xi\leq n.$
We conclude that
$\displaystyle\eta_{-}(n,x,p_{*}(x))$
$\displaystyle=\eta_{-}(n,n,p_{*}(n)-\int_{x}^{n}\left(\frac{\mathrm{d}}{\mathrm{d}x}\eta_{-}(n,\xi,p_{*}(\xi))+p^{\prime}_{*}(\xi)\frac{\mathrm{d}}{\mathrm{d}z}\eta_{-}(n,\xi,p_{*}(\xi))\right)\,\mathrm{d}\xi$
$\displaystyle\leq\eta_{-}(n,n,p_{*}(n)).$
Case 2(a). Let $n<x<0$. Then $z=p_{*}(x)$, and a similar argument as in Case 1
gives
$\frac{\mathrm{d}\eta_{-}}{\mathrm{d}x}(n,\xi,p_{*}(\xi))+p^{\prime}_{*}(\xi)\frac{\mathrm{d}\eta_{-}}{\mathrm{d}z}(n,\xi,p_{*}(\xi))\leq
0,\quad n<\xi\leq n,$
and hence
$\displaystyle\eta_{-}(n,x,p_{*}(x))$
$\displaystyle=\eta_{-}(n,n,p_{*}(n)+\int_{x}^{n}\left(\frac{\mathrm{d}}{\mathrm{d}x}\eta_{-}(n,\xi,p_{*}(\xi))+p^{\prime}_{*}(\xi)\frac{\mathrm{d}}{\mathrm{d}z}\eta_{-}(n,\xi,p_{*}(\xi))\right)\,\mathrm{d}\xi$
$\displaystyle\leq\eta_{-}(n,n,p_{*}(n)).$ (B.63)
Case 2(b). Let $x=0$. Then $p_{*}(0-)\leq z\leq p_{*}(0+)$, and taking limits
in (B.63) for $x\uparrow 0$ gives
$\eta_{-}(n,n,p_{*}(n))\geq\eta_{-}(n,0,p_{*}(0-)).$
Next, it follows from (B.62) and (B.59) that
$\eta_{-}(n,0,p_{*}(0-))\geq\eta_{-}(n,0,z).$
Combining these two estimates in turn gives
$\eta_{-}(n,n,p_{*}(n))\geq\eta_{-}(n,0,z).$ (B.64)
Case 2(c). Let $x>0$. Then $p_{*}(x)=z$ and (B.64) for $z=p(0+)$ give
$\eta_{-}(n,n,p_{*}(n))\geq\eta_{-}(n,0,p(0+)).$
Moreover, by (B.55), (B.58) and (B.57), we obtain for $\xi>0$,
$\displaystyle\frac{\partial}{\partial x}\eta_{-}(n,\xi,p^{*}(\xi))$
$\displaystyle\leq(K-1)\gamma_{c}f\left(p^{*}(\xi)+\gamma_{c}n+\gamma_{c}(K-1)\xi\right)A(\xi,\xi,p^{*}(\xi)),$
$\displaystyle\frac{\partial}{\partial z}\eta_{-}(n,x,p^{*}(\xi))$
$\displaystyle=-f\left(p^{*}(\xi)+\gamma_{c}n+\gamma_{c}(K-1)\xi\right)B_{-}(n,\xi,p^{*}(\xi))$
$\displaystyle\leq-f\left(p^{*}(\xi)+\gamma_{c}n+\gamma_{c}(K-1)\xi\right)B_{+}(n,\xi,p^{*}(\xi))$
$\displaystyle\leq-f\left(p^{*}(\xi)+\gamma_{c}n+\gamma_{c}(K-1)\xi\right)B_{+}(\xi,\xi,p^{*}(\xi)).$
Combining this with (B.60) gives
$\frac{\mathrm{d}\eta_{-}}{\mathrm{d}x}(n,\xi,p_{*}(\xi))+p^{\prime}_{*}(\xi)\frac{\mathrm{d}\eta_{-}}{\mathrm{d}z}(n,\xi,p_{*}(\xi))\leq
0,\quad\xi>0.$
We conclude that
$\displaystyle\eta_{-}(n,x,p_{*}(x))$
$\displaystyle=\eta_{-}(n,0,p_{*}(0+)+\int_{0}^{x}\left(\frac{\mathrm{d}}{\mathrm{d}x}\eta_{-}(n,\xi,p_{*}(\xi))+p^{\prime}_{*}(\xi)\frac{\mathrm{d}}{\mathrm{d}z}\eta_{-}(n,\xi,p_{*}(\xi))\right)\,\mathrm{d}\xi$
$\displaystyle\leq\eta_{-}(n,0,p_{*}(0+)).$
Combining the above estimates in turn gives
$\eta(n,n,p_{*}(n))\geq\eta_{-}(n,x,p_{*}(x)).$
Putting everything together establishes pointwise optimality in (B.53) and
thereby completes the proof. ∎
###### Proof of Remark 3.12.
Using the notation of Example 3.2, define
$h_{-},h_{+}:\mathbb{R}\to\mathbb{R}$ by
$\displaystyle h_{-}(y)$
$\displaystyle:=\beta\sigma_{Y}\frac{f}{F}(y)+y-\mu_{Y}-\gamma_{c}\mu_{M},$
$\displaystyle h_{+}(y)$
$\displaystyle:=-\beta\sigma_{Y}\frac{f}{\overline{F}}(y)+y-\mu_{Y}-\gamma_{c}\mu_{M}.$
Set $\tilde{y}_{-}:=\inf\\{y\in\mathbb{R}:h_{-}(y)=0\\}$ and
$\tilde{y}_{+}:=\inf\\{y\in\mathbb{R}:h_{+}(y)=0\\}$. We proceed to show that
under condition (3.11),
$\displaystyle 0<h^{\prime}_{-}$ $\displaystyle\leq 1\quad\text{on
}(-\infty,\tilde{y}-],$ and $\displaystyle 0<h^{\prime}_{+}$
$\displaystyle\leq 1\quad\text{on }[\tilde{y}+,\infty),$ (B.65)
$\displaystyle(F/f)^{\prime}-\beta$ $\displaystyle\leq 0\quad\text{on
}(-\infty,\tilde{y}_{-}]$ and $\displaystyle(\bar{F}/f)^{\prime}-\beta$
$\displaystyle\leq 0\quad\text{on }[\tilde{y}_{+},\infty).$ (B.66)
We only establish the first parts of (B.65) and (B.66). The proof for the
second parts are analogous. Set
$\tilde{z}_{-}:=\tfrac{\tilde{y}_{-}-\mu_{Y}}{\sigma Y}$. Then the scaling
properties and the symmetry of the normal distribution imply that the first
parts of (B.65) and (B.66) are equivalent to
$\displaystyle 0\geq\beta\left(\frac{\phi}{\Phi}\right)^{\prime}(z)$
$\displaystyle>-1\quad\text{on }(-\infty,\tilde{z}-],$ (B.67) $\displaystyle
1+z\frac{\Phi}{\phi}(z)$ $\displaystyle\leq\beta\quad\text{on
}(-\infty,\tilde{z}-].$ (B.68)
Since $\beta\in(0,1)$ and $(\frac{\phi}{\Phi})^{\prime}\in(0,1)$ on
$\mathbb{R}$, (B.67) is automatically satisfied, and since
$(\frac{\Phi}{\phi})^{\prime}$ is increasing on $\mathbb{R}$, (B.68) is
equivalent to
$\displaystyle 1+\tilde{z}_{-}\frac{\Phi}{\phi}(\tilde{z}_{-})\leq\beta.$
(B.69)
To establish (B.69), note that the second part of (3.11) together with the
fact that $\frac{\gamma_{c}|\mu_{M}|}{\sigma_{Y}}\leq 1$ by the first part of
(3.11) and the definition of $z_{\mathrm{oli}}(\beta)$ yield
$\displaystyle\beta\frac{\phi}{\Phi}\left(z_{\mathrm{oli}}(\beta)\right)+z_{\mathrm{oli}}(\beta)-\frac{\gamma_{c}\mu_{M}}{\sigma_{Y}}$
$\displaystyle\geq\frac{\phi}{\Phi}\left(z_{\mathrm{oli}}(\beta)\right)\left(\beta+\frac{\Phi}{\phi}(z_{\mathrm{oli}}(\beta))\left(z_{\mathrm{oli}}(\beta)-\frac{\gamma_{c}|\mu_{M}|}{\sigma_{Y}}\right)\right)$
$\displaystyle\geq\frac{\phi}{\Phi}\left(z_{\mathrm{oli}}(\beta)\right)\left(\beta+\frac{2\beta-1}{\frac{\gamma_{c}|\mu_{M}|}{\sigma_{Y}}}\left(z_{\mathrm{oli}}(\beta)-\frac{\gamma_{c}|\mu_{M}|}{\sigma_{Y}}\right)\right)$
$\displaystyle\geq\frac{\phi}{\Phi}\left(z_{\mathrm{oli}}(\beta)\right)\left(\beta-\beta
c\right)\geq
0=\beta\frac{\phi}{\Phi}\left(\tilde{z}_{-}\right)+\tilde{z}_{-}-\frac{\gamma_{c}\mu_{M}}{\sigma_{Y}}.$
Hence, $z_{\mathrm{oli}}(\beta)\geq\tilde{z}_{-}$ by the definition of
$\tilde{z}_{-}$. Next, using that
$\frac{\Phi}{\phi}(\tilde{z}_{-})\geq\frac{\beta}{\frac{\gamma_{c}|\mu_{M}|}{\sigma_{Y}}-\tilde{z}_{-}}$
by definition of $\tilde{z}_{-}$ and using that $\tilde{z}_{-}\leq
z_{\mathrm{oli}}(\beta)\leq 0$ gives
$\displaystyle 1+\tilde{z}_{-}\frac{\Phi}{\phi}(\tilde{z}_{-})\leq
1+\frac{\beta\tilde{z}_{-}}{\frac{\gamma_{c}|\mu_{M}|}{\sigma_{Y}}-\tilde{z}_{-}}\leq
1-\beta+\frac{\beta\frac{\gamma_{c}|\mu_{M}|}{\sigma_{Y}}}{\frac{\gamma_{c}|\mu_{M}|}{\sigma_{Y}}-\tilde{z}_{-}}\leq
1-\beta+\frac{\beta\frac{\gamma_{c}|\mu_{M}|}{\sigma_{Y}}}{\frac{\gamma_{c}|\mu_{M}|}{\sigma_{Y}}-z_{\mathrm{oli}}(\beta)}=\beta,$
and we have (B.69). Next, define the function
$u:\mathbb{R}\setminus\\{0\\}\to\mathbb{R}$ by
$u(n):=\begin{cases}h_{-}^{-1}\Big{(}\gamma_{c}Kn\Big{)}-\gamma_{c}Kn,&\text{
if }n<0,\\\ h_{+}^{-1}\Big{(}\gamma_{c}Kn\Big{)}-\gamma_{c}Kn&\text{ if
}n>0.\end{cases}$ (B.70)
Then $u$ is continuously differentiable and nondecreasing by (B.65). Moreover,
it satisfies the ODE
$\displaystyle
u^{\prime}(n)=\begin{cases}\dfrac{K\gamma_{c}\left(-u(n)+g(u(n)+\gamma_{c}Kn)\right)}{\frac{F}{f}\left(u(n)+\gamma_{c}Kn\right)-(-u(n)+g(u(n)+\gamma_{c}Kn))},&\text{
if }n\in(-\infty,0),\\\
\dfrac{\gamma_{c}K\left(-u(n)+g(u(n)+\gamma_{c}Kn)\right)}{-\frac{\overline{F}}{f}\left(u(n)+\gamma_{c}Kn\right)-(-u(n)+g(u(n)+\gamma_{c}Kn))},&\text{
if }n\in(0,\infty).\end{cases}$ (B.71)
We only establish (B.71) on $(-\infty,0)$. To this end, fix $n<0$ and set
$x:=u(n)+\gamma_{c}Kn$. Using the definition of $u$, the identity
$u(n)+\gamma_{c}Kn=x=h_{-}^{-1}(\gamma_{c}Kn)$, the formula
$h_{-}^{\prime}(x)=-\beta(x-\mu_{Y})\frac{f}{F}-\beta\sigma_{Y}\frac{f^{2}}{F^{2}}+1$
and the identity
$(-h_{-}+\mathrm{id}-g)(x)=-\beta\sigma_{Y}\frac{f}{F}(x)-\beta(x-\mu_{Y})$,
we obtain
$\displaystyle u^{\prime}(n)$
$\displaystyle=\frac{\gamma_{c}K}{h_{-}^{\prime}(x)}-\gamma_{c}Kn=\frac{\gamma_{c}K}{-\beta(x-\mu_{Y})\frac{f}{F}-\beta\sigma_{Y}\frac{f^{2}}{F^{2}}+1}-\gamma_{c}Kn$
$\displaystyle=\frac{\gamma_{c}K\frac{F}{f}(x)}{-\beta(x-\mu_{Y})-\beta\sigma_{Y}\frac{f}{F}+\frac{F}{f}(x)}-\gamma_{c}Kn=\frac{K\gamma_{c}\frac{F}{f}(x)}{-h_{-}(x)+(\mathrm{id}-g)(x)+\frac{F}{f}(x)}-\gamma_{c}Kn$
$\displaystyle=\frac{K\gamma_{c}\left(h_{-}(x)-(\mathrm{id}-g)(x)\right)}{-h_{-}(x)+\frac{F}{f}(x)+(\mathrm{id}-g)(x)}=\frac{K\gamma_{c}\left(\gamma_{c}Kn-(\mathrm{id}-g)(x)\right)}{-\gamma_{c}Kn+\frac{F}{f}(x)+(\mathrm{id}-g)(x)}$
$\displaystyle=\frac{K\gamma_{c}\left(-u(n)+g(u(n)+\gamma_{c}Kn)\right)}{\frac{F}{f}\left(u(n)+\gamma_{c}Kn\right)-(-u(n)+g(u(n)+\gamma_{c}Kn))},\quad
n\in(-\infty,0).$
Finally, since $u$ is nondecreasing and satisfies the ODE (B.71), it follows
that
$\displaystyle
u^{\prime}(n)-\frac{(K-1)\gamma_{c}\left(-u(n)+g(u(n)+\gamma_{c}Kn)\right)}{\frac{F}{f}\left(u(n)+\gamma_{c}Kn\right)-(-u(n)+g(u(n)+\gamma_{c}Kn))}=\frac{1}{K}u^{\prime}(n)\geq
0,$ $\displaystyle n\in(-\infty,0),$ $\displaystyle
u^{\prime}(n)-\frac{\gamma_{c}(K-1)\left(-u(n)+g(u(n)+\gamma_{c}Kn)\right)}{-\frac{\overline{F}}{f}\left(u(n)+\gamma_{c}Kn\right)-(-u(n)+g(u(n)+\gamma_{c}Kn))}=\frac{1}{K}u^{\prime}(n)\geq
0,$ $\displaystyle n\in(0,\infty).$
Hence, on $(-\infty,0)$, $u$ is an upper solution to the ODE (B.71), and on
$(0,\infty)$, it is a lower solution. Thus, on $(-\infty,0)$, we can replace
the upper solution $w$ in the proof of Theorem 3.8 by the smaller and whence
tighter upper solution $u$ and conclude that $P^{\prime}_{*}\leq u$ on
$(-\infty,0)$. In particular, we have $P_{*}^{\prime}(0-)\leq u(0-)$. A
similar argument on $(0,\infty)$ gives $P^{\prime}_{*}\geq u$ on $(0,\infty)$
and $P_{*}^{\prime}(0+)\geq u(0+)$. Together with (B.66), this establishes
(3.9)–(3.10). ∎
## Appendix C Auxiliary Calculus Results
For lack of easy references, this appendix collects a number of calculus
results that are used in the proofs.
###### Lemma C.1.
Let $-\infty\leq a<b\leq+\infty$ and $f:(a,b)\to\mathbb{R}$. Suppose that each
$x\in(a,b)$ has an open neighbourhood $U_{x}\subset(a,b)$ such that, for all
$y\in U_{x}$,
$f(y)\begin{cases}<f(x)&\text{if }y<x,\\\ >f(x)\quad&\text{if
}y>x.\end{cases}$ (C.1)
Then $f$ is increasing on $(a,b)$.
###### Proof.
Seeking a contradiction, suppose there are $x_{1},x_{2}\in(a,b)$ with
$x_{1}<x_{2}$ and $f(x_{1})\geq f(x_{2})$. Set $I_{x_{2}}:=\\{x\leq
x_{2}:f(x)>f(x_{2})\\}$ and $\tilde{x}_{1}:=\sup I_{x_{2}}$. Let
$U_{\tilde{x}_{1}}$ be an open neighbourhood of $\tilde{x}_{1}$ such that
(C.1) is satisfied. By the definition of $\tilde{x}_{1}$, there is $y\in
U_{\tilde{x}_{1}}\cap I_{x_{2}}$ with $y<\tilde{x}_{1}$ such that
$f(x_{2})<f(y)<f(\tilde{x}_{1})$. Hence $\tilde{x}_{1}\in I_{x_{2}}$. It
follows from (C.1) that $\tilde{x}_{1}=x_{2}$. Let $U_{x_{2}}$ be such that
(C.1) is satisfied for $x_{2}$. Then by definition of $\tilde{x}_{1}$, there
is $y\in U_{x_{2}}\cap I_{x_{2}}$ such that $f(y)<f(x_{2})$. This yields the
desired contradiction and therefore shows $f$ is indeed increasing as
asserted. ∎
###### Lemma C.2.
Let $\overline{F},G:[0,\infty)\to\mathbb{R}$ be absolutely continuous
functions. Suppose that $\overline{F}(x)=\int_{x}^{\infty}f(y)\,\mathrm{d}y$
for some nonnegative Borel function $f$ and
$G(x)=\int_{0}^{x}g(y)\,\mathrm{d}y$ for some locally integrable Borel
function $g$. Moreover, suppose there exists a nonnegative and nondecreasing
function $H:[0,\infty)\to[0,\infty)$ with $|G|\leq H$ such that
$\int_{0}^{\infty}H(x)f(x)\,\mathrm{d}x<\infty$. Then
$\int_{0}^{\infty}G(x)f(x)dx=-\int_{0}^{\infty}g(x)\overline{F}(x)dx.$
###### Proof.
We may assume without loss of generality that $G$ is nondecreasing. Indeed,
otherwise write $G=G^{\uparrow}-G^{\downarrow}$, where
$G^{\uparrow}(x)=\int_{0}^{x}g^{+}(y)\,\mathrm{d}y$ and
$G^{\downarrow}(x)=\int_{0}^{x}g^{-}(y)\,\mathrm{d}y$, and use linearity of
the integral.
Fix $y>0$. Integration by parts gives
$\int_{0}^{y}G(x)f(x)dx=G(y)\overline{F}(y)-\int_{0}^{y}g(x)\overline{F}(x)dx.$
Moreover, by the assumptions on $H$ it follows that
$G(y)\overline{F}(y)\leq H(y)\overline{F}(y)\leq\int_{y}^{\infty}H(x)f(x)dx$
By the assumption on $H$, we may conclude that
$\lim_{y\to\infty}=G(y)\overline{F}(y)$. Now the claim follows from monotone
convergence. ∎
###### Lemma C.3.
Let $-\infty\leq a<b\leq\infty$ and $f:(a,b)\to\mathbb{R}$ be continuously
differentiable. Then:
1. (a)
$f$ is increasing if and only if $\\{f^{\prime}<0\\}=\emptyset$ and
$\\{f^{\prime}=0\\}$ is nowhere dense.
2. (b)
For each compact set $K\subset\\{f^{\prime}>0\\}$ and any continuously
differentiable function $g:(a,b)\to\mathbb{R}$ that is supported on $K$, there
is $\varepsilon^{\prime}>0$ such that $f+\varepsilon g$ is increasing for all
$\varepsilon\in[-\varepsilon^{\prime},\varepsilon^{\prime}]$.
###### Proof.
(a) Note that the set $\\{f^{\prime}<0\\}$ is open and $\\{f^{\prime}=0\\}$ is
closed in $(a,b)$ as $f^{\prime}$ is continuous. “$\Rightarrow$”: If $f$ is
increasing it is in particular nondecreasing and hence
$\\{f^{\prime}<0\\}=\emptyset$. Seeking a contradiction, suppose that
$\\{f^{\prime}=0\\}$ is not nowhere dense. Then there is an nonempty open set
$U\subset\\{f^{\prime}=0\\}$. Then there is $a<c<d<b$ such that $[c,d]\in U$.
It follows from the fundamental theorem of calculus that $f(c)=f(d)$, and we
arrive at a contradiction.
“$\Leftarrow$”: As $\\{f^{\prime}<0\\}=\emptyset$, it follows that $f$ is
nondecreasing. Seeking a contradiction, suppose there is $a<c<d<b$ such that
$f(c)=f(d)$. As $f$ is nondecreasing, this implies that $f$ is constant on
$(c,d)$ and hence $(c,d)\in\\{f^{\prime}=0\\}$, whence $\\{f^{\prime}=0\\}$
fails to be nowhere dense and we arrive at a contradiction.
(b) Fix a compact set $K\in\\{f^{\prime}>0\\}$ and any continuously
differentiable function $g:(a,b)\to\mathbb{R}$ that is supported on $K$. Set
$c_{1}:=\inf_{x\in K}f^{\prime}(x)>0$ and $c_{2}:=\sup_{x\in
K}|g^{\prime}(x)|$. By compactness of $K$, continuity of $f^{\prime}$ and
$g^{\prime}$ and the fact that $K\in\\{f^{\prime}>0\\}$, it follows that
$c_{1}>0$ and $c_{2}<\infty$. Set
$\varepsilon^{\prime}:=\frac{c_{1}}{|c_{2}|+1}$. Then if
$\varepsilon\in[-\varepsilon^{\prime},\varepsilon^{\prime}]$,
$f^{\prime}(x)+\varepsilon g^{\prime}(x)\begin{cases}\geq
c_{1}-\frac{c_{1}}{|c_{2}|+1}c_{2}>0&\text{if }x\in K,\\\
=f^{\prime}(x)&\text{if }x\in(a,b)\setminus K.\end{cases}$
It follows that $\\{f^{\prime}+\varepsilon
g^{\prime}<0\\}=\\{f^{\prime}<0\\}=\emptyset$ and $\\{f^{\prime}+\varepsilon
g^{\prime}=0\\}=\\{f^{\prime}=0\\}$. Hence $f+\varepsilon g$ is strictly
increasing by part (a). ∎
###### Proposition C.4.
Let $I=[0,\infty)$ or $(-\infty,0]$. Let $v,w:I\to\mathbb{R}$ be
differentiable functions with $v\leq w$ and set $\Gamma:=\\{(x,y)\in
I\times\mathbb{R}:v(x)\leq y\leq w(x)\\}$. Finally let
$\alpha:\Gamma\to\mathbb{R}$ be a continuous function such that the partial
derivative $\alpha_{y}:\Gamma\to\mathbb{R}$ is also continuous (up to the
boundary). Then the differential equation
$y^{\prime}(x)=\alpha(x,y(x))$ (C.2)
has global solution $\phi$ on $I$ that satisfies $v\leq\phi\leq w$ if either
$I=[0,\infty)$ and
$w^{\prime}(x)-\alpha(x,w(x))\leq 0\leq v^{\prime}(x)-\alpha(x,v(x)),$ (C.3)
or $I=(-\infty,0]$ and
$v^{\prime}(x)-\alpha(x,v(x))\leq 0\leq w^{\prime}(x)-\alpha(x,w(x)).$ (C.4)
We call $v$ a _lower_ and $w$ an _upper_ solution to (C.2)
###### Proof.
Because $\Gamma$ is closed and $\alpha$ and $\alpha_{y}$ are continuous on
$\Gamma$, we can extend $f$ to a continuous function
$\bar{\alpha}:I\times\mathbb{R}\to\mathbb{R}$ with continuous partial derivate
$\bar{\alpha}_{y}$ such that $\bar{\alpha}$ and $\alpha$ as well as
$\bar{\alpha}_{y}$ and $\alpha_{y}$ coincide on $\Gamma$. Now (C.3) follows
from (Walter, 1998, Theorem §9 XIII). Finally, (C.4) can be reduced to (C.3)
by setting $\tilde{v}(x)=v(-x)$, $\tilde{w}(x)=w(-x)$ and
$-\tilde{\alpha}(x,y)=\alpha(-x,y)$. ∎
## Appendix D Log-Concave Distributions
In this appendix, we list some well-known and not so well-known facts about
log-concave distributions; see An (1998) and Saumard and Wellner (2014) for
general overviews on log-concave distributions.
First, we recall some basic properties of log-concave distributions on the
real line.
###### Proposition D.1.
Let $f:\mathbb{R}\to(0,\infty)$ be a log-concave probability density function.
Denote by $F(x)=\int_{-\infty}^{x}f(y)\,\mathrm{d}y$ and
$\overline{F}(x)=\int_{-\infty}^{x}f(y)\,\mathrm{d}y$, $x\in\mathbb{R}$ its
cumulative distribution function and survival function, respectively. Then:
1. (a)
both $F$ and $\overline{F}$ are log-concave as well;
2. (b)
there exist $C>0$ and $\varepsilon>0$ such that $f(x)\leq
C\exp(-\varepsilon|x|)$ for all $x\in\mathbb{R}$.
3. (c)
$f$ admits a right derivative $f^{\prime}$ everywhere and there exists
$x^{*}\in\mathbb{R}$ such that $f^{\prime}\geq 0$ on $(-\infty,x^{*})$ and
$f^{\prime}\leq 0$ on $[x^{*},\infty)$.
###### Proof.
Part (a) follows from (An, 1998, Lemma 3). Part (b) is a consequence of (An,
1998, Corollary 1(ii)) and the fact that $x\mapsto f(-x)$ is also log-concave.
Existence of a right-derivative $f^{\prime}$ follows from the fact that
$\log(f)$ admits a right derivative everywhere since it is concave. Finally,
the existence of $x^{*}$ is implied by the fact that $f$ is (strongly)
unimodal by (An, 1998, Proposition 1). ∎
Next, we show that convolutions preserve log-concavity and yield additional
regularity.121212Note that _both_ $f$ and $g$ need to be log-concave: (Biais
et al., 2000, Proposition 16) is false; see Miravete (2002) for a
counterexample.
###### Proposition D.2.
Let $f,g:\mathbb{R}\to(0,\infty)$ be log-concave probability density functions
and let $f^{\prime}$ denote the right derivative of $f$. Moreover, denote by
$\mathrm{id}$ the identity function.
1. (a)
The convolution $f*g$ is again a log-concave probability density function, and
continuously differentiable with bounded derivative
$(f*g)^{\prime}=f^{\prime}*g$;
2. (b)
The convolution $f*(\mathrm{id}\,g)$ is integrable and continuously
differentiable with bounded derivative
$(f*(\mathrm{id}\,g))^{\prime}=f^{\prime}*(\mathrm{id}\,g)$.
###### Proof.
The first part of (a) follows from (An, 1998, Proposition 4).
For the remainder of (a) and (b), fix $x^{*}\in\mathbb{R}$ as in Proposition
D.1(c). The fundamental theorem of calculus yields
$\displaystyle\int_{-\infty}^{\infty}|f^{\prime}(x)|\,\mathrm{d}x$
$\displaystyle=\int_{-\infty}^{x^{*}}f^{\prime}(x)\,\mathrm{d}x-\int_{-\infty}^{x^{*}}f^{\prime}(x)\,\mathrm{d}x=2f(x^{*})<\infty,$
(D.1)
Since $g$ and $\mathrm{id}\,g$ are bounded Proposition D.1(b), the
convolutions $f^{\prime}*g$ and $f^{\prime}*(\mathrm{id}\,g)$ are well-
defined, continuous (by dominated convergence) and bounded. Now the result
follows from the fundamental theorem of calculus and Fubini’s theorem. ∎
Finally, we derive a refined version of Efron’s theorem (Efron, 1965) on the
conditional mean of a log-concave random variable given the sum of this random
variable and another independent log-concave random variable.
###### Proposition D.3.
Let $U$ and $V$ be independent real-valued random variables with positive log-
concave probability density functions $f_{U}$ and $f_{V}$. Set $W=U+V$. Then
the conditional mean function
$w\mapsto g(w)=E[U\,|\,W=w]$
is continuously differentiable and satisfies $g^{\prime}>0$.
###### Proof.
First, $g$ is continuously differentiable since
$g(w)=\frac{\int_{\mathbb{R}}(w-v)f_{U}(w-v)f_{V}(v)\,\mathrm{d}v}{\int_{\mathbb{R}}f_{U}(w-v)f_{V}(v)\,\mathrm{d}v}$
and both the numerator and denominator are continuously differentiable by
Proposition D.2, with derivatives
$((\mathrm{id}\,f_{U})*f_{V})^{\prime}=(\mathrm{id}\,f_{U})*f_{V}^{\prime}$
and $(f_{U}*f_{V})^{\prime}=f_{U}*f_{V}^{\prime}$ respectively, where
$f^{\prime}_{V}$ denotes the right derivative of $f_{V}$.
To show that $g^{\prime}>0$, fix $w\in\mathbb{R}$. Then Fubini’s theorem gives
$\displaystyle g^{\prime}(w)$
$\displaystyle=\frac{\int_{\mathbb{R}}\int_{\mathbb{R}}(w-v_{1})f_{U}(w-v_{1})f_{U}(w-v_{2})\big{[}f^{\prime}_{V}(v_{1})f_{V}(v_{2})-f^{\prime}_{V}(v_{2})f_{V}(v_{1})\big{]}\,\mathrm{d}v_{1}\,\mathrm{d}v_{2}}{\int_{\mathbb{R}}\int_{\mathbb{R}}f_{U}(w-v_{1})f_{U}(w-v_{2})f_{V}(v_{1})f_{V}(v_{2})\,\mathrm{d}v_{1}\,\mathrm{d}v_{2}}.$
(D.2)
To complete the proof, it remains to show that the numerator in (D.2) is
positive. Using symmetry and averaging over the first and second line for the
third line, we obtain
$\displaystyle\int_{\mathbb{R}}\int_{\mathbb{R}}(w-v_{1})f_{U}(w-v_{1})f_{U}(w-v_{2})\big{[}f^{\prime}_{V}(v_{1})f_{V}(v_{2})-f^{\prime}_{V}(v_{2})f_{V}(v_{2})\big{]}\,\mathrm{d}v_{1}\,\mathrm{d}v_{2}$
$\displaystyle=\int_{\mathbb{R}}\int_{\mathbb{R}}(v_{2}-w)f_{U}(w-v_{1})f_{U}(w-v_{2})\big{[}f^{\prime}_{V}(v_{1})f_{V}(v_{2})-f^{\prime}_{V}(v_{2})f_{V}(v_{2})\big{]}\,\mathrm{d}v_{1}\,\mathrm{d}v_{2}$
$\displaystyle=\frac{1}{2}\int_{\mathbb{R}}\int_{\mathbb{R}}(v_{2}-v_{1})f_{U}(w-v_{1})f_{U}(w-v_{2})\big{[}f^{\prime}_{V}(v_{2})f_{V}(v_{1})-f^{\prime}_{V}(v_{1})f_{V}(v_{2})\big{]}\,\mathrm{d}v_{1}\,\mathrm{d}v_{2}$
$\displaystyle=\frac{1}{2}\int_{\mathbb{R}}\int_{\mathbb{R}}\left[(v_{2}-v_{1})\left(\frac{f^{\prime}_{V}(v_{1})}{f_{V}(v_{1})}-\frac{f^{\prime}_{V}(v_{2})}{f_{V}(v_{2})}\right)\right]f_{U}(w-v_{1})f_{U}(w-v_{2})f_{V}(v_{1})f_{V}(v_{2})\,\mathrm{d}v_{1}\,\mathrm{d}v_{2}.$
(D.3)
By log-concavity of $f$, the function $v\mapsto f^{\prime}_{V}(v)/f_{V}(v)$
(which is the right derivative of $\log(f_{V})$) is nonincreasing. This
implies that
$f^{\prime}_{V}(v_{1})/f_{V}(v_{1})-f^{\prime}_{V}(v_{2})/f_{V}(v_{2})$ is
nonpositive for $v_{1}\leq v_{2}$ and nonnegative for $v_{1}\geq v_{2}$.
Moreover, since $f$ is integrable, $v\mapsto f^{\prime}_{V}(v)/f_{V}(v)$ is
not constant. As a consequence,
$(v_{2}-v_{1})\left(\frac{f^{\prime}_{V}(v_{1})}{f_{V}(v_{1})}-\frac{f^{\prime}_{V}(v_{2})}{f_{V}(v_{2})}\right)\geq
0,$
where for each $v_{1}\in\mathbb{R}$ sufficiently small, the inequality is
strict if $|v_{2}-v_{1}|$ is sufficiently large (because $v\mapsto
f^{\prime}_{V}(v)/f_{V}(v)$ is nonincreasing and not constant). Since
$f_{U}(w-v_{1})f_{U}(w-v_{2})f_{V}(v_{1})f_{V}(v_{2})$ is positive for each
$v_{1},v_{2}\in\mathbb{R}$, it follows that (D.3) is positive. ∎
## References
* An (1998) M. Y. An. Logconcavity versus logconvexity: a complete characterization. _Journal of Economic Theory_ , 80(2):350–369, 1998.
* Attar et al. (2019) A. Attar, T. Mariotti, and F. Salanié. On competitive nonlinear pricing. _Theoretical Economics_ , 14(1):297–343, 2019\.
* Back and Baruch (2013) K. Back and S. Baruch. Strategic liquidity provision in limit order markets. _Econometrica_ , 81(1):363–392, 2013.
* Bertsekas (1999) D. Bertsekas. _Nonlinear programming_. Athena Scientific, Belmont, MA, second edition, 1999.
* Biais et al. (2000) B. Biais, D. Martimort, and J.-C. Rochet. Competing mechanisms in a common value environment. _Econometrica_ , 68(4):799–837, 2000.
* Biais et al. (2013) B. Biais, D. Martimort, and J.-C. Rochet. Corrigendum to “Competing mechanisms in a common value environment”. _Econometrica_ , 81(1):393–406, 2013.
* Bielagk et al. (2019) J. Bielagk, U. Horst, and S. Moreno-Bromberg. Trading under market impact: Crossing networks interacting with dealer markets. _Journal of Economic Dynamics and Control_ , 100:131–151, 2019.
* Cetin and Waelbroeck (2021) U. Cetin and H. Waelbroeck. An equilibrium analysis of price impact and order flow. Preprint, 2021.
* Efron (1965) B. Efron. Increasing properties of Polya frequency functions. _Annals of Mathematical Statistics_ , 36(1):272–279, 1965.
* Glosten (1989) L. R. Glosten. Insider trading, liquidity, and the role of the monopolist specialist. _Journal of Business_ , 62(2):211–235, 1989.
* Ho and Stoll (1981) T. Ho and H. R. Stoll. Optimal dealer pricing under transactions and return uncertainty. _Journal of Financial Economics_ , 9(1):47–73, 1981.
* Miravete (2002) E. J. Miravete. Preserving log-concavity under convolution: Comment. _Econometrica_ , 70(3):1253–1254, 2002.
* Saumard and Wellner (2014) A. Saumard and J. A. Wellner. Log-concavity and strong log-concavity: A review. _Statistics Surveys_ , 8:45, 2014.
* Treynor (1971) J. Treynor. The only game in town. _Financial Analysts Journal_ , 22:12–14, 1971.
* Walter (1998) W. Walter. _Ordinary differential equations_. Springer, New York, 1998.
| arxiv-papers | 2021-07-26T10:29:55 | 2024-09-04T03:07:18.261640 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Martin Herdegen, Johannes Muhle-Karbe, Florian Stebegg",
"submitter": "Johannes Muhle-Karbe",
"url": "https://arxiv.org/abs/2107.12094"
} |
2107.12098 | # Position-agnostic Algebraic Estimation of 6G V2X MIMO Channels via
Unsupervised Learning
Lorenzo Cazzella1, Dario Tagliaferri1, Marouan Mizmizi1, Matteo Matteucci1,
Damiano Badini2, Christian Mazzucco2 and Umberto Spagnolini1 E-mails:
{lorenzo.cazzella, dario.tagliaferri, marouan.mizmizi, matteo.matteucci,
umberto.spagnolini}@polimi.it
{damiano.badini, christian.mazzucco}@huawei.com 1Politecnico di Milano,
Milan, Italy 2Huawei Technologies Italia S.r.l., Segrate, Italy
###### Abstract
MIMO systems in the context of 6G Vehicle-to-Everything (V2X) will require an
accurate channel knowledge to enable efficient communication. Standard channel
estimation techniques, such as Unconstrained Maximum Likelihood (U-ML), are
extremely noisy in massive MIMO settings, while structured approaches, e.g.,
compressed sensing, are suited to low-mobility scenarios and are sensitive to
hardware impairments. We propose a novel Multi-Vehicular algebraic channel
estimation method for 6G V2X based on unsupervised learning which exploits
recurrent vehicle passages in typical urban settings. Multiple training
sequences are clustered via K-medoids algorithm based on their algebraic
similarity to retrieve the MIMO channel eigenmodes, which can be used to
improve the channel estimates. Numerical results show remarkable benefits of
the proposed method in terms of Mean Squared Error (MSE) compared to standard
U-ML solution (15 dB less).
###### Index Terms:
Algebraic MIMO channel estimation, 6G, V2X, Unsupervised learning, Clustering,
K-medoids
## I Introduction
Millimeter Wave (mmWave) ($30-100$ GHz) and sub-THz ($100-300$ GHz) bands
definitely emerged as viable solutions for 5G and mostly 6G Vehicle-to-
Everything (V2X) applications, due to the spectrum crunch at sub-6 GHz
frequencies. In particular, the $24.25-52.6$ GHz band is used in 5G New Radio
(NR) Frequency Range 2 (FR2), while future 6G V2X systems will exploit even
larger spectrum portions in D-band ($120$ GHz) [1]. Notwithstanding, the high
path loss emerging at high frequencies induces a coverage reduction and a
sparse propagation channel, characterized by few significant paths in the
Space-Time (ST) domain, i.e., Angles of Arrival/Departure (AoAs/AoDs) and
delays [2]. To compensate for the path loss, Multiple-Input Multiple-Output
(MIMO) antenna systems at both Transmitter (Tx) and Receiver (Rx) are required
to increase antenna gain by beamforming strategies [3].
In massive MIMO systems, the channel knowledge becomes essential for designing
the correct beamforming directions at both Tx and Rx sides. In Orthogonal
Frequency Division Multiplexing/Multiple Access (OFDM/OFDMA) systems, such as
the 5G NR FR2 radio interface, standard approaches are based on an
Unconstrained Maximum Likelihood (U-ML) channel estimate at each training
block from known pilot sequences, which however is extremely noisy in low
Signal-to-Noise Ratio (SNR) conditions. Its performance can be improved with
constrained approaches reducing the number of unknowns operating on multiple
channel realizations, and this can be obtained either via a structured
approach, such as Compressed Sensing (CS) [4], or via an unstructured
approach, recognizing the algebraic Low-Rank (LR) nature of the MIMO channel
matrix [5]. Although providing remarkable performance, CS is sensitive to
array system calibrations and parameters initialization [6], being currently
too complex for rapidly time-varying V2X channels.
LR channel estimation methods, originally proposed for sub-6 GHz systems [5]
and then for mmWave [6, 7], operate on ensembles of training sequences from a
single (or multiple) User Equipment (UE) to a fixed Base Station (BS),
leveraging on the algebraic channel sparsity and on the stationarity of the ST
eigenmodes (ST subspace) in time [6] or space [7], i.e., the invariance of
AoAs/AoDs and delays over multiple channel realizations. The improved LR
channel estimate is retrieved by a modal filtering of the received training
sequence onto the ST propagation subspace. LR achieves the same advantages of
CS but with an inherent robustness against hardware impairments [6].
Nevertheless, the main drawback of the aforementioned LR implementation is
that it requires either a large number of consecutive transmissions (not
suited to V2X) or the knowledge of UEs position at the BS (increased control
signaling).
In this work, we take advantage of the Multi-Vehicular (MV) approach proposed
in [7] to design a novel position-agnostic clustering-based MV-LR channel
estimation method suited for V2X, where the channel eigenmodes are retrieved
from the set of received training sequences collected from the recurrent
vehicle passages in a limited urban area (radio cell), where the road
constraints induce recurrences in the ST MIMO channel subspace.
Instead of requiring the explicit knowledge of UEs positions, by leveraging
the works in [8, 9], we frame the problem of obtaining the ensemble of
received training sequences for LR as a K-medoids high-dimensional clustering
problem in the ST subspace of the MIMO channel, where different received
training sequences are grouped based on their algebraic similarity. Numerical
simulations with ray-tracing channel data and realistic vehicle trajectories
show the effectiveness of the proposed method, highlighting a Mean Squared
Error (MSE) gain in the order of $\approx 15$ dB compared to U-ML channel
estimation, attaining the theoretical bound.
Notation: Bold upper- and lower-case letters describe matrices and column
vectors. $(\cdot)^{\mathrm{T}}$, $(\cdot)^{\mathrm{H}}$, $(\cdot)^{*}$,
$\left\lVert\cdot\right\rVert$, and $\mathrm{vec}(\cdot)$ denote,
respectively, transpose, conjugate-transpose, conjugate, Frobenius norm, and
vectorization by columns of a matrix. $\mathrm{tr}\left(\cdot\right)$,
$\mathrm{rank}\left(\cdot\right)$, $\mathrm{eig}_{r}(\cdot)$,
$\mathrm{span}(\cdot)$, extract the trace, the rank, the $r$ eigenvectors and
the subspace spanned by the columns of a matrix. $\otimes$, $\diamond$ and
$\odot$ denote the Kronecker, the Kathri-Rao (column-wise) and the element-
wise product between two matrices. $\mathbf{A}^{\dagger}$ is the Moore-Penrose
pseudo-inverse of $\mathbf{A}$. $\mathrm{diag}(\cdot)$ denotes either a
diagonal matrix or the extraction of the diagonal of a matrix.
$\mathbf{a}\sim\mathcal{CN}(\boldsymbol{\mu},\mathbf{C})$ denotes a multi-
variate complex Gaussian random variable $\mathbf{a}$ with mean
$\boldsymbol{\mu}$ and covariance $\mathbf{C}$. $\mathbb{E}[\cdot]$ is the
expectation operator, while $\mathbb{R}$ and $\mathbb{C}$ stand for the set of
real and complex numbers, respectively. Finally, $\delta_{n}$ is the Kronecker
delta.
## II System and Channel Model
We consider a single-user, multi-carrier MIMO uplink system tailored to a V2I
scenario, in which the Tx and the Rx are equipped with $N_{T}$ and $N_{R}$
antennas, respectively. We assume the available spectrum divided in $N_{K}$
subcarriers. At the receiving antennas, after the time and frequency
synchronization and cyclic prefix removal, the Rx signal is:
$\mathbf{y}(t)=\mathbf{H}(t)*\mathbf{x}(t)+\mathbf{n}(t),$ (1)
where symbol $*$ denotes the matrix convolution between the transmitted signal
$\mathbf{x}(t)\in\mathbb{C}^{N_{T}\times 1}$ and the frequency-selective MIMO
channel $\mathbf{H}(t)\in\mathbb{C}^{N_{R}\times N_{T}}$. Vector
$\mathbf{n}(t)\in\mathbb{C}^{N_{R}\times 1}$ denotes the additive Gaussian
noise corrupting the received signal. Sampling (1) at time $t=wT$, with
$T=1/B$ being the sampling time ($B$ the bandwidth) and performing the
$N_{K}$-point Discrete Fourier Transform (DFT) we obtain:
$\mathbf{y}[k]=\mathbf{H}[k]\,\mathbf{x}[k]+\mathbf{n}[k],$ (2)
where $\mathbf{H}[k]$ is the MIMO channel per-subcarrier. For channel
estimation purposes, the Tx signal is a known training sequence assumed to be
random (but known at receiver) and uncorrelated in space and frequency as
$\mathbb{E}\left[\mathbf{x}[k]\mathbf{x}[\ell]^{\mathrm{H}}\right]=\sigma^{2}_{x}\mathbf{I}_{N_{T}}\delta_{k-\ell}$.
The noise $\mathbf{n}[k]$ is instead modelled as white in time/frequency, but
generally colored in space, to account for directional interference, as
$\mathbb{E}\left[\mathbf{n}[k]\mathbf{n}[\ell]^{\mathrm{H}}\right]=\mathbf{Q}_{n}\delta_{k-\ell}$.
The average SNR per subcarrier is
$\mathrm{SNR}=\frac{1}{N_{K}}\sum_{k}\mathbb{E}[\left\lVert\mathbf{H}[k]\mathbf{x}[k]\right\rVert^{2}]/\mathbb{E}[\left\lVert\mathbf{n}[k]\right\rVert^{2}]$.
### II-A MIMO Channel Model
The discrete-time wideband (frequency-selective) MIMO channel between Tx and
Rx is routinely modeled as the sum of $P$ paths as [2]
$\begin{split}\mathbf{H}[w]&=\sum_{p=1}^{P}\alpha_{p}\,\mathbf{a}_{R}(\boldsymbol{\vartheta}_{p})\mathbf{a}_{T}^{\mathrm{T}}(\boldsymbol{\psi}_{p})g\left[(w-1)T-\tau_{p}\right]=\\\
&=\mathbf{A}_{R}\left(\boldsymbol{\vartheta}\right)\boldsymbol{\Lambda}[w]\mathbf{A}_{T}^{\mathrm{T}}\left(\boldsymbol{\psi}\right),\end{split}$
(3)
where:
* •
$\mathbf{H}[w]\in\mathbb{C}^{N_{R}\times N_{T}}$, $w=1,\dots,W$, is the $w$-th
tap (out of $W$) of the discrete-time channel matrix;
* •
$\alpha_{p}\sim\mathcal{CN}\left(0,\Omega_{p}\right)$ is the complex gain of
the $p$-th path. The paths’ amplitudes
$\boldsymbol{\alpha}=[\alpha_{1},\dots,\alpha_{P}]^{\mathrm{T}}$ are assumed
to the Wide-Sense Stationary Uncorrelated Scattering (WSSUS) model, i.e.,
$\mathbb{E}\left[\boldsymbol{\alpha}_{n}\boldsymbol{\alpha}^{\mathrm{H}}_{n+m}\right]=\boldsymbol{\Omega}\,\delta_{n-m}$,
in which
$\boldsymbol{\Omega}=\mathrm{diag}\left(\Omega_{1},\dots,\Omega_{P}\right)$
contains the paths’ powers and $n$, $m$ are two channel realizations in time
(different fading blocks) or space (different locations);
* •
$\mathbf{a}_{T}(\boldsymbol{\psi}_{p})\in\mathbb{C}^{N_{T}\times 1}$ and
$\mathbf{a}_{R}(\boldsymbol{\vartheta}_{p})\in\mathbb{C}^{N_{R}\times 1}$ are
the Tx and Rx array response vectors to the $p$-th path, respectively,
function of the DoDs $\boldsymbol{\psi}_{p}$ and the DoAs
$\boldsymbol{\vartheta}_{p}$;
* •
$g\left[(w-1)T-\tau_{p}\right]$ denotes the $w$-th sample of the cascade
response of the Tx and the Rx pulse shaping filters (PSF), delayed by
$\tau_{p}$ ($p$-th path delay).
In (3), matrices
$\mathbf{A}_{T}\left(\boldsymbol{\psi}\right)=\left[\mathbf{a}_{T}(\boldsymbol{\psi}_{1}),\dots,\mathbf{a}_{T}(\boldsymbol{\psi}_{P})\right]\in\mathbb{C}^{N_{T}\times
P}$ and
$\mathbf{A}_{R}\left(\boldsymbol{\vartheta}\right)=\left[\mathbf{a}_{R}(\boldsymbol{\vartheta}_{1}),\dots,\mathbf{a}_{R}(\boldsymbol{\vartheta}_{P})\right]\in\mathbb{C}^{N_{R}\times
P}$ identify the Tx and Rx frequency-independent beam spaces, respectively,
while
$\boldsymbol{\Lambda}[w]=\mathrm{diag}(\alpha_{1}\,g[(w-1)T-\tau_{1}],\dots,\alpha_{P}\,g[(w-1)T-\tau_{P}])\in\mathbb{C}^{P\times
P}$ is a diagonal matrix collecting all the channel amplitudes scaled by the
$w$-th tap of the PSF. Matrices $\mathbf{A}_{T}\left(\boldsymbol{\psi}\right)$
and $\mathbf{A}_{R}\left(\boldsymbol{\vartheta}\right)$ define the Tx and Rx
diversity orders of channel $\mathbf{H}[w]$ as
$\displaystyle r_{\mathrm{S}}^{\mathrm{TX}}$
$\displaystyle=\mathrm{rank}(\mathbf{A}_{T}\left(\boldsymbol{\psi}\right))\leq\mathrm{min}\left(N_{T},P\right),$
(4) $\displaystyle r_{\mathrm{S}}^{\mathrm{RX}}$
$\displaystyle=\mathrm{rank}(\mathbf{A}_{R}\left(\boldsymbol{\vartheta}\right))\leq\mathrm{min}\left(N_{R},P\right),$
(5)
i.e., the number of resolvable spatial paths given the number of Tx and Rx
antennas, respectively. The channel for frequency $k$ in (3) can be obtained
from $\mathbf{H}[w]$ with a Discrete Fourier Transform (DFT). By rearranging
channel (3), we can isolate the temporal (delays) features of the channel as:
$\boldsymbol{\mathcal{H}}=\boldsymbol{\mathcal{A}}\left(\boldsymbol{\vartheta},\boldsymbol{\psi}\right)\mathbf{D}\,\mathbf{G}^{\mathrm{T}}(\boldsymbol{\tau}),$
(6)
where: (i)
$\boldsymbol{\mathcal{H}}=[\mathrm{vec}(\mathbf{H}[1]),\dots,\mathrm{vec}(\mathbf{H}[W])]$;
(ii)
$\boldsymbol{\mathcal{A}}\left(\boldsymbol{\vartheta},\boldsymbol{\psi}\right)=\mathbf{A}_{T}(\boldsymbol{\psi})\diamond\mathbf{A}_{R}(\boldsymbol{\vartheta})\in\mathbb{C}^{N_{T}N_{R}\times
P}$ span the joint Tx and Rx beam space; (iii)
$\mathbf{D}=\mathrm{diag}(\alpha_{1},\dots,\alpha_{P})$ and (iv)
$\mathbf{G}\left(\boldsymbol{\tau}\right)=\left[\mathbf{g}(\tau_{1}),\dots,\mathbf{g}(\tau_{P})\right]$
embed the temporal features $\tau$. Vector
$\mathbf{g}\left(\tau_{p}\right)\in\mathbb{R}^{W\times
1}=\left[g\left[-\tau_{p}\right],\dots,g\left[(W-1)T-\tau_{p}\right]\right]^{\mathrm{T}}$
collects PSF samples delayed by $\tau_{p}$. With this channel formulation, the
temporal diversity order is:
$r_{\mathrm{T}}=\mathrm{rank}(\mathbf{G}\left(\boldsymbol{\tau}\right))\leq\mathrm{min}\left(W,P\right).$
(7)
## III MV-LR MIMO Channel Estimation
To overcome the limitations of U-ML channel estimation techniques, we adapt
here the LR method to high-mobility V2X systems by exploiting the MV concept
proposed in [7]. The BS estimates the ST eigenmodes of channel
$\widetilde{\mathbf{H}}[w]$ from the ensemble of $L$ received training
sequences $\\{\mathbf{y}_{\ell}[k]\\}_{\ell=1}^{\ell=L}$, sharing the same ST
propagation subspace, collected from recurrent vehicle passages over the same
geographical location. The underlying idea of the proposed MV-LR is that, in a
quasi-static propagation environment, different vehicles (with the same
antenna equipment) passing on the same location in space with only slightly
different trajectories, as commonly happens in urban traffic scenarios,
experience the same AoDs/AoAs and delays in communicating with the BS, and
different fading amplitudes. In this context, the training sequences
$\\{\mathbf{y}_{\ell}[k]\\}_{\ell=1}^{\ell=L}$ share the same propagation
subspace. There are two possible implementations of the method, based on the
available degree of cooperation between the UEs and the infrastructure (BS).
In both cases, the notable advantage of MV-LR is the possibility, for the BS,
to store the ST eigenmodes list, in order to avoid repeating the training
procedure for each vehicle, minimizing the computations.
Position-aware approach: The ST eigenmodes of the MIMO channel are explicitly
associated to the physical position in the cell of the UE. The BS collects the
$L$ received training sequences $\\{\mathbf{y}_{\ell}[k]\\}_{\ell=1}^{\ell=L}$
for each location in the cell by relating them with the estimated physical UEs
positions, obtained through either a suitable signaling or other localization
techniques. The UEs are requested to cooperate with the infrastructure to
build the database of ST channel eigenmodes, and the LR estimation performance
depends on the positioning accuracy, which can be in the order of few meters
in urban scenarios.
Position-agnostic approach: The ST eigenmodes of the MIMO channel are not
related to a given physical UE position but rather are subspace-dependent. A
huge dataset of $N$ received training sequences
$\\{\mathbf{y}_{\ell}[k]\\}_{i=1}^{i=N}$, $N\gg L$, not explicitly related to
physical positions, is clustered at the BS with an unsupervised learning
approach to automatically devise the algebraic similarity (subspace
similarity) in the dataset. The cooperation between UEs and BS is minimal
(exchange of training sequences, already in place for communication), and the
performance of the system depends on the number $K$ of chosen clusters, on the
dataset (cardinality, data diversity), and on the selected similarity metric.
In the following, we outline the algebraic background for the LR channel
estimation from $L$ different training sequences
$\\{\mathbf{y}_{\ell}[w]\\}_{\ell=1}^{\ell=L}$, assumed to share the same ST
propagation subspace. More details can be found in [5]. The LR-estimated
channel is retrieved through the application of a training sequence-specific
matrix $\mathbf{T}_{\ell}$ and an ensemble-specific matrix
$\boldsymbol{\Pi}(L)$ on single received training signal
$\mathbf{y}_{\ell}=\left[\mathbf{y}^{\mathrm{T}}_{\ell}[1],\dots,\mathbf{y}^{\mathrm{T}}_{\ell}[N_{K}]\right]^{\mathrm{T}}\in\mathbb{C}^{N_{K}N_{R}\times
1}$ as:
$\widehat{\mathbf{h}}_{\ell}=\boldsymbol{\Pi}(L)\,\mathbf{T}_{\ell}\,\mathbf{y}_{\ell}=\boldsymbol{\Pi}(L)\,\overline{\mathbf{y}}_{\ell},$
(8)
where: (i) $\widehat{\mathbf{h}}_{\ell}\in\mathbb{C}^{WN_{R}N_{T}\times 1}$ is
the LR-estimated channel vector, that can be rearranged to obtain either
$\widehat{\mathbf{H}}_{\ell}[w]$ or $\widehat{\mathbf{H}}_{\ell}[k]$ and (ii)
$\overline{\mathbf{y}}_{\ell}=\mathbf{T}_{\ell}\,\mathbf{y}_{\ell}\in\mathbb{C}^{WN_{R}N_{T}\times
1}$ is the pre-processed signal by matrix $\mathbf{T}_{\ell}$. A notable
example of pre-processing is the U-ML channel estimation, here adopted, and
detailed in [6].
Based on the LR constraints in (4), (5) and (7), the ensemble-specific matrix
in (8) is designed as [5]:
$\boldsymbol{\Pi}(L)=\widehat{\mathbf{C}}^{\frac{\mathrm{H}}{2}}\,\widehat{\boldsymbol{\Pi}}\,\widehat{\mathbf{C}}^{-\frac{\mathrm{H}}{2}},$
(9)
where (i)
$\widehat{\mathbf{C}}\approx(1/\sigma^{2}_{x})\,(\mathbf{I}_{W}\otimes\mathbf{I}_{N_{T}}\otimes\mathbf{Q}^{\mathrm{T}}_{n})$
is the estimated covariance matrix of $\overline{\mathbf{y}}_{\ell}$, needed
to handle spatial/temporal noise correlations (e.g., interfering users) and
(ii)
$\widehat{\boldsymbol{\Pi}}=\widehat{\mathbf{U}}^{*}_{\mathrm{T}}\widehat{\mathbf{U}}^{\mathrm{T}}_{\mathrm{T}}\otimes\widehat{\mathbf{U}}^{\mathrm{Tx},*}_{\mathrm{S}}\widehat{\mathbf{U}}^{\mathrm{Tx,T}}_{\mathrm{S}}\otimes\widehat{\mathbf{U}}^{\mathrm{Rx}}_{\mathrm{S}}\widehat{\mathbf{U}}^{\mathrm{Rx,H}}_{\mathrm{S}}$
is the projection matrix onto the ST propagation subspace associated to the
separable basis
$\widehat{\mathbf{U}}=\widehat{\mathbf{U}}^{*}_{\mathrm{T}}\otimes\widehat{\mathbf{U}}^{\mathrm{Tx,*}}_{\mathrm{S}}\otimes\widehat{\mathbf{U}}^{\mathrm{Rx}}_{\mathrm{S}}$.
Orthonormal bases $\widehat{\mathbf{U}}_{\mathrm{T}}\in\mathbb{C}^{W\times
r_{\mathrm{T}}}$,
$\widehat{\mathbf{U}}^{\mathrm{Tx}}_{\mathrm{S}}\in\mathbb{C}^{N_{T}\times
r^{\mathrm{Tx}}_{\mathrm{S}}}$ and
$\widehat{\mathbf{U}}^{\mathrm{Rx}}_{\mathrm{S}}\in\mathbb{C}^{N_{T}\times
r^{\mathrm{Rx}}_{\mathrm{S}}}$ are retrieved as the
$r^{\mathrm{Tx}}_{\mathrm{S}}$, $r^{\mathrm{Rx}}_{\mathrm{S}}$ and
$r_{\mathrm{T}}$ leading eigenvectors of the following sample correlation
matrices over $L$ received training sequences:
$\displaystyle\widehat{\mathbf{R}}^{\mathrm{Tx}}_{\mathrm{S}}$
$\displaystyle=\frac{1}{L}\sum_{\ell=1}^{L}\sum_{w=1}^{W}\overline{\overline{\mathbf{Y}}}_{\ell}[w]\,\overline{\overline{\mathbf{Y}}}^{\mathrm{H}}_{\ell}[w],$
(10) $\displaystyle\widehat{\mathbf{R}}^{\mathrm{Rx}}_{\mathrm{S}}$
$\displaystyle=\frac{1}{L}\sum_{\ell=1}^{L}\sum_{w=1}^{W}\overline{\overline{\mathbf{Y}}}^{\mathrm{H}}_{\ell}[w]\,\overline{\overline{\mathbf{Y}}}_{\ell}[w],$
(11) $\displaystyle\widehat{\mathbf{R}}_{\mathrm{T}}$
$\displaystyle=\frac{1}{L}\sum_{\ell=1}^{L}\overline{\overline{\boldsymbol{\mathcal{Y}}}}_{\ell}^{\mathrm{H}}\,\overline{\overline{\boldsymbol{\mathcal{Y}}}}_{\ell},$
(12)
where $\overline{\overline{\mathbf{Y}}}_{\ell}[w]\in\mathbb{C}^{N_{T}\times
N_{R}}$ and
$\overline{\overline{\boldsymbol{\mathcal{Y}}}}_{\ell}\in\mathbb{C}^{N_{T}N_{R}\times
W}$ are suitable rearrangements of the whitened sequence
$\overline{\overline{\mathbf{y}}}_{\ell}=\widehat{\mathbf{C}}^{-\frac{\mathrm{H}}{2}}\overline{\mathbf{y}}_{\ell}\in\mathbb{C}^{WN_{T}N_{R}\times
1}$.
The LR performance is proportional to the unstructured sparsity degree of the
channel. It can be demonstrated that, if at least one of the following
conditions holds:
$\displaystyle
r^{\mathrm{Tx}}_{\mathrm{S}}<N_{T},\;\;\;\;r^{\mathrm{Rx}}_{\mathrm{S}}<N_{R},\;\;\;\;r_{\mathrm{T}}<W,$
(13)
the LR method outperforms the U-ML one. Asymptotically ($L\rightarrow\infty$),
the estimated subspaces converge to:
$\displaystyle\mathrm{span}(\widehat{\mathbf{U}}^{\mathrm{Tx}}_{\mathrm{S}})\rightarrow\mathrm{span}(\mathbf{A}_{T}(\boldsymbol{\psi})),$
(14)
$\displaystyle\mathrm{span}(\widehat{\mathbf{U}}^{\mathrm{Rx}}_{\mathrm{S}})\rightarrow\mathrm{span}(\mathbf{Q}^{-\frac{\mathrm{H}}{2}}_{n}\mathbf{A}_{R}(\boldsymbol{\vartheta})),$
(15)
$\displaystyle\mathrm{span}(\widehat{\mathbf{U}}_{\mathrm{T}})\rightarrow\mathrm{span}(\mathbf{G}(\boldsymbol{\tau})),$
(16)
and the LR attains the maximum performance. The value of $L$ for the
asymptotic convergence depends on the size of the correlation matrices in
(10), (11) and (12) as well as on the SNR. For the MIMO settings and
bandwidths considered in Section V, $L\approx 100$ guarantees the convergence.
## IV Clustering-based MV-LR Channel Estimation
In this section, we describe the clustering algorithm used for the position-
agnostic MV-LR implementation in V2X urban settings. Let us consider a large
number, $N$, of received training sequences
$\\{\overline{\overline{\mathbf{y}}}_{i}\\}_{i=1}^{i=N}$, collected at the BS
over the whole radio cell, already pre-processed by matrix $\mathbf{T}_{i}$
and whitened. We aim at clustering them in order to (i) identify few
representative received training sequences with markedly different ST
features, allowing to define a finite set of $K$ few comprehensive ST patterns
(clusters) easy to discriminate in a noisy setting; (ii) compute the LR
orthonormal sets $\widehat{\mathbf{U}}_{\mathrm{T}}$,
$\widehat{\mathbf{U}}^{\mathrm{Tx}}_{\mathrm{S}}$ and
$\widehat{\mathbf{U}}^{\mathrm{Rx}}_{\mathrm{S}}$ for each cluster to
efficiently apply LR estimation.
The proposed goals can be modelled in the framework of the $K$-medoids
problem. With respect to the well-known K-means algorithm, K-medoids does not
require the computation of a mean—which is meaningless for received training
sequences belonging to different locations in space—, and it is more resilient
to outliers and noise.
Given a set of data points $X=\\{x_{j}\\}\quad j=1,\cdots,N$, K-medoids
clustering aims at selecting $K$ elements $m_{i}$—called medoids—among them
such that the sum of dissimilarities
$D=\sum_{k=1}^{K}\sum_{x_{j}\in C_{k}}d(x_{j},m_{k})$ (17)
is minimized, where $C_{k}$ is the cluster represented by medoid $m_{k}$, and
$d$ is an arbitrary dissimilarity measure between two data points. A medoid
$m_{k}$ minimizes the intra-cluster sum of dissimilarities:
$m_{k}=\underset{x_{j}\in C_{k}}{\mathrm{argmin}}\sum_{x_{t}\in
C_{i}}d(x_{j},x_{t}).$ (18)
After a random initialization, the clusters are defined by assigning,
according to the utilized dissimilarity measure, each dataset point to the
nearest medoid, which can be considered a representative element of the
cluster. In this work, to solve the K-medoids problem, the Partitioning Around
Medoids (PAM) [10] algorithm has been used.
For grouping ST-similar received training sequences, we take advantage the
subspace correlation index proposed in [11], deriving the following similarity
metric:
$\eta_{i,j}=\frac{\mathrm{tr}[\mathbf{R}_{i}\mathbf{R}_{j}^{H}]}{\sqrt{\mathrm{tr}[\mathbf{R}_{i}\mathbf{R}_{i}^{H}]\mathrm{tr}[\mathbf{R}_{j}\mathbf{R}_{j}^{H}]}}=1-d_{i,j}$
(19)
for $i,j\in{1,\cdots,N}$, where
$\mathbf{R}_{i}=\overline{\overline{\mathbf{y}}}_{i}\overline{\overline{\mathbf{y}}}^{\mathrm{H}}_{i}$,
and $\eta_{i,j}\in[0,1]$. The dissimilarity measure $d_{i,j}$ is able to
capture the distance of two received sequences
$\overline{\overline{\mathbf{y}}}_{i}$, $\overline{\overline{\mathbf{y}}}_{j}$
in the ST domain, as shown in Section V.
The proposed method can be summarized by the following steps:
1. 1.
Collection at the BS of training sequences
$\\{\overline{\overline{\mathbf{y}}}_{i}\\}_{i=1}^{i=N}$, transmitted by UEs
crossing the radio cell.
2. 2.
Clustering of the collected training sequences within the ST domain into $K$
clusters by means of the PAM algorithm, using the dissimilarity metric
$d_{i,j}$ derived from (19).
3. 3.
Computation of the MV-LR ST orthonormal bases
$\\{\widehat{\mathbf{U}}_{\mathrm{T}}$,
$\widehat{\mathbf{U}}^{\mathrm{Tx}}_{\mathrm{S}}$,
$\widehat{\mathbf{U}}^{\mathrm{Rx}}_{\mathrm{S}}\\}_{k}$, $k=1,\cdots,K$, by
using the corresponding clustered received training sequences.
4. 4.
Filtering of the $\ell$-th new received sequence
$\overline{\overline{\mathbf{y}}}_{\ell}$ by using the set of LR orthonormal
bases corresponding to medoid $m_{k},\;k=1,\cdots,K$, nearest to
$\overline{\overline{\mathbf{y}}}_{\ell}$ with respect to dissimilarity
$d_{i,j}$.
Since the convergence of the MV-LR algorithm is affected by the number of
available received training sequences per cluster, we adopt the silhouette
method [12] to determine the clustering quality and to select a suitable
number of clusters $K$, searching for: (i) an even distribution of the
training points among clusters to ensure the convergence of the MV-LR
algorithm for each of them, (ii) a high intra-cluster cohesion, and (iii) a
low inter-cluster similarity.
The time complexity of the PAM algorithm scales $\propto N^{2}$, which is
affordable for the considered dataset (see Section V), but still inherently
limited for very large datasets, requiring more efficient algorithms for
application in practical systems. A valid alternative is CLARA (Clustering for
Large Applications) [13], which runs PAM multiple times on small subsamples of
the original dataset.
TABLE I: Simulation parameters Simulation parameter | Symbol | Value
---|---|---
Carrier frequency | $f_{0}$ | $28$ GHz
Bandwidth | $B$ | $1$, $50$ MHz
BS height from the ground | - | $6$ m
Number of BS antennas | $N_{R}$ | $64$ ($8\times 8$)
Number of UE antennas | $N_{T}$ | $16$ ($4\times 4$)
Training dataset size | $N$ | $5000$ samples
Number of clusters | $K$ | $7$, $8$
Signal to Noise Ratio | SNR | $0$ dB
## V Numerical results
Figure 1: Selected urban scenario and representation of the considered
vehicular trajectories.
We analyse the performance of the proposed channel estimation method in the
communication scenario (radio cell) depicted in Fig. 1. The BS, located at a
height of $6$ m from the ground, is equipped with planar $64$ antennas
($8\times 8$), while each UE with planar $16$ antennas ($4\times 4$). We
select $28$ GHz as the carrier frequency (compliant to 5G NR FR2) and two
communication bandwidths: (i) $B=1$ MHz, for which the MIMO channel is
frequency-flat ($W=1$), and therefore the clustering is performed over the
spatial subspaces only, ruled by the number of UE and BS antennas; (ii) $B=50$
MHz, for which the MIMO channel is frequency-selective ($W=7$ taps), and the
K-medoids is applied to the ST channel subspace. The set of simulation
parameters is reported in Table I.
(a) (b)
(c) (d)
(e) (f)
Figure 2: Performance of the proposed algorithm at $1$ MHz channel bandwidth
(left) for $K=7$, and $50$ MHz channel bandwidth (right) for $K=8$: spatial
representation of clusters and reference trajectory (a,b); silhouette
coefficients of the retrieved clusters (average silhouette coefficient in
dashed line) (c,d); MSE performance comparison on a reference trajectory
realization (e,f).
The recurrent vehicle passages in the cell are generated using the SUMO
(Simulation of Urban MObility) software [14], providing position, velocity and
heading of vehicles over time for different realistic trajectories,
exemplified in Fig. 1. The MIMO channel data over the trajectories is
generated with the Altair WinProp ray-tracing software [15]. The algorithm has
been trained using a dataset of $N=5000$ received training sequences, sampled
over the vehicular trajectories at $0$ dB of SNR. The clustering-based MV-LR
performance has been evaluated in terms of Mean Squared Error (MSE), defined
as:
$\mathrm{MSE}=\frac{\mathbb{E}[\lVert\mathbf{h}_{\ell}-\widehat{\mathbf{h}}_{\ell}\rVert^{2}]}{\mathbb{E}[\lVert\mathbf{h}_{\ell}\rVert^{2}]},$
(20)
where the channel estimate $\widehat{\mathbf{h}}_{\ell}$ can be either MV-LR
or U-ML. The MV-LR is asymptotically ($L\rightarrow\infty$) lower bounded as
detailed in [6], not reported here for brevity. In the results, the MV-LR MSE
bound is averaged over the whole trajectory length.
Fig. 2 summarizes the results. Figs. 2a and 2b represent the extracted
clusters—depicted with different colors—over the geographical map for the
selected urban scenario, and the tested trajectory (dashed arrow), not
comprised in the training dataset. Using the silhouette method [12], we chose
a suitable number of clusters $K$ such that a sufficient number of relevant
data points leads to the convergence of the MV-LR algorithm within each
cluster. Then, considering the MV-LR MSE performance, we determined that, for
the selected urban scenario, a number of clusters $K=7$ for $1$ MHz channel
bandwidth and $K=8$ for $50$ MHz channel bandwidth yield a substantial MSE
improvement over U-ML channel estimates.
The related silhouette coefficients are shown in Figs. 2c and 2d for each
cluster. On the vertical axis, the width of each silhouette is representative
of the number of samples assigned to the corresponding cluster, while the
vertical dashed line is the average silhouette coefficient. As reported in
Section IV, a clustering that suitably distributes the dataset points among
clusters, as here, increases the number of available received training
sequences for accurately estimating the MV-LR orthonormal bases. It is worth
noticing that, in Figs. 2a and 2b, the colored clustered points on the map are
not necessarily representative of UEs positions; they depict the invariance
regions of the channel estimates in the ST domain for the retrieved
clustering, assuming different spatial configurations.
Figs. 2e and 2f show the MV-LR MSE performance of the proposed method over the
reference trajectory, normalized to the U-ML one. The chosen trajectory is
sampled over the covered space by $0.5$ m steps. To compare the achieved
performance with the theoretical lower bound, we plot the mean MV-LR MSE bound
(red line), averaged over all the trajectory steps. As can be seen, MV-LR
outperforms U-ML by achieving $\approx 15$ dB less of MSE, attaining on
average the theoretical bound. A similar behavior has been observed for all
the other testing trajectories, not reported here, confirming the
effectiveness of the proposed clustering-based MV-LR channel estimation
method.
## VI Conclusion
This paper proposes a novel clustering-based MV-LR channel estimation method
for 6G V2X. By clustering, through a K-medoids approach, a dataset of received
training sequences from multiple UEs, the BS learns, in a completely
unsupervised way, to aggregate training sequences sharing similar ST
subspaces, to estimate the cluster-specific ST MIMO channel eigenmodes without
the knowledge of UEs’ geographical positions. For a number of clusters
suitably selected by means of the silhouette method, numerical results show
remarkable benefits in terms of MSE, with an average reduction of $\approx 15$
dB with respect to the U-ML channel estimates, thus attaining the theoretical
LR lower bound. Future investigations will extend the proposed method to
hybrid MIMO systems and to propagation affected by blockage.
## Acknowledgements
The research has been carried out in the framework of Huawei-Politecnico di
Milano Joint Research Lab.
## References
* [1] C. De Lima _et al._ , “Convergent communication, sensing and localization in 6g systems: An overview of technologies, opportunities and challenges,” _IEEE Access_ , vol. 9, pp. 26 902–26 925, 2021.
* [2] M. R. Akdeniz, Y. Liu, M. K. Samimi, S. Sun, S. Rangan, T. S. Rappaport, and E. Erkip, “Millimeter wave channel modeling and cellular capacity evaluation,” _IEEE Journal on Selected Areas in Communications_ , vol. 32, no. 6, pp. 1164–1179, 2014.
* [3] S. Yang and L. Hanzo, “Fifty years of mimo detection: The road to large-scale mimos,” _IEEE Communications Surveys Tutorials_ , vol. 17, no. 4, pp. 1941–1988, 2015.
* [4] W. U. Bajwa, J. Haupt, A. M. Sayeed, and R. Nowak, “Compressed channel sensing: A new approach to estimating sparse multipath channels,” _Proceedings of the IEEE_ , vol. 98, no. 6, pp. 1058–1076, 2010.
* [5] M. Nicoli, O. Simeone, and U. Spagnolini, “Multislot estimation of fast-varying space-time communication channels,” _IEEE Transactions on Signal Processing_ , vol. 51, no. 5, pp. 1184–1195, 2003.
* [6] A. Brighente, M. Cerutti, M. Nicoli, S. Tomasin, and U. Spagnolini, “Estimation of wideband dynamic mmwave and thz channels for 5g systems and beyond,” _IEEE Journal on Selected Areas in Communications_ , vol. 38, no. 9, pp. 2026–2040, 2020.
* [7] M. Brambilla, D. Pardo, and M. Nicoli, “Location-assisted subspace-based beam alignment in los/nlos mm-wave v2x communications,” in _ICC 2020 - 2020 IEEE International Conference on Communications (ICC)_ , 2020, pp. 1–6.
* [8] K. Jung and H. Wang, “Pilotless channel estimation scheme using clustering-based unsupervised learning,” in _2018 15th International Symposium on Wireless Communication Systems (ISWCS)_. IEEE, 2018, pp. 1–5.
* [9] M. J. Azizipour and K. Mohamed-Pour, “Channel estimation for fdd multi-user massive mimo systems: a greedy approach based on user clustering,” _IET Signal Processing_ , vol. 13, no. 9, pp. 778–786, 2019.
* [10] L. Kaufman and P. J. Rousseeuw, “Partitioning around medoids (program pam),” _Finding groups in data: an introduction to cluster analysis_ , vol. 344, pp. 68–125, 1990.
* [11] R. Bosisio and U. Spagnolini, “Enhanced broadcast opportunistic scheme based on spatial covariance feedback,” in _Proc. International ITG-IEEE Workshop on Smart Antennas (WSA 2006)_ , 2006, pp. 1–7.
* [12] P. J. Rousseeuw, “Silhouettes: a graphical aid to the interpretation and validation of cluster analysis,” _Journal of computational and applied mathematics_ , vol. 20, pp. 53–65, 1987.
* [13] L. Kaufman and P. J. Rousseeuw, “Clustering large data sets,” in _Pattern Recognition in Practice_ , E. S. GELSEMA and L. N. KANAL, Eds. Amsterdam: Elsevier, 1986, pp. 425–437.
* [14] P. A. Lopez, M. Behrisch, L. Bieker-Walz, J. Erdmann, Y.-P. Flötteröd, R. Hilbrich, L. Lücken, J. Rummel, P. Wagner, and E. Wießner, “Microscopic traffic simulation using sumo,” in _The 21st IEEE International Conference on Intelligent Transportation Systems_. IEEE, 2018. [Online]. Available: https://elib.dlr.de/124092/
* [15] Altair Engineering Inc., “Altair Feko,” https://www.altair.com, 2020\.
| arxiv-papers | 2021-07-26T10:43:04 | 2024-09-04T03:07:18.286976 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Lorenzo Cazzella, Dario Tagliaferri, Marouan Mizmizi, Matteo\n Matteucci, Damiano Badini, Christian Mazzucco and Umberto Spagnolini",
"submitter": "Dario Tagliaferri",
"url": "https://arxiv.org/abs/2107.12098"
} |
2107.12101 | # Two-beam light with simultaneous anticorrelations in photon-number
fluctuations and sub-Poissonian statistics
Jan Peřina Jr [email protected] Joint Laboratory of Optics of Palacký
University and Institute of Physics of the Czech Academy of Sciences, Faculty
of Science, Palacký University, 17. listopadu 12, 77146 Olomouc, Czech
Republic Václav Michálek Joint Laboratory of Optics of Palacký University
and Institute of Physics of the Czech Academy of Sciences, Faculty of Science,
Palacký University, 17. listopadu 12, 77146 Olomouc, Czech Republic Radek
Machulka Institute of Physics of the Czech Academy of Sciences, Joint
Laboratory of Optics of Palacký University and Institute of Physics of CAS,
17\. listopadu 50a, 772 07 Olomouc, Czech Republic Ondřej Haderka Institute
of Physics of the Czech Academy of Sciences, Joint Laboratory of Optics of
Palacký University and Institute of Physics of CAS, 17\. listopadu 50a, 772 07
Olomouc, Czech Republic
###### Abstract
Two twin beams with a shared signal beam and separated idler beams are used
together with the photon-number-resolving postselection in the signal beam to
arrive at two coupled beams with anticorrelations in photon-number
fluctuations. Moreover, the beams exhibit the sub-Poissonian photon-number
statistics in their marginal distributions under suitable conditions. The
postselected fields with the increasing mean photon numbers are reconstructed
from the experimental photocount histograms by the maximum likelihood
approach. Also a suitable Gaussian fit of both original twin beams and
simulation of the postselection process are applied to arrive at the
corresponding photon-number distributions. Their nonclassical properties are
analyzed by suitable nonclassicality criteria and quantified by the
corresponding nonclassicality depths. Determining the appropriate quasi-
distributions of integrated intensities with negative values, the performance
of different nonclassicality criteria is judged. Properties of the
postselected fields reached both by the used and ideal photon-number-resolved
detectors are mutually compared.
## I Introduction
Twin beams (TWBs) generated in spontaneous parametric down-conversion Boyd
(2003) are endowed with highly nonclassical properties Mandel and Wolf (1995)
observed in different degrees of freedom. Their entanglement occurring in the
polarization degrees of freedom has been exploited to test the quantum
mechanics via the violation of the Bell inequalities Weihs _et al._ (1998);
Genovese (2005) or to teleport the polarization state of a photon Bouwmeester
_et al._ (1997). Tight spatial correlations of the photons in a TWB lie in the
heart of quantum imaging Genovese (2016). On the other hand perfect
correlations in photon numbers of the signal and idler beams, that constitute
a TWB, Jedrkiewicz _et al._ (2004); Haderka _et al._ (2005); Bondani _et
al._ (2007); Blanchet _et al._ (2008); Brida _et al._ (2009) gave rise to
the method of absolute detector calibration Klyshko (1980); Brida _et al._
(2006); Peřina Jr. _et al._ (2012a); Haderka _et al._ (2014).
Also, a very efficient method for sub-Poissonian light generation by photon-
number-resolved postselection (in cw regime: Rarity and Tapster (1997); Laurat
_et al._ (2003); Zou _et al._ (2006), in pulsed regime: Bondani _et al._
(2007); Peřina Jr. _et al._ (2013a); Lamperti _et al._ (2014); Iskhakov _et
al._ (2016a); Harder _et al._ (2016)) is based upon TWBs. Such states
represent a generalization of (heralded) single-photon Fock states Zeldovich
and Klyshko (1969); Peřina Jr. _et al._ (2001); Alibart _et al._ (2008);
Brida _et al._ (2012); Horoshko _et al._ (2019) to more intense fields
described in the Hilbert spaces of larger dimensions. Such fields then allow,
among others, to increase the capacity of communication channels Saleh and
Teich (1987). The highly-nonclassical single-photon Fock states are a
workhorse of the broad area of quantum-information processing Nielsen and
Chuang (2000) based on the discrete variables. They also find their
application in sub-shot-noise imaging Jakeman and Rarity (1986); Brida _et
al._ (2010); Whittaker _et al._ (2017); Li _et al._ (2018); Sabines-
Chesterkind _et al._ (2019).
The used postselection process represents a critical step in the preparation
of highly-nonclassical states as it degaussifies the original Gaussian TWB.
This makes the postselection method very prospective for the generation of
more complex quantum states potentially needed in future quantum-information
protocols that will go beyond the single-photon Fock states. Also the
application of such states in quantum metrology Abouraddy _et al._ (2002);
Brida _et al._ (2010); Giovannetti _et al._ (2006, 2011) is expected. We
note that the generation of photon-number-subtracted states Agarwal and Tara
(1992); Iskhakov _et al._ (2016b); Barnett _et al._ (2018) represents a
special variant of the postselection with photon-number-resolving detectors
that allows to generate various kinds of nonclassical states, even from TWBs
Kim _et al._ (2005); Magańa-Loaiza _et al._ (2019).
To put our considerations about the states with different photon numbers and
photon-number correlations into the general context, we remind the reader
that, according to the second-quantization of electromagnetic fields in the
quantum mechanics Mandel and Wolf (1995), any state of an optical field can be
decomposed into the base vectors of the general Hilbert space spanned over the
spatio-spectral, polarization and amplitude (field quantization) degrees of
freedom. Whereas the majority of the experiments with individual photon pairs
are realized by manipulating the states in spatio-spectral and/or polarization
degrees of freedom while keeping the state in the amplitude degree of freedom
fixed, we use the opposite configuration: We do not consider the spatio-
spectral and polarization degrees of freedom explicitly (we trace them out)
and we modify and transform the states only in the Hilbert space belonging to
the amplitude degree of freedom, i.e. the space spanned by the Fock states of
different photon numbers.
Here, we further develop and utilize the method of photon-number-resolved
postselection from TWBs to open the door for the generation of a new class of
quantum states exhibiting anticorrelations in photon-number fluctuations and
marginal sub-Poissonian statistics. To arrive at such states we consider two
TWBs with their signal beams detected together and postselection on the shared
signal beam by observing a given number of signal photons $n_{s}$. The
remaining two idler beams are left in a state that exhibits strong
anticorrelations in their photon-number fluctuations. This is in striking
contrast with the usual TWBs exhibiting perfect correlations in photon numbers
as well as their fluctuations. Moreover, whereas the marginal photon-number
statistics of TWBs are super-Poissonian, the obtained states exhibit the
marginal sub-Poissonian photon-number statistics Iskhakov _et al._ (2016b).
These states are prospective for metrology: They allow to measure two-photon
absorption cross-sections with the precision below the shot-noise limit, in
close analogy with the sub-shot-noise measurement of single-photon absorption
cross-section performed with a sub-Poissonian light source Jakeman and Rarity
(1986); Li _et al._ (2018); Sabines-Chesterkind _et al._ (2019).
We note that there exists an analogy between the anticorrelations in the
photon-number fluctuations of the analyzed fields and the spatial and temporal
behavior of correlations between the signal and idler photons from a common
photon pair. Thought both photons from a photon pair usually show strong
temporal correlations Hong and Mandel (1986), these correlations can be
transformed into temporal anticorrelations Peřina Jr. _et al._ (2007).
Similarly, whereas the signal and idler photons of usual TWBs are bunched
inside their correlated areas, there also exist the TWBs exhibiting spatial
antibunching of the signal and idler photons Nogueira _et al._ (2001);
Caetano and Souto Ribeiro (2003).
The suggested scheme resembles that of the entanglement swapping suggested
first for the states of two entangled photon pairs Zukowski _et al._ (1993);
Scherer _et al._ (2009) originating in parametric down-conversion and later
also applied to swap the entanglement to the state of particles and their
collective modes Duan _et al._ (2001); Chou _et al._ (2005). However,
sensitivity of the detected overall signal beam to the relative phase of the
constituting signal beams would be needed to observe the transfer of
entanglement from the original TWBs to the postselected idler beams. As the
used TWBs are multi-mode, they are not suitable for the entanglement transfer.
Instead, in the performed experiment, the postselection induces classical
anticorrelations in photon-number fluctuations.
To demonstrate the essence of our approach, we restrict for a moment our
attention to the states describing single-mode idler beams and consider an
ideal detector with $n_{\rm s}$ detected signal photons (photocounts). We
model the experimental multi-mode idler beams by an incoherent superposition
of the Fock states whose statistical operator $\hat{\varrho}_{\rm ii}$ is
written as
$\hat{\varrho}_{\rm ii}=\sum_{{\rm i}_{1}=0}^{n_{\rm s}}|\alpha_{{\rm
i}_{1}}|^{2}|n_{{\rm i}_{1}}\rangle_{{\rm i}_{1}}{}_{{\rm i}_{1}}\langle
n_{{\rm i}_{1}}||n_{\rm s}-n_{{\rm i}_{1}}\rangle_{{\rm i}_{2}}{}_{{\rm
i}_{2}}\langle n_{\rm s}-n_{{\rm i}_{1}}|.$ (1)
In Eq. (1), a Fock state $|n_{\rm i}\rangle_{\rm i}$ has $n_{\rm i}$ photons
in beam ${\rm i}$ and $\alpha_{\rm i}$ are complex coefficients.
Anticorrelations in photon-number fluctuations $\Delta n_{\rm i}\equiv n_{\rm
i}-\langle n_{\rm i}\rangle$ represent the most striking feature of the state
$\hat{\varrho}_{\rm ii}$. Detailed analysis reveals that even the marginal
idler-beam distributions of the analyzed states are sub-Poissonian under
suitable conditions. To understand this, let us consider for a moment the
experiment in which we independently detect the numbers of signal photons in
both signal beams. For the fixed detected signal photon numbers, both
postselected idler beams have apparently sub-Poissonian statistics. The
summation of two signal photon numbers keeping their sum fixed, as described
in Eq. (1), blurs the original sub-Poissonian statistics but it also increases
the success probability of the postselection process. For TWBs with greater
photon numbers and corresponding signal postselecting photon numbers [see Fig.
3(b) below], the blurring of the idler-beams photon statistics is weak, but
the success probability increases considerably. Such states are then suitable
for monitoring two-photon absorption processes or making two-photon
excitations of electronic systems.
The paper is organized as follows. The performed experiment and analysis of
the experimental data are described in Sec. II. Sec. III is devoted to the
analysis of the fields generated by postselection with the real detector. The
properties of the fields obtained by postselection with an ideal detector are
discussed in Sec. IV. Detailed analysis of nonclassical properties of typical
postselected fields is contained in Sec. V. Sec. VI gives the conclusions. In
Appendix A, a method for fitting the experimental data with a suitable multi-
mode Gaussian field is presented. Iteration formulas for the maximum-
likelihood reconstruction are given in Appendix B. Nonclassicality identifiers
are introduced in Appendix C. The formula for reconstructing quasi-
distributions of integrated intensities is given in Appendix D.
## II Experimental setup, reconstruction and nonclassicality analysis
To analyze the performed experiment, we consider two multi-mode and noisy TWBs
whose common mixed state is characterized by a 3D photon-number distribution
$p(n_{\rm s},n_{{\rm i}_{1}},n_{{\rm i}_{2}})$ that gives the probability of
simultaneous presence of $n_{\rm s}$ photons in the signal beam, $n_{{\rm
i}_{1}}$ photons in the first idler beam and $n_{{\rm i}_{2}}$ photons in the
second idler beam (for specific photon-number distributions, see Appendix A).
Characterizing a photon-number-resolving detector (PNRD) in the signal beam by
its detection matrix $T_{\rm s}(c_{\rm s},n_{\rm s})$, that gives the
probability of detecting $c_{\rm s}$ photocounts out of $n_{\rm s}$ impinging
photons (for details, see Appendix A), 2D photon-number distribution $p_{\rm
ii}(n_{{\rm i}_{1}},n_{{\rm i}_{2}};c_{\rm s})$ of a common state of the idler
beams emerging after detecting $c_{\rm s}$ signal photocounts is written as
Saleh (1978):
$p_{ii}(n_{{\rm i}_{1}},n_{{\rm i}_{2}};c_{\rm s})=\sum_{n_{\rm
s}=0}^{\infty}T_{\rm s}(c_{\rm s},n_{\rm s})p(n_{\rm s},n_{{\rm
i}_{1}},n_{{\rm i}_{2}}).$ (2)
In the experiment, the postselected fields are monitored by two additional
PNRDs that give rise, together with the PNRD in the signal beam, to the 3D
experimental photocount histogram $f(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm
i}_{2}})$ that contains all information about the prepared and analyzed
fields. In the model, this histogram $f$, as a function of the photocount
numbers $c_{\rm s}$, $c_{{\rm i}_{1}}$ and $c_{{\rm i}_{2}}$ registered by
three used PNRDs, is determined along the formula
$\displaystyle f(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm i}_{2}})$ $\displaystyle=$
$\displaystyle\sum_{n_{\rm s}=0}^{\infty}T_{\rm s}(c_{\rm s},n_{\rm
s})\sum_{n_{{\rm i}_{1}}=0}^{\infty}T_{{\rm i}_{1}}(c_{{\rm i}_{1}},n_{{\rm
i}_{1}})$ (3) $\displaystyle\times\sum_{n_{{\rm i}_{2}}=0}^{\infty}T_{{\rm
i}_{2}}(c_{{\rm i}_{2}},n_{{\rm i}_{2}})p(n_{\rm s},n_{{\rm i}_{1}},n_{{\rm
i}_{2}})$
in which the detection matrix $T_{{\rm i}_{1}}$ ($T_{{\rm i}_{2}}$) belongs to
the PNRD placed in the first (second) idler beam.
The reconstruction methods allow us to reveal both the conditional 2D photon-
number distributions $p_{\rm ii}$ in Eq. (3) as well as the original 3D
photon-number distribution $p$. Both a physically-motivated method that
provides a suitable Gaussian fit of the original two TWBs (see Appendix A) and
a method exploiting the maximum-likelihood approach (see Appendix B) were
applied to reconstruct the experimental photocount histogram $f(c_{\rm
s},c_{{\rm i}_{1}},c_{{\rm i}_{2}})$ as well as the conditional photocount
histograms $f_{\rm ii}(c_{{\rm i}_{1}},c_{{\rm i}_{2}};c_{\rm s})$
characterizing the conditional 2D photon-number distributions $p_{\rm
ii}(n_{{\rm i}_{1}},n_{{\rm i}_{2}};c_{\rm s})$.
The analyzed states were prepared in the lab in the experiment whose scheme is
shown in Fig. 1. Two TWBs were generated independently in type-I spontaneous
parametric down-conversion in two optically contacted 1-mm-long
$\beta$-barium-borate composite crystals (BaB2O4, BBO) cut for a slightly non-
collinear geometry. Whereas the first crystal gave the signal and idler beams
with horizontal polarizations, the second crystal emitted the signal and idler
beams with vertical polarizations, as a consequence of its rotation by 90
degrees along the pump-beam propagating direction with respect to the first
crystal. Parametric down-conversion was pumped by pulses originating in the
third harmonic (280 nm) of a femtosecond cavity-dumped Ti:sapphire laser
(pulse duration 180 fs at the central wavelength of 840 nm, repetition rate 50
kHz, pulse energy 20 nJ at the output of the third harmonic generator). The
polarization of the pump was then rotated by a half-wave plate to balance the
mutually orthogonal contributions from both crystals. The idler beams of two
TWBs that differ by their polarizations were spatially separated by a calcite
beam displacer. The signal, two idler and external noise beams were detected
in four different detection regions (in the form of strips) on the
photocathode of an iCCD camera Andor DH345-18U-63 [see the rightmost image in
Fig. 1(b)]. The signal beams emitted from different crystals spatially
overlapped at the photocathode and so they were detected in a common detection
region. The camera set for 7 ns-long detection window was driven by the
synchronization electronic pulses from the laser and it operated roughly at 14
Hz frame rate. The photons of all four beams impinging on the camera were
filtered by a 14-nm-wide bandpass interference filter with the central
wavelength at 560 nm. The pump intensity, and thus also the TWBs intensity,
was actively stabilized by means of a motorized half-wave plate followed by a
polarizer and a detector that monitored the actual pump intensity.
Figure 1: (a) Scheme of the experimental setup: Laser: frequency-tripled pump
laser with power stabilizer; HWP: half-wave plate; BBO: two thin optically
contacted BBO crystals; BD: polarizing beam displacer; iCCD: intensified CCD
camera. (b) Images acquired by the detector, in turn: typical single-shot
image, accumulated image from multiple single-shot exposures forming one
signal (left) and two idler (right) intense strips, and cumulative frame
formed by individual detection events identified by signal processing within
regions defined by one signal, one narrow noise (formed solely by the dark,
ambient and readout noise) and two idler beams.
The Gaussian reconstruction applied to the experimental photocount histogram
$f(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm i}_{2}})$ obtained after $1.2\times
10^{6}$ measurement repetitions provided the following parameters for the
optical fields beyond the nonlinear crystals: The overall field was composed
of two ideal TWBs with $6.15\pm 0.05$ and $5.95\pm 0.05$ mean photon pairs
($B_{{\rm p}_{1}}=0.106\pm 0.001$, $B_{{\rm p}_{2}}=0.117\pm 0.001$, $M_{{\rm
p}_{1}}=58\pm 1$, $M_{{\rm p}_{2}}=51\pm 1$) and three noise fields with
$0.11\pm 0.02$, $0.07\pm 0.01$ and $0.02\pm 0.01$ mean noise photons ($B_{\rm
s}=10\pm 1$, $B_{{\rm i}_{1}}=10\pm 1$, $B_{{\rm i}_{2}}=39\pm 4$, $M_{\rm
s}=0.011\pm 0.001$, $M_{{\rm i}_{1}}=0.007\pm 0.001$, $M_{{\rm
i}_{2}}=0.0005\pm 0.0001$); $M_{j}$ stands for the number of modes in beam $j$
having $B_{j}$ mean photons (photon pairs) per mode (see Appendix A for more
details). The signal field was detected with detection efficiency $\eta_{\rm
s}=22.0\pm 0.5$ %, detection efficiency $\eta_{\rm i}=20.7\pm 0.5$ % was
assigned to both idler-field detection strips (lower than the signal one due
to the presence of the beam displacer). Each detection strip was composed of
$N_{\rm s}=N_{{\rm i}_{1}}=N_{{\rm i}_{2}}=4410$ macropixels (one macropixel
emerged from $8\times 8$ hardware binning at the CCD chip) and suffered from
$d_{\rm s}=d_{{\rm i}_{1}}=d_{{\rm i}_{2}}=0.22\pm 0.02$ mean noise counts per
detection window.
The properties of the conditional states characterized by 2D photocount
[$f_{\rm ii}(c_{{\rm i}_{1}},c_{{\rm i}_{2}};c_{\rm s})$] and photon-number
distributions [$p_{\rm ii}(n_{{\rm i}_{1}},n_{{\rm i}_{2}};c_{\rm s})$] were
quantified by the following parameters. Anticorrelation between the
fluctuations $\Delta n$ ($\Delta c$) of the idler-fields photon (photocount)
numbers was recognized by negative values of the covariance $C_{n,\Delta}$,
$C_{n,\Delta}=\frac{\langle\Delta n_{{\rm i}_{1}}\Delta n_{{\rm
i}_{2}}\rangle}{\sqrt{\langle(\Delta n_{{\rm i}_{1}})^{2}\rangle\langle(\Delta
n_{{\rm i}_{2}})^{2}\rangle}}.$ (4)
Nonclassical character of the conditional 2D idler fields is verified by the
values of the modified noise-reduction-parameter $R_{n,+}$ smaller than 1,
$R_{n,+}=\frac{\langle(\Delta(n_{{\rm i}_{1}}+n_{{\rm
i}_{2}})^{2}\rangle}{\langle n_{{\rm i}_{1}}\rangle+\langle n_{{\rm
i}_{2}}\rangle}.$ (5)
We have $R_{n,+}=1$ for two independent Poissonian fields in coherent states.
Declinations of classical photon-number distributions from the Poissonian ones
as well as mutual photon-number correlations between the fields increase the
values of the modified noise-reduction-parameter $R_{n,+}>1$. On the other
hand, the inequality $R_{n,+}<1$ is equivalent to the inequality for the
moments of integrated intensities $\langle[\Delta(W_{{\rm i}_{1}}+W_{{\rm
i}_{2}})]^{2}\rangle\equiv\int_{0}^{\infty}dW_{{\rm
i}_{1}}\int_{0}^{\infty}dW_{{\rm i}_{2}}[\Delta(W_{{\rm i}_{1}}+W_{{\rm
i}_{2}})]^{2}P_{\cal N}(W_{{\rm i}_{1}},W_{{\rm i}_{2}})<0$. Its fulfillment
requires the quasi-distribution $P_{\cal N}(W_{{\rm i}_{1}},W_{{\rm i}_{2}})$
of integrated intensities with negative values which implies the fields’
nonclassicality. We note that the integrated intensities $W$ and their moments
occur in the description of optical fields in relation to their detection as
the fields detectors are sensitive to the normally-ordered photon-number
moments that are referred to as the moments of integrated intensity [for the
relation between both types of moments, see Eq. (16) in Appendix A]. We have
$R_{n,+}=0$ for the state in Eq. (1). Thus, this state in nonclassical. On the
other hand, it is not entangled as it contains only classical anticorrelations
in photon-number fluctuations.
Also the marginal idler fields may exhibit the nonclassical sub-Poissonian
statistics observed when the values of the Fano factors $F_{n,{{\rm i}_{j}}}$,
$j=1,2$,
$F_{n,{{\rm i}_{j}}}=\frac{\langle(\Delta n_{{\rm
i}_{j}})^{2}\rangle}{\langle\Delta n_{{\rm i}_{j}}\rangle},$ (6)
are smaller than 1.
The nonclassicality of conditional 2D idler fields may be identified both
using the nonclassicality criteria (NCCa) written in terms of the intensity
moments and probabilities of photon-number (photocount) distributions. The
NCCa using the intensity moments $C_{W}$ and $M_{W}$,
$\displaystyle C_{W}$ $\displaystyle\equiv$ $\displaystyle\langle W_{{\rm
i}_{1}}^{2}W_{{\rm i}_{2}}^{2}\rangle-\langle W_{{\rm i}_{1}}W_{{\rm
i}_{2}}\rangle^{2}<0,$ (7) $\displaystyle M_{W}$ $\displaystyle\equiv$
$\displaystyle\langle W_{{\rm i}_{1}}^{2}\rangle\langle W_{{\rm
i}_{2}}^{2}\rangle+2\langle W_{{\rm i}_{1}}W_{{\rm i}_{2}}\rangle\langle
W_{{\rm i}_{1}}\rangle\langle W_{{\rm i}_{2}}\rangle-\langle W_{{\rm
i}_{1}}W_{{\rm i}_{2}}\rangle^{2}$ (8) $\displaystyle\mbox{}-\langle W_{{\rm
i}_{1}}^{2}\rangle\langle W_{{\rm i}_{2}}\rangle^{2}-\langle W_{{\rm
i}_{1}}\rangle^{2}\langle W_{{\rm i}_{2}}^{2}\rangle<0.$
derived from the Cauchy–Schwarz inequality and the matrix approach Peřina Jr.
_et al._ (2020a), respectively, have been found the most powerful for the
analyzed states. They belong to the groups of the NCCa discussed in Appendix C
[$C_{W}=C_{(1,1)}^{(2,0)}$, $M_{W}=M_{(0,0),(1,0),(0,1)}$]. Their probability
variants are then used to identify the location of nonclassicality across the
probability distributions.
Sub-Poissonian character of the marginal idler fields makes the following
hybrid NCC $L$ Arkhipov _et al._ (2016) very efficient in revealing the
nonclassicality:
$L_{Wp}(n_{{\rm i}_{1}})\equiv\langle W_{i_{2}}^{3}\rangle_{n_{{\rm
i}_{1}}}\langle W_{i_{2}}\rangle_{n_{{\rm i}_{1}}}-\langle
W_{i_{2}}^{2}\rangle_{n_{{\rm i}_{1}}}^{2}<0.$ (9)
In Eq. (9), averaging $\langle\rangle_{n_{{\rm i}_{1}}}$ is performed in the
variable $n_{{\rm i}_{2}}$ with the photon-number distribution $p(n_{{\rm
i}_{1}},n_{{\rm i}_{2}})$ in which $n_{{\rm i}_{1}}$ is kept fixed. This means
that the intensity moments are determined in one variable whereas the
probabilities are used in the other to reveal the nonclassicality.
When applying the concept of the Lee nonclassicality depth (NCD) Lee (1991)
the NCCa also provide quantification of the nonclassicality. The NCD $\tau$ is
derived from the value $s_{\rm th}$ of the ordering parameter at which the
used NCC loses its ability to reveal the nonclassicality of the analyzed field
Peřina Jr. _et al._ (2020a):
$\tau=(1-s_{\rm th})/2.$ (10)
To determine the threshold values $s_{\rm th}$, transformations of the photon-
number distributions as well as the intensity moments between different
field’s orderings are needed Peřina (1991); Peřina Jr. _et al._ (2017,
2020b).
## III Nonclassical light generated by postselection with the real detector
First, we analyze the experimental 2D photocount histograms $f_{\rm
ii}(c_{{\rm i}_{1}},c_{{\rm i}_{2}};c_{\rm s})$ and the corresponding
reconstructed photon-number distributions reached by the maximum-likelihood
approach [$p_{\rm ii}^{ML}(n_{{\rm i}_{1}},n_{{\rm i}_{2}};c_{\rm s})$, see
Appendix B] and the suitable Gaussian fit [$p_{\rm ii}^{G}(n_{{\rm
i}_{1}},n_{{\rm i}_{2}};c_{\rm s})$, see Appendix A] from the point of view of
the marginal idler-fields mean photocount [$\langle c_{{\rm i}_{j}}\rangle$,
$j=1,2$] and photon [$\langle n_{{\rm i}_{j}}\rangle$] numbers and the Fano
factors [$F_{{\rm i}_{j}}$] that quantify the spread of photocount and photon-
number fluctuations. Both marginal idler fields behave similarly. The mean
photocount [$\langle c_{{\rm i}_{1}}\rangle$] and photon [$\langle n_{{\rm
i}_{1}}\rangle$] numbers of the first idler field increase with the increasing
postselected signal photocount number $c_{\rm s}$ in the analyzed range
$c_{\rm s}\leq 10$, as shown in Fig. 2(a). On the other hand, the relative
fluctuations in photocount and photon numbers as quantified by the Fano
factors $F_{c,{\rm i}_{1}}$ and $F_{n,{\rm i}_{1}}$ in Fig. 2(b) decrease with
the increasing $c_{\rm s}$ up to $c_{\rm s}=7$ and then they increase. This is
a consequence of the postselection mechanism between the signal and the first
idler field that suffers from non-unit detection efficiency $\eta_{\rm s}$ and
the noise signal photons together with the signal-detector dark counts.
Whereas the detrimental role of non-unit detection efficiency $\eta_{\rm s}$
on the Fano factor $F$ decreases with the increasing signal photocount number
$c_{\rm s}$, the effect of the noise signal photons and dark-counts behaves in
the opposed way Peřina Jr. _et al._ (2013a). Also the experimental errors of
the Fano factor $F$ increase with the increasing $c_{\rm s}$ which is a
consequence of the decreasing number of measurement repetitions associated
with a given signal photocount number $c_{\rm s}$. Owing to the relatively low
detection efficiency $\eta_{\rm s}\approx 20~{}\%$ and large relative portion
of the noise in the signal field (around 1/2 caused by the signal photons from
the second TWB) the values of Fano factor $F$ remain in the classical region
with $F\geq 1$.
(a) (b)
(c) (d)
(e) (f)
Figure 2: (a) Mean number of photons $\langle n_{{\rm i}_{1}}\rangle$
(photocounts $\langle c_{{\rm i}_{1}}\rangle$) and (b) Fano factor $F_{n,{\rm
i}_{1}}$ ($F_{c,{\rm i}_{1}}$) of the first idler field, (c) modified noise-
reduction-parameter $R_{n,+}$ ($R_{c,+}$), (d) covariance $C_{n,\Delta}$
($C_{c,\Delta}$), and nonclassicality depths (e) $\tau_{C_{W}}$ and (f)
$\tau_{M_{W}}$ of the 2D idler fields observed after postselection as they
depend on the signal-field postselecting photocount number $c_{\rm s}$.
Isolated symbols are drawn for the experimental photocount histograms (red
$\ast$) and fields reconstructed by 2D maximum-likelihood approach (green
$\triangle$); solid blue curves originate in the 3D Gaussian model. The
horizontal dashed lines indicate the borders of anticorrelation
($C_{\Delta}=0$) and nonclassicality ($F_{{\rm i}_{1}}=1$, $R_{+}=1$) regions.
However, when we analyze the performance of the postselection mechanism on the
sum $c_{{\rm i}_{1}}+c_{{\rm i}_{2}}$ ($n_{{\rm i}_{1}}+n_{{\rm i}_{2}}$) of
the first and the second idler photocount (photon) numbers, i.e. when the
postselection mechanism works simultaneously and ’in-phase’ on both TWBs, we
get the reduction of fluctuations of the above sums below their classical
border ($R_{+}<1$) for $c_{\rm s}\in\langle 3,9\rangle$, as documented by the
modified noise-reduction-parameters $R_{c,+}$ and $R_{n,+}$ plotted in Fig.
2(c). The smallest values of $R_{+}$ indicating the strongest achieved
suppression of the fluctuations are reached for the signal photocount numbers
$c_{\rm s}=7,8$, in accordance with the behavior of the first and the second
idler-field Fano factors $F_{{\rm i}_{1}}$ and $F_{{\rm i}_{2}}$. The
suppression of fluctuations in the sum $c_{{\rm i}_{1}}+c_{{\rm i}_{2}}$
($n_{{\rm i}_{1}}+n_{{\rm i}_{2}}$) of the idler-fields photocount (photon)
numbers quantified by $R_{c,+}<1$ ($R_{n,+}<1$) gives rise to strong
anticorrelations between the fluctuations of the first and the second
photocount (photon) numbers $\Delta c_{{\rm i}_{1}}$ ($\Delta n_{{\rm
i}_{1}}$) and $\Delta c_{{\rm i}_{2}}$ ($\Delta n_{{\rm i}_{2}}$). They are
alternatively quantified by the covariances $C_{c,\Delta}$ and $C_{n,\Delta}$
drawn in Fig. 2(d).
Contrary to the case of TWBs, revealing the nonclassicality of the
postselected 2D fields is much harder. Out of numerous NCCa written in
intensity moments and successfully applied to TWBs in Peřina Jr. _et al._
(2017), only the NCC $C_{W}$ in Eq. (7) derived from the Cauchy–Schwarz
inequality and the NCC $M_{W}$ in Eq. (8) originating in the matrix approach
provided high and comparable values of the corresponding NCDs $\tau_{C_{W}}$
and $\tau_{M_{W}}$, as shown in Figs. 2(e,f). The comparison of graphs in
Figs. 2(e) and 2(f) drawn for the experimental 2D photocount histograms and
photon-number distributions provided by 2D maximum-likelihood approach reveals
the NCC $M_{W}$ as more stable and reliable because it identifies all the
states postselected by detecting the signal photocount numbers $c_{\rm
s}\in\langle 3,9\rangle$ as nonclassical, in accordance with the values of the
modified noise-reduction-parameter $R_{n,+}$ plotted in Fig. 2(c).
We note that the classical/nonclassical features identified in the
experimental photocount histograms $f_{\rm ii}$ are emphasized in the photon-
number distributions $p_{\rm ii}$ obtained by both reconstruction methods, as
documented in Figs. 2(b–f).
## IV Nonclassical light generated by postselection with an ideal detector
Detection of the postselecting signal field with a better detection efficiency
$\eta_{\rm s}$ opens the door for the observation of the postselected 2D idler
fields with their most pronounced properties: anticorrelation in the idler-
field photon-number fluctuations and sub-Poissonian statistics in the marginal
idler fields. We demonstrate these properties by reconstructing the whole
optical field as it occurs in front of all three used PNRDs, i.e. we also
involve the signal-field postselecting detector in the reconstruction. We
accomplish the reconstruction both by applying the 3D maximum-likelihood
approach (see Appendix B) and a suitable 3D Gaussian fit (see Appendix A) to
the experimental photocount histogram $f(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm
i}_{2}})$. Then, similarly as above, we analyze the 2D idler-fields photon-
number distributions $p(n_{{\rm i}_{1}},n_{{\rm i}_{2}};n_{\rm s})$
conditioned by the presence of $n_{\rm s}$ photons in the signal field. This
corresponds to the use of an ideal PNRD in the postselection mechanism.
The postselected idler fields behave similarly also in this case. The mean
photon numbers $\langle n_{{\rm i}_{1}}\rangle^{\rm id}$ of the first idler
field increase roughly linearly with the postselecting signal photon number
$n_{\rm s}$, and we have $\langle n_{{\rm i}_{1}}\rangle^{\rm id}\approx
n_{\rm s}/2$ [see Fig. 3(a)]. Owing to the ideal detection efficiency
$\eta_{\rm s}=1$ the Fano factors $F_{{\rm i}_{1}}$ attain nonclassical values
($F<1$) for greater signal photon numbers $n_{\rm s}$. According to the graph
in Fig. 3(b), the Fano factors $F^{\rm id}$ smaller than 0.7 are reached for
the signal photon numbers $n_{\rm s}\in\langle 4,20\rangle$. For the
reconstructed 3D Gaussian field, sub-Poissonian character of the marginal
idler fields is lost fast for even greater values of $n_{\rm s}$ as a
consequence of the noise signal photons originating in the second TWB.
(a) (b)
(c) (d)
(e) (f)
Figure 3: (a) Mean number of photons $\langle n_{{\rm i}_{1}}\rangle^{\rm id}$
and (b) Fano factor $F_{n,{\rm i}_{1}}^{\rm id}$ of the first idler field, (c)
modified noise-reduction-parameter $R_{n,+}^{\rm id}$, (d) covariance
$C_{n,\Delta}^{\rm id}$, and nonclassicality depths (e) $\tau_{C_{W}}^{\rm
id}$ and (f) $\tau_{M_{W}}^{\rm id}$ of the 2D idler fields reached by the
ideal photon-number-resolving postselection as they depend on the signal-field
postselecting photon number $n_{\rm s}$. Isolated symbols are drawn for the
field reconstructed by 3D maximum-likelihood approach (dark green $\diamond$);
solid dark blue curves originate in the 3D Gaussian model. The horizontal
dashed lines indicate the borders of anticorrelation ($C_{\Delta}^{\rm id}=0$)
and nonclassicality ($F_{{\rm i}_{1}}^{\rm id}=1$, $R_{+}^{\rm id}=1$)
regions.
The sub-Poissonian Fano factors of the marginal idler fields reflect efficient
functioning of the postselection mechanism that gives raise to low values of
the modified noise-reduction-parameter $R_{n,+}^{\rm id}$. According to Fig.
3(c) they attain the highly-nonclasical values around 0.2 — 0.3 in the whole
range $n_{\rm s}\in\langle 4,20\rangle$. Also the covariance
$C_{n,\Delta}^{\rm id}$ of the idler-field photon-number fluctuations $\Delta
n_{{\rm i}_{1}}$ and $\Delta n_{{\rm i}_{2}}$ plotted in Fig. 3(d) attains the
values around -0.8 — -0.6 in this range, which expresses the strong
anticorrelation. Whereas the greatest values of the NCDs $\tau_{C_{W}}$ and
$\tau_{M_{W}}$ reached by the real detector equal around 0.1, the
postselection by the ideal detector provides the much-greater values of up to
around 0.4, as documented in Figs. 3(e,f). The comparison of graphs in Figs.
3(e) and 3(f) plotted for the photon-number distributions originating in the
3D maximum-likelihood approach reveals the NCC $M_{W}$ more stable than the
NCC $C_{W}$ in identifying and quantifying the nonclassicality.
In the quantities plotted in Figs. 3(b-f) there occur little oscillations with
the increasing period as the postselecting signal photon number $n_{\rm s}$
increases. They originate in the discrete photocount numbers $c_{\rm s}$
provided by the measurement. The 3D maximum-likelihood reconstruction has to
correct for the detection efficiency $\eta_{\rm s}\approx 20\%$: The neighbor
measurements for $c_{\rm s}$ and $c_{\rm s}+1$ postselecting photocounts have
to be expanded into the interval of $n_{\rm s}$ postselecting photons from
$\approx c_{\rm s}/\eta_{\rm s}$ to $\approx c_{\rm s}/\eta_{\rm
s}+1/\eta_{\rm s}$. Gradual stretching of the oscillation period $\approx 5$
is then caused by the presence of dark counts. The oscillations reflect the
varying quality of the measurement for different postselecting photon numbers
$n_{\rm s}$: The measurements for the numbers $n_{\rm s}$ for which $\eta_{\rm
s}n_{\rm s}$ are close to integers are of the best quality and allow to
reconstruct the studied quantities in the best way. For the remaining numbers
$n_{\rm s}$ the measurements are, roughly speaking, split between the neighbor
photocount numbers $c_{\rm s}$ and so their quality as well as the quality of
the reconstructed quantities are worse.
## V Detailed analysis of nonclassical properties of postselected fields
Now we compare side-by-side the properties of two typical postselected states
obtained by the real detector ($c_{\rm s}=5$) and the ideal one ($n_{\rm
s}=10$). The state generated in the experimental setup by the real detector is
a bit more intense, it contains on average around 7 photons in each idler
field compared to around 5 photons in the idler fields of the state provided
by the ideal detector. The correspoding 2D photon-number distributions
$p_{ii}$ and $p_{ii}^{\rm id}$ plotted in Figs. 4(a) and 4(b), respectively,
clearly exhibit prolongation in the direction perpendicular to the line
$n_{{\rm i}_{1}}=n_{{\rm i}_{2}}$. Whereas the covariance $C_{n,\Delta}$ of
the idler-fields photon-number fluctuations $\Delta n_{{\rm i}_{1}}$ and
$\Delta n_{{\rm i}_{2}}$ equals only $-0.14\pm 0.02$ for the state reached by
the real detector, the ideal detector allows to reach the value $-0.74\pm
0.03$. Both these values belong to the nonclassical states as the
corresponding values of the modified noise-reduction-parameter are smaller
than 1 ($R_{n,+}=0.87\pm 0.03$, $R_{n,+}^{\rm id}=0.16\pm 0.02$). Also the
real detector provides the marginal idler fields with the classical photon-
number statistics close to the Poissonian one ($F_{n,{\rm i}_{1}}=1.03\pm
0.09$, $F_{n,{\rm i}_{2}}=1.01\pm 0.09$). On the other hand, highly sub-
Poissonian states arise for the ideal detector ($F_{n,{\rm i}_{1}}^{\rm
id}=0.64\pm 0.06$, $F_{n,{\rm i}_{2}}^{\rm id}=0.61\pm 0.06$). The NCCa
$C_{W}$ and $M_{W}$ assign the NCDs $\tau_{W}=0.06\pm 0.02$
($\tau_{C_{W}}=0.02\pm 0.01$, $\tau_{M_{W}}=0.06\pm 0.02$) to the state
obtained by the real detector and $0.40\pm 0.01$ ($\tau_{C_{W}}^{\rm
id}=0.38\pm 0.01$, $\tau_{M_{W}}^{\rm id}=0.40\pm 0.01$) to the state provided
by the ideal detector.
(a) (b)
(c) (d)
(e) (f)
(g) (h)
(i) (j)
Figure 4: (a,b) Photon-number distribution $p_{\rm ii}(n_{{\rm i}_{1}},n_{{\rm
i}_{2}})$ with (c,d) the corresponding quasi-distribution $P_{{\rm
ii},s}(W_{i_{1}},W_{i_{2}})$ of integrated intensities and nonclassicality
depths $\bar{\tau}$ of the NCCa (e,f) $\bar{C}_{p}(n_{{\rm i}_{1}},n_{{\rm
i}_{2}})$, (g,h) $\bar{M}_{p}(n_{{\rm i}_{1}},n_{{\rm i}_{2}})$, and (i,j)
$L_{Wp}(n_{{\rm i}_{1}})$ drawn as they depend on the numbers $n_{{\rm
i}_{1}}$ and $n_{{\rm i}_{2}}$ of photons in the idler fields. The fields
postselected by $c_{\rm s}=5$ signal photocounts (a,c,e,g,i) and $n_{\rm
s}=10$ signal photons (b,d,f,h,j) are analyzed. In (c) [(d)], $s=0.1$
[$s=-0.15$] and the integrated intensities are expressed in the units of
photon numbers. In (e,g) [(f,h)], only the NCCa for which the mean value of
the used probabilities is greater than 0.01 [0.02] are considered. In (i)
[(j)], isolated symbols (green $\triangle$) [dark green $\diamond$] originate
in 2D [3D] maximum-likelihood method.
The decomposition of quasi-distribution $P_{{\rm ii},s}(W_{i_{1}},W_{i_{2}})$
of the idler-fields integrated intensities related to an arbitrary
$s$-ordering of field operators into the Laguerre polynomials allows to
reconstruct the quasi-distribution $P_{{\rm ii},s}$ from the corresponding
photon-number distribution $p_{\rm ii}(n_{{\rm i}_{1}},n_{{\rm i}_{2}})$ [for
details, see Appendix D]. The reconstructed quasi-distributions $P_{\rm ii}$
and $P_{\rm ii}^{\rm id}$ belonging to the analyzed fields are drawn in Figs.
4(c) and 4(d) for $s=0.1$ and $s=-0.15$, respectively. As there occur negative
values in both graphs and according to the genuine definition of the
nonclassicality Glauber (1963); Sudarshan (1963), the actual NCDs $\tau$ for
the analyzed fields lie around 0.45 and 0.57 [see Eq. (10)], respectively. The
areas with negative probability densities in the plane $(W_{i_{1}},W_{i_{2}})$
are typically located in the region between the point
$(W_{i_{1}},W_{i_{2}})=(0,0)$ and the area where the maximal intensities of
the quasi-distribution $P_{{\rm ii},s}(W_{i_{1}},W_{i_{2}})$ occur [see the
graph in Fig. 4(d)]. This resembles the behavior of 1D quasi-distributions of
integrated intensities characterizing sub-Poissonian fields generated by
photon-number-resolving postselection from TWBs Peřina Jr. _et al._ (2013a).
The values of the NCDs $\tau$ indicated by negative values of the above quasi-
distributions are considerably greater than those revealed by the NCCa $C_{W}$
and $M_{W}$ based on the intensity moments, especially when the photon-number
distribution obtained by the real detector is analyzed. For this reason, we
extend our analysis of the nonclassicality by considering the systems of NCCa
involving the probabilities of photocount and photon-number distributions.
Also in this case, the systems of NCCa $\bar{C}_{p}$ and $\bar{M}_{p}$ derived
from the Cauchy–Schwarz inequality and the matrix approach, respectively, and
described in detail in Appendix C proved the best performance. Moreover, to
certain extent, they revealed the location of nonclassicality across the
analyzed photon-number distributions, as demonstrated in Figs. 4(e–h) showing
the corresponding NCDs $\bar{\tau}$. The comparison of graphs in Figs. 4(e,f)
with those in Figs. 4(g,h) identifies the system of NCCa $\bar{M}_{p}$ as more
powerful in quantifying the nonclassicality than the system of NCCa
$\bar{C}_{p}$, similarly as in the case of their intensity-moment
counterparts. The attained values of the NCDs $\bar{\tau}_{C_{p}}$ and
$\bar{\tau}_{M_{p}}$ are greater than those reached by the NCCa $C_{W}$ and
$M_{W}$ using the intensity moments. Considerable improvement occurs for both
photon-number distributions ($\tau_{M_{W}}=0.06\pm 0.02$,
$\bar{\tau}_{M_{p}}^{\rm max}=0.27$; $\tau_{M_{W}}^{\rm id}=0.40\pm 0.01$,
$\bar{\tau}_{M_{p}}^{\rm id,max}=0.46$). The greatest values of the NCDs
$\bar{\tau}_{M_{p}}$ are found in the central parts of the photon-number
distributions [see Figs. 4(g,h)].
In our opinion, the NCCa based on the intensity moments lose their power to
resolve the nonclassicality compared to the NCCa with the probabilities in the
process of averaging that smoothes out the local nonclassical features
contained in the photon-number distributions. To support this explanation we
analyze both photon-number distributions applying the hybrid criterion
$L_{Wp}$ in Eq. (9) that keeps the local ’resolution’ in the first-idler-field
photon number $n_{{\rm i}_{1}}$. The greatest achieved values of NCDs
$\tau_{L_{Wp}}$ and $\tau_{L_{Wp}}^{\rm id}$ plotted in Figs. 4(i) and 4(j),
respectively, are smaller than the corresponding greatest values of the NCDs
$\bar{\tau}_{M_{p}}^{\rm max}$ and $\bar{\tau}_{M_{p}}^{\rm id,max}$ plotted
in Figs. 4(g) and 4(h), but they are considerably greater than the values of
the corresponding NCDs $\tau_{M_{W}}$ and $\tau_{M_{W}}^{\rm id}$.
## VI Conclusions
Using postselection by a photon-number-resolving detector and two twin beams
of similar intensities, we have experimentally generated the fields with
increasing intensities that are endowed with anticorrelations in photon-number
fluctuations. They even exhibit the marginal sub-Poissonian photon-number
statistics under suitable conditions. Properties of the experimentally
generated postselected states were monitored by two additional photon-number-
resolving detectors. The obtained experimental data were reconstructed in
parallel by the maximum-likelihood approach and by considering a suitable
Gaussian fit. The nonclassicality of the observed postselected fields was
evidenced by the determination of the corresponding quasi-distributions of
integrated intensities with negative values as well as by several types of the
nonclassicality criteria and the accompanying nonclassicality depths. Whereas
the quasi-distributions of integrated intensities are natural identifiers of
the nonclassicality, the ability of the nonclassicality criteria to resolve
the nonclassicality decreases with their decreasing ’resolution’ (in turn,
criteria based on the probabilities, hybrid criteria and criteria using the
intensity moments). Specific properties of the generated states are appealing
in quantum metrology: The measurement of two-photon absorption cross-sections
beyond the shot-noise-limit because of the sub-Poissonian character of both
fields and anticorrelations in photon-number fluctuations serves as an
example. The properties of the investigated states are also attractive for
two-photon excitations of molecules and other material systems.
###### Acknowledgements.
The authors thank GA ČR projects No. 18-08874S (V.M., R.M., O.H.) and No.
18-22102S (J.P.). They also acknowledge the support from MŠMT ČR (project No.
CZ.02.1.01/0.0/0.0/16_019/0000754).
## Appendix A Multi-mode Gaussian fields and their reconstruction
The mechanism of generation of the analyzed optical field suggests the
following analytical structure for its description. The optical field may be
considered as composed of two ideal multi-mode TWBs and three independent
multi-mode thermal (Gaussian) noisy fields. Spontaneous character of
parametric down-conversion suggests the photon-number distribution $p_{{\rm
p}_{j}}(n_{{\rm s}_{j}},n_{{\rm i}_{j}})$ for TWB $j$, $j=1,2$, in the multi-
mode Gaussian form with $M_{{\rm p}_{j}}$ modes and $B_{{\rm p}_{j}}$ mean
photon-pairs per mode
$p_{{\rm p}_{j}}(n_{{\rm s}_{j}},n_{{\rm i}_{j}})=\delta_{n_{{\rm
s}_{j}},n_{{\rm i}_{j}}}p^{\rm M-R}(n_{{\rm s}_{j}};M_{{\rm p}_{j}},B_{{\rm
p}_{j}}).$ (11)
The multi-mode thermal Mandel–Rice distribution $p^{\rm M-R}$ for an $M$-mode
field with each mode having on average $B$ photons is given as:
$p^{\rm M-R}(n;M,B)=\frac{\Gamma(n+M)}{n!\Gamma(M)}\frac{B^{n}}{(1+B)^{n+M}}.$
(12)
In Eqs. (11) and (12), the Kronecker symbol $\delta_{n_{\rm s},n_{i}}$ and the
gamma function $\Gamma$ are used.
A 3D photon-number distribution $p_{\rm p}$ of the ideally paired part of the
studied optical field is expresses as:
$\displaystyle p_{\rm p}(n_{\rm s},n_{{\rm i}_{1}},n_{{\rm
i}_{2}})=\sum_{n_{{\rm s}_{1}}=0}^{n_{\rm s}}p_{{\rm p}_{1}}(n_{{\rm
s}_{1}},n_{{\rm i}_{1}})p_{{\rm p}_{2}}(n_{\rm s}-n_{{\rm s}_{1}},n_{{\rm
i}_{2}}).$ (13)
We assume the photon-number distribution $p_{\rm n_{\rm s}}$ of the noise in
the combined signal field in the form of Eq. (12) with $M_{\rm n_{\rm s}}$
modes each having on average $B_{\rm n_{\rm s}}$ noisy photons. Similar
assumption is made for the photon-number distribution $p_{\rm n_{{\rm
i}_{j}}}$ of the $j$-th idler field whose noise is distributed into $M_{\rm
n_{{\rm i}_{j}}}$ modes each populated with $B_{\rm n_{{\rm i}_{j}}}$ mean
photons, $j=1,2$. Three-fold convolution of the ideally paired photon-number
distribution $p_{\rm p}$ with three noisy photon-number distributions then
leaves us with the photon-number distribution $p$ appropriate for the analyzed
optical field:
$\displaystyle p(n_{\rm s},n_{{\rm i}_{1}},n_{{\rm i}_{2}})$ $\displaystyle=$
$\displaystyle\sum_{l_{\rm s}=0}^{n_{\rm s}}p_{\rm n_{\rm s}}(n_{\rm s}-l_{\rm
s})\sum_{l_{{\rm i}_{1}}=0}^{n_{{\rm i}_{1}}}p_{\rm n_{{\rm i}_{1}}}(n_{{\rm
i}_{1}}-l_{{\rm i}_{1}})$ (14) $\displaystyle\times\sum_{l_{{\rm
i}_{2}}=0}^{n_{{\rm i}_{2}}}p_{\rm n_{{\rm i}_{2}}}(n_{{\rm i}_{2}}-l_{{\rm
i}_{2}})p_{\rm p}(l_{\rm s},l_{{\rm i}_{1}},l_{{\rm i}_{2}}).$
The photon-number moments $\langle n_{\rm s}^{k_{\rm s}}n_{{\rm
i}_{1}}^{k_{{\rm i}_{1}}}n_{{\rm i}_{2}}^{k_{{\rm i}_{2}}}\rangle$
corresponding to the photon-number distribution $p$ in Eq. (14) are determined
as follows:
$\displaystyle\langle n_{\rm s}^{k_{\rm s}}n_{{\rm i}_{1}}^{k_{{\rm
i}_{1}}}n_{{\rm i}_{2}}^{k_{{\rm i}_{2}}}\rangle$ $\displaystyle=$
$\displaystyle\sum_{n_{\rm s},n_{{\rm i}_{1}},n_{{\rm
i}_{2}}=0}^{\infty}n_{\rm s}^{k_{\rm s}}n_{{\rm i}_{1}}^{k_{{\rm
i}_{1}}}n_{{\rm i}_{2}}^{k_{{\rm i}_{2}}}p(n_{\rm s},n_{{\rm i}_{1}},n_{{\rm
i}_{2}}).$
The (integrated-) intensity moments $\langle W_{\rm s}^{k_{\rm s}}W_{{\rm
i}_{1}}^{k_{{\rm i}_{1}}}W_{{\rm i}_{2}}^{k_{{\rm i}_{2}}}\rangle$, that are
the normally-ordered photon-number moments, are derived from the above photon-
number moments using the Stirling numbers $S$ of the first kind Gradshtein and
Ryzhik (2000):
$\displaystyle\langle W_{\rm s}^{k_{\rm s}}W_{{\rm i}_{1}}^{k_{{\rm
i}_{1}}}W_{{\rm i}_{2}}^{k_{{\rm i}_{2}}}\rangle$ $\displaystyle=$
$\displaystyle\sum_{l_{\rm s}=0}^{k_{\rm s}}S(k_{\rm s},l_{\rm
s})\sum_{l_{{\rm i}_{1}}=0}^{k_{{\rm i}_{1}}}S(k_{{\rm i}_{1}},l_{{\rm
i}_{1}})$ (16) $\displaystyle\times\sum_{l_{{\rm i}_{2}}=0}^{k_{{\rm
i}_{2}}}S(k_{{\rm i}_{2}},l_{{\rm i}_{2}})\langle n_{\rm s}^{l_{\rm s}}n_{{\rm
i}_{1}}^{l_{{\rm i}_{1}}}n_{{\rm i}_{2}}^{l_{{\rm i}_{2}}}\rangle.$
The inverse relation to that in Eq. (16) relies on the Stirling numbers of the
second kind. We note that we have the following relations between the
intensity moments and number $M$ of modes together with their mean photon
numbers $B$ for a multi-mode thermal field:
$\displaystyle B=\frac{\langle(\Delta W)^{2}\rangle}{\langle W\rangle},\hskip
11.38109ptM=\frac{\langle W\rangle^{2}}{\langle(\Delta W)^{2}\rangle};$ (17)
$\Delta W\equiv W-\langle W\rangle$.
In the experiment, we detect the photocount numbers $c$, i.e. the numbers of
photoelectons excited by the absorbed photons. Multiple realizations of the
measurement then give us the experimental photocount histogram $f$ determined
in Eq. (3) and the accompanying photocount moments $\langle c_{\rm s}^{k_{\rm
s}}c_{{\rm i}_{1}}^{k_{{\rm i}_{1}}}c_{{\rm i}_{2}}^{k_{{\rm i}_{2}}}\rangle$,
$\displaystyle\langle c_{\rm s}^{k_{\rm s}}c_{{\rm i}_{1}}^{k_{{\rm
i}_{1}}}c_{{\rm i}_{2}}^{k_{{\rm i}_{2}}}\rangle=\sum_{c_{\rm s},c_{{\rm
i}_{1}},c_{{\rm i}_{2}}=0}^{\infty}c_{\rm s}^{k_{\rm s}}c_{{\rm
i}_{1}}^{k_{{\rm i}_{1}}}c_{{\rm i}_{2}}^{k_{{\rm i}_{2}}}f(c_{\rm s},c_{{\rm
i}_{1}},c_{{\rm i}_{2}}).$ (18)
Similarly as the intensity moments $\langle W_{\rm s}^{k_{\rm s}}W_{{\rm
i}_{1}}^{k_{{\rm i}_{1}}}W_{{\rm i}_{2}}^{k_{{\rm i}_{2}}}\rangle$ are
assigned to the photon-number moments $\langle n_{\rm s}^{l_{s}}n_{{\rm
i}_{1}}^{l_{{\rm i}_{1}}}n_{{\rm i}_{2}}^{l_{{\rm i}_{2}}}\rangle$, we may
assign the intensity moments $\langle{\cal W}_{\rm s}^{k_{\rm s}}{\cal
W}_{{\rm i}_{1}}^{k_{{\rm i}_{1}}}{\cal W}_{{\rm i}_{2}}^{k_{{\rm
i}_{2}}}\rangle_{E}$ to the photocount moments $\langle c_{\rm s}^{l_{\rm
s}}c_{{\rm i}_{1}}^{l_{{\rm i}_{1}}}c_{{\rm i}_{2}}^{l_{{\rm i}_{2}}}\rangle$
using the relations in Eq. (16). The photocount moments $\langle c_{\rm
s}^{l_{\rm s}}c_{{\rm i}_{1}}^{l_{{\rm i}_{1}}}c_{{\rm i}_{2}}^{l_{{\rm
i}_{2}}}\rangle$ as well as the intensity moments $\langle{\cal W}_{\rm
s}^{k_{\rm s}}{\cal W}_{{\rm i}_{1}}^{k_{{\rm i}_{1}}}{\cal W}_{{\rm
i}_{2}}^{k_{{\rm i}_{2}}}\rangle_{E}$ are directly available from the
experimental data and so they form a natural basis for the reconstruction of
the above Gaussian form of the studied field.
Description of the response of a PNRD is also needed when making the
reconstruction. An iCCD camera, used in our experiment, is characterized by
detection efficiency $\eta$, dark-count rate $D\equiv d/N$ per pixel and
number $N$ of active pixels that determine the corresponding detection matrix
$T(c,n)$ introduced in Eq. (2) in the following form Peřina Jr. _et al._
(2012b):
$\displaystyle T(c,n)$ $\displaystyle=$
$\displaystyle\left(\begin{array}[]{c}N\cr
c\end{array}\right)(1-D)^{N}(1-\eta)^{n}(-1)^{c}$ (24)
$\displaystyle\times\sum_{l=0}^{c}\left(\begin{array}[]{c}c\cr
l\end{array}\right)\frac{(-1)^{l}}{(1-D)^{l}}\left(1+\frac{l}{N}\frac{\eta}{1-\eta}\right)^{n}.$
For the reconstruction, we have at our disposal the experimental 3D photocount
histogram $f$. From this histogram, we conveniently determine the following
nine experimental intensity moments with sufficiently high precision:
$\langle{\cal W}_{\rm s}\rangle_{E}$, $\langle{\cal W}_{{\rm
i}_{j}}\rangle_{E}$, $\langle(\Delta{\cal W}_{\rm s})^{2}\rangle_{E}$,
$\langle(\Delta{\cal W}_{{\rm i}_{j}})^{2}\rangle_{E}$, $\langle\Delta{\cal
W}_{\rm s}\Delta{\cal W}_{{\rm i}_{j}}\rangle_{E}$, and $\langle\Delta{\cal
W}_{{\rm i}_{1}}\Delta{\cal W}_{{\rm i}_{2}}\rangle_{E}$, $j=1,2$. On the
other hand, the multi-mode Gaussian optical field is characterized by ten
parameters, five parameters give the numbers of modes ($M_{{\rm p}_{j}}$,
$M_{\rm n_{\rm s}}$, $M_{{\rm n}_{{\rm i}_{j}}}$, $j=1,2$) in different
components of the field and five parameters characterize the mean photon
(-pair) numbers in each mode ($B_{{\rm p}_{j}}$, $B_{\rm n_{\rm s}}$, $B_{{\rm
n}_{{\rm i}_{j}}}$, $j=1,2$). Moreover, we need to know the detection
efficiencies for each detected field ($\eta_{\rm s}$, $\eta_{{\rm i}_{1}}$,
$\eta_{{\rm i}_{2}}$).
Detailed analysis of the used experimental setup reveals that the detection
efficiencies $\eta_{{\rm i}_{1}}$ and $\eta_{{\rm i}_{2}}$ cannot be
determined independently with sufficient precision. This is related to the
fact that no photon pairs occur directly in the first and the second idler
fields. For this reason, we assume in our analysis that they equal
($\eta_{{\rm i}_{1}}=\eta_{{\rm i}_{2}}\equiv\eta_{\rm i}$). Under this
assumption we can accomplish the reconstruction in two subsequent steps.
First, we combine together the intensity moments of both idler fields to
arrive at the moments characterizing the common idler field:
$\displaystyle\langle{\cal W}_{\rm i}\rangle_{E}$ $\displaystyle=$
$\displaystyle\langle{\cal W}_{{\rm i}_{1}}\rangle_{E}+\langle{\cal W}_{{\rm
i}_{2}}\rangle_{E},$ $\displaystyle\langle(\Delta{\cal W}_{\rm
i})^{2}\rangle_{E}$ $\displaystyle=$ $\displaystyle\langle(\Delta{\cal
W}_{{\rm i}_{1}})^{2}\rangle_{E}+2\langle\Delta{\cal W}_{{\rm
i}_{1}}\Delta{\cal W}_{{\rm i}_{2}}\rangle_{E}$
$\displaystyle+\langle(\Delta{\cal W}_{{\rm i}_{2}})^{2}\rangle_{E},$
$\displaystyle\langle\Delta{\cal W}_{\rm s}\Delta{\cal W}_{\rm i}\rangle_{E}$
$\displaystyle=$ $\displaystyle\langle\Delta{\cal W}_{\rm s}\Delta{\cal
W}_{{\rm i}_{1}}\rangle_{E}+\langle\Delta{\cal W}_{\rm s}\Delta{\cal W}_{{\rm
i}_{2}}\rangle_{E}.$
Then we apply the reconstruction method for a multi-mode Gaussian TWB composed
of the combined signal and combined idler fields that has been developed in
Peřina Jr. _et al._ (2013b). This provides us the intensity moments $\langle
W_{\rm p}\rangle$ and $\langle(\Delta W_{\rm p})^{2}\rangle$ of the combined
ideally paired field and intensity moments $\langle W_{\rm n_{\rm s}}\rangle$,
$\langle W_{{\rm n}_{\rm i}}\rangle$, $\langle(\Delta W_{\rm n_{\rm
s}})^{2}\rangle$, and $\langle(\Delta W_{{\rm n}_{\rm i}})^{2}\rangle$ of the
noise signal and idler fields as well as the detection efficiencies $\eta_{\rm
s}$ and $\eta_{\rm i}$.
In the second step, we determine the remaining intensity moments $\langle
W_{{\rm p}_{j}}\rangle$ and $\langle(\Delta W_{{\rm p}_{j}})^{2}\rangle$
belonging to the paired components as well as the intensity moments $\langle
W_{{\rm i}_{j}}\rangle$ and $\langle(\Delta W_{{\rm i}_{j}})^{2}\rangle$ of
the noise idler fields, $j=1,2$. For this purpose, we write the following ten
linear relations among the looked-for intensity moments:
$\displaystyle\langle W_{{\rm p}_{j}}\rangle+\langle W_{{\rm n}_{{\rm
i}_{j}}}\rangle$ $\displaystyle=$ $\displaystyle\langle{\cal W}_{{\rm
i}_{j}}\rangle_{E}/\eta_{\rm i},$ $\displaystyle\langle(\Delta W_{{\rm
p}_{j}})^{2}\rangle+\langle(\Delta W_{{\rm i}_{j}})^{2}\rangle$
$\displaystyle=$ $\displaystyle\langle(\Delta{\cal W}_{{\rm
i}_{j}})^{2}\rangle_{E}/\eta_{\rm i}^{2},$ $\displaystyle\langle W_{{\rm
p}_{j}}\rangle+\langle(\Delta W_{{\rm p}_{j}})^{2}\rangle$ $\displaystyle=$
$\displaystyle\langle\Delta{\cal W}_{{\rm i}_{j}}\Delta{\cal W}_{\rm
s}\rangle_{E}/(\eta_{\rm i}\eta_{\rm s}),$ $\displaystyle\hskip
56.9055ptj=1,2,$ $\displaystyle\langle W_{{\rm p}_{1}}\rangle+\langle W_{{\rm
p}_{2}}\rangle$ $\displaystyle=$ $\displaystyle\langle W_{\rm p}\rangle,$
$\displaystyle\langle W_{{\rm n}_{{\rm i}_{1}}}\rangle+\langle W_{{\rm
n}_{{\rm i}_{2}}}\rangle$ $\displaystyle=$ $\displaystyle\langle W_{{\rm
n}_{\rm i}}\rangle,$ $\displaystyle\langle(\Delta W_{{\rm
p}_{1}})^{2}\rangle+\langle(\Delta W_{{\rm p}_{2}})^{2}\rangle$
$\displaystyle=$ $\displaystyle\langle(\Delta W_{\rm p})^{2}\rangle,$
$\displaystyle\langle(\Delta W_{{\rm n}_{{\rm
i}_{1}}})^{2}\rangle+\langle(\Delta W_{{\rm n}_{{\rm i}_{2}}})^{2}\rangle$
$\displaystyle=$ $\displaystyle\langle(\Delta W_{{\rm n}_{\rm
i}})^{2}\rangle.$ (26)
Whereas the first six relations in Eq. (26) contain the original experimental
intensity moments, the remaining four relations are based upon the intensity
moments obtained in the first step.
Detailed analysis of the linear relations in Eq. (26) reveals that only seven
out of them are independent. As we have eight independent intensity moments to
be determined, we choose one intensity moment as a free parameter and derive
the remaining seven ones using the relations in Eq. (26). We may conveniently
choose, e.g., the moment $\langle(\Delta W_{{\rm p}_{1}})^{2}\rangle$ and
express the remaining moments as linear combinations of this moment, the
experimental intensity moments and the moments known from the first step. We
may proceed, e.g., along the following lines: $\langle(\Delta W_{{\rm
p}_{1}})^{2}\rangle\rightarrow\langle W_{{\rm p}_{1}}\rangle\rightarrow\langle
W_{{\rm p}_{2}}\rangle\rightarrow\langle(\Delta W_{{\rm p}_{2}})^{2}\rangle$,
$\langle W_{{\rm p}_{j}}\rangle\rightarrow\langle W_{{\rm n}_{{\rm
i}_{j}}}\rangle$, $\langle(\Delta W_{{\rm
p}_{j}})^{2}\rangle\rightarrow\langle(\Delta W_{{\rm n}_{{\rm
i}_{j}}})^{2}\rangle$, $j=1,2$. We note that the allowed values of the
intensity moment $\langle(\Delta W_{{\rm p}_{1}})^{2}\rangle$ fulfill:
$\displaystyle\langle(\Delta W_{{\rm p}_{1}})^{2}\rangle$ $\displaystyle\in$
$\displaystyle(0,\min\\{\langle(\Delta{\cal W}_{{\rm
i}_{1}})^{2}\rangle_{E}/\eta_{\rm i}^{2},$ (27) $\displaystyle\hskip
14.22636pt\langle\Delta{\cal W}_{{\rm i}_{1}}\Delta{\cal W}_{\rm
s}\rangle_{E}/(\eta_{\rm i}\eta_{\rm s})\\}).$
For given set of the values of the intensity moments $\langle W_{{\rm
p}_{j}}\rangle$, $\langle(\Delta W_{{\rm p}_{j}})^{2}\rangle$, $\langle
W_{{\rm n}_{{\rm i}_{j}}}\rangle$, $\langle(\Delta W_{{\rm n}_{{\rm
i}_{j}}})^{2}\rangle$, $j=1,2$, $\langle W_{n_{\rm s}}\rangle$, and
$\langle(\Delta W_{n_{\rm s}})^{2}\rangle$ we derive the numbers $M_{{\rm
p}_{j}}$, $M_{{\rm n}_{{\rm i}_{j}}}$, $j=1,2$, and $M_{n_{\rm s}}$ of modes
and mean photon (-pair) numbers $B_{{\rm p}_{j}}$, $B_{{\rm n}_{{\rm
i}_{j}}}$, $j=1,2$, and $B_{n_{\rm s}}$ using Eqs. (17). Then, we reconstruct
the 3D photon number distribution $p(n_{\rm s},n_{{\rm i}_{1}},n_{{\rm
i}_{2}})$ in Eq. (13) and arrive at the theoretical 3D photocount histogram
$f^{\rm th}(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm i}_{2}})$ by applying Eq. (3)
together with the detection matrix in Eq. (24). The optimal values of numbers
of modes and mean photon (-pair) numbers are set such that they minimize the
declination function ${\cal D}$ between the theoretical and experimental
histograms:
${\cal D}=\sqrt{\sum_{c_{\rm s},c_{{\rm i}_{1}},c_{{\rm
i}_{2}}=0}^{\infty}[f^{\rm th}(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm
i}_{2}})-f(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm i}_{2}})]^{2}}.$ (28)
## Appendix B Maximum-likelihood reconstruction of 2D and 3D photon-number
distributions
The 3D photon-number distribution $p(n_{\rm s},n_{{\rm i}_{1}},n_{{\rm
i}_{2}})$ of the original optical field used in the experiment is obtained
from the experimental photocount histogram $f(c_{\rm s},c_{{\rm
i}_{1}},c_{{\rm i}_{2}})$ by inverting the linear relations expressed in Eq.
(3). The maximum-likelihood method Dempster _et al._ (1977); Vardi and Lee
(1993) provides us the following iteration procedure that reveals the photon-
number distribution $p(n_{\rm s},n_{{\rm i}_{1}},n_{{\rm i}_{2}})$ as a steady
state of the following iteration procedure:
$\displaystyle p^{(j+1)}(n_{\rm s},n_{{\rm i}_{1}},n_{{\rm i}_{2}})$
$\displaystyle=$ $\displaystyle\sum_{c_{\rm s},c_{{\rm i}_{1}},c_{{\rm
i}_{2}}=0}^{\infty}F^{(j)}(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm i}_{2}})T_{\rm
s}(c_{\rm s},n_{\rm s})$ (29) $\displaystyle\times T_{{\rm i}_{1}}(c_{{\rm
i}_{1}},n_{{\rm i}_{1}})T_{{\rm i}_{2}}(c_{{\rm i}_{2}},n_{{\rm i}_{2}}),$
$\displaystyle F^{(j)}(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm i}_{2}})$
$\displaystyle=$ $\displaystyle f(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm
i}_{2}})\Biggl{[}\sum_{n^{\prime}_{\rm s},n^{\prime}_{{\rm
i}_{1}},n^{\prime}_{{\rm i}_{2}}=0}^{\infty}T_{\rm s}(c_{\rm
s},n^{\prime}_{\rm s})$ $\displaystyle\times T_{{\rm i}_{1}}(c_{{\rm
i}_{1}},n^{\prime}_{{\rm i}_{1}})T_{{\rm i}_{2}}(c_{{\rm
i}_{2}},n^{\prime}_{{\rm i}_{2}})p^{(j)}(n^{\prime}_{\rm s},n^{\prime}_{{\rm
i}_{1}},n^{\prime}_{{\rm i}_{2}})\Bigr{]}^{-1},$ $\displaystyle\hskip
5.69054ptj=0,1,\ldots\;.$
Similarly, the 2D photon-number distributions $p_{\rm ii}(n_{{\rm
i}_{1}},n_{{\rm i}_{2}};c_{\rm s})$ given in Eq. (2) and belonging to the
field postselected by detecting $c_{\rm s}$ signal photocounts can be
reconstructed by the maximum-likelihood method from the conditional
experimental photocount histograms $f_{\rm ii}(c_{{\rm i}_{1}},c_{{\rm
i}_{2}};c_{\rm s})\equiv f(c_{\rm s},c_{{\rm i}_{1}},c_{{\rm
i}_{2}})/\sum_{c^{\prime}_{{\rm i}_{1}},c^{\prime}_{{\rm
i}_{2}}=0}^{\infty}f(c_{\rm s},c^{\prime}_{{\rm i}_{1}},c^{\prime}_{{\rm
i}_{2}})$. We arrive at the following iteration procedure in this case:
$\displaystyle p^{(j+1)}_{\rm ii}(n_{{\rm i}_{1}},n_{{\rm i}_{2}};c_{\rm s})$
$\displaystyle=$ $\displaystyle\sum_{c_{{\rm i}_{1}},c_{{\rm
i}_{2}}=0}^{\infty}F^{(j)}_{\rm ii}(c_{{\rm i}_{1}},c_{{\rm i}_{2}};c_{\rm
s})T_{{\rm i}_{1}}(c_{{\rm i}_{1}},n_{{\rm i}_{1}})$ (30) $\displaystyle\times
T_{{\rm i}_{2}}(c_{{\rm i}_{2}},n_{{\rm i}_{2}}),$ $\displaystyle F^{(j)}_{\rm
ii}(c_{{\rm i}_{1}},c_{{\rm i}_{2}};c_{\rm s})$ $\displaystyle=$
$\displaystyle f_{ii}(c_{{\rm i}_{1}},c_{{\rm i}_{2}};c_{\rm
s})\Biggl{[}\sum_{n^{\prime}_{{\rm i}_{1}},n^{\prime}_{{\rm
i}_{2}}=0}^{\infty}T_{{\rm i}_{1}}(c_{{\rm i}_{1}},n^{\prime}_{{\rm i}_{1}})$
$\displaystyle\times T_{{\rm i}_{2}}(c_{{\rm i}_{2}},n^{\prime}_{{\rm
i}_{2}})p^{(j)}_{\rm ii}(n^{\prime}_{{\rm i}_{1}},n^{\prime}_{{\rm
i}_{2}};c_{\rm s})\Bigr{]}^{-1},\hskip 5.69054ptj=0,1,\ldots\;.$
## Appendix C Identification of the nonclassicality
For the analyzed postselected 2D idler fields, the NCCa $C_{K}^{L}$ derived
from the Cauchy–Schwarz inequality and the NCCa $M_{JKL}$ originating in non-
negative quadratic forms Agarwal and Tara (1992) of three variables
conveniently written in the matrix form Vogel (2008); Miranowicz _et al._
(2010); Peřina Jr. _et al._ (2020b) have been found the most powerful:
$\displaystyle C_{K}^{L}=\langle W^{L}\rangle\langle W^{2K-L}\rangle-\langle
W^{K}\rangle^{2}<0,$ $\displaystyle K\geq 0,2K\geq L\geq 0,$ (31)
$\displaystyle M_{JKL}={\rm
det}\langle\left[\begin{array}[]{ccc}W^{2J}&W^{J+K}&W^{J+L}\\\
W^{K+J}&W^{2K}&W^{K+L}\\\ W^{L+J}&W^{L+K}&W^{2L}\end{array}\right]\rangle<0,$
(35) $\displaystyle J,K,L\geq 0.$ (36)
In Eqs. (31) and (36), we use the notation with vector indices
$K\equiv(k_{{\rm i}_{1}},k_{{\rm i}_{2}})$ in which $W^{K}\equiv W_{{\rm
i}_{1}}^{k_{{\rm i}_{1}}}W_{{\rm i}_{2}}^{k_{{\rm i}_{2}}}$ and $K!\equiv
k_{{\rm i}_{1}}!\,k_{{\rm i}_{2}}!$.
The NCCa $C_{K}^{L}$ and $M_{JKL}$ based on the intensity moments are
translated into the corresponding NCCa $\bar{C}_{K}^{L}$ and $\bar{M}_{JKL}$
written for the probabilities of photon-number (photocount) distributions
$p(k_{{\rm i}_{1}},k_{{\rm i}_{2}})\equiv p(K)$ Klyshko (1996); Waks _et al._
(2004, 2006); Wakui _et al._ (2014); Peřina Jr. _et al._ (2017) using the
mapping originating in the Mandel detection formula Peřina (1991); Mandel and
Wolf (1995):
$\langle W^{K}\rangle\longleftarrow K!p(K)/p(0,0).$ (37)
We note that the mapping (37) assigns photon numbers and the accompanying
probabilities to the powers of intensity moments. The NCCa for probabilities
indicate not only the global nonclassicality of an analyzed field, they may
also provide the information about the location of the nonclassicality across
the profile of photon-number (photocount) distribution Peřina Jr. _et al._
(2020b). This can be accomplished by applying the following NCCa
$\bar{C}_{p}(K)$ and $\bar{M}_{p}(K)$ that involve the above NCCa
$\bar{C}_{K}^{L}$ and $\bar{M}_{JKL}$ with the indices obeying specific
conditions:
$\displaystyle\bar{C}_{p}(K)$ $\displaystyle=$ $\displaystyle\min_{L,|K-L|\leq
1}\\{\bar{C}_{K}^{L}\\},$ (38) $\displaystyle\bar{M}_{p}(K)$ $\displaystyle=$
$\displaystyle\min_{J,L,|J-K|\leq 1,|L-K|\leq 1}\\{\bar{M}_{JKL}\\},$ (39)
and $|K-L|\leq 1$ means that both conditions $|k_{{\rm i}_{j}}-l_{{\rm
i}_{j}}|\leq 1$ for $j=1,2$ are fulfilled.
## Appendix D Reconstruction of quasi-distributions of integrated intensities
An $s$-ordered quasi-distribution $P_{{\rm ii},s}(W_{{\rm i}_{1}},W_{{\rm
i}_{2}})$ of the idler-fields integrated intensities $W_{{\rm i}_{1}}$ and
$W_{{\rm i}_{2}}$ corresponding to a 2D idler-fields photon-number
distribution $p_{\rm ii}(n_{{\rm i}_{1}},n_{{\rm i}_{2}})$ is obtained using
the following formula Peřina (1991):
$\displaystyle P_{{\rm ii},s}(W_{{\rm i}_{1}},W_{{\rm i}_{2}})$
$\displaystyle=$ $\displaystyle\frac{4}{(1-s)^{2}}\exp\left(-\frac{2(W_{{\rm
i}_{1}}+W_{{\rm i}_{2}})}{1-s}\right)$ (40) $\displaystyle\times\sum_{n_{{\rm
i}_{1}},n_{{\rm i}_{2}}=0}^{\infty}\frac{p_{\rm ii}(n_{{\rm i}_{1}},n_{{\rm
i}_{2}})}{n_{{\rm i}_{1}}!\,n_{{\rm
i}_{2}}!}\left(\frac{s+1}{s-1}\right)^{n_{{\rm i}_{1}}+n_{{\rm i}_{2}}}$
$\displaystyle\times L_{n_{{\rm i}_{1}}}\left(\frac{4W_{{\rm
i}_{1}}}{1-s^{2}}\right)L_{n_{{\rm i}_{2}}}\left(\frac{4W_{{\rm
i}_{2}}}{1-s^{2}}\right).$
In Eq. (40), the symbol $L_{k}$ stands for the Laguerre polynomials Morse and
Feshbach (1953).
## References
* Boyd (2003) R. W. Boyd, _Nonlinear Optics, 2nd edition_ (Academic Press, New York, 2003).
* Mandel and Wolf (1995) L. Mandel and E. Wolf, _Optical Coherence and Quantum Optics_ (Cambridge Univ. Press, Cambridge, 1995).
* Weihs _et al._ (1998) G. Weihs, T. Jennewein, C. Simon, H. Weinfurter, and A. Zeilinger, “Violation of Bell’s inequality under strict Einstein locality conditions,” Phys. Rev. Lett. 81, 5039—5043 (1998).
* Genovese (2005) M. Genovese, “Research on hidden variable theories: A review of recent progresses,” Phys. Rep. 413, 319—396 (2005).
* Bouwmeester _et al._ (1997) D. Bouwmeester, J. W. Pan, K. Mattle, M. Eibl, H. Weinfurter, and A. Zeilinger, “Experimental quantum teleportation,” Nature 390, 575–579 (1997).
* Genovese (2016) M. Genovese, “Real applications of quantum imaging,” J. Opt. 18, 073002 (2016).
* Jedrkiewicz _et al._ (2004) O. Jedrkiewicz, Y. K. Jiang, E. Brambilla, A. Gatti, M. Bache, L. A. Lugiato, and P. Di Trapani, “Detection of sub-shot-noise spatial correlation in high-gain parametric down-conversion,” Phys. Rev. Lett. 93, 243601 (2004).
* Haderka _et al._ (2005) O. Haderka, J. Peřina Jr., M. Hamar, and J. Peřina, “Direct measurement and reconstruction of nonclassical features of twin beams generated in spontaneous parametric down-conversion,” Phys. Rev. A 71, 033815 (2005).
* Bondani _et al._ (2007) M. Bondani, A. Allevi, G. Zambra, M. G. A. Paris, and A. Andreoni, “Sub-shot-noise photon-number correlation in a mesoscopic twin beam of light,” Phys. Rev. A 76, 013833 (2007).
* Blanchet _et al._ (2008) J.-L. Blanchet, F. Devaux, L. Furfaro, and E. Lantz, “Measurement of sub-shot-noise correlations of spatial fluctuations in the photon-counting regime,” Phys. Rev. Lett. 101, 233604 (2008).
* Brida _et al._ (2009) G. Brida, L. Caspani, A. Gatti, M. Genovese, A. Meda, and I. R. Berchera, “Measurement of sub-shot-noise spatial correlations without backround subtraction,” Phys. Rev. Lett. 102, 213602 (2009).
* Klyshko (1980) D. N. Klyshko, “Use of two-photon light for absolute calibration of photoelectric detectors,” Sov. J. Quantum Electron. 10, 1112 (1980).
* Brida _et al._ (2006) G. Brida, M. Genovese, and M. Gramegna, “Twin-photon techniques for photo-detector calibration,” Laser Phys. Lett. 3, 115—123 (2006).
* Peřina Jr. _et al._ (2012a) J. Peřina Jr., O. Haderka, M. Hamar, and V. Michálek, “Absolute detector calibration using twin beams,” Opt. Lett. 37, 2475—2477 (2012a).
* Haderka _et al._ (2014) O. Haderka, J. Peřina Jr., V. Michálek, and M. Hamar, “Absolute spectral calibration of an intensified CCD camera using twin beams,” J. Opt. Soc. Am. B 31, B1—B7 (2014).
* Rarity and Tapster (1997) J.G. Rarity and P.R. Tapster, “Quantum interference: experiments and applications,” Phil. Trans. R. Soc. A 355, 2267—2277 (1997).
* Laurat _et al._ (2003) J. Laurat, T. Coudreau, N. Treps, A. Maitre, and C. Fabre, “Conditional preparation of a quantum state in the continuous variable regime: Generation of a sub-Poissonian state from twin beams,” Phys. Rev. Lett. 91, 213601 (2003).
* Zou _et al._ (2006) H. Zou, S. Zhai, J. Guo, R. Yang, and J. Gao, “Preparation and measurement of tunable highpower sub-Poissonian light using twin beams,” Opt. Lett. 31, 1735—1737 (2006).
* Peřina Jr. _et al._ (2013a) J. Peřina Jr., O. Haderka, and V. Michálek, “Sub-Poissonian-light generation by postselection from twin beams,” Opt. Express 21, 19387—19394 (2013a).
* Lamperti _et al._ (2014) M. Lamperti, A. Allevi, M. Bondani, R. Machulka, V. Michálek, O. Haderka, and J. Peřina Jr., “Optimal sub-Poissonian light generation from twin beams by photon-number resolving detectors,” J. Opt. Soc. Am. B 31, 20–25 (2014).
* Iskhakov _et al._ (2016a) T. S. Iskhakov, V. C. Usenko, U. L. Andersen, R. Filip, M. V. Chekhova, and G. Leuchs, “Heralded source of bright multi-mode mesoscopic sub-Poissonian light,” Opt. Lett. 41, 2149—2152 (2016a).
* Harder _et al._ (2016) G. Harder, T. J. Bartley, A. E. Lita, S. W. Nam, T. Gerrits, and C. Silberhorn, “Single-mode parametric-down-conversion states with 50 photons as a source for mesoscopic quantum optics,” Phys. Rev. Lett. 116, 143601 (2016).
* Zeldovich and Klyshko (1969) B. Y. Zeldovich and D. N. Klyshko, “Field statistics in parametric luminescence,” Sov. J. Exp. Theor. Phys. Lett. 9, 40 (1969).
* Peřina Jr. _et al._ (2001) J. Peřina Jr., O. Haderka, and J. Soubusta, “Quantum cryptography using a photon source based on postselection from entangled two-photon states,” Phys. Rev. A 64, 052305 (2001).
* Alibart _et al._ (2008) O. Alibart, D. B. Ostrowsky, P. Baldi, and S. Tanzilli, “High-performance guided-wave asynchronous heralded single-photon source,” Opt. Lett. 30, 1539—1541 (2008).
* Brida _et al._ (2012) G. Brida, I. P. Degiovanni, M. Genovese, F. Piacentini, P. Traina, A. Della Frera, A. Tosi, A. Bahgat Shehata, C. Scarcella, A. Gulinatti, M. Ghioni, S. V. Polyakov, A. Migdall, and A. Giudice, “An extremely low-noise heralded single-photon source: A breakthrough for quantum technologies,” Appl. Phys. Lett. 101, 221112 (2012).
* Horoshko _et al._ (2019) D. B. Horoshko, S. De Bievre, G. Patera, and M. I. Kolobov, “Thermal-difference states of light: Quantum states of heralded photons,” Phys. Rev. A 100, 053831 (2019).
* Saleh and Teich (1987) B. E. A. Saleh and M. C. Teich, “Can the channel capacity of a light-wave communication system be increased by the use of photon-number-squeezed light?” Phys. Rev. Lett. 58, 2656–2659 (1987).
* Nielsen and Chuang (2000) M. A. Nielsen and I. L. Chuang, _Quantum Computation and Quantum Information_ (Cambridge Univ. Press, Cambridge, 2000).
* Jakeman and Rarity (1986) E. Jakeman and J. G. Rarity, “The use of pair production processes to reduce quantum noise in transmission measurements,” Opt. Commun. 59, 219—223 (1986).
* Brida _et al._ (2010) G. Brida, M. Genovese, and I. R. Berchera, “Experimental realization of sub-shot-noise quantum imaging,” Nat. Photon. 4, 227—230 (2010).
* Whittaker _et al._ (2017) R. Whittaker, C. Erven, A. Neville, M. Berry, J. L. OBrien, H. Cable, and J. C. F. Matthews, “Absorption spectroscopy at the ultimate quantum limit from single-photon states,” New J. Phys. 19, 023013 (2017).
* Li _et al._ (2018) M. Li, C.-L. Zou, D. Liu, G.-P. Guo, G.-C. Guo, and X.-F. Ren, “Enhanced absorption microscopy with correlated photon pairs,” Phys. Rev. A 98, 012121 (2018).
* Sabines-Chesterkind _et al._ (2019) J. Sabines-Chesterkind, A. R. McMillan, P. A. Moreau, S. K. Josh, S. Knauer, E. Johnston, J. G. Rarity, and J. C. F. Matthews, “Twin-beam sub-shot-noise raster-scanning microscope,” Opt. Express 27, 30810—30818 (2019).
* Abouraddy _et al._ (2002) A. F. Abouraddy, K. C. Toussaint Jr., A. V. Sergienko, B. E. A. Saleh, and M. C. Teich, “Entangled-photon ellipsometry,” J. Opt. Soc. Am. B 19, 656—662 (2002).
* Giovannetti _et al._ (2006) V. Giovannetti, S. Lloyd, and L. Maccone, “Quantum metrology,” Phys. Rev. Lett. 96, 010401 (2006).
* Giovannetti _et al._ (2011) V. Giovannetti, S. Lloyd, and L. Maccone, “Advances in quantum metrology,” Nat. Photon. 5, 222—229 (2011).
* Agarwal and Tara (1992) G. S. Agarwal and K. Tara, “Nonclassical character of states exhibiting no squeezing or sub-Poissonian statistics,” Phys. Rev. A 46, 485—488 (1992).
* Iskhakov _et al._ (2016b) T. S. Iskhakov, V. C. Usenko, R. Filip, M. V. Chekhova, and G. Leuchs, “Low-noise macroscopic twin beams,” Phys. Rev. A 93, 043849 (2016b).
* Barnett _et al._ (2018) S. M. Barnett, G. Ferenczi, C. R. Gilson, and F. C. Speirits, “Statistics of photon subtracted and photon-added states,” Phys. Rev. A 98, 013809 (2018).
* Kim _et al._ (2005) M. S. Kim, E. Park, P. L. Knight, and H. Jeong, “Nonclassicality of a photon-subtracted gaussian field,” Phys. Rev. A 71, 043805 (2005).
* Magańa-Loaiza _et al._ (2019) O. S. Magańa-Loaiza, R. de J. León-Montiel, A. Perez-Leija, A. B. URen, C. You, K. Busch, A. E. Lita, S. W. Nam, R. P. Mirin, and T. Gerrits, “Multiphoton quantum-state engineering using conditional measurements,” npj Quant. Inf. 5, 80 (2019).
* Hong and Mandel (1986) C. K. Hong and L. Mandel, “Experimental realization of a localized one-photon state,” Phys. Rev. Lett. 56, 58—60 (1986).
* Peřina Jr. _et al._ (2007) J. Peřina Jr., M. Centini, C. Sibilia, M. Bertolotti, and M. Scalora, “Anti-symmetric entangled two-photon states generated in nonlinear GaN/AlN photonic-band-gap structures,” Phys. Rev. A 75, 013805 (2007).
* Nogueira _et al._ (2001) W. A. T. Nogueira, S. P. Walborn, S. Padua, and C. H. Monken, “Experimental observation of spatial anti-bunching of photons,” Phys. Rev. Lett. 86, 4009—4012 (2001).
* Caetano and Souto Ribeiro (2003) D. P. Caetano and P. H. Souto Ribeiro, “Generation of spatial anti-bunching with free-propagating twin beams,” Phys. Rev. A 68, 043806 (2003).
* Zukowski _et al._ (1993) M. Zukowski, A. Zeilinger, M. A. Horne, and A. K. Ekert, “”Event-ready-detectors” Bell experiment via entanglement swapping,” Phys. Rev. Lett. 71, 4287—4290 (1993).
* Scherer _et al._ (2009) A. Scherer, R. B. Howard, B. C. Sanders, and W. Tittel, “Quantum states prepared by realistic entanglement swapping,” Phys. Rev. A 80, 062310 (2009).
* Duan _et al._ (2001) L. M. Duan, M. D. Lukin, J. I. Cirac, and P. Zoller, “Long-distance quantum communication with atomic ensembles and linear optics,” Nature 414, 413—419 (2001).
* Chou _et al._ (2005) C. W. Chou, H. de Riedmatten, D. Felinto, S. V. Polyakov, S. J. van Enk, and H. J. Kimble, “Measurement-induced entanglement for excitation stored in remote atomic ensembles,” Nature 438, 828—832 (2005).
* Saleh (1978) B. E. A. Saleh, _Photoelectron Statistics_ (Springer-Verlag, New York, 1978).
* Peřina Jr. _et al._ (2020a) J. Peřina Jr., V. Michálek, and O. Haderka, “Non-classicality of optical fields as observed in photocount and photon-number distributions,” Opt. Express 28, 32620–32631 (2020a).
* Arkhipov _et al._ (2016) I. I. Arkhipov, J. Peřina Jr., V. Michálek, and O. Haderka, “Experimental detection of nonclassicality of single-mode fields via intensity moments,” Opt. Express 24, 29496—29505 (2016).
* Lee (1991) C. T. Lee, “Measure of the nonclassicality of nonclassical states,” Phys. Rev. A 44, R2775—R2778 (1991).
* Peřina (1991) J. Peřina, _Quantum Statistics of Linear and Nonlinear Optical Phenomena_ (Kluwer, Dordrecht, 1991).
* Peřina Jr. _et al._ (2017) J. Peřina Jr., I. I. Arkhipov, V. Michálek, and O. Haderka, “Non-classicality and entanglement criteria for bipartite optical fields characterized by quadratic detectors,” Phys. Rev. A 96, 043845 (2017).
* Peřina Jr. _et al._ (2020b) J. Peřina Jr., O. Haderka, and V. Michálek, “Non-classicality and entanglement criteria for bipartite optical fields characterized by quadratic detectors II: Criteria based on probabilities,” Phys. Rev. A 102, 043713 (2020b).
* Glauber (1963) R. J. Glauber, “Coherent and incoherent states of the radiation field,” Phys. Rev. 131, 2766—2788 (1963).
* Sudarshan (1963) E. C. G. Sudarshan, “Equivalence of semiclassical and quantum mechanical descriptions of statistical light beams,” Phys. Rev. Lett. 10, 277—179 (1963).
* Gradshtein and Ryzhik (2000) I. S. Gradshtein and I. M. Ryzhik, _Table of Integrals, Series, and Products, 6th ed._ (Academic Press, San Diego, 2000).
* Peřina Jr. _et al._ (2012b) J. Peřina Jr., M. Hamar, V. Michálek, and O. Haderka, “Photon-number distributions of twin beams generated in spontaneous parametric down-conversion and measured by an intensified CCD camera,” Phys. Rev. A 85, 023816 (2012b).
* Peřina Jr. _et al._ (2013b) J. Peřina Jr., O. Haderka, V. Michálek, and M. Hamar, “State reconstruction of a multimode twin beam using photodetection,” Phys. Rev. A 87, 022108 (2013b).
* Dempster _et al._ (1977) A. P. Dempster, N. M. Laird, and D. B. Rubin, “Maximum likelihood from incomplete data via the EM algorithm,” J. Royal Statist. Soc. B 39, 1—38 (1977).
* Vardi and Lee (1993) Y. Vardi and D. Lee, “From image deblurring to optimal investments: Maximum likelihood solutions for positive linear inverse problems,” J. Royal Statist. Soc. B 55, 569—612 (1993).
* Vogel (2008) W. Vogel, “Nonclassical correlation properties of radiation fields,” Phys. Rev. Lett. 100, 013605 (2008).
* Miranowicz _et al._ (2010) A. Miranowicz, M. Bartkowiak, X. Wang, Y.-X. Liu, and F. Nori, “Testing nonclassicality in multimode fields: A unified derivation of classical inequalities,” Phys. Rev. A 82, 013824 (2010).
* Klyshko (1996) D. N. Klyshko, “Observable signs of nonclassical light,” Phys. Lett. A 213, 7—15 (1996).
* Waks _et al._ (2004) E. Waks, E. Diamanti, B. C. Sanders, S. D. Bartlett, and Y. Yamamoto, “Direct observation of nonclassical photon statistics in parametric down-conversion,” Phys. Rev. Lett. 92, 113602 (2004).
* Waks _et al._ (2006) E. Waks, B. C. Sanders, E. Diamanti, and Y. Yamamoto, “Highly nonclassical photon statistics in parametric down-conversion,” Phys. Rev. A 73, 033814 (2006).
* Wakui _et al._ (2014) K. Wakui, Y. Eto, H. Benichi, S. Izumi, T. Yanagida, K. Ema, T. Numata, D. Fukuda, M. Takeoka, and M. Sasaki, “Ultrabroadband direct detection of nonclassical photon statistics at telecom wavelength,” Sci. Rep. 4, 4535 (2014).
* Morse and Feshbach (1953) P. M. Morse and H. Feshbach, _Methods of Theoretical Physics, Vol. 1_ (McGraw—Hill, Amsterdam, 1953).
| arxiv-papers | 2021-07-26T10:45:20 | 2024-09-04T03:07:18.304374 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Jan Perina Jr, Vaclav MIchalek, Radek Machulka, Ondrej Haderka",
"submitter": "Jan Perina Jr.",
"url": "https://arxiv.org/abs/2107.12101"
} |
2107.12111 | # Bounding dark charges on binary black holes using gravitational waves
Pawan Kumar Gupta1,2 Thomas F.M. Spieksma2 Peter T.H. Pang1,2 Gideon
Koekoek1,3 Chris Van Den Broeck1,2 1Nikhef – National Institute for Subatomic
Physics, Science Park 105, 1098 XG Amsterdam, The Netherlands 2Institute for
Gravitational and Subatomic Physics (GRASP), Utrecht University,
Princetonplein 1, 3584 CC Utrecht, The Netherlands 3Department of
Gravitational Waves and Fundamental Physics, Maastricht University, P.O. Box
616, 6200 MD Maastricht, The Netherlands
###### Abstract
In models of minicharged dark matter associated with a hidden $U(1)$ symmetry,
astrophysical black holes may acquire a “dark” charge, in such a way that the
inspiral dynamics of binary black holes can be formally described by an
Einstein-Maxwell theory. Charges enter the gravitational wave signal
predominantly through a dipole term, but their effect is known to effectively
first post-Newtonian order in the phase, which enables measuring the size of
the charge-to-mass ratios, $|q_{i}/m_{i}|$, $i=1,2$, of the individual black
holes in a binary. We set up a Bayesian analysis to discover, or constrain,
dark charges on binary black holes. After testing our framework in
simulations, we apply it to selected binary black hole signals from the second
Gravitational Wave Transient Catalog (GWTC-2), namely those with low masses so
that most of the signal-to-noise ratio is in the inspiral regime. We find no
evidence for charges on the black holes, and place typical 1-$\sigma$ bounds
on the charge-to-mass ratios of $|q_{i}/m_{i}|\lesssim 0.2-0.3$.
## I Introduction
The Advanced LIGO Aasi _et al._ (2015) and Advanced Virgo Acernese _et al._
(2015) gravitational wave (GW) detectors have so far found more than 50
candidate signals gra , the majority being from coalescing binary black holes
Abbott _et al._ (2016a, b, c, 2019a, 2020a), in addition to two binary
neutron star inspirals Abbott _et al._ (2017, 2020b) and two neutron star-
black hole events Abbott _et al._ (2021a). In the analyses of the binary
black hole signals, the sources were assumed to be well-modeled as pure vacuum
spacetime, although tests of general relativity (GR) were performed which
allowed for deviations from the dynamics predicted by Einstein’s theory Abbott
_et al._ (2016d, 2019b, 2019c, 2019d, 2021b). In this work we will look into
another possible source for modifications in binary black hole dynamics,
namely electric charge.
As is well-known, astrophysical black holes are unlikely to be able to accrue
large amounts of electric charge, at least in the context of the Standard
Model of particle physics (see e.g. Cardoso _et al._ (2016) for an overview).
Kinematic build-up of charge through infall of electrons is limited by the
ratio of electron mass $m_{e}$ to charge $e$, to111In this paper we use units
such that $G=c=4\pi\epsilon_{0}=1$, with $\epsilon_{0}$ the electric
permittivity of the vacuum. $Q/M\leq m_{e}/e\simeq 5\times 10^{-22}$, with $Q$
and $M$ respectively the charge and mass of the black hole. Also dynamical
processes such as charge accretion by a rotating black hole in a magnetic
field $B$ can only produce charge-to-mass ratios of $Q/M\lesssim 1.7\times
10^{-20}\,(M/M_{\odot})\,(B/\mbox{Gauss})$ Wald (1974). Moreover, surrounding
plasma in the form of interstellar matter will discharge even an extremal
black hole with $Q=M$ on a timescale of $\tau\sim 10^{-6}$ s Eardley and Press
(1975).
The situation is different if one considers so-called minicharged dark matter
models Holdom (1986); De Rujula _et al._ (1990), which involve new fermions
that are charged under a hidden $U(1)$ symmetry and whose “dark” charges are
much smaller than that of the electron. Such minicharged particles are viable
cold dark matter candidates, and have been searched for in a variety of
observations and experiments Sigurdson _et al._ (2004); Davidson and Peskin
(1994); Davidson _et al._ (2000); McDermott _et al._ (2011); Dubovsky _et
al._ (2004); Dolgov _et al._ (2013); Gies _et al._ (2006a, b); Burrage _et
al._ (2009); Ahlers (2009); Haas _et al._ (2015); Ball _et al._ (2016);
Kadota _et al._ (2016). For a dark fermion with mass $m$ and charge $q$, it
is possible to have $m/q>1$, in which case values of $Q/M\simeq 1$ can be
attained, and discharge timescales by the surrounding (dark matter) plasma can
be in the order of billions of years Cardoso _et al._ (2016).
Assuming a single dark fermion and dark photon, the interaction of the hidden
sector with gravity can be described by an Einstein-Maxwell action
$S=\int
d^{4}x\,\sqrt{-g}\,\left[\frac{R}{16\pi}-\frac{1}{4}F_{\mu\nu}F^{\mu\nu}+4\pi
A_{\mu}j^{\mu}\right],$ (1)
with $g$ the determinant of the metric $g_{\mu\nu}$, $A_{\mu}$ the vector
potential of the hidden $U(1)$ interaction,
$F_{\mu\nu}=\nabla_{\mu}A_{\nu}-\nabla_{\nu}A_{\mu}$ the associated field
tensor, and $j^{\mu}$ the hidden current. Here we want to look at the inspiral
of binary black holes in the presence of a dark sector, and search for, or put
bounds on, dark charges which may be carried by them, using some of the GW
signals that have been detected. The leading post-Newtonian modification to
the phase is at -1PN in the usual notation, corresponding to dipole radiation.
This is mostly determined by the combination
$\xi=\left|\frac{q_{1}}{m_{1}}-\frac{q_{2}}{m_{2}}\right|,$ (2)
where $(q_{1},q_{2})$ and $(m_{1},m_{2})$ are respectively the charges and
masses of the individual black holes Barausse _et al._ (2016); Cardoso _et
al._ (2016). However, Khalil et al. Khalil _et al._ (2018) also computed
higher-order effects, at 0PN and 1PN orders in phase, in the context of
Einstein-Maxwell-dilaton theory, which reduces to Einstein-Maxwell theory when
scalar charges are set to zero. Since these beyond-leading order contributions
also depend on different combinations of $q_{1}/m_{1}$ and $q_{2}/m_{2}$ from
the one in Eq. (2), including them will allow us to make statements on these
two quantities separately. Thus, our gravitational waveform model will include
these modifications to the point particle inspiral phase, in addition to
effects of (precessing) spins, which start from 1.5PN order. Finally, though
leading-order modifications of the ringdown spectrum of the remnant black hole
resulting from the merger have been computed Pani _et al._ (2013a, b); Zilhão
_et al._ (2014); Mark _et al._ (2015); Dias _et al._ (2015), here we will
focus only on the post-Newtonian inspiral, since to our knowledge the behavior
at plunge and merger, which connects the early inspiral to the ringdown, has
yet to be analytically investigated in the presence of charge. We will be
particularly interested in relatively low-mass binary black hole signals, for
which inspiral dominates the signal-to-noise ratio.
This paper is structured as follows. In Sec. II we explain our waveform
approximant and the data analysis set-up. In Sec. III we describe simulations
that were done to provide a basic validation of the analysis framework. Sec.
IV applies our methodology to a selection of detected signals. A summary and
conclusions are provided in Sec. V.
## II Waveform model and analysis framework
Our baseline waveform model will be the frequency domain inspiral-merger-
ringdown approximant IMRPhenomPv2 Hannam _et al._ (2014); Husa _et al._
(2016); Khan _et al._ (2016), which we modify to reflect the presence of
charges. This waveform stitches together in C1 fashion (a) an _inspiral_
regime which mostly follows the post-Newtonian description; (b) a
phenomenological _intermediate_ regime describing the late inspiral and
plunge; and (c) a phenomenological _merger-ringdown_ regime. Spin precession
is captured by “twisting up” an underlying aligned-spin model Schmidt _et
al._ (2012, 2015). Since with current detectors most of our information tends
to come from the phase rather than the amplitude (though also see Abbott _et
al._ (2020c, d)), we will focus on the former, and in particular on the
inspiral phase. When electric charges are small and the inspiral is mainly
driven by the tensor quadrupole flux, a good approximation to the inspiral
phase is given by
$\phi_{\rm Ins}(v)=2\pi
ft_{c}-\varphi_{c}-\pi/4+\frac{1}{v^{5}}\left[\frac{\rho^{\rm
QD}_{-2}}{v^{2}}+\rho^{\rm QD}_{0}+\rho^{\rm QD}_{2}v^{2}+\phi_{\rm Ins}^{\rm
higher-order}(v)\right].$ (3)
Here $t_{c}$ and $\varphi_{c}$ are respectively a reference time and reference
phase. One has $v=(\pi Mf)^{1/3}$, with $f$ the GW frequency, and where $M$ is
a “dressed” total mass; specifically $M=G_{12}\bar{M}$, with
$G_{12}=1-q_{1}q_{2}/(m_{1}m_{2})$, where $\bar{M}$ is the observed total mass
in the absence of charges. The first three terms in the square brackets
include the charge-induced modifications to the phase computed by Khalil et
al. Khalil _et al._ (2018) up to 1PN order. The leading-order (-1PN)
contribution is set by
$\rho^{\rm
QD}_{-2}=-\frac{5G_{12}}{3584\nu}\left(\frac{q_{1}}{m_{1}}-\frac{q_{2}}{m_{2}}\right)^{2},$
(4)
with $\nu=m_{1}m_{2}/M^{2}$ the symmetric mass ratio. The expressions for
$\rho^{\rm QD}_{0}$ and $\rho^{\rm QD}_{2}$ will not be shown explicitly here,
since they are obtained straightforwardly from the ones in Khalil _et al._
(2018) (see their Eqs. (3.34a)-(3.34c) and Appendix B) by setting scalar
charges to zero but retaining electric charges. These coefficients further
reduce to the usual 0PN and 1PN coefficients for the vacuum case when electric
charges are also set to zero. Finally, $\phi_{\rm Ins}^{\rm higher-order}$
collects all higher-order contributions in $v$, including PN contributions as
well as phenomenological corrections to the late inspiral, as detailed in Husa
_et al._ (2016).
In the IMRPhenomPv2 approximant, the inspiral regime is stitched onto the
intermediate regime at a frequency $f$ such that $Mf=0.018$. Since we do not
know how charges affect the latter regime, one option would be to smoothly let
the waveform go to zero around that frequency, e.g. by applying a Planck
tapering window McKechan _et al._ (2010). However, especially when performing
parameter estimation on high-mass systems for which the merger is well inside
the detectors’ sensitive band, we found a tendency for the tapered template
waveform to try and match part of the post-inspiral signal, leading to a
significant underestimation of the masses. As a pragmatic solution, we opt to
not taper the waveform; instead we will only analyze signals for which less
than 5% of the matched-filtering signal-to-noise ratio is contained in the
regime $Mf>0.018$. Note that this transition always precedes the nominal last
stable orbit (given by $Mf_{\rm LSO}=1/(6^{3/2}\pi)\simeq 0.022$), so that in
this way we select signals for which only the inspiral has significant power
in band.
Next we turn to our data analysis framework. Given a detected binary black
hole coalescence signal, a waveform approximant $\tilde{h}_{\rm C}(f)$ with
modified phasing as in Eq. (3) can be viewed as corresponding to a Bayesian
hypothesis $\mathcal{H}_{\rm C}$, which states that one or both of the black
holes carried a Maxwell charge. If on the other hand charges are restricted to
zero, the associated waveform model $\tilde{h}_{\rm NC}$ defines a hypothesis
$\mathcal{H}_{\rm NC}$, stating that no charges were present. Given a
hypothesis $\mathcal{H}$, data $d$, and whatever background information
$\mathcal{I}$ we may possess, a Bayesian evidence is obtained through Veitch
and Vecchio (2010)
$p(d|\mathcal{H},\mathcal{I})=\int
d\bar{\theta}\,p(d|\mathcal{H},\bar{\theta},\mathcal{I})\,p(\bar{\theta}|\mathcal{H},\mathcal{I}).$
(5)
The integral is over the parameters $\bar{\theta}$ (masses, spins, possibly
electric charges, …) that enter the waveform model $\tilde{h}(\bar{\theta};f)$
associated with $\mathcal{H}$. $p(\bar{\theta}|\mathcal{H},\mathcal{I})$ is
the prior density, and the likelihood
$p(d|\mathcal{H},\bar{\theta},\mathcal{I})$ is given by
$p(d|\mathcal{H},\bar{\theta},\mathcal{I})\propto\exp\left[-\langle
d-h(\bar{\theta})|d-h(\bar{\theta})\rangle/2\right].$ (6)
The noise-weighted inner product $\langle\,\cdot\,|\,\cdot\,\rangle$ is
defined as
$\langle a|b\rangle=4\Re\int_{f_{\rm low}}^{f_{\rm
high}}df\,\frac{\tilde{a}^{\ast}(f)\,\tilde{b}(f)}{S_{n}(f)},$ (7)
where $f_{\rm low}$ and $f_{\rm high}$ are respectively the detector’s lower
cut-off frequency and the frequency at which a given signal ends, and $S_{n}$
is the (one-sided) power spectral density of the noise. In this paper we will
set $f_{\rm low}=20$ Hz, and $f_{\rm high}$ is determined by the parameters
entering the IMRPhenomPv2 waveform. Given our hypotheses $\mathcal{H}_{\rm C}$
and $\mathcal{H}_{\rm NC}$, the general expression for the evidence (5)
enables computation of a Bayes factor which can be used to rank the
hypotheses:
$B^{\rm C}_{\rm NC}\equiv\frac{p(d|\mathcal{H}_{\rm
C},\mathcal{I})}{p(d|\mathcal{H}_{\rm NC},\mathcal{I})}.$ (8)
Apart from hypothesis ranking, the Bayesian framework also allows us to
perform parameter estimation; in particular, the posterior density function
(PDF) for the parameters $\bar{\theta}$ follows from Bayes’ theorem:
$p(\bar{\theta}|\mathcal{H},d,\mathcal{I})=\frac{p(d|\mathcal{H},\bar{\theta},\mathcal{I})\,p(\bar{\theta}|\mathcal{H},\mathcal{I})}{p(d|\mathcal{H},\mathcal{I})}.$
(9)
The one-dimensional PDF $p(\lambda|\mathcal{H},d,\mathcal{I})$ for a
particular parameter $\lambda$ is obtained from this by integrating out all
other parameters.
In our studies, the likelihood function of Eq. (6) is sampled using the
lalinference_nest algorithm in the LALInference library Veitch _et al._
(2015), while the waveform model described in the previous section was
implemented as an extension of the IMRPhenomPv2 approximant in the
LALSimulation library of LALSuite LIGO Scientific Collaboration (2018).
Together with spin-related parameters, the intrinsic parameters being sampled
over directly are the (dressed) total mass $M$, the mass ratio $q=m_{2}/m_{1}$
(with the convention $m_{2}\leq m_{1}$), and the charge-to-mass ratios
$\sigma_{1}\equiv q_{1}/m_{1}$, $\sigma_{2}\equiv q_{2}/m_{2}$. For $M$ and
$q$ we use uniform priors chosen wide enough so as to accommodate the supports
of the PDFs (with an upper bound of 1 for $q$). Regarding the $\sigma_{i}$,
for the examples in this paper a uniform prior spanning $\sigma_{i}\in[-2,2]$
amply sufficed; here the sampling was done with the additional constraint
$\sigma_{1}\sigma_{2}<1$, corresponding to the requirement of inspiraling
orbits. Priors on the spin magnitudes $a_{1}$, $a_{2}$ are taken to be uniform
in the range $[0,0.99]$, and priors on spin directions are uniform on the
sphere. Both for simulated signals and for template waveforms we impose the
Kerr-Newman condition for the presence of black hole horizons, i.e.
$a_{i}^{2}+\sigma_{i}^{2}\leq 1$, $i=1,2$ Newman _et al._ (1965). For the
extrinsic parameters, the priors on sky position and the orientation of the
orbital plane at some reference frequency are also uniform on the sphere. We
use a uniform-in-volume prior on distance, up to a maximum distance needed to
accommodate the PDF.
## III Simulations
Figure 1: Histograms for $\ln B^{\rm C}_{\rm NC}$ for 67 choices of masses and
spins with ranges as detailed in the main text, and the five different choices
of $(\sigma_{1},\sigma_{2})$ indicated in the legend.
Figure 2: Posterior distributions for an injection with
$(m_{1},m_{2})=(13.87,6.36)\,M_{\odot}$ at an SNR of 12.52, and
$(\sigma_{1},\sigma_{2})=(0,0)$. The left panel shows a corner plot for the
posterior distributions of $|\sigma_{1}|$ and $|\sigma_{2}|$ (with the
contours enclosing respectively 68%, 95%, and 99.7% of probability), while the
right one is the posterior for $\xi=|\sigma_{1}-\sigma_{2}|$. Here and in the
analogous figures below, orange lines indicate the injected parameters.
Figure 3: Same as in Fig. 2 but for $(\sigma_{1},\sigma_{2})=(0.05,-0.05)$.
Figure 4: Same as in Fig. 2 but for $(\sigma_{1},\sigma_{2})=(0.5,0)$.
Figure 5: Same as in Fig. 2 but for $(\sigma_{1},\sigma_{2})=(0.5,-0.5)$.
Figure 6: Same as in Fig. 2 but for $(\sigma_{1},\sigma_{2})=(0.5,0.5)$.
We now turn to the simulations we performed to gain insight into the
measurability of black hole charges for signals typical of the long-duration
binary black hole signals seen in the second Gravitational Wave Transient
Catalog (GWTC-2) Abbott _et al._ (2020a). Signals were injected into a
network consisting of the two Advanced LIGO interferometers and Advanced
Virgo, assuming stationary, Gaussian noise following the projected design
sensitivities of these observatories Aasi _et al._ (2015); Acernese _et al._
(2015). As explained in Sec. II, we will focus on signals that are relatively
low-mass, such that no more than 5% of signal-to-noise ratio (SNR) is present
beyond $Mf=0.018$; we require this of our injections as well. Also, we pick
injected chirp masses $\mathcal{M}=M\nu^{3/5}$ in the range
$[7,9]\,M_{\odot}$, and mass ratios $q\in[0.4,1]$, choices that are
representative of those signals in GWTC-2 that satisfy our post-inspiral SNR
requirement. For the purpose of studying the behavior of $\ln B^{\rm C}_{\rm
NC}$, SNRs are chosen to be in the range 10 – 15, again representative of the
signals in GWTC-2 that we will analyze later on. For
$(\sigma_{1},\sigma_{2})$, we pick the following values:
* •
$(\sigma_{1},\sigma_{2})=(0,0)$,
* •
$(\sigma_{1},\sigma_{2})=(0.05,-0.05)$,
* •
$(\sigma_{1},\sigma_{2})=(0.5,0)$,
* •
$(\sigma_{1},\sigma_{2})=(0.5,-0.5)$,
* •
$(\sigma_{1},\sigma_{2})=(0.5,0.5)$,
where the larger numbers are inspired by Fisher matrix estimates on the
measurability with Advanced LIGO and Virgo of the strength of a dipole
contribution to the phase Barausse _et al._ (2016); Cardoso _et al._ (2016).
$(\sigma_{1},\sigma_{2})$ | $(0,0)$ | $(0.05,-0.05)$ | $(0.5,0)$ | $(0.5,-0.5)$ | $(0.5,0.5)$
---|---|---|---|---|---
$\ln B^{\rm C}_{\rm NC}$ | -4.19 | -3.11 | 10.25 | 43.82 | -1.01
Table 1: Values of $\ln B^{\rm C}_{\rm NC}$ for different injected values of
$(\sigma_{1},\sigma_{2})$, in the case of an injection with
$(m_{1},m_{2})=(13.87,6.36)\,M_{\odot}$ and an SNR of 12.52, for which PDFs
are shown in Figs. 2-6.
First we look at $\ln B^{\rm C}_{\rm NC}$ for 67 injections in stationary,
Gaussian noise for an Advanced LIGO-Virgo network, with (dressed) masses and
spins in the ranges specified above, SNRs in the range 10 – 15, and our five
different choices for $(\sigma_{1},\sigma_{2})$. Histograms for the log Bayes
factor are given in Fig. 1. The following trends are seen:
* •
For $(\sigma_{1},\sigma_{2})=(0,0)$, all of the $\ln B^{\rm C}_{\rm NC}$ are
negative except for one at $\ln B^{\rm C}_{\rm NC}=0.50$, consistent with the
absence of charges in the injected signals.
* •
Also for $(\sigma_{1},\sigma_{2})=(0.05,-0.05)$, the great majority of $\ln
B^{\rm C}_{\rm NC}$ are negative, indicating that charge-to-mass ratios of
this size are not discernable at the given SNRs.
* •
For $(\sigma_{1},\sigma_{2})=(0.5,0)$, most signals show a positive $\ln
B^{\rm C}_{\rm NC}$.
* •
The choice $(\sigma_{1},\sigma_{2})=(0.5,-0.5)$ leads to the highest log Bayes
factors, consistent with the fact that this yields the strongest leading-order
(-1PN) contribution to the phasing; see Eqs. (3) and (4).
* •
However, for $(\sigma_{1},\sigma_{2})=(0.5,0.5)$, though the individual
charge-to-mass ratios are large, the -1PN contribution vanishes identically,
leading to small (in fact, mostly negative) values of $\ln B^{\rm C}_{\rm
NC}$.
Next we turn to parameter estimation. As a representative example, we focus on
an injected signal with $(m_{1},m_{2})=(13.87,6.36)\,M_{\odot}$ and an SNR of
12.52. Bearing in mind the invariance of our waveform model under
$(\sigma_{1},\sigma_{2})\,\rightarrow\,(-\sigma_{1},-\sigma_{2})$, we find it
convenient to show posteriors for $|\sigma_{1}|$, $|\sigma_{2}|$, and
$\xi=|\sigma_{1}-\sigma_{2}|$. Log Bayes factors for the different injected
$(\sigma_{1},\sigma_{2})$ are shown in Table 1; they are consistent with the
trends observed in Fig. 1.
Fig. 2 shows the results for the above mass pair and
$(\sigma_{1},\sigma_{2})=(0,0)$. We see that the posterior densities for the
$|\sigma_{i}|$ are consistent with zero charges. They do show a peak away from
zero; this is because random noise fluctuations cause the peaks of the
distributions for the $\sigma_{i}$ themselves (before taking the absolute
value) to be away from zero. Bounds of $|\sigma_{i}|\lesssim 0.26$ are
obtained at 68% confidence. A somewhat more stringent bound is obtained for
$\xi$, namely $\xi\lesssim 0.07$ at the same confidence level; this is again
as expected because it sets the leading-order term in the phase.
Next, Fig. 3 shows results for the same mass pair, but now
$(\sigma_{1},\sigma_{2})=(0.05,-0.05)$. As already indicated by the log Bayes
factor in Table 1, such values of $\sigma_{i}$ are not detectable, and indeed
the posteriors are consistent with zero charges. However, we note that the
posterior for $\xi$ does show a slight peak near
$|\sigma_{1}-\sigma_{2}|=0.1$.
In Fig. 4 we consider the case $(\sigma_{1},\sigma_{2})=(0.5,0)$, for which
the log Bayes factor clearly indicated the presence of charge. Here the
posteriors show clear support for both $(|\sigma_{1}|,|\sigma_{2}|)=(0.5,0)$
and $(|\sigma_{1}|,|\sigma_{2}|)=(0,0.5)$, consistent with another symmetry of
the waveform, namely
$(\sigma_{1},\sigma_{2})\,\rightarrow\,(\sigma_{2},\sigma_{1})$. Meanwhile the
posterior for $\xi$ correctly has a strong peak near 0.5.
Fig. 5 shows results for $(\sigma_{1},\sigma_{2})=(0.5,-0.5)$. Though the
individual posteriors for the $|\sigma_{i}|$ are wide, there is clear support
for the values $(|\sigma_{1}|,|\sigma_{2}|)=(0.5,0.5)$. The posterior for
$\xi$ is tightly peaked near $\xi=1$.
Finally we consider the case $(\sigma_{1},\sigma_{2})=(0.5,0.5)$, in Fig. 6.
This is a case where the log Bayes factor was negative (see again Table 1),
presumably because of the absence of the dipole contribution together with the
moderate SNR. And indeed, the posterior for $\xi$ is not very informative,
although the ones for the $|\sigma_{i}|$ are consistent with the injected
values.
## IV Analysis of selected binary black hole signals
Let us now turn to actual signals from GWTC-2 Abbott _et al._ (2020a), and in
particular those that satisfy our criterion that at most 5% of the SNR resides
in the post-inspiral phase, defined as $Mf>0.018$. To assess which signals are
in accordance with this benchmark, we take the median estimated parameter
values reported in Abbott _et al._ (2019a, 2020a), and substitute them into
an IMRPhenomPv2 waveform. The events we end up with are listed in Table 2,
which also gives the values for $\ln B^{\rm C}_{\rm NC}$. Since all log Bayes
factors are negative, we find no evidence for charges in any of these.
Events | GW151226 | GW170608 | GW190707 | GW190720 | GW190728 | GW190924 | GW190930
---|---|---|---|---|---|---|---
$\ln B^{\rm C}_{\rm NC}$ | -7.52 | -7.63 | -2.94 | -3.71 | -3.11 | -3.67 | -3.04
Table 2: The GWTC-2 events analyzed, with their log Bayes factors for charges
versus no charges.
For completeness, we also show posteriors for $|\sigma_{1}|$ and
$|\sigma_{2}|$ (Fig. 7), and $\xi=|\sigma_{1}-\sigma_{2}|$ (Fig. 8). Here too,
all the signals show consistency with $(\sigma_{1},\sigma_{2})=(0,0)$. Events
like GW190707, GW190728, and GW190924 have posteriors for the individual
$|\sigma_{1}|$ and $|\sigma_{2}|$ that seem to have a peak away from zero, but
as in the case of our simulated signal with $(\sigma_{1},\sigma_{2})=(0,0)$
(see Fig. 2), this can be attributed to noise fluctuations causing the peaks
of the $\sigma_{i}$ themselves (before taking the absolute value) to be away
from zero. However, these three events also have a peak in $\xi$ that is away
from zero; in the case of GW190728 there is even a relatively strong peak at
$\xi\sim 0.3$. That said, the log Bayes factor for GW190728 ($\ln B^{\rm
C}_{\rm NC}=-3.11$) is below the largest log Bayes factor for injections with
$(\sigma_{1},\sigma_{2})=(0,0)$ shown in Fig. 1, which is $\ln B^{\rm C}_{\rm
NC}=0.50$; the same is true of all the other real events in Table 2. Although
the injection set of Fig. 1 pertained to stationary, Gaussian noise, we expect
a more complete “background distribution” for $\ln B^{\rm C}_{\rm NC}$ in real
noise to extend to even larger values. Therefore, we are not induced to
conclude that charges were present on any of the binary black holes that
generated the real signals we analyzed.
For all our real events, the 1-$\sigma$ bounds on the $|\sigma_{i}|$ tend to
be at the level of $0.2$ – $0.3$, consistent with the zero-charge injection
which we studied PDFs for in the previous section. Similarly, bounds on $\xi$
tend to be somewhat more stringent, varying from $0.08$ (for GW170608) to
$0.3$ (for GW190728).
Figure 7: Corner plots for the posteriors of $|\sigma_{1}|$, $|\sigma_{2}|$,
for the events of Table 2. Figure 8: Posterior densities for
$\xi=|\sigma_{1}-\sigma_{2}|$, for the events of Table 2. The solid lines
indicate 68% confidence levels, the dashed lines 90% confidence levels.
## V Summary and conclusions
We have set up a Bayesian analysis framework to search for, or constrain,
(dark) electric charges on binary black holes using gravitational waves. In
particular, the inspiral part of the phasing of the precessing-spin
IMRPhenomPv2 inspiral-merger-ringdown waveform was modified to include the
effect of such charges up to 1PN order. This was then used for both injections
and template waveforms, focusing on signals with less than 5% of their SNR in
the post-inspiral regime, in view of the currently unknown effect of charges
during plunge and merger.
To test the analysis set-up, we looked at the log Bayes factor, $\ln B^{\rm
C}_{\rm NC}$, comparing the hypothesis that charges are present with the one
that assumes zero charges, for signals with SNRs between 10 and 15. Choosing
different injected values for the charge-to-mass ratios
$(\sigma_{1},\sigma_{2})$, expected trends were seen in the distributions of
$\ln B^{\rm C}_{\rm NC}$: (a) when the $\sigma_{i}$ were zero or small, the
great majority of our simulated signals yielded $\ln B^{\rm C}_{\rm NC}<0$,
and (b) for larger $\sigma_{i}$, the typical magnitude of $\ln B^{\rm C}_{\rm
NC}$ was set by the strength of the leading-order contribution of charges to
the phase, which is determined by $\xi=|\sigma_{1}-\sigma_{2}|$.
As a case study for parameter estimation we used an injection with an SNR of
12.52. PDFs were indicative of the injected $(\sigma_{1},\sigma_{2})$, and for
$(\sigma_{1},\sigma_{2})=(0,0)$, 1-$\sigma$ upper bounds came out to be
$|\sigma_{i}|\lesssim 0.26$ and $\xi\lesssim 0.07$.
Finally, we turned to real signals from GWTC-2, again selected to have a long
inspiral in band. All of the $\ln B^{\rm C}_{\rm NC}$ came out to be negative,
consistent with the absence of charges, and also the PDFs for the
$|\sigma_{i}|$ and $\xi$ were consistent with zero charge. Typical bounds on
charge-related parameters were $|\sigma_{i}|\lesssim 0.2-0.3$ and $\xi\lesssim
0.08-0.3$.
In this work we focused on the inspiral regime, but charge-induced
modifications of the ringdown spectrum have also been computed Pani _et al._
(2013a, b); Zilhão _et al._ (2014); Mark _et al._ (2015); Dias _et al._
(2015). It would be of interest to search for the signature of charges in the
ringdown signal of high-mass events, whose ringdown modes are starting to be
probed even with Advanced LIGO and Virgo at O3 sensitivity Abbott _et al._
(2021b). Finally, should appropriate waveform models become available in the
future, it will be interesting to see how charge measurements will sharpen
when the entire inspiral-merger-ringdown process can be used.
###### Acknowledgements.
P.K.G., P.T.H.P., G.K., and C.V.D.B. are supported by the research programme
of the Netherlands Organisation for Scientific Research (NWO). The authors are
grateful for computational resources provided by the LIGO Laboratory and
supported by the National Science Foundation Grants No. PHY-0757058 and No.
PHY-0823459. This research has made use of data, software and/or web tools
obtained from the Gravitational Wave Open Science Center (https://www.gw-
openscience.org), a service of LIGO Laboratory, the LIGO Scientific
Collaboration and the Virgo Collaboration. LIGO is funded by the U.S. National
Science Foundation. Virgo is funded by the French Centre National de Recherche
Scientifique (CNRS), the Italian Istituto Nazionale della Fisica Nucleare
(INFN) and the Dutch Nikhef, with contributions by Polish and Hungarian
institutes.
## References
* Aasi _et al._ (2015) J. Aasi _et al._ (LIGO Scientific), Class. Quant. Grav. 32, 074001 (2015), arXiv:1411.4547 [gr-qc] .
* Acernese _et al._ (2015) F. Acernese _et al._ (VIRGO), Class. Quant. Grav. 32, 024001 (2015), arXiv:1408.3978 [gr-qc] .
* (3) https://gracedb.ligo.org/superevents/public/O3/.
* Abbott _et al._ (2016a) B. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. Lett. 116, 061102 (2016a), arXiv:1602.03837 [gr-qc] .
* Abbott _et al._ (2016b) B. P. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. Lett. 116, 241103 (2016b), arXiv:1606.04855 [gr-qc] .
* Abbott _et al._ (2016c) B. P. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. X 6, 041015 (2016c), [Erratum: Phys.Rev.X 8, 039903 (2018)], arXiv:1606.04856 [gr-qc] .
* Abbott _et al._ (2019a) B. P. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. X 9, 031040 (2019a), arXiv:1811.12907 [astro-ph.HE] .
* Abbott _et al._ (2020a) R. Abbott _et al._ (LIGO Scientific, Virgo), (2020a), arXiv:2010.14527 [gr-qc] .
* Abbott _et al._ (2017) B. P. Abbott _et al._ (Virgo, LIGO Scientific), Phys. Rev. Lett. 119, 161101 (2017), arXiv:1710.05832 [gr-qc] .
* Abbott _et al._ (2020b) B. P. Abbott _et al._ (LIGO Scientific, Virgo), (2020b), arXiv:2001.01761 [astro-ph.HE] .
* Abbott _et al._ (2021a) R. Abbott _et al._ (LIGO Scientific, KAGRA, VIRGO), Astrophys. J. Lett. 915, L5 (2021a), arXiv:2106.15163 [astro-ph.HE] .
* Abbott _et al._ (2016d) B. P. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. Lett. 116, 221101 (2016d), [Erratum: Phys.Rev.Lett. 121, 129902 (2018)], arXiv:1602.03841 [gr-qc] .
* Abbott _et al._ (2019b) B. P. Abbott _et al._ (LIGO Scientific, Virgo), Astrophys. J. Lett. 882, L24 (2019b), arXiv:1811.12940 [astro-ph.HE] .
* Abbott _et al._ (2019c) B. P. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. Lett. 123, 011102 (2019c), arXiv:1811.00364 [gr-qc] .
* Abbott _et al._ (2019d) B. P. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. D 100, 104036 (2019d), arXiv:1903.04467 [gr-qc] .
* Abbott _et al._ (2021b) R. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. D 103, 122002 (2021b), arXiv:2010.14529 [gr-qc] .
* Cardoso _et al._ (2016) V. Cardoso, C. F. B. Macedo, P. Pani, and V. Ferrari, JCAP 05, 054 (2016), [Erratum: JCAP 04, E01 (2020)], arXiv:1604.07845 [hep-ph] .
* Wald (1974) R. M. Wald, Phys. Rev. D 10, 1680 (1974).
* Eardley and Press (1975) D. M. Eardley and W. H. Press, Ann. Rev. Astron. Astrophys. 13, 381 (1975).
* Holdom (1986) B. Holdom, Phys. Lett. B 166, 196 (1986).
* De Rujula _et al._ (1990) A. De Rujula, S. L. Glashow, and U. Sarid, Nucl. Phys. B 333, 173 (1990).
* Sigurdson _et al._ (2004) K. Sigurdson, M. Doran, A. Kurylov, R. R. Caldwell, and M. Kamionkowski, Phys. Rev. D 70, 083501 (2004), [Erratum: Phys.Rev.D 73, 089903 (2006)], arXiv:astro-ph/0406355 .
* Davidson and Peskin (1994) S. Davidson and M. E. Peskin, Phys. Rev. D 49, 2114 (1994), arXiv:hep-ph/9310288 .
* Davidson _et al._ (2000) S. Davidson, S. Hannestad, and G. Raffelt, JHEP 05, 003 (2000), arXiv:hep-ph/0001179 .
* McDermott _et al._ (2011) S. D. McDermott, H.-B. Yu, and K. M. Zurek, Phys. Rev. D 83, 063509 (2011), arXiv:1011.2907 [hep-ph] .
* Dubovsky _et al._ (2004) S. L. Dubovsky, D. S. Gorbunov, and G. I. Rubtsov, JETP Lett. 79, 1 (2004), arXiv:hep-ph/0311189 .
* Dolgov _et al._ (2013) A. D. Dolgov, S. L. Dubovsky, G. I. Rubtsov, and I. I. Tkachev, Phys. Rev. D 88, 117701 (2013), arXiv:1310.2376 [hep-ph] .
* Gies _et al._ (2006a) H. Gies, J. Jaeckel, and A. Ringwald, Phys. Rev. Lett. 97, 140402 (2006a), arXiv:hep-ph/0607118 .
* Gies _et al._ (2006b) H. Gies, J. Jaeckel, and A. Ringwald, Europhys. Lett. 76, 794 (2006b), arXiv:hep-ph/0608238 .
* Burrage _et al._ (2009) C. Burrage, J. Jaeckel, J. Redondo, and A. Ringwald, JCAP 11, 002 (2009), arXiv:0909.0649 [astro-ph.CO] .
* Ahlers (2009) M. Ahlers, Phys. Rev. D 80, 023513 (2009), arXiv:0904.0998 [hep-ph] .
* Haas _et al._ (2015) A. Haas, C. S. Hill, E. Izaguirre, and I. Yavin, Phys. Lett. B 746, 117 (2015), arXiv:1410.6816 [hep-ph] .
* Ball _et al._ (2016) A. Ball _et al._ , (2016), arXiv:1607.04669 [physics.ins-det] .
* Kadota _et al._ (2016) K. Kadota, T. Sekiguchi, and H. Tashiro, (2016), arXiv:1602.04009 [astro-ph.CO] .
* Barausse _et al._ (2016) E. Barausse, N. Yunes, and K. Chamberlain, Phys. Rev. Lett. 116, 241104 (2016), arXiv:1603.04075 [gr-qc] .
* Khalil _et al._ (2018) M. Khalil, N. Sennett, J. Steinhoff, J. Vines, and A. Buonanno, Phys. Rev. D 98, 104010 (2018), arXiv:1809.03109 [gr-qc] .
* Pani _et al._ (2013a) P. Pani, E. Berti, and L. Gualtieri, Phys. Rev. Lett. 110, 241103 (2013a), arXiv:1304.1160 [gr-qc] .
* Pani _et al._ (2013b) P. Pani, E. Berti, and L. Gualtieri, Phys. Rev. D 88, 064048 (2013b), arXiv:1307.7315 [gr-qc] .
* Zilhão _et al._ (2014) M. Zilhão, V. Cardoso, C. Herdeiro, L. Lehner, and U. Sperhake, Phys. Rev. D 90, 124088 (2014), arXiv:1410.0694 [gr-qc] .
* Mark _et al._ (2015) Z. Mark, H. Yang, A. Zimmerman, and Y. Chen, Phys. Rev. D 91, 044025 (2015), arXiv:1409.5800 [gr-qc] .
* Dias _et al._ (2015) O. J. C. Dias, M. Godazgar, and J. E. Santos, Phys. Rev. Lett. 114, 151101 (2015), arXiv:1501.04625 [gr-qc] .
* Hannam _et al._ (2014) M. Hannam, P. Schmidt, A. Bohé, L. Haegel, S. Husa, F. Ohme, G. Pratten, and M. Pürrer, Phys. Rev. Lett. 113, 151101 (2014).
* Husa _et al._ (2016) S. Husa, S. Khan, M. Hannam, M. Pürrer, F. Ohme, X. J. Forteza, and A. Bohé, Phys. Rev. D 93, 044006 (2016).
* Khan _et al._ (2016) S. Khan, S. Husa, M. Hannam, F. Ohme, M. Pürrer, X. J. Forteza, and A. Bohé, Phys. Rev. D 93, 044007 (2016).
* Schmidt _et al._ (2012) P. Schmidt, M. Hannam, and S. Husa, Phys. Rev. D86, 104063 (2012), arXiv:1207.3088 [gr-qc] .
* Schmidt _et al._ (2015) P. Schmidt, F. Ohme, and M. Hannam, Phys. Rev. D91, 024043 (2015), arXiv:1408.1810 [gr-qc] .
* Abbott _et al._ (2020c) R. Abbott _et al._ (LIGO Scientific, Virgo), Phys. Rev. D 102, 043015 (2020c), arXiv:2004.08342 [astro-ph.HE] .
* Abbott _et al._ (2020d) R. Abbott _et al._ (LIGO Scientific, Virgo), Astrophys. J. Lett. 896, L44 (2020d), arXiv:2006.12611 [astro-ph.HE] .
* McKechan _et al._ (2010) D. J. A. McKechan, C. Robinson, and B. S. Sathyaprakash, _Gravitational waves. Proceedings, 8th Edoardo Amaldi Conference, Amaldi 8, New York, USA, June 22-26, 2009_ , Class. Quant. Grav. 27, 084020 (2010), arXiv:1003.2939 [gr-qc] .
* Veitch and Vecchio (2010) J. Veitch and A. Vecchio, Phys. Rev. D81, 062003 (2010), arXiv:0911.3820 [astro-ph.CO] .
* Veitch _et al._ (2015) J. Veitch _et al._ , Phys. Rev. D91, 042003 (2015), arXiv:1409.7215 [gr-qc] .
* LIGO Scientific Collaboration (2018) LIGO Scientific Collaboration, “LIGO Algorithm Library - LALSuite,” free software (GPL) (2018).
* Newman _et al._ (1965) E. T. Newman, R. Couch, K. Chinnapared, A. Exton, A. Prakash, and R. Torrence, J. Math. Phys. 6, 918 (1965).
| arxiv-papers | 2021-07-26T11:08:45 | 2024-09-04T03:07:18.326223 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Pawan Kumar Gupta, Thomas F.M. Spieksma, Peter T.H. Pang, Gideon\n Koekoek, Chris Van Den Broeck",
"submitter": "Chris Van Den Broeck",
"url": "https://arxiv.org/abs/2107.12111"
} |
2107.12115 | # mixing FOR generic rough shear flows
L. Galeati Batiment 8, Office MA B2455, AMCV Group
EPFL Lausanne
Switzerland [email protected] and M. Gubinelli Andrew Wiles Building
Mathematical Institute
University of Oxford [email protected]
###### Abstract.
We study mixing and diffusion properties of passive scalars driven by generic
rough shear flows. Genericity is here understood in the sense of prevalence
and (ir)regularity is measured in the Besov–Nikolskii scale
$B^{\alpha}_{1,\infty}$, $\alpha\in(0,1)$. We provide upper and lower bounds,
showing that in general inviscid mixing in $H^{1/2}$ holds sharply with rate
$r(t)\sim t^{1/(2\alpha)}$, while enhanced dissipation holds with rate
$r(\nu)\sim\nu^{\alpha/(\alpha+2)}$. Our results in the inviscid mixing case
rely on the concept of $\rho$-irregularity, first introduced by Catellier and
Gubinelli (Stoc. Proc. Appl. 126, 2016) and provide some new insights compared
to the behavior predicted by Colombo, Coti Zelati and Widmayer (Ars Inven.
Anal., 2021).
MSC(2020): 35Q35, 37C20, 76F25, 76R50.
###### Key words and phrases:
Mixing, Enhanced Dissipation, Prevalence, $\rho$-irregularity, Rough Flows.
Note: This document has been written using GNU TEXmacs [28].
###### Contents
1. 1 Introduction
2. 2 Preliminaries
1. 2.1 Prevalence
2. 2.2 A useful class of Gaussian transverse measures
3. 3 Inviscid mixing
1. 3.1 Lower bounds in terms of regularity
2. 3.2 Upper bounds in terms of $\rho$-irregularity
3. 3.3 Prevalence statements and proof of Theorem 1.4
4. 4 Enhanced dissipation
1. 4.1 Lower bounds in terms of regularity
2. 4.2 Wei’s irregularity condition
3. 4.3 Sufficient conditions for stochastic processes
4. 4.4 Prevalence statements and proof of Theorems 1.5, 1.1
5. 5 Further comments and future directions
6. A Besov spaces
7. B A simple extension of a result by Wei
## 1\. Introduction
We are interested in the long time behavior of solutions $f$ to
$\left\\{\begin{array}[]{l}\partial_{t}f+u\partial_{x}f=\nu\Delta f\\\
f|_{t=0}=f_{0},\quad\int_{\mathbb{T}}f_{0}(x,y)\mathrm{d}x=0\end{array}\right.$
(1.1)
on the $2$-dimensional flat torus $\mathbb{T}^{2}$. The PDE (1.1) is an
advection-diffusion equation associated to a shear flow
$u=u(y):\mathbb{T}\rightarrow\mathbb{R}$, $f:\mathbb{R}_{\geqslant
0}\times\mathbb{T}^{2}\rightarrow\mathbb{R}$ with initial condition $f_{0}\in
L^{2}(\mathbb{T}^{2})$ and where $\nu\in[0,1]$ is the diffusion coefficient.
Defining $\bar{u}:\mathbb{T}^{2}\rightarrow\mathbb{R}^{2}$ as
$\bar{u}(x,y):=(u(y),0)^{T}$, equation (1.1) may be written as
$\partial_{t}f+\bar{u}\cdot\nabla f=\nu\Delta f$ (1.2)
which is the equation for a passive scalar $f$ advected by the velocity field
$\bar{u}$. Note that $\bar{u}$ is a divergence-free vector field and a
stationary solution to $2$D Euler equations.
Exactly for this reason, shear flows have received a lot of attention in the
literature, in connection to the problem of understanding the interaction
between mixing and diffusion in fluid mechanics and the transfer of energy
from large to small scales for the scalar $f$. In particular, shear flows are
sufficiently simple to allow explicit calculations, while presenting a highly
non trivial behavior, as already observed by Kelvin in [32] in the case of the
Couette flow $u(y)=y$.
Observe that for continuous $u$, eq. (1.1) can be solved explicitly by
Feynman–Kac formula, giving
$f_{t}(x,y)=\mathbb{E}\left[f_{0}\left(x-\int_{0}^{t}u\left(y+\sqrt{2\nu}B^{2}_{s}\right)\mathrm{d}s+\sqrt{2\nu}B^{1}_{t},y+\sqrt{2\nu}B^{2}_{t}\right)\right]$
(1.3)
where $B=(B^{1},B^{2})$ is a standard 2D Brownian motion (Bm). In the case
$\nu=0$ we obtain
$f_{t}(x,y)=f_{0}(x-tu(y),y).$ (1.4)
Both formulas (1.3) and (1.4) can then be extended to the case $u\in
L^{1}(\mathbb{T})$, 111For $u\in L^{1}(\mathbb{T})$, the formal expression
$\int_{0}^{t}u\left(y+\sqrt{2\nu}B^{2}_{s}\right)\mathrm{d}s$ in (1.3) can be
made rigorous using the local time of $B^{2}$; alternatively, equation (1.1)
can be solved by applying the Fourier transform in the $x$-variable and
solving the family of equations for $f^{k}=P_{k}f$, see the beginning of
Appendix B for more details. in which case eq. (1.1) must be understood in the
weak sense, and generate continuous semigroups
$e^{t(-u\partial_{x}+\nu\Delta)}$ on $L^{2}(\mathbb{T}^{2})$. Yet, they do not
provide any immediate insight on the long time behavior of the solution $f$,
in particular on the decay in time of quantities like $\|f_{t}\|_{H^{-s}}$ and
$\|f_{t}\|_{L^{2}}$.
Following the line of research initiated in [43], [10], we consider rough
shear flows, in the sense of requiring $u\in
B^{\alpha}_{1,\infty}(\mathbb{T})$ for some $\alpha\in(0,1)$. Here
$B^{\alpha}_{1,\infty}(\mathbb{T})$ denote the Besov–Nikolskii spaces, see
Appendix A for their definition.
We are interested in understanding the behavior of generic $u\in
B^{\alpha}_{1,\infty}(\mathbb{T})$, a problem explicitly left open in [10].
For this purpose we adopt the measure-theoretic notion of genericity given by
the theory of prevalence, developed by Hunt, Sauer and Yorke [29] to provide
an analogous of “Lebesgue almost every” on infinite dimensional spaces, see
Section 2.1 for more details. In what follows the expression “for almost every
$\varphi\in E$”, where $E$ is a function space, is understood in the sense of
prevalence.
The next statement summarizes our main findings.
###### Theorem 1.1.
Let $\alpha\in(0,1)$. The following hold:
1. i.
For almost every $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ we have inviscid
mixing in the scale $H^{1/2}(\mathbb{T}^{2})$, in the following sense: for any
$\tilde{\alpha}>\alpha$, there exists $C=C(\alpha,\tilde{\alpha},u)$ such
that, for any $f_{0}\in H^{1/2}(\mathbb{T})$ satisfying
$\int_{\mathbb{T}}f\left(x,\cdot\,\right)\mathrm{d}x\equiv 0$, it holds
$\|e^{-tu\partial_{x}}f_{0}\|_{H^{-1/2}}\leqslant
Ct^{-\frac{1}{2\tilde{\alpha}}}\|f_{0}\|_{H^{1/2}}\quad\forall\,t\geqslant 0.$
2. ii.
For almost every $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ we have enhanced
dissipation in the following sense that: for any $\tilde{\alpha}>\alpha$ there
exist $C_{i}=C(\alpha,\tilde{\alpha},u)$ such that, for any $f_{0}\in
L^{2}(\mathbb{T})$ satisfying
$\int_{\mathbb{T}}f\left(x,\cdot\,\right)\mathrm{d}x\equiv 0$, it holds
$\|e^{t(-u\partial_{x}+\Delta)}f_{0}\|_{L^{2}}\leqslant
C_{1}\exp\left(-C_{2}t\nu^{\frac{\tilde{\alpha}}{\tilde{\alpha}+2}}\right)\|f_{0}\|_{L^{2}}\quad\forall\,t\geqslant
0,\nu\in[0,1].$
In the above statement, the condition
$\int_{\mathbb{T}}f\left(x,\cdot\,\right)\mathrm{d}x\equiv 0$ is necessary, as
it naturally ensures that $f$ witnesses the effect of the transport operator
$u\partial_{x}$; indeed $g_{t}(y):=\int_{\mathbb{T}}f_{t}(x,y)\mathrm{d}x$
must solve the standard heat equation $\partial_{t}g=\nu\partial_{y}^{2}g$ and
thus cannot exhibit any mixing/enhanced dissipation effect.
There is no obvious a priori reason to work with the spaces
$B^{\alpha}_{1,\infty}(\mathbb{T})$ (e.g. in [10] the authors deal with
$C^{\alpha}(\mathbb{T})=B^{\alpha}_{\infty,\infty}(\mathbb{T})$), rather they
arise naturally in our analysis. One of the main intuitions of the present
paper is the identification of such spaces as the correct one for studying
generic inviscid mixing and enhanced dissipation properties of shear flows. At
the same time, let us mention that the only truly relevant parameter is
$\alpha\in(0,1)$: indeed statements similar to those of Theorem 1.1 can be
given for the (smaller) spaces $B^{\alpha}_{p,q}(\mathbb{T})$ for any choice
of $p,q\in[1,\infty]$, see Remark 1.6 below.
Before moving further, let us heuristically motivate the connection between
Points i. and ii. of Theorem 1.1 and why it is natural to expect
$\nu^{\alpha/(\alpha+2)}$ to appear, given the decay
$\|f_{t}\|_{H^{-1/2}}\lesssim t^{-1/(2\alpha)}$. In fact, the argument can be
given in a much more general framework: let $f^{\nu}$ be a solution to (1.2)
with $\nu>0$, $\int_{\mathbb{T}^{d}}f_{0}(z)\mathrm{d}z=0$ and
$\bar{u}:\mathbb{T}^{d}\rightarrow\mathbb{R}^{d}$ be a divergence free vector
field; then $f^{\nu}$ satisfies the energy balance
$\frac{\mathrm{d}}{\mathrm{d}t}\|f^{\nu}_{t}\|_{L^{2}}^{2}=-2\nu\|\nabla
f^{\nu}_{t}\|_{L^{2}}^{2}.$
Now assume the solution $f$ to the transport equation
$\partial_{t}f+\bar{u}\cdot\nabla f=0$ to satisfy the decay
$\|f_{t}\|_{\dot{H}^{-s}}\lesssim t^{-s/\alpha}$ for suitable parameters
$\alpha>0,s\in(0,1]$ (for $s>1$, one may reduce to $s=1$ by Riesz–Thorin
interpolation theorem). For $\nu\ll 1$ and sufficiently short times, we expect
$f^{\nu}$ and $f$ to stay close and therefore $f^{\nu}$ to exhibit the same
decay as $f$. By the interpolation inequality
$\displaystyle\|f\|_{L^{2}}\lesssim$
$\displaystyle\|f\|_{\dot{H}^{-s}}^{\frac{1}{1+s}}\|\nabla
f\|_{L^{2}}^{\frac{s}{s+1}},$
we deduce that
$\frac{\mathrm{d}}{\mathrm{d}t}\|f^{\nu}_{t}\|_{L^{2}}^{-\frac{2}{s}}\sim\nu\|f^{\nu}_{t}\|_{L^{2}}^{-2\left(\frac{s+1}{s}\right)}\|\nabla
f^{\nu}_{t}\|_{L^{2}}^{2}\gtrsim\nu\|f^{\nu}_{t}\|_{\dot{H}^{-s}}^{-\frac{2}{s}}\gtrsim\nu
t^{\frac{2}{\alpha}}.$ (1.5)
Assume for simplicity $\|f_{0}\|_{L^{2}}=1$ and define $\tau>0$ to be the
first time such that $\|f^{\nu}_{t}\|_{L^{2}}=1/2$. Integrating (1.5) over
$[0,\tau]$ we obtain
$\displaystyle 1\sim 2^{\frac{2}{s}}-1$
$\displaystyle\gtrsim\,\nu\int_{0}^{\tau}t^{\frac{2}{\alpha}}\mathrm{d}t\sim\nu\tau^{1+\frac{2}{\alpha}}=\left(\nu^{\frac{\alpha}{\alpha+2}}\tau\right)^{\frac{\alpha}{\alpha+2}}.$
Namely, in order for the energy $\|f^{\nu}_{t}\|_{L^{2}}$ to be reduced by
half by the dynamics, we need to wait for at most
$\tau\lesssim\nu^{-\alpha/(\alpha+2)}$. Iterating the argument on intervals
$[n\tau,n(\tau+1)]$ would then produce an asymptotic decay at least of the
form $\exp(-Ct\nu^{\frac{\alpha}{\alpha+2}})$.
While the argument is clearly heuristic, it predicts the correct exponent
$\frac{\alpha}{\alpha+2}$ and works for any choice of the parameter $s>0$ (in
particular for $s=1/2$ as in Theorem 1.1) and not only for $s=1$, which is the
case receiving the most attention in the literature.
Unfortunately, there are only few rigorous quantitative results connecting
explicitly inviscid mixing and enhanced dissipation properties (see [13] and
the references therein) and they appear not to be optimal. For instance for
$s\in(0,1]$, an application of Corollary 2.3 from [13] would only predict a
decay
$\|f_{t}\|_{L^{2}}\leqslant\exp(-C\nu^{q_{s}}t)\|f_{0}\|_{L^{2}},\quad
q_{s}:=\frac{\alpha(1+s)}{\alpha+s+\alpha s};$
in particular $q_{1}=\frac{2\alpha}{2\alpha+1}$ while
$q_{1/2}=\frac{3\alpha}{3\alpha+1}$.
Relation with existing literature. Understanding the interaction between
mixing and diffusion is one of the most fundamental problems in fluid
mechanics, dating back to the works of Kelvin [32] and Reynolds [39].
In the pioneering work [11], such relation has been formalized mathematically
by introducing the concept of relaxation enhancing flows; the result has been
recently revisited in a more quantitative fashion in the works [13, 18]. The
use of weak norms $H^{-s}$ in order to quantify mixing of passive scalars was
first introduced in [34].
Shear flows and circular flows in particular have been recently studied by
several authors, employing a variety of technique, including stationary phase
methods and hypocoercivity schemes [2, 12, 14], spectral methods [43] and
stochastic analysis [15]. Roughly speaking, the main known results for (1.1)
are the following:
* •
If $u\in C^{n+1}$ has a finite number of critical points with maximal order
$n$, then enhanced dissipation holds with
$r(\nu)\sim\nu^{\frac{n}{n+2}}(1+\log\nu^{-1})^{-1}$, see Theorem 1.1 in [2].
* •
There exist $u\in C^{\alpha}$, $\alpha\in(0,1)$, for which enhanced
dissipation holds with $r(\nu)\sim\nu^{\frac{\alpha}{\alpha+2}}$, see Theorem
5.1 from [43].
* •
The above results are sharp, up to logarithmic corrections, in the sense that
for $u\in C^{n+1}$ (resp. $u\in C^{\alpha}$) the best possible rate is
$r(\nu)\sim\nu^{\frac{n}{n+2}}$ (resp.
$r(\nu)\sim\nu^{\frac{\alpha}{\alpha+2}}$), see Theorem 4 in [15]; the proof
is based on the Lagrangian Fluctuation Dissipation relation introduced in
[16], [17].
Let us also mention the remarkable stable mixing estimate obtained in [12] for
$u$ satisfying Assumption (H) therein. Motivated by the above results, the
authors of [10] explore the mixing and enhanced dissipation properties of
rough shear flows, namely $u$ sharply $\alpha$–Hölder for $\alpha\in(0,1)$. In
particular, they construct a Weierstrass-type flow $u$ such that the following
hold (see Theorem 1.1 in [10]):
1. 1.
enhanced dissipation holds with rate
$r(\nu)\sim\nu^{\frac{\alpha}{\alpha+2}}$, confirming the results from [43];
2. 2.
along suitable sequences $t_{n}\rightarrow\infty$, inviscid mixing holds on
$H^{1}$ with rate $r(t)\sim t^{1/\alpha}$:
$\|e^{-t_{n}u\partial_{x}}f_{0}\|_{H^{-1}}\lesssim
t_{n}^{-\frac{1}{\alpha}}\|f_{0}\|_{H^{1}}.$
3. 3.
however, to the authors’ surprise, there exist other sequences
$\tilde{t}_{n}\rightarrow\infty$ on which inviscid mixing only holds with rate
$r(t)\sim t$, in the sense that
$\|e^{-\tilde{t}_{n}u\partial_{x}}f_{0}\|_{H^{-1}}\gtrsim\tilde{t}_{n}^{-1}\|f_{0}\|_{H^{1}}.$
In particular, the inviscid mixing rate $r(t)\sim t$ is the same attained by
suitable Lipschitz functions; the authors wonder whether such a discrepancy
between Points 2. and 3. is to be expected for generic flows $u\in
C^{\alpha}$, see the paragraph “Perspectives”, p.3 in [10].
The main aim of the present work is to give a negative answer to the above
question, while letting a more natural picture emerge in the context of
generic rough shear flows. Theorem 1.1 shows that for generic $u\in
B^{\alpha}_{1,\infty}$ (similarly for $u\in C^{\alpha}$, see Remark 1.6)
inviscid mixing holds on $H^{1/2}$ with rate $r(t)\sim t^{1/2\alpha}$,
uniformly over all $t\geqslant 0$. Such a decay is also the best possible, see
Theorem 1.4 below. On the other hand, Theorem 1.1 confirms the enhanced
dissipation rate $r(\nu)\sim\nu^{\alpha/(\alpha+2)}$, already identified in
[43, 10], as a property of generic shear flows.
We believe that the use of less standard spaces $B^{\alpha}_{1,\infty}$ and
mixing norms $H^{-s}$ with $s\neq 1$ to be some of the main contributions of
this work, compared to previous literature; they arise naturally in
computations, rather than being a mathematical artifact. A complete picture is
however still missing; for instance, the question whether generic $u\in
B^{\alpha}_{1,\infty}$ satisfy inviscid mixing on $H^{1}$ with rate $r(t)\sim
t^{1/\alpha}$ is still open and goes beyond our current methods.
Structure of the proof. As done frequently in the literature, in order to
prove Theorem 1.1 for the PDE (1.1), we will pass to study its hypoelliptic
counterpart
$\partial_{t}f+u\partial_{x}f=\nu\partial_{y}^{2}f$ (1.6)
again under the assumption $\int_{\mathbb{T}}f_{0}(x,y)\mathrm{d}x=0$ for all
$y\in\mathbb{T}$.
For $k\in\mathbb{Z}_{0}:=\mathbb{Z}\setminus\\{0\\}$, define the Fourier
transform in the $x$-variable as
$(P_{k}f)(y):=\int_{\mathbb{T}}f(x,y)e^{-ikx}\mathrm{d}x$
so that any $f:\mathbb{T}^{2}\rightarrow\mathbb{R}$ has a decomposition
$f(x,y)=\sum_{k}(P_{k}f)(y)e^{ikx}$. If $f$ solves (1.6), then for each
$k\in\mathbb{Z}_{0}$ the function $f^{k}_{t}:=P_{k}f_{t}$ solves the one
dimensional complex valued PDE (harmonic oscillator)
$\partial_{t}f^{k}+ikuf^{k}=\nu\partial_{y}^{2}f^{k}.$ (1.7)
For $k\in\mathbb{Z}_{0}$, $\nu\geqslant 0$ and $u\in L^{1}(\mathbb{T})$, the
PDE (1.7) has an associated semigroup on $L^{2}(\mathbb{T};\mathbb{C})$, which
we denote by $e^{t(-iku+\nu\partial_{y}^{2})}$; observe that the parameter
$k$, up to its sign, may be removed by the rescaling $\tilde{t}=t|k|$,
$\tilde{\nu}=\nu/|k|$. In this way the study of asymptotic behavior of $f^{k}$
may be reduced to that of $f^{\pm 1}$, which motivates the following
definitions.
Note that whenever we refer to a rate $r:\mathbb{R}_{\geqslant
0}\rightarrow\mathbb{R}_{\geqslant 0}$, we always assume it to be a
continuous, increasing function.
###### Definition 1.2.
A velocity field $u\in L^{1}(\mathbb{T})$ is said to be mixing on the scale
$H^{s}(\mathbb{T};\mathbb{C})$, $s\geqslant 0$, with rate $r_{s\text{-mix}}$,
if there exist a constant $C>0$ such that
$\|e^{-itku}\|_{H^{s}\rightarrow
H^{-s}}\leqslant\frac{C}{r_{s\text{-mix}}(t|k|)}\quad\forall\>k\in\mathbb{Z}_{0},\;t\geqslant
1.$ (1.8)
###### Definition 1.3.
A velocity field $u\in L^{1}(\mathbb{T})$ is said to be diffusion enhancing on
$L^{2}(\mathbb{T};\mathbb{C})$ with rate $r_{\text{dif}}$ if there exists a
constant $C>0$ such that
$\|e^{t(-iku+\nu\partial_{y}^{2})}\|_{L^{2}\rightarrow L^{2}}\leqslant
C\exp\left(-r_{\text{dif}}\left(\frac{\nu}{|k|}\right)|k|t\right)\quad\forall\,k\in\mathbb{Z}_{0},\;\nu\in(0,1],\;t\geqslant
1.$ (1.9)
The following theorems, which are the main results of the paper, provide sharp
inviscid mixing and enhanced diffusion statements for generic shear flows. In
particular, they describe precisely the behavior of solutions to (1.1) at each
Fourier level $P_{k}$.
###### Theorem 1.4 (Inviscid case $\nu=0$).
Let $\alpha\in(0,1)$.
1. a)
Lower bound. Suppose that $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ is mixing
on the scale $H^{1/2}(\mathbb{T};\mathbb{C})$ with rate $r_{1/2\text{-mix}}$,
in the sense of Definition 1.2; then necessarily
$r_{1/2\text{-mix}}(t)\lesssim t^{\frac{1}{2\alpha}}$.
2. b)
Upper bound. Almost every $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ satisfies
the following property: for any $\tilde{\alpha}>\alpha$, $u$ is mixing on the
scale $H^{1/2}(\mathbb{T};\mathbb{C})$ with rate $r_{1/2\text{-mix}}(t)\gtrsim
t^{\frac{1}{2\tilde{\alpha}}}$.
###### Theorem 1.5 (Dissipative case $\nu>0$).
Let $\alpha\in(0,1)$.
1. a)
Lower bound. Suppose that $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ is
diffusion enhancing with rate $r_{\text{dif}}$, in the sense of Definition
1.3; then necessarily
$r_{\text{dif}}(\nu)\lesssim\nu^{\frac{\alpha}{\alpha+2}}$.
2. b)
Upper bound. Almost every $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ satisfies
the following property: for any $\tilde{\alpha}>\alpha$, $u$ is diffusion
enhancing with rate
$r_{\text{dif}}(\nu)\gtrsim\nu^{\tilde{\alpha}/(\tilde{\alpha}+2)}$.
Theorems 1.4 and 1.5 will be proven respectively in Sections 3 and 4, which
are structured in a very similar way. Roughly speaking, the strategy we adopt
in proving upper and lower bounds may be summarized in three main steps:
1. 1.
In both cases, the lower bound follows from estimates which explicitly employ
the regularity assumption $u\in B^{\alpha}_{1,\infty}$; in the case $\nu>0$,
we need to preliminary establish a Lagrangian Fluctuation-Dissipation relation
for the PDE (1.7) (see Proposition 4.2) similarly in spirit to what was done
in [15].
2. 2.
The upper bound is satisfied by any $u$ enjoying a suitable analytic property,
which encodes its irregularity. It turns out that the right properties are
given respectively by $\rho$-irregularity (see Definition 3.4) for $\nu=0$ and
by Wei’s irregularity condition (see Definition 4.4) for $\nu>0$. A shear flow
$u$ satisfying any of such properties necessarily enjoys only limited
regularity in the scales $B^{\alpha}_{1,\infty}$ (see Proposition 3.8 and
Lemma 4.8), confirming that these are the correct spaces to work with.
3. 3.
Finally, we show that a.e. $u\in B^{\alpha}_{1,\infty}$ is $\rho$-irregular
(resp. satisfies Wei’s condition), see Section 3.3 (resp. Section 4.4). This
is achieved by probabilistic methods, using the law of fractional Brownian
motions (see Section 2.2 for details) to construct a measure witnessing the
prevalence of such properties.
###### Remark 1.6.
Let us stress that points a) of Theorems 1.4-1.5 hold for all $u\in
B^{\alpha}_{1,\infty}$, not only generic elements. Since $\mathbb{T}$ is
finite, we have the embeddings $B^{\alpha}_{p,q}\hookrightarrow
B^{\alpha}_{1,\infty}$ for any $p,q\in[1,\infty]$, thus the lower bound is
true for all $u\in B^{\alpha}_{p,q}$ as well. On the other hand, the proofs of
points b) of Theorems 1.4-1.5 can be easily readapted to provide the same
statements for almost every $u\in B^{\alpha}_{p,q}$, for any choice of
$p,q\in[1,\infty]$.
In particular, one could always work with the spaces
$C^{\alpha}=B^{\alpha}_{\infty,\infty}$ if desired. There are however several
reasons for working with $B^{\alpha}_{1,\infty}$ or more generally
$B^{\alpha}_{p,q}$ instead of $C^{\alpha}$.
Mathematically, such spaces include genuinely discontinuous functions, as well
as (possibly continuous) functions of finite $p$-variation for any
$p\in[1,\infty]$: it holds
$B^{1/p}_{p,1}\hookrightarrow V^{p}_{c}\hookrightarrow V^{p}\hookrightarrow
B^{1/p}_{p,\infty},$
see Proposition 4.3 from [35], Proposition 2.3 from [23] for more details.
Physically, a simple way to explain singularities in fully developed
turbulence is by means of structure functions (see e.g. [21]), which are
closely related to the finite difference characterization of Besov spaces
$B^{\alpha}_{p,\infty}$. Turbulence is also believed to be closely connected
to multifractality (again we refer to the appendix of [21]), a feature which
is absent from generic $u\in C^{\alpha}$ (which are monofractal) but instead
manifested by almost every $u\in B^{\alpha}_{p,q}$, see [31, 20, 19].
Our results show that the only relevant parameter in understanding mixing and
enhanced dissipation rates for a.e. $u\in B^{\alpha}_{p,q}$ is
$\alpha\in(0,1)$, regardless of the values of $p,q$; thus there is no apparent
connection between mixing and multifractal features of $u$, at least in the
setting of shear flows.
Structure of the paper. In Section 2 we shortly recall some of the main tools
we will be working with, specifically the theory of prevalence and a relevant
class of Gaussian processes, which includes fractional Brownian motion.
Sections 3 and 4 contain the proofs of Theorems 1.4 and 1.5 and are designed
in a similar manner: in both cases we will first prove the lower bound, then
introduce the concept of $\rho$-irregularity (resp. Wei’s condition) and
explain its connection to the upper bound, as well as to the irregularity of
$u$; finally, we show by probabilistic means that a.e. $u\in
B^{\alpha}_{1,\infty}$ satisfies such property. The end of Section 4 also
contains the proof of Theorem 1.1.
In Appendix A we collect some well known results on Besov spaces, while
Appendix B contains a technical extension of the results from [43] needed to
work in our setting.
Acknowledgments. The authors were supported by the Deutsche
Forschungsgemeinschaft (DFG, German Research Foundation) through the Hausdorff
Center for Mathematics under Germany’s Excellence Strategy – EXC-2047/1 –
390685813 and through CRC 1060 - projekt number 211504053.
Notations and conventions. We will use the notation $a\lesssim b$ to mean that
there exists a constant $c>0$ such that $a\leqslant cb$; $a\lesssim_{x}b$
highlights the dependence $c=c(x)$. The notation $a\sim b$ stands for
$a\lesssim b$ and $b\lesssim a$, similarly for $a\sim_{x}b$.
Whenever needed, we will identify the $d$-dimensional torus $\mathbb{T}^{d}$
with either $[0,2\pi]^{d}$ or $[-\pi,\pi]^{d}$ with periodic boundary
condition, and functions $\varphi:\mathbb{T}^{d}\rightarrow\mathbb{R}$ with
$2\pi$-periodic functions defined on $\mathbb{R}^{d}$. We will use
$d_{\mathbb{T}^{d}}(x,y)$ to denote the canonical distance on the flat torus
$\mathbb{T}^{d}$, namely
$d_{\mathbb{T}^{d}}(x,y)=\inf_{k\in\mathbb{Z}^{d}}|x+2\pi k-y|$, where
$|\cdot|$ denotes the Euclidean distance on $\mathbb{R}^{d}$. With a slight
abuse, we will keep writing $|x|$ for $x\in\mathbb{T}^{d}$ to denote
$d_{\mathbb{T}^{d}}(x,0)$.
$L^{p}(\mathbb{T}^{d})$ denotes classical Lebesgue spaces,
$C^{\alpha}(\mathbb{T}^{d})$ Hölder spaces and
$H^{s}(\mathbb{T}^{d})=W^{s,2}(\mathbb{T}^{d})$ fractional Sobolev spaces.
$B^{\alpha}_{p,q}(\mathbb{T}^{d})$ denotes Besov spaces on $\mathbb{T}^{d}$;
we refer to Appendix A for a detailed discussion of their definition and main
properties. Here let us shortly recall, that for $\alpha\in(0,1)$ and
$p\in[1,\infty)$, $f\in B^{\alpha}_{p,q}(\mathbb{T}^{d})$ if and only if $f\in
L^{p}(\mathbb{T}^{d})$ and it has finite Gagliardo-Niremberd type seminorm
$\llbracket f\rrbracket_{B^{\alpha}_{p,\infty}(\mathbb{T}^{d})}:=\sup_{x\neq
y\in\mathbb{T}^{d}}\frac{\left\|f\left(\cdot\,+x\right)-f\left(\cdot\,+y\right)\right\|_{L^{p}}}{d_{\mathbb{T}^{d}}(x,y)^{s}};$
(1.10)
see equations (A.1)-(A.2) for more details. Similarly,
$B^{\alpha}_{p,q}(0,\pi)$ denotes Besov spaces on $[0,\pi]$.
Given $p\in[1,\infty)$ and a compact interval $I\subset\mathbb{R}$, we denote
by $V^{p}=V^{p}(I)$ the Banach space of functions $f:I\rightarrow\mathbb{R}$
of finite $p$-variation, with norm
$\|f\|_{V^{p}}=|f(0)|+\sup_{\pi\in\Pi(I)}\left(\sum_{[t_{i},t_{i+1}]\in\pi}|f(t_{i+1})-f(t_{i})|^{p}\right)^{\frac{1}{p}}$
where the supremum is taken over the set $\Pi(I)$ of all finite partition of
$I$, identified with sequences $\\{t_{i}\\}_{i=0}^{n}$ such that $\min
I=t_{0}<t_{1}<\cdots<t_{n}=\max I$. $V^{p}_{c}$ stands for the closed subspace
of $V^{p}$ of continuous functions. $V^{p}(\mathbb{T})$ is defined by
identifying $\mathbb{T}$ with the interval $[-\pi,\pi]$.
Whenever a stochastic process $X=(X_{t})_{t\geqslant 0}$ is considered, if not
specified we tacitly assume the existence of an abstract underlying filtered
probability space $(\Omega,\mathcal{F},(\mathcal{F}_{t})_{t\geqslant
0},\mathbb{P})$, such that the $\sigma$-algebra $\mathcal{F}$ and the
filtration $(\mathcal{F}_{t})_{t\geqslant 0}$ satisfy the usual assumptions
and $(X_{t})_{t\geqslant 0}$ is adapted to $(\mathcal{F}_{t})_{t\geqslant 0}$.
Whenever we say that $(\mathcal{F}_{t})_{t\geqslant 0}$ is the natural
filtration generated by $X$, then it is tacitly implied that it is actually
its right continuous, normal augmentation wrt. $\mathbb{P}$. We denote by
$\mathbb{E}$ integration (equiv. expectation) wrt. the probability
$\mathbb{P}$.
## 2\. Preliminaries
### 2.1. Prevalence
The theory of prevalence has been developed by Hunt, Sauer and Yorke in [29]
in order to provide a measure theoretic notion of genericity in infinite
dimensional spaces. It is a natural generalization of the concept of “full
Lebesgue measure sets” from the finite dimensional setting. We follow here the
exposition given in [29], although for our purposes it will be enough to work
with Banach spaces $E$.
###### Definition 2.1.
Let $E$ be a complete metric vector space. A Borel set $A\subset E$ is said to
be shy if there exists a measure $\mu$ such that:
1. i.
There exists a compact set $K\subset E$ such that $0<\mu(K)<\infty$.
2. ii.
For every $v\in E$, $\mu(v+A)=0$.
In this case, the measure $\mu$ is said to be transverse to $A$. More
generally, a subset of $E$ is shy if it is contained in a shy Borel set. The
complement of a shy set is called a prevalent set.
Sometimes it is said informally that the measure $\mu$ “witnesses” the
prevalence of $A^{c}$.
It follows immediately from Point i. of Definition 2.1 that, if such a measure
$\mu$ exists, then it can be assumed to be a compactly supported probability
measure on $E$. On the other hand, in order to exhibit the existence of $\mu$
satisfying Points. i.-ii., it suffices to find another tight probability
measure $\tilde{\mu}$ only satisfying requirement ii. If $E$ is separable,
then any probability measure on $E$ is tight and therefore Point i. is
automatically satisfied.
The following properties hold for prevalence (all proofs can be found in
[29]):
1. 1.
If $E$ is finite dimensional, then a set $A$ is shy if and only if it has zero
Lebesgue measure.
2. 2.
If $A$ is shy, then so is $v+A$ for any $v\in E$.
3. 3.
Prevalent sets are dense.
4. 4.
If $\dim(E)=+\infty$, then compact subsets of $E$ are shy.
5. 5.
Countable union of shy sets is shy; conversely, countable intersection of
prevalent sets is prevalent.
From now, whenever we say that a statement holds for a.e. $v\in E$, we mean
that the set of elements of $E$ for which the statement holds is a prevalent
set. Property 1. states that this convention is consistent with the finite
dimensional case.
In the context of a function space $E$, it is natural to consider as
probability measure the law induced by an $E$-valued random variable. Namely,
given stochastic process $W$ defined on a probability space
$(\Omega,\mathcal{F},\mathbb{P})$ taking values in a separable Banach space
$E$, in order to show that a property $\mathcal{P}$ holds for a.e. $f\in E$,
it suffices to show that
$\mathbb{P}\left(\text{$f+W$ satisfies property
$\mathcal{P}$}\right)=1,\qquad\forall\,f\in E.$ (2.1)
Clearly, we are assuming that the set $A=\left\\{w\in E:\text{$w$ satisfies
property $\mathcal{P}$}\right\\}$ is Borel measurable; if $E$ is not
separable, we need to additionally require that the law of $W$ is tight, so as
to satisfy Point i. of Definition 2.1.
As a consequence of properties 4. and 5., the set of all possible realizations
of a probability measure $\mu$ on a separable infinite dimensional Banach
space is a shy set, as it is contained in a countable union of compact sets
(this is true more in general for any tight measure on a Banach space). This
fact highlights the difference between a statement of the form “Property
$\mathcal{P}$ holds for a.e. $f$ (in the sense of prevalence)” and “Property
$\mathcal{P}$ holds for $\mu$-a.e. $f$”; indeed, the second statement doesn’t
provide any information regarding whether the property might be prevalent or
not. Intuitively, the elements satisfying a prevalence statement are “many
more” than just the realizations of a given measure $\mu$.
### 2.2. A useful class of Gaussian transverse measures
From now on, given an interval $[0,T]$ and a probability measure $\mu$ on
$C([0,T])$, we will denote by $(X_{t})_{t\in[0,T]}$ the associated canonical
process, which is given by $X_{t}(\omega)=\omega(t)$ for $\omega\in C([0,T])$,
and by $\mathcal{F}_{t}=\sigma(\\{X_{s},s\leqslant t\\})$ the associated
natural filtration.
A key point of the present work is to verify that suitable properties
$\mathcal{P}$ are satisfied by a.e. $f\in E$ for suitable
$E=B^{\alpha}_{1,\infty}$. The discussion from Section 2.1, in particular
equation (2.1), suggests to look for classes of processes which are stable
under deterministic additive perturbations and in [24] we identified the local
nondeterministic (LND) Gaussian processes as a useful class in the study of
prevalence in function spaces. We recall in the next definition that a real
valued process $X$ is Gaussian if for any $n\in\mathbb{N}$ and
$t_{1},\ldots,t_{n}\in[0,T]$, $(X_{t_{1}},\ldots,X_{t_{n}})$ is a
$\mathbb{R}^{n}$-valued Gaussian variable.
###### Definition 2.2.
Given $\beta>0$, a real valued Gaussian process $X$ is strongly locally
nondeterministic with parameter $\beta$, $\beta$-SLND for short, if there
exists a constant $C_{X}$ such that
$\operatorname{Var}(X_{t}|\mathcal{F}_{s})\geqslant C_{X}|t-s|^{2\beta}$ (2.2)
uniformly over $s,t\in[0,T]$ with $s<t$.
In (2.2) above, $\operatorname{Var}\left(\cdot\,|\mathcal{F}_{s}\right)$
denotes the conditional variance; equivalently, Definition 2.2 amount to the
condition that, for any $s<t$, there is a decomposition
$X_{t}=X^{(1)}_{s,t}+X^{(2)}_{s,t}$ where $X^{(1)}_{s,t}$ is Gaussian and
adapted to $\mathcal{F}_{s}$ while $X^{(2)}_{s,t}$ is Gaussian, independent of
$\mathcal{F}_{s}$, with variance $\operatorname{Var}(X^{(2)}_{s,t})\geqslant
C_{X}|t-s|^{2\beta}$. The increments of the process $X$ are therefore
“intrinsically chaotic” in a way that can be quantified precisely by the
parameter $\beta$. Let us shortly mention that Definition 2.2 is not the only
notion of LND in the literature and there are several non-equivalent ones; see
[44] for a review.
The importance of the $\beta$-SLND property comes from the following
elementary fact, which can be readily checked from the definition (see also
Remark 26 from [24]); in the statement, $f:[0,T]\rightarrow\mathbb{R}$ can be
naturally unbounded.
###### Lemma 2.3.
Let $\\{X_{t}\\}_{t\in[0,T]}$ be a $\beta$-SLND Gaussian process and
$f:[0,T]\rightarrow\mathbb{R}$ be a measurable function; then $X+f$ is also a
$\beta$-SLND Gaussian process.
Lemma 2.3 will be our main leverage to establish prevalence statements, as it
reduces the difficulty to that of verifying that any $\beta$-SLND Gaussian
process satisfies $\mu$-a.s. the property $\mathcal{P}$ of interest; this will
indeed be the strategy implemented in Sections 3.3 and 4.4 respectively.
In this sense, we could work with any possible Gaussian law $\mu$ whose
associated canonical process is $\beta$-SLND, without further specification.
To keep things less abstract, we will however use a well-known one-parameter
family from this class, which are the laws
$\left\\{\mu^{H},\,H\in(0,1)\right\\}$ of fractional Brownian motion (fBm) of
parameter $H\in(0,1)$. The material recalled next is mostly classical and can
be found in the monograph [37].
The law of fBm of Hurst parameter $H\in(0,1)$ is defined as the unique
Gaussian measure $\mu^{H}$ on $\Omega=C([0,T])$ such that
$\int_{\Omega}X_{t}(\omega)\mu^{H}(\mathrm{d}\omega)=0,\quad\int_{\Omega}X_{t}(\omega)X_{s}(\omega)\mu^{H}(\mathrm{d}\omega)=\frac{1}{2}(|t|^{2H}+|s|^{2H}-|t-s|^{2H}).$
For $H=1/2$, the law of fBm corresponds to the classical Wiener measure;
instead for $H\neq 1/2$, the associated canonical process $X$ is not a
semimartingale nor a Markov process.
The support of $\mu^{H}$ in terms of Besov spaces is well understood, with
sharp results going back to [9] (see also [42] for a modern proof which
extends to the vector valued case): it holds
$\mu^{H}(C^{H-\varepsilon})=1\quad\forall\,\varepsilon>0,\quad\mu^{H}(B^{H}_{p,\infty})=1\quad\forall\,p\in[1,\infty),$
while
$\mu^{H}(C^{H})=0,\quad\mu^{H}(B^{H}_{p,q})=0\quad\forall\,p,q\in[1,\infty).$
In particular fBm trajectories are sharply not $H$-Hölder continuous, but by
Ascoli–Arzelà $\mu^{H}$ is a tight probability measure on
$B^{H-\varepsilon}_{p,\infty}$ for any $\varepsilon>0$ and any
$p\in[1,\infty]$. As promised, this class of Gaussian measures does satisfy
the LND property.
###### Lemma 2.4.
Let $X$ be the canonical process associated to $\mu^{H}$, $H\in(0,1)$. Then
$X$ is $H$-SLND; moreover, the Gaussian process
$Y_{t}:=\int_{0}^{t}X_{s}\mathrm{d}s$ is $(1+H)$-SLND.
###### Proof.
The first claim is classical and can be found in the review [44] and the
references therein; alternative, a self-contained proof, based on the
Mandelbrot–Van Ness representation of fBm, is given in Section 2.4 from [24];
the same representation can be used to establish the second half of the claim
involving the process $Y$, see Example iv. from Section 4.2 in [24]. ∎
Among the reasons for using $\mu^{H}$, instead of just any Gaussian measure
satisfying a suitable LND condition, let us finally mention that this process
can be simulated numerically in a very efficient way.
## 3\. Inviscid mixing
This section contains the proof of Theorem 1.4, which we split in several
steps.
Recall the setting: in order to study the transport equation
$\partial_{t}f+u\partial_{x}f=0$, we pass to Fourier modes
$f^{k}_{t}(y)=(P_{k}f_{t})(y)$, solving $\partial_{t}f^{k}+ikuf^{k}=0$; namely
$f^{k}_{t}(y)=e^{-iktu(y)}f^{k}_{0}(y)$.
It is then natural to take a slightly more general perspective and study maps
of the form $y\mapsto e^{i\xi u(y)}g(y)$ with $\xi\in\mathbb{R}$, $g\in
H^{s}(\mathbb{T})$.
### 3.1. Lower bounds in terms of regularity
We show here that the regularity of $u$, measured in the Besov–Nikolskii scale
$B^{\alpha}_{1,\infty}$, necessarily implies a lower bound on the decay of
solutions in the $H^{-1/2}$-norm. The proof is partly inspired by that of
Proposition 3.2 from [10].
###### Lemma 3.1.
Let $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ for some $\alpha\in(0,1)$. Then
for any $g\in H^{1}(\mathbb{T})$ there exists a constant $C=C(\alpha,g)$ such
that
$\|e^{i\xi u}g\|_{H^{-1/2}}\geqslant
C(1+\|u\|_{B^{\alpha}_{1,\infty}})^{-\frac{1}{2\alpha}}|\xi|^{-\frac{1}{2\alpha}}\quad\forall\,|\xi|\geqslant
1.$ (3.1)
###### Proof.
Fix $\xi$ with $|\xi|\geqslant 1$ and set $\bar{g}:=e^{i\xi u}g$; we claim
that $\bar{g}\in B^{\alpha/2}_{2,\infty}$. By Sobolev and Besov embeddings,
$g\in L^{\infty}\cap B^{\alpha/2}_{2,\infty}$; $e^{i\xi u}\in L^{\infty}$, so
it’s enough to show that $e^{i\xi u}\in B^{\alpha/2}_{2,\infty}$. By the basic
estimate $|e^{ia}-e^{ib}|\leqslant\sqrt{2}|a-b|^{1/2}$, it holds
$\displaystyle\left\|e^{i\xi u\left(\cdot\,+y\right)}-e^{i\xi
u(\cdot+\tilde{y})}\right\|_{L^{2}}$
$\displaystyle\lesssim|\xi|^{1/2}\left\|u\left(\cdot\,+y\right)-u\left(\cdot\,+\tilde{y}\right)\right\|_{L^{1}}^{1/2}$
$\displaystyle\lesssim|\xi|^{1/2}\|u\|^{1/2}_{B^{\alpha}_{1,\infty}}d_{\mathbb{T}}(y,\tilde{y})^{\alpha/2}.$
By the equivalent characterization of Besov–Nikolskii spaces, this implies
$\|e^{i\xi u}\|_{B^{\alpha/2}_{2,\infty}}\lesssim
1+|\xi|^{1/2}\|u\|^{1/2}_{B^{\alpha}_{1,\infty}}\lesssim(1+\|u\|_{B^{\alpha}_{1,\infty}})^{1/2}|\xi|^{1/2}$
and so by Proposition A.4 in Appendix A we conclude that $\bar{g}\in
B^{\alpha/2}_{2,\infty}$ with
$\|\bar{g}\|_{B^{\alpha/2}_{2,\infty}}\lesssim\|g\|_{H^{1}}(1+\|u\|_{B^{\alpha}_{1,\infty}})^{1/2}|\xi|^{1/2}.$
(3.2)
Clearly $\|\bar{g}\|_{L^{2}}=\|g\|_{L^{2}}$. Using the interpolation
inequality from Corollary A.6 in Appendix A (for the choice $s_{1}=1/2$,
$s_{2}=\alpha/2$) we obtain
$\|g\|_{L^{2}}=\|\bar{g}\|_{L^{2}}\lesssim\|\bar{g}\|_{H^{-1/2}}^{\frac{\alpha}{1+\alpha}}\|\bar{g}\|_{B^{\alpha/2}_{2,\infty}}^{\frac{1}{1+\alpha}}.$
(3.3)
Rearranging now the terms in (3.3) and applying the estimate (3.2) we find
$\|\bar{g}\|_{H^{-1/2}}\gtrsim\|\bar{g}\|_{B^{\alpha/2}_{2,\infty}}^{-\frac{1}{\alpha}}\|g\|_{L^{2}}^{1+\frac{1}{\alpha}}\gtrsim\|g\|_{L^{2}}^{1+\frac{1}{\alpha}}\|g\|_{H^{1}}^{-\frac{1}{\alpha}}(1+\|u\|_{B^{\alpha}_{1,\infty}})^{-\frac{1}{2\alpha}}|\xi|^{-\frac{1}{2\alpha}}$
(3.4)
where the hidden constant in (3.4) only depends on $\alpha$. Using the
definition of $\bar{g}$ and relabelling the constant to include the
$g$-dependent terms yields the conclusion. ∎
###### Corollary 3.2.
Let $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ be mixing on
$H^{1/2}(\mathbb{T})$ with rate $r_{1/2\text{-mix}}$, in the sense of
Definition 1.2. Then there exists a constant $C=C(\alpha,u)$ such that
$r_{1/2\text{-mix}}(t)\leqslant Ct^{\frac{1}{2\alpha}}.$
###### Proof.
Consider $g(y)=e^{iy}$, so that $\|g\|_{H^{1/2}}\sim\|g\|_{H^{1}}\sim 1$; then
by Definition 1.2 applied for the choice $k=1$ and Lemma 3.1 for $\xi=-t$, it
holds
$\displaystyle\frac{1}{r(t)}\gtrsim$
$\displaystyle\|e^{-itu}\|_{H^{1/2}\rightarrow
H^{-1/2}}\geqslant\|e^{-itu}g\|_{H^{-1/2}}\gtrsim_{\alpha}(1+\|u\|_{B^{\alpha}_{1,\infty}})^{-\frac{1}{2\alpha}}t^{-\frac{1}{2\alpha}};$
up to relabelling constants, this yields the conclusion. ∎
###### Remark 3.3.
In fact, the statement of Lemma 3.1 can be generalized as follows. For
$\alpha\in(0,1)$, $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$, $g\in
H^{1}(\mathbb{T})$ and any $s>0$ there exists a constant $C(\alpha,g,s)$ such
that
$\|e^{i\xi u}g\|_{H^{-s}}\geqslant
C(1+\|u\|_{B^{\alpha}_{1,\infty}})^{-\frac{s}{\alpha}}|\xi|^{-\frac{s}{\alpha}}\quad\forall\,|\xi|\geqslant
1.$
Then arguing as in Corollary 3.2 by choosing $g(y)=e^{iy}$, one can conclude
that the best possible rate for inviscid mixing on the scale
$H^{s}(\mathbb{T})$ is $r_{s\text{-mix}}(t)\sim t^{s/\alpha}$. Taking $s=1$
provides the rate $t^{1/\alpha}$, which is in line with Proposition 3.2 from
[10].
### 3.2. Upper bounds in terms of $\rho$-irregularity
The concept of $\rho$-irregularity was first introduced in [6] in the study of
regularization by noise phenomena. Its applications to PDEs have been
subsequently explored in [7, 8, 24, 5].
###### Definition 3.4.
Let $\gamma\in[0,1)$, $\rho>0$; a measurable map
$u:[0,\pi]\rightarrow\mathbb{R}$ is said to be $(\gamma,\rho)$-irregular if
there exists a constant $C>0$ such that
$\left|\int_{I}e^{i\xi u(z)}\mathrm{d}z\right|\leqslant
C|I|^{\gamma}|\xi|^{-\rho}\quad\forall\,\xi\in\mathbb{R},\,I\subset[0,\pi]$
(3.5)
where $I$ stands for a subinterval of $[0,\pi]$ and $|I|$ denotes its length.
A similar definition holds for $u:\mathbb{R}\rightarrow\mathbb{R}$; a map
$u:\mathbb{T}\rightarrow\mathbb{R}$ is said to be $(\gamma,\rho)$-irregular if
its $2\pi$-periodic extension $u:\mathbb{R}\rightarrow\mathbb{R}$ has this
property. We say that $u$ is $\rho$-irregular for short if there exists
$\gamma>1/2$ such that it is $(\gamma,\rho)$-irregular.
In all of the cases covered by Definition 3.4, following the original
definition from [6], we denote the optimal constant $C$ in (3.5) by
$\|\Phi^{u}\|_{\gamma,\rho}$. This is due to the notation
$\Phi^{u}_{t}(\xi):=\int_{0}^{t}e^{i\xi u(z)}\mathrm{d}z$ and the fact that,
for $u:[0,\pi]\rightarrow\mathbb{R}$, by (3.5) it holds
$\|\Phi^{u}\|_{\gamma,\rho}=\sup_{\xi\in\mathbb{R},0\leqslant
s<t\leqslant\pi}\frac{|\Phi^{u}_{t}(\xi)-\Phi^{u}_{s}(\xi)|}{|t-s|^{\gamma}|\xi|^{-\rho}}.$
The property of $\rho$-irregularity may be rephrased in the following form,
more suited for our purposes.
###### Lemma 3.5.
Let $u:\mathbb{T}\rightarrow\mathbb{R}$ be $(\gamma,\rho)$-irregular, then
$\|e^{i\xi
u}\|_{B^{\gamma-1}_{\infty,\infty}}\lesssim\|\Phi^{u}\|_{\gamma,\rho}|\xi|^{-\rho}\quad\forall\,\xi\in\mathbb{R}.$
###### Proof.
For $\bar{y}\in[-\pi,\pi]$ and $\xi\in\mathbb{R}$, define the function
$\displaystyle v^{\xi}(\bar{y})$ $\displaystyle=\int_{-\pi}^{\bar{y}}e^{i\xi
u(y)}\mathrm{d}y-\left(\frac{\bar{y}+\pi}{2\pi}\right)\int_{-\pi}^{\pi}e^{i\xi
u(y)}\mathrm{d}y;$
by periodicity it can be identified with a function on $\mathbb{T}$. Then by
definition of $(\gamma,\rho)$-irregularity it holds
$\|v^{\xi}\|_{C^{\gamma}}\lesssim\|\Phi^{u}\|_{\gamma,\rho}|\xi|^{-\rho}$ and
so by Proposition A.2 we deduce that
$\begin{split}\|e^{i\xi
u}\|_{B^{\gamma-1}_{\infty\infty}}&=\left\|(v^{\xi})^{\prime}+\frac{1}{2\pi}\int_{-\pi}^{\pi}e^{i\xi
u(y)}\mathrm{d}y\right\|_{B^{\gamma-1}_{\infty,\infty}}\\\
&\lesssim\|v^{\xi}\|_{C^{\gamma}}+\frac{1}{2\pi}\left|\int_{-\pi}^{\pi}e^{i\xi
u(y)}\mathrm{d}y\right|\\\
&\lesssim\|\Phi^{u}\|_{\gamma,\rho}|\xi|^{-\rho}.\qed\end{split}$
The relation between $\rho$-irregularity and inviscid mixing comes from the
next result.
###### Lemma 3.6.
Let $u:\mathbb{T}\rightarrow\mathbb{R}$ be $(\gamma,\rho)$-irregular for some
$\gamma>1/2$. Then there exists a constant $C=C(\gamma)$ such that
$\|e^{i\xi u}g\|_{H^{-1/2}}\leqslant
C\|\Phi^{u}\|_{\gamma,\rho}|\xi|^{-\rho}\|g\|_{H^{1/2}}\quad\forall\,\xi\neq
0,\,g\in H^{1/2}.$ (3.6)
As a consequence, $u$ is mixing on the scale $H^{1/2}$ with rate
$r_{1/2\text{-mix}}(t)=t^{\rho}$, in the sense of Definition 1.2.
###### Proof.
The proof of the estimate (3.6) relies on several properties of Besov spaces,
for which we refer the reader to Appendix A. By assumption $\gamma+1/2>1$,
thus we can apply Proposition A.3 (for the choice $s_{1}=\gamma-1$,
$s_{2}=1/2$, $p_{1}=q=\infty$, $p_{2}=p=2$) and Lemma 3.5 to obtain
$\displaystyle\|e^{i\xi u}g\|_{B^{\gamma-1}_{2,\infty}}$
$\displaystyle\lesssim\|e^{i\xi
u}\|_{B^{\gamma-1}_{\infty,\infty}}\|g\|_{B^{1/2}_{2,\infty}}$
$\displaystyle\lesssim\|\Phi^{u}\|_{\gamma,\rho}|\xi|^{-\rho}\|g\|_{B^{1/2}_{2,2}}$
$\displaystyle=\|\Phi^{u}\|_{\gamma,\rho}|\xi|^{-\rho}\|g\|_{H^{1/2}}.$
Again by the hypothesis $\gamma-1>-1/2$ and so by Besov embeddings
$B^{\gamma-1}_{2,\infty}\hookrightarrow H^{-1/2}$, yielding the first claim.
Applying estimate (3.6) for $k\in\mathbb{Z}_{0}$, $\xi=-tk$ gives
$\|e^{-itku}\|_{H^{1/2}\rightarrow
H^{-1/2}}\leqslant\frac{C\|\Phi^{u}\|_{\gamma,\rho}}{(t|k|)^{\rho}}$
and thus the conclusion. ∎
The property of $\rho$-irregularity implies roughness of $u$, as the name
suggests. To quantify this precisely, we recall the concept of Hölder
roughness, as presented in [22].
###### Definition 3.7.
A measurable map $u:\mathbb{T}\rightarrow\mathbb{R}$ is said to be
$\alpha$-Hölder rough if there exists $L=L_{\alpha}(u)$ such that: for any
$\delta>0$ and any $\bar{y}\in\mathbb{T}$, there exists $z\in\mathbb{T}$
satisfying
$d_{\mathbb{T}}(\bar{y},z)\leqslant\delta\quad\text{ and
}\quad|u(\bar{y})-u(z)|\geqslant L_{\alpha}(u)\delta^{\alpha}.$
The optimal constant $L_{\alpha}(u)$ is called the modulus of $\alpha$-Hölder
roughness of $u$.
Definition 3.7 is equivalent to requiring
$L_{\alpha}(u)=\inf_{\bar{y}\in\mathbb{T},\delta>0}\sup_{z\in
B_{\delta}(\bar{y})}\frac{|u(z)-u(\bar{y})|}{\delta^{\alpha}}>0.$ (3.7)
A detailed study of analytic properties of $\rho$-irregular paths was carried
out in Section 5 of [24]; in particular, there exists a critical prameter
$\alpha^{\ast}$, associated to the pair $(\gamma,\rho)$, linked to the
(ir)regularity of $u$ in Hölder and Besov–Nikolskii scales.
###### Proposition 3.8.
Let $u:\mathbb{T}\rightarrow\mathbb{R}$ be $(\gamma,\rho)$-irregular and
define $\alpha^{\ast}:=(1-\gamma)/\rho$. Then:
1. a)
$u$ is $\alpha$-Hölder rough for any $\alpha>\alpha^{\ast}$ with
$L_{\alpha}(u)=+\infty$.
2. b)
$u$ has infinite $p$-variation on any subinterval $I\subset\mathbb{T}$ and for
any $p>1/\alpha^{\ast}$.
3. c)
$u$ does not belong to $B^{\alpha}_{1,\infty}$ for any $\alpha>\alpha^{\ast}$.
###### Proof.
For functions $u:[0,T]\rightarrow\mathbb{R}$, points a) and b) are proved in
[24], cf. Corollary 65 and Corollary 68 therein; we recall here shortly the
idea of proof.
Going through the proof of Theorem 63 from [24], one can establish the (much
stronger) fact that, if $u$ is $(\gamma,\rho)$-irregular, then for any
$\tilde{\alpha}>\alpha^{\ast}$ it holds
$\lim_{\varepsilon\rightarrow
0^{+}}\inf_{y\in(0,T)}\varepsilon^{-1}\mathcal{L}(h\in(0,\varepsilon):|u(y+h)-u(y)|\geqslant\varepsilon^{\tilde{\alpha}})=1,$
(3.8)
where $\mathcal{L}$ denotes the Lebesgue measure on $\mathbb{R}$. In
particular, there exists $\varepsilon_{0}>0$ such that, for all
$0<\varepsilon<\varepsilon_{0}$, it must hold
$\mathcal{L}(h\in(0,\varepsilon):|u(y+h)-u(y)|\geqslant\varepsilon^{\tilde{\alpha}})\geqslant\varepsilon/2>0\quad\forall\,y\in(0,T);$
therefore for any $y\in(0,T)$ we can find infinitely many, arbitrarily small
$h$ such that $|u(y+h)-u(y)|\geqslant h^{\tilde{\alpha}}$; playing with the
arbitrariness of $\tilde{\alpha}$, one can then easily establish both
properties of Hölder roughness and infinite $p$-variation.
Up to identifying $u:\mathbb{T}\rightarrow\mathbb{R}$ with a $2\pi$-periodic
function, it’s easy to check that property (3.8) carries over to this setting
as well, as it is only related to the local behaviour or $u$ around any fixed
$y$; same goes for the proofs of points a) and b).
We now focus on establishing claim c), which is instead an original
contribution of this work. Fix $\alpha>\alpha^{\ast}$ and choose
$\tilde{\alpha}\in(\alpha^{\ast},\alpha)$; by estimate (3.8) (with the infimum
taken over $y\in\mathbb{T}$ instead of $(0,T)$), for all $\varepsilon>0$
sufficiently small, it must hold
$\displaystyle\pi$
$\displaystyle\leqslant\int_{\mathbb{T}}\varepsilon^{-1}\mathcal{L}(h\in(0,\varepsilon):|u(y+h)-u(y)|\geqslant\varepsilon^{\tilde{\alpha}})\mathrm{d}y$
$\displaystyle\leqslant\int_{\mathbb{T}}\varepsilon^{-1-\tilde{\alpha}}\int_{0}^{\varepsilon}|u(y+h)-u(y)|\mathrm{d}h\mathrm{d}y$
$\displaystyle=\varepsilon^{-1-\tilde{\alpha}}\int_{0}^{\varepsilon}\left\|u\left(\cdot\,+h\right)-u(\cdot)\right\|_{L^{1}}\mathrm{d}h$
$\displaystyle\leqslant\varepsilon^{\alpha-\tilde{\alpha}}\llbracket
u\rrbracket_{B^{\alpha}_{1,\infty}},$
where in the second passage we used Markov’s inequality. Since
$\alpha>\tilde{\alpha}$, letting $\varepsilon\rightarrow 0^{+}$ we can
conclude that $\llbracket u\rrbracket_{B^{\alpha}_{1,\infty}}=+\infty$. ∎
###### Remark 3.9.
If $u$ is $\rho$-irregular, then Proposition 3.8-c) implies that $u$ does not
belong to $B^{\alpha}_{1,\infty}$ for any $\alpha>(2\rho)^{-1}$. Conversely,
if $u\in B^{\alpha}_{1,\infty}$, then it can only be $\rho$-irregular for
parameters $\rho$ satisfying $\rho\leqslant(2\alpha)^{-1}$.
### 3.3. Prevalence statements and proof of Theorem 1.4
Given the results of Sections 3.1–3.2, it is natural to wonder whether generic
elements of $B^{\alpha}_{1,\infty}$ are “almost as irregular as possible”, in
the sense of being $\rho$-irregular for any $\rho<(2\alpha)^{-1}$; we provide
here a positive answer.
In order to do so, we will first prove the statement for elements of
$B^{\alpha}_{1,\infty}(0,\pi)$, see Theorem 3.11, and only later deduce the
same property for $B^{\alpha}_{1,\infty}(\mathbb{T})$ by a “deperiodization”
procedure (cf. Corollary 3.13 below).
Differently from Section 2.2, whenever dealing with a measure $\mu$ supported
on $C([0,\pi])$, it will be useful to denote by $u=\\{u_{y}\\}_{y\in[0,\pi]}$
the associated canonical process; we will instead employ the letter $\varphi$
to denote deterministic functions, either defined on $[0,\pi]$ or on
$\mathbb{T}$.
Before proceeding further, we need to recall the following key result
established in [24], cf. Theorem 29 therein.
###### Proposition 3.10.
Let $\mu$ be a Gaussian measure on $C([0,T])$ whose canonical process $u$ is
$\beta$-SLND for some $\beta>0$. Then for any $\rho<(2\beta)^{-1}$ it holds
$\mu^{H}\left(u\text{ is }\rho\text{-irregular}\right)=1.$
We can combine Proposition 3.10 with the invariance of the $\beta$-SLND
property from Lemma 2.3 to deduce a first prevalence statement.
###### Theorem 3.11.
Let $\alpha\in(0,1)$; then a.e. $\varphi\in B^{\alpha}_{1,\infty}(0,\pi)$ is
$\rho$-irregular for every $\rho<(2\alpha)^{-1}$.
###### Proof.
Given $\rho>0$, define the set
$\mathcal{A}_{\rho}=\left\\{\varphi\in
B^{\alpha}_{1,\infty}(0,\pi):\varphi\text{ is $\rho$-irregular}\right\\};$
it holds
$\mathcal{A}_{\rho}=\bigcup_{n,m=3}^{\infty}\mathcal{A}_{\rho,n,m},$
with
$\mathcal{A}_{\rho,n,m}:=\left\\{\varphi\in
B^{\alpha}_{1,\infty}(0,\pi):\varphi\text{ is $(\gamma,\rho)$-irr. for
}\gamma=\frac{1}{2}+\frac{1}{n},\|\Phi^{\varphi}\|_{\gamma,\rho}\leqslant
m\right\\}.$
The sets $\mathcal{A}_{\rho,n,m}$ are closed in the topology of
$B^{\alpha}_{1,\infty}(0,\pi)$ (the map
$\varphi\mapsto\|\Phi^{\varphi}\|_{\gamma,\rho}$ is lower semicontinuous in
the topology of $L^{1}(0,\pi)$), thus $\mathcal{A}_{\rho}$ is Borel
measurable. If we show that $\mathcal{A}_{\rho}$ is prevalent in
$B^{\alpha}_{1,\infty}(0,\pi)$ for any $\rho<(2\alpha)^{-1}$, then the same
holds for
$\mathcal{A}=\left\\{\varphi\in B^{\alpha}_{1,\infty}(0,\pi):\varphi\text{ is
$\rho$-irregular for every
}\rho<\frac{1}{2\alpha}\right\\}=\bigcap_{n=1}^{\infty}\mathcal{A}_{\frac{1}{2\alpha}-\frac{1}{n}}$
providing the conclusion.
Now fix $\rho<(2\alpha)^{-1}$ and choose $H\in(0,1)$ such that $H>\alpha$,
$\rho<(2H)^{-1}$; denote by $\mu^{H}$ the law of fractional Brownian motion on
$C([0,\pi])$ and by $u=\\{u_{y},y\in[0,\pi]\\}$ the associated canonical
process. Since $\mu^{H}$ is supported on $C^{H-\varepsilon}([0,\pi])$ for any
$\varepsilon>0$ and $H>\alpha$, it is also a tight probability measure on
$B^{\alpha}_{1,\infty}(0,\pi)$; thus we only need to verify Property ii. from
Definition 2.1, equivalently property (2.1) for $E=B^{\alpha}_{1,\infty}$.
Fix $\varphi\in B^{\alpha}_{1,\infty}(0,\pi)$; by Proposition 2.4, $u$ is a
$H$-SLND process and so by Lemma 2.3 the same holds for $u+\varphi$. In turn,
by our choise of the parameters and Proposition 3.10, this implies that
$\varphi+u$ is $\mu^{H}$-a.s. $\rho$-irregular; as the argument holds for any
$\varphi\in B^{\alpha}_{1,\infty}(0,\pi)$, we have shown that
$\mu^{H}(\varphi+\mathcal{A}_{\rho})=1\quad\forall\,\varphi\in
B^{\alpha}_{1,\infty}(0,\pi),$
namely that $\mu^{H}$ witnesses the prevalence of $\mathcal{A}_{\rho}$ in
$B^{\alpha}_{1,\infty}(0,\pi)$. ∎
We pass to show how to exploit Theorem 3.11 to establish similar statement for
functions defined on the torus.
We identify the torus $\mathbb{T}$ with the interval $[-\pi,\pi]$, up to
$-\pi\sim\pi$; thus any measurable function
$\varphi:\mathbb{T}\rightarrow\mathbb{R}$ can be identified with
$\varphi:[-\pi,\pi]\rightarrow\mathbb{R}$ such that
$\varphi(-\pi)=\varphi(\pi)$. Any such $\varphi$ is in a 1-1 correspondence
with a pair $(\varphi_{1},\varphi_{2})$ of measurable functions defined on
$[0,\pi]$, given by $\varphi_{1}(y):=\varphi(y)$,
$\varphi_{2}(y):=\varphi(-y)$; they satisfy the constraint
$\varphi_{1}(\pi)=\varphi_{2}(\pi)$. The $\rho$-irregularity property of the
periodic function $\varphi$ is actually equivalent to that of the aperiodic
functions $\varphi_{i}$.
###### Lemma 3.12.
A measurable function $\varphi:\mathbb{T}\rightarrow\mathbb{R}$ is
$(\gamma,\rho)$-irregular if and only if the functions
$\varphi_{1},\varphi_{2}:[0,\pi]\rightarrow\mathbb{R}$ are so.
###### Proof.
The proof is elementary. Given $I\subset[-\pi,\pi]$, setting
$I_{1}=I\cap[0,\pi]$, $I_{2}=I\cap[-\pi,0]$ it holds
$\max\\{|I_{1}|,|I_{2}|\\}\leqslant|I|\leqslant 2\max\\{|I_{1}|,|I_{2}|\\}$,
so that
$\max\\{\|\Phi^{\varphi_{1}}\|_{\gamma,\rho},\|\Phi^{\varphi_{2}}\|_{\gamma,\rho}\\}\leqslant\|\Phi^{\varphi}\|_{\gamma,\rho}\leqslant
2\max\\{\|\Phi^{\varphi_{1}}\|_{\gamma,\rho},\|\Phi^{\varphi_{2}}\|_{\gamma,\rho}\\}.\qed$
Conversely, given a measurable $\tilde{\varphi}:[0,\pi]\rightarrow\mathbb{R}$,
we can associate it another function
$\varphi=T\tilde{\varphi}:\mathbb{T}\rightarrow\mathbb{R}$ by setting
$T\tilde{\varphi}(y)=\tilde{\varphi}(|y|)$, which corresponds to
$(T\tilde{\varphi})_{1}=(T\tilde{\varphi})_{2}=\tilde{\varphi}$. It
immediately follows from Lemma 3.12 that $T\tilde{\varphi}$ is
$(\gamma,\rho)$-irregular if and only if $\tilde{\varphi}$ is so; it is also
easy to check that, if $\tilde{\varphi}\in B^{\alpha}_{1,\infty}(0,\pi)\cap
L^{\infty}(0,\pi)$, then $T\tilde{\varphi}\in
B^{\alpha}_{1,\infty}(\mathbb{T})$.
We are finally ready to prove a prevalence statement in
$B^{\alpha}_{1,\infty}(\mathbb{T})$.
###### Corollary 3.13.
Let $\alpha\in(0,1)$, then a.e. $\varphi\in B^{\alpha}_{1,\infty}(\mathbb{T})$
is $\rho$-irregular for any $\rho<(2\alpha)^{-1}$.
###### Proof.
The proof that the set
$\mathcal{A}:=\left\\{\varphi\in
B^{\alpha}_{1,\infty}(\mathbb{T}):\varphi\text{ is }\rho\text{-irregular for
any }\rho<\frac{1}{2\alpha}\right\\}$
is Borel in the topology of $B^{\alpha}_{1,\infty}(\mathbb{T})$ is identical
to that of Theorem 3.11 and thus omitted; as therein, we can introduce the
sets $\mathcal{A}_{\rho}$ and reduce the task to establish the prevalence of
the set $\mathcal{A}_{\rho}$ for any fixed $\rho<(2\alpha)^{-1}$.
Choose $H\in(0,1)$ such that $H>\alpha$, $\rho<(2H)^{-1}$ and denote by
$\mu^{H}$ the associated law of fBm; since it is supported on
$B^{\alpha}_{1,\infty}(0,\pi)\cap L^{\infty}(0,\pi)$, we can define a new
measure on $B^{\alpha}_{1,\infty}(\mathbb{T})$ by
$\nu^{H}:=T_{\sharp}\mu^{H}$, where
$(T\tilde{\varphi})(y)=\tilde{\varphi}(|y|)$ for $y\in[0,\pi]$ and
$T_{\sharp}$ denotes the pushforward measure.
Recall the notation $\varphi_{1},\varphi_{2}$ from Lemma 3.12; for any
$\varphi\in B^{\alpha}_{1,\infty}(\mathbb{T})$ it holds
$\displaystyle\nu^{H}(\varphi+\mathcal{A})$
$\displaystyle=\mu^{H}\left(\left\\{u\in
B^{\alpha}_{1,\infty}(0,\pi):\,Tu+\varphi\text{ is
}\rho\text{-irregular}\right\\}\right)$
$\displaystyle=\mu^{H}\left(\bigcap_{i=1}^{2}\left\\{u\in
B^{\alpha}_{1,\infty}(0,\pi):\,u+\varphi_{i}\text{ is
}\rho\text{-irregular}\right\\}\right)=1;$
in the last passage we used the already established properties of the measure
$\mu^{H}$ from the proof of Theorem 3.11, as well as the fact that the
intersection of sets of full measure is still of full measure. Overall, this
shows that $\nu^{H}$ witnesses the prevalence of the set $\mathcal{A}_{\rho}$;
the conclusion follows using the fact that countable intersection of prevalent
sets is prevalent. ∎
We are now ready to complete the
###### Proof of Theorem 1.4.
The lower bound comes from Corollary 3.2, while the upper bound from a
combination of Lemma 3.6 and Corollary 3.13. ∎
## 4\. Enhanced dissipation
This section contains the proof of Theorem 1.5 split in several steps.
Recall the setting: we want to study the asymptotic behavior of the family of
complex-valued PDEs (1.7), equivalently obtain upper and lower bounds on
$\|e^{tL_{k,\nu}}\|_{L^{2}(\mathbb{T};\mathbb{C})\rightarrow
L^{2}(\mathbb{T};\mathbb{C})}\quad\text{as }t\rightarrow\infty,$
where $L_{k,\nu}:=-iku+\nu\partial_{y}^{2}.$
### 4.1. Lower bounds in terms of regularity
We show here that if $u$ has regularity of degree $\alpha\in(0,1)$, as
measured in a suitable Besov–Nikolskii scale, then the its best possible
diffusion enhancing rate is $r_{\text{dif}}(\nu)\sim\nu^{\alpha/(2+\alpha)}$.
The precise statement goes as follows.
###### Proposition 4.1.
Let $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ be diffusion enhancing with rate
$r_{\text{dif}}$, in the sense of Definition 1.3; then there exists a constant
$C>0$ such that
$r_{\text{dif}}(\nu)\leqslant C\nu^{\frac{\alpha}{\alpha+2}}$
for all $\nu\in(0,1]$.
In order to provide estimates for $e^{tL_{k,\nu}}$ it is convenient to study
more generally the properties of solutions $g:\mathbb{T}\rightarrow\mathbb{C}$
to
$\partial_{t}g+i\xi ug=\nu\partial_{y}^{2}g$ (4.1)
in function of the parameters $\xi\in\mathbb{R}$, $\nu\in(0,1)$ and the shear
flow $u$.
The proof of Proposition 4.1 follows a similar strategy to [15] and is based
on deriving a Lagrangian Fluctuation-Dissipation relation (FDR) for the PDE
(4.1), which is a result of independent interest.
###### Proposition 4.2.
Let $u\in L^{1}(\mathbb{T})$, $g$ be a solution to (4.1) with initial data
$g_{0}\in L^{2}(\mathbb{T};\mathbb{C})$; for any
$(t,y)\in\mathbb{R}_{\geqslant 0}\times\mathbb{T}$, define the complex random
variable
$Z^{y}_{t}=\exp\left(-i\xi\int_{0}^{t}u\left(y+\sqrt{2\nu}B_{s}\right)\mathrm{d}s\right)g_{0}\left(y+\sqrt{2\nu}B_{t}\right)$
where $B$ is a standard real-valued BM. Then we have the following Lagrangian
FDR:
$\|g_{0}\|_{L^{2}}^{2}-\|g_{t}\|_{L^{2}}^{2}=\int_{\mathbb{T}}\operatorname{Var}(Z^{y}_{t})\mathrm{d}y.$
(4.2)
###### Proof.
Without loss of generality, we can assume $u$ and $g_{0}$ to be smooth, as
identity (4.2) in the general case will follow from an approximation argument
(the definition of $Z^{y}_{t}$ is meaningful for any $u\in L^{1}(\mathbb{T})$,
thanks to the properties of the local time of a Brownian motion). Let us
however first show that the r.h.s. of (4.2) is a well-defined quantity, which
can be estimated independently of the smoothness of $u$, $g_{0}$. Indeed, for
any $t\geq 0$ it holds
$\displaystyle\int_{\mathbb{T}}\mathbb{E}[|Z^{y}_{t}|^{2}]\mathrm{d}y$
$\displaystyle=\int_{\mathbb{T}}\mathbb{E}\big{[}\,|g_{0}|^{2}(y+\sqrt{2\nu}B_{t})\big{]}\mathrm{d}y=\mathbb{E}\left[\int_{\mathbb{T}}|g_{0}|^{2}(y+\sqrt{2\nu}B_{t})\mathrm{d}y\right]=\|g_{0}\|_{L^{2}}^{2},$
where in the last step we used the invariance of the $L^{2}$-norm of $g_{0}$
under (random) translations; the pointwise bound
$\operatorname{Var}(Z^{y}_{t})\leq\mathbb{E}[|Z^{y}_{t}|^{2}]$ then readily
yields an estimate for the r.h.s. of (4.2).
Now, by the Feynman–Kac formula, the solution $g$ to (4.1) is given by
$g(t,y)=\mathbb{E}[Z^{y}_{t}]$. Moreover since $u$ is real valued, we have the
energy balance
$\|g_{0}\|_{L^{2}}^{2}-\|g_{t}\|_{L^{2}}^{2}=2\nu\int_{0}^{t}\|\partial_{y}g_{s}\|_{L^{2}}^{2}\mathrm{d}s;$
and more generally, the map $(t,y)\mapsto|g|^{2}(t,y)$ satisfies
$\partial_{t}|g|^{2}=\nu\partial_{y}^{2}|g|^{2}-2\nu|\partial_{y}g|^{2}.$
Now let $h$ to be a solution of $\partial_{t}h=\nu\partial_{y}^{2}h$ with
initial data $h_{0}=|g_{0}|^{2}$. It holds
$\frac{\mathrm{d}}{\mathrm{d}t}\int_{\mathbb{T}}[|g|^{2}-h]\mathrm{d}y=-2\nu\|\partial_{y}g\|_{L^{2}}^{2},$
which implies that
$\|g_{0}\|_{L^{2}}^{2}-\|g_{t}\|_{L^{2}}^{2}=2\nu\int_{0}^{t}\|\partial_{y}g\|_{L^{2}}^{2}=\int_{\mathbb{T}}[h_{t}(y)-|g_{t}(y)|^{2}]\mathrm{d}y.$
Finally, since by Feynman–Kac,
$h(t,y)=\mathbb{E}\left[|g_{0}|^{2}(y+\sqrt{2\nu}B_{t})\right]$, we obtain
$\displaystyle\|g_{0}\|_{L^{2}}^{2}-\|g_{t}\|_{L^{2}}^{2}$
$\displaystyle=\int_{\mathbb{T}}\left(\mathbb{E}\left[|g_{0}|^{2}(y+\sqrt{2\nu}B_{t})\right]-|\mathbb{E}[Z^{y}_{t}]|^{2}\right)\mathrm{d}y$
$\displaystyle=\int_{\mathbb{T}}(\mathbb{E}[|Z^{y}_{t}|^{2}]-|\mathbb{E}[Z^{y}_{t}]|^{2})\mathrm{d}y$
which gives the conclusion. ∎
###### Lemma 4.3.
Let $g_{0}\in H^{1}(\mathbb{T};\mathbb{C})$, $u\in
B^{\alpha}_{1,\infty}(\mathbb{T})$ for some $\alpha\in(0,1)$ and
$\xi\in\mathbb{R}$. Then there exists $C=C(\alpha)>0$ such that the solution
$g$ to (4.1) satisfies
$\|g_{0}\|_{L^{2}}^{2}-\|g_{t}\|_{L^{2}}^{2}\leqslant
C\|g_{0}\|^{2}_{H^{1}}\left(\nu t+\llbracket
u\rrbracket_{B^{\alpha}_{1,\infty}}|\xi|\nu^{\frac{\alpha}{2}}t^{1+\frac{\alpha}{2}}\right)\quad\forall\,t,\nu>0.$
###### Proof.
Recall the elementary identity
$2\operatorname{Var}(X)=\mathbb{E}[|X-\tilde{X}|^{2}]$ for $\tilde{X}$ being
an i.i.d. copy of $X$. In our setting, we take
$\tilde{Z}^{y}_{t}=\exp\left(-i\xi\int_{0}^{t}u\left(y+\sqrt{\nu}\tilde{B}_{s}\right)\mathrm{d}s\right)g_{0}\left(y+\sqrt{\nu}\tilde{B}_{t}\right)$
where $\tilde{B}$ is another BM independent of $B$. Therefore
$\displaystyle\|g_{0}\|_{L^{2}}^{2}-\|g_{t}\|_{L^{2}}^{2}$
$\displaystyle=\,\frac{1}{2}\int_{\mathbb{T}}\mathbb{E}[|Z^{y}_{t}-\tilde{Z}^{y}_{t}|^{2}]\mathrm{d}y$
$\displaystyle\leqslant\,\mathbb{E}\left[\int_{\mathbb{T}}\left|g_{0}\left(y+\sqrt{\nu}B_{t}\right)-g_{0}\left(y+\sqrt{\nu}\tilde{B}_{t}\right)\right|^{2}\mathrm{d}y\right]$
$\displaystyle+\|g_{0}\|^{2}_{L^{\infty}}\mathbb{E}\left[\int_{\mathbb{T}}\left|e^{-i\xi\int_{0}^{t}u\left(y+\sqrt{\nu}B_{s}\right)\mathrm{d}s}-e^{-i\xi\int_{0}^{t}u\left(y+\sqrt{\nu}\tilde{B}_{s}\right)\mathrm{d}s}\right|^{2}\mathrm{d}y\right].$
Using the inequality $|e^{i\xi a}-e^{i\xi
b}|\leqslant\sqrt{2}|\xi|^{1/2}|b-a|^{1/2}$ and the characterization of Besov
spaces in terms of finite differences (see Appendix A), we deduce
$\displaystyle\|g_{0}\|_{L^{2}}^{2}-\|g_{t}\|_{L^{2}}^{2}$
$\displaystyle\lesssim\,\mathbb{E}\left[\left\|g_{0}\left(\cdot\,+\sqrt{\nu}B_{t}\right)-g_{0}\left(\cdot\,+\sqrt{\nu}B_{t}\right)\right\|_{L^{2}}^{2}\right]$
$\displaystyle\quad+\|g_{0}\|_{L^{\infty}}^{2}|\xi|\mathbb{E}\left[\int_{\mathbb{T}}\int_{0}^{t}\left|u\left(y+\sqrt{\nu}B_{s}\right)-u\left(y+\sqrt{\nu}\tilde{B}_{s}\right)\right|\mathrm{d}s\mathrm{d}y\right]$
$\displaystyle\lesssim\|g_{0}\|^{2}_{H^{1}}\left(\nu\mathbb{E}[|B_{t}-\tilde{B}_{t}|^{2}]+|\xi|\int_{0}^{t}\mathbb{E}\left[\left\|u\left(\cdot\,+\sqrt{\nu}B_{s}\right)-u\left(\cdot\,+\sqrt{\nu}\tilde{B}_{s}\right)\right\|_{L^{1}}\right]\mathrm{d}s\right)$
$\displaystyle\lesssim\|g_{0}\|^{2}_{H^{1}}\left(\nu t+\llbracket
u\rrbracket_{B^{\alpha}_{1,\infty}}|\xi|\nu^{\frac{\alpha}{2}}\int_{0}^{t}\mathbb{E}[|B_{s}-\tilde{B}_{s}|^{\alpha}]\mathrm{d}s\right);$
computing the last expectation yields the conclusion. ∎
We are now ready to complete the
###### Proof of Proposition 4.1.
The proof goes along the same lines as Lemma 2 from [15]. We argue by
contradiction. Assume there exists no such constant $C$, then it must hold
$\liminf_{\nu\rightarrow
0^{+}}\nu^{-\frac{\alpha}{\alpha+2}}r_{\text{dif}}(\nu)=+\infty.$ (4.3)
Now take $g_{0}(y)=(2\pi)^{-1/2}e^{iy}$, so that
$\|g_{0}\|_{L^{2}}=1\sim\|g_{0}\|_{H^{1}}$; by Definition 1.3 and Lemma 4.3
applied to $\xi=1$ we deduce that there exist constants $C_{1},C_{2}>0$ such
that, for any $\nu\leqslant 1$ and $t\geqslant 1$, it holds
$\displaystyle 1-C_{1}e^{-r_{\text{dif}}(\nu)t}$ $\displaystyle\leqslant
1-\|e^{tL_{1,\nu}}\|_{L^{2}}^{2}\leqslant 1-\|g_{t}\|_{L^{2}}^{2}$
$\displaystyle\leqslant C_{2}\|g_{0}\|^{2}_{H^{1}}\left(\nu t+\llbracket
u\rrbracket_{B^{\alpha}_{1,\infty}}\nu^{\frac{\alpha}{2}}t^{1+\frac{\alpha}{2}}\right)$
$\displaystyle\lesssim C_{2}(1+\llbracket
u\rrbracket_{B^{\alpha}_{1,\infty}})\nu^{\frac{\alpha}{2}}t^{1+\frac{\alpha}{2}}.$
Let $\nu_{n}\downarrow 0$ be a sequence realizing the liminf in (4.3) and
choose
$t_{n}=\left(r_{\text{dif}}(\nu_{n})\nu_{n}^{\alpha/(\alpha+2)}\right)^{-1/2};$
then we obtain
$1-C_{1}\exp\left(-\left(\nu_{n}^{-\frac{\alpha}{\alpha+2}}r_{\text{dif}}(\nu)\right)^{1/2}\right)\lesssim_{u}\left(\nu_{n}^{-\frac{\alpha}{\alpha+2}}r_{\text{dif}}(\nu)\right)^{-\frac{\alpha+2}{4}}.$
Taking the limit as $n\rightarrow\infty$ on both sides we find $1\leqslant 0$
which is absurd. ∎
### 4.2. Wei’s irregularity condition
A major role in the analysis of dissipation enhancement by rough shear flows
is played by the following condition, first introduced in [43].
###### Definition 4.4.
We say that $u\in L^{1}(0,T)$ satisfies Wei’s condition with parameter
$\alpha>0$ if, setting $\psi(y)=\int_{0}^{y}u(z)\mathrm{d}z$, it holds
$\Gamma_{\alpha}(u):=\left[\inf_{\delta\in(0,1),\bar{y}\in[0,T-\delta]}\delta^{-2\alpha-3}\inf_{c_{1},c_{2}\in\mathbb{R}}\int_{\bar{y}}^{\bar{y}+\delta}|\psi(y)-c_{1}-c_{2}y|^{2}\mathrm{d}y\right]^{1/2}>0.$
(4.4)
A similar definition holds for $u\in L^{1}_{\operatorname{loc}}(\mathbb{R})$;
$u\in L^{1}(\mathbb{T})$ is said to satisfy Wei’s condition once it is
identified with a $2\pi$-periodic map on $\mathbb{R}$.
###### Remark 4.5.
Denoting by $\mathcal{P}_{1}$ the set of all polynomials of degree at most
one, for $u\in L^{1}_{\operatorname{loc}}(\mathbb{R})$ the definition is
equivalent to
$\Gamma_{\alpha}(u)=\left(\inf_{I\subset\mathbb{R},|I|<1}|I|^{-2\alpha-3}\inf_{P\in\mathcal{P}_{1}}\int_{I}|\psi(y)-P(y)|^{2}\mathrm{d}y\right)^{1/2}>0;$
this highlights its “complementarity” to the seminorm
$\llbracket\psi\rrbracket_{\mathcal{L}^{2,2\alpha+3}_{1}}$ associated to the
higher order Campanato space $\mathcal{L}_{1}^{2,2\alpha+3}$, as defined in
[4]. Observe that $\Gamma_{\alpha}$ is homogeneous, i.e.
$\Gamma_{\alpha}(\lambda u)=\lambda\Gamma_{\alpha}(u)$ for all
$\lambda\geqslant 0$.
The importance of condition (4.4) comes from the following result.
###### Theorem 4.6.
Let $u\in L^{1}(\mathbb{T})$ be such that $\Gamma_{\alpha}(u)>0$ for some
$\alpha>0$. Then there exist positive constants $C_{1},C_{2}$, depending on
$\alpha$ and $\Gamma_{\alpha}(u)$, such that
$\|e^{tL_{k,\nu}}\|_{L^{2}\rightarrow L^{2}}\leqslant
C_{1}\exp\left(-C_{2}\nu^{\frac{\alpha}{\alpha+2}}|k|^{\frac{2}{\alpha+2}}t\right)\quad\forall\,\nu\in(0,1),k\in\mathbb{Z}_{0},t\geqslant
0.$ (4.5)
Namely, $u$ is diffusion enhancing with rate $r_{\operatorname{dif}}(x)\sim
x^{\alpha/(\alpha+2)}$, in the sense of Definition 1.3.
The statement comes from Theorem 5.1 from [43]; therein $u$ is required to be
continuous, but this restriction is not necessary, see Appendix B for the
proof.
Following the same approach as in Section 3, we proceed to show that the
condition $\Gamma_{\alpha}(u)$ implies irregularity of $u$; we start by
relating it to the property of $\alpha$-Hölder roughness, in the sense of
Definition 3.7.
###### Lemma 4.7.
Let $u\in L^{1}(\mathbb{T})$ be such that $\Gamma_{\alpha}(u)>0$ for some
$\alpha>0$. Then $u$ is $\alpha$-Hölder rough and it holds
$L_{\alpha}(u)\geqslant\Gamma_{\alpha}(u)$.
###### Proof.
Fix $\delta>0$, $\bar{y}\in[-\pi,\pi]$; it holds
$\displaystyle\inf_{c_{1},c_{2}\in\mathbb{R}}\int_{\bar{y}}^{\bar{y}+\delta}|\psi(y)-c_{1}-c_{2}y|^{2}\mathrm{d}y$
$\displaystyle\leqslant\int_{\bar{y}}^{\bar{y}+\delta}|\psi(y)-\psi(\bar{y})-\psi^{\prime}(\bar{y})(y-\bar{y})|^{2}\mathrm{d}y$
$\displaystyle\leqslant\int_{\bar{y}}^{\bar{y}+\delta}\left(\int_{\bar{y}}^{y}|u(z)-u(\bar{y})|\mathrm{d}z\right)^{2}\mathrm{d}y$
$\displaystyle\leqslant\delta^{2\alpha+3}\left(\sup_{z\in
B_{\delta}(\bar{y})}\frac{|u(z)-u(\bar{y})|}{\delta^{\alpha}}\right)^{2}.$
As the inequality holds for all $\delta$ and $\bar{y}$, we obtain
$\Gamma_{\alpha}(u)^{2}\leqslant L_{\alpha}(u)^{2}$ and the conclusion. ∎
We can also relate Wei’s condition to regularity in the Besov–Nikolskii scales
$B^{\alpha}_{1,\infty}$.
###### Lemma 4.8.
Let $u\in L^{1}(\mathbb{T})$ be such that $\Gamma_{\alpha}(u)>0$ for some
$\alpha\in(0,1)$. Then $u$ does not belong to $B^{\tilde{\alpha}}_{1,\infty}$
for any $\tilde{\alpha}>\alpha$ and does not belong to $B^{\alpha}_{1,q}$ for
any $q<\infty$.
###### Proof.
For any $\bar{y}\in[-\pi,\pi]$ and $\delta>0$ it holds
$\displaystyle\delta^{2\alpha+3}\Gamma_{\alpha}(u)^{2}$
$\displaystyle\leqslant\int_{\bar{y}}^{\bar{y}+\delta}\left|\int_{\bar{y}}^{y}[u(z)-u(\bar{y})]\mathrm{d}z\right|^{2}\mathrm{d}y$
$\displaystyle\leqslant\int_{\bar{y}}^{\bar{y}+\delta}\left(\int_{\bar{y}}^{\bar{y}+\delta}|u(z)-u(\bar{y})|\mathrm{d}z\right)^{2}\mathrm{d}y$
thus implying that
$\inf_{\bar{y}\in\mathbb{T}}\int_{0}^{\delta}|u(\bar{y}+h)-u(\bar{y})|\mathrm{d}h\geqslant\delta^{1+\alpha}\Gamma_{\alpha}(u)\qquad\forall\,\delta\in(0,1).$
(4.6)
Now fix $\tilde{\alpha}>\alpha$; starting from (4.6) and arguing as in the
proof of Proposition 3.8 (with $\varepsilon$ replaced by $\delta$), one
obtains
$2\pi\Gamma_{\alpha}(u)\leqslant\delta^{\tilde{\alpha}-\alpha}\llbracket
u\rrbracket_{B^{\tilde{\alpha}}_{1,\infty}},$
which implies the first claim by letting $\delta\rightarrow 0^{+}$.
Integrating (4.6) over $\bar{y}\in\mathbb{T}$ yields
$\int_{0}^{\delta}\left\|u\left(\cdot\,+h\right)-u(\cdot)\right\|_{L^{1}}\mathrm{d}h\geqslant\delta^{1+\alpha}\Gamma_{\alpha}(u)\quad\forall\,\delta\in(0,1);$
(4.7)
now assume by contradiction that $u\in B^{\alpha}_{1,q}$ for some $q<\infty$,
then by its equivalent characterization (see Appendix A) and the uniform
integrability of $h\mapsto h^{-1-\alpha
q}\left\|u\left(\cdot\,+h\right)-u(\cdot)\right\|^{q}_{L^{1}}$ it must hold
$\lim_{\delta\rightarrow
0^{+}}\int_{0}^{\delta}\frac{\left\|u\left(\cdot\,+h\right)-u(\cdot)\right\|_{L^{1}}^{q}}{|h|^{1+\alpha
q}}\mathrm{d}h=0.$ (4.8)
On the other hand, by estimate (4.7) and Jensen’s inequality, it holds
$\displaystyle\int_{0}^{\delta}\frac{\left\|u\left(\cdot\,+h\right)-u(\cdot)\right\|_{L^{1}}^{q}}{|h|^{1+\alpha
q}}\mathrm{d}h$ $\displaystyle\geqslant\delta^{-1-\alpha
q}\int_{0}^{\delta}\left\|u\left(\cdot\,+h\right)-u(\cdot)\right\|_{L^{1}}^{q}\mathrm{d}h$
$\displaystyle\geqslant\delta^{-q(1+\alpha)}\left(\int_{0}^{\delta}\left\|u\left(\cdot\,+h\right)-u(\cdot)\right\|_{L^{1}}\mathrm{d}h\right)^{q}$
$\displaystyle\geqslant\Gamma_{\alpha}(u)^{q}>0$
uniformly in $\delta\in(0,1)$, contradicting (4.8). ∎
###### Remark 4.9.
It follows from Lemma 4.8 and the construction presented Section 2 from [10]
that, for any $\alpha\in\mathbb{Q}$ as in Lemma 2.1 therein, there exists a
Weierstrass-type function which belongs to $C^{\alpha}(\mathbb{T})$, satisfies
Wei’s condition with parameter $\alpha$ and does not belong to
$B^{\alpha}_{p,q}$ for any $p\in[1,\infty],q\in[1,\infty)$, nor to any
$B^{\tilde{\alpha}}_{p,q}$ with $\tilde{\alpha}>\alpha$.
In light of Theorem 4.6, in order to show that almost every shear flow $u$
enhances dissipation, it will suffice to show that almost every $u$ satisfies
Wei’s condition. We therefore need to find sufficient conditions in order for
$\Gamma_{\alpha}(u)>0$ to hold. We start with the following simple fact, whose
proof is almost identical to that of Lemma 3.12, which simplifies the problem
by allowing us to work with not necessarily periodic functions.
###### Lemma 4.10.
A map $u:\mathbb{T}\rightarrow\mathbb{R}$ satisfies $\Gamma_{\alpha}(u)>0$ if
and only if the maps $u_{i}:[0,\pi]\rightarrow\mathbb{R}$ defined by
$u_{1}(y)=u(y)$, $u_{2}(y)=u(-y)$ do so.
In this way, we can reduce the task to identifying sufficient conditions for
functions defined on a standard interval $[0,\pi]$. For any $\delta>0$, we
denote by $\Delta^{2}_{\delta}$ the discrete Laplacian operator
$\Delta^{2}_{\delta}f(y)=f(y+2\delta)-2f(y+\delta)+f(y)$.
###### Lemma 4.11.
For any $\alpha>0$ and any $(\bar{y},\delta)$ it holds
$\delta^{-2\alpha-3}\inf_{c_{1},c_{2}}\int_{\bar{y}}^{\bar{y}+3\delta}|\psi(y)-c_{1}-c_{2}y|^{2}\mathrm{d}y\geqslant\frac{1}{12}\left(\int_{\bar{y}}^{\bar{y}+\delta}|\Delta_{\delta}^{2}\psi(y)|^{-\frac{1}{1+\alpha}}\mathrm{d}y\right)^{-2(1+\alpha)}$
(4.9)
###### Proof.
First observe that $\Delta^{2}_{\delta}(c_{1}+c_{2}y)\equiv 0$ for any
$c_{1}$, $c_{2}$ and that for any $f$ it holds
$\int_{\bar{y}}^{\bar{y}+3\delta}|f(y)|^{2}\mathrm{d}y\geqslant\frac{1}{12}\int_{\bar{y}}^{\bar{y}+\delta}|\Delta^{2}_{\delta}f(y)|^{2}\mathrm{d}y.$
Next, applying Jensen inequality for $g(x)=x^{-\frac{1}{2(1+\alpha)}}$, which
is convex on $(0,\infty)$, it holds
$\left(\frac{1}{\delta}\int_{\bar{y}}^{\bar{y}+\delta}|\Delta^{2}_{\delta}f(y)|^{2}\mathrm{d}y\right)^{-\frac{1}{2(1+\alpha)}}\leqslant\frac{1}{\delta}\int_{\bar{y}}^{\bar{y}+\delta}|\Delta^{2}_{\delta}f(y)|^{-\frac{1}{1+\alpha}}\mathrm{d}y.$
Algebraic manipulations of the second inequality and the choice
$f(y)=\psi(y)-c_{1}-c_{2}y$ yield (4.9). ∎
In view of Lemma 4.11, given $\alpha>0$ and an integrable
$u:[0,\pi]\rightarrow\mathbb{R}$, we define
$G_{\alpha}(\bar{y},\delta):=\int_{\bar{y}}^{\bar{y}+\delta}|\Delta^{2}_{\delta}\psi(y)|^{-\frac{1}{1+\alpha}}\mathrm{d}y,$
(4.10)
where $\psi$ is defined as usual by $\psi(y)=\int_{0}^{y}u(z)\mathrm{d}z$.
###### Lemma 4.12.
For any $\alpha\in(0,1)$ and $\varepsilon>0$, define
$\beta:=\alpha+\varepsilon(1+\alpha)$ and
$K_{\alpha,\varepsilon}(u):=\sup_{n\in\mathbb{N},1\leqslant k\leqslant
2^{n}-1}2^{-n\varepsilon}G_{\alpha}(\pi k2^{-n},\pi 2^{-n-1}).$
Then there exists a constant $C=C(\alpha,\varepsilon)$ such that
$\Gamma_{\beta}(u)\geqslant C(K_{\alpha,\varepsilon}(u))^{-1-\alpha}.$
###### Proof.
First observe that, for any $\beta\in(0,1),$
$|\Gamma_{\beta}(u)|^{2}\sim_{\beta}\inf_{\delta\in(0,1/3),\bar{y}\in[0,1-3\delta]}\delta^{-2\beta-3}\inf_{c_{1},c_{2}\in\mathbb{R}}\int_{\bar{y}}^{\bar{y}+3\delta}|\psi(y)-c_{1}-c_{2}y|^{2}\mathrm{d}y$
so to conclude it suffices to provide a lower bound on the latter for our
choice of $\beta$. Fix $(\bar{y},\delta)$ and choose $n\in\mathbb{N}$ and
$k\in\\{1,\ldots,2^{n}-1\\}$ such that
$\delta\in(\pi 2^{-n},\pi 2^{-n+1}],\quad\bar{y}\in[\pi(k-1)2^{-n},\pi
k2^{-n}]$
so that
$[\bar{y},\bar{y}+3\delta]\supseteq[\tilde{y},\tilde{y}+3\tilde{\delta}]$ for
the choice $\tilde{y}=\pi k2^{-n}$, $\tilde{\delta}=\pi 2^{-n-1}$. As a
consequence,
$\begin{array}[]{l}\delta^{-2\beta-3}\inf_{c_{1},c_{2}\in\mathbb{R}}\int_{\bar{y}}^{\bar{y}+3\delta}|\psi(y)-c_{1}-c_{2}y|^{2}\mathrm{d}y\\\
\qquad\gtrsim_{\beta}\tilde{\delta}^{-2\beta-3}\inf_{c_{1},c_{2}\in\mathbb{R}}\int_{\tilde{y}}^{\tilde{y}+3\tilde{\delta}}|\psi(y)-c_{1}-c_{2}y|^{2}\mathrm{d}y\\\
\qquad\gtrsim\,\tilde{\delta}^{-2(\beta-\alpha)}\left(\int_{\tilde{y}}^{\tilde{y}+\tilde{\delta}}|\Delta_{\delta}^{2}\psi(y)|^{-\frac{1}{1+\alpha}}\mathrm{d}y\right)^{-2(1+\alpha)}\\\
\qquad=(\tilde{\delta}^{\varepsilon}G_{\alpha}(\tilde{y},\tilde{\delta}))^{-2(1+\alpha)}\end{array}$
where in the second passage we employed inequality (4.9) and then the
definition of $\beta$. Overall we deduce by the definition of $K$ and the
choice of $(\tilde{y},\tilde{\delta})$ that
$\delta^{-2\beta-3}\inf_{c_{1},c_{2}\in\mathbb{R}}\int_{\bar{y}}^{\bar{y}+3\delta}|\psi(z)-c_{1}-c_{2}z|^{2}\mathrm{d}z\gtrsim_{\beta}K_{\alpha,\varepsilon}(u)^{-2(1+\alpha)};$
taking the infimum over $(\delta,y)$ then yields the conclusion. ∎
### 4.3. Sufficient conditions for stochastic processes
In order to establish prevalence statements, we want to run the same programme
as in Section 3.3, exploiting the properties of LND Gaussian processes and
their fundamental translation invariance from Lemma 2.3. In order for this
strategy to work, we need an equivalent of Proposition 3.10; this is precisely
the aim of this section, cf. Corollary 4.17 below. Its proof requires a few
preparations; we start with the following intermediate, general result.
###### Proposition 4.13.
Let $u:[0,\pi]\rightarrow\mathbb{R}$ be an integrable stochastic process,
$\psi=\int_{0}^{\cdot}u_{s}\mathrm{d}s$ and suppose that there exist
$\lambda,\kappa>0$, $\alpha\in(0,1)$ such that
$\sup_{\delta\in(0,1),\bar{y}\in[0,\pi-\delta]}\mathbb{E}[\exp(\lambda
G_{\alpha}(\bar{y},\delta))]\leqslant\kappa$
for $G$ as defined in (4.10). Then for any $\beta>\alpha$ it holds
$\mathbb{P}(\Gamma_{\beta}(u)>0)=1$.
###### Proof.
By virtue of Lemma 4.12, for $\beta=\alpha+\varepsilon(1+\alpha)$ it holds
$\mathbb{P}(\Gamma_{\beta}(u)>0)\geqslant\mathbb{P}(K_{\alpha,\varepsilon}(u)<\infty),$
so to conclude it suffices to show that
$\mathbb{P}(K_{\alpha,\varepsilon}(u)<\infty)=1$ for all $\varepsilon>0$.
Given $\lambda$ as in the hypothesis, define the random variable
$J:=\sum_{n\in\mathbb{N}}2^{-2n}\sum_{k=1}^{2^{n}-1}\exp(\lambda
G_{\alpha}(\pi k2^{-n},\pi 2^{-n-1})).$
By assumption $\mathbb{E}[J]<\infty$, so that $\mathbb{P}(J<\infty)=1$. For
any $n,k$ it holds
$G_{\alpha}(\pi k2^{-n},\pi
2^{-n-1})\leqslant\frac{1}{\lambda}\log(2^{2n}J)\lesssim\frac{n}{\lambda}(1+\log
J)$
which implies that
$Y:=\sup_{n\in\mathbb{N},1\leqslant k\leqslant
2^{-n}-1}\frac{1}{n}G_{\alpha}(\pi k2^{-n},\pi
2^{-n-1})\lesssim\frac{1}{\lambda}(1+\log
J)<\infty\quad\mathbb{P}\text{-a.s.}$
Finally, for any $\varepsilon>0$ it holds
$K_{\alpha,\varepsilon}(u)\lesssim_{\varepsilon}Y$, which yields the
conclusion. ∎
In order to apply Proposition 4.13 to suitable LND Gaussian processes, we will
need the three Lemmas 4.14-4.16 below.
The next elementary lemma often appears in the probabilistic literature in
connection to so called Krylov or Khasminskii type of estimates, see Lemma 1.1
from [38] for a slightly more general statement. For the sake of completeness,
we give the proof.
###### Lemma 4.14.
Let $X$ be a real valued, nonnegative stochastic process, defined on an
interval $[t_{1},t_{2}]$, adapted to a filtration
$\\{\mathcal{F}_{s}\\}_{s\in[t_{1},t_{2}]}$; suppose there exists a
deterministic $C>0$ such that
$\operatorname{ess}\sup_{\omega\in\Omega}\mathbb{E}\left[\int_{s}^{t}X_{r}|\mathcal{F}_{s}\right]\leqslant
C\quad\forall\,s\in[t_{1},t_{2}].$
Then for any $\lambda\in(0,1)$ it holds
$\mathbb{E}\left[\exp\left(\frac{\lambda}{C}\int_{t_{1}}^{t_{2}}X_{r}\mathrm{d}r\right)\right]\leqslant(1-\lambda)^{-1}.$
###### Proof.
Up to rescaling $X$, we may assume $C=1$. It holds
$\mathbb{E}\left[\exp\left(\lambda\int_{t_{1}}^{t_{2}}X_{r}\mathrm{d}r\right)\right]=\sum_{n=0}^{\infty}\frac{\lambda^{n}}{n!}\mathbb{E}\left[\left(\int_{t_{1}}^{t_{2}}X_{r}\mathrm{d}r\right)^{n}\right]=\sum_{n=0}^{\infty}\lambda^{n}I_{n}$
where
$I_{n}=\mathbb{E}\left[\int_{t_{1}<r_{1}<\ldots<r_{n}<t_{2}}X_{r_{1}}\cdot\ldots\cdot
X_{r_{n}}\mathrm{d}r_{1}\ldots\mathrm{d}r_{n}\right].$
By the assumptions and the non-negativity of $X$, it holds
$\displaystyle I_{n}$
$\displaystyle=\int_{t_{1}<r_{1}<\ldots<r_{n-1}<t_{2}}\mathbb{E}\left[X_{r_{1}}\cdot\ldots\cdot
X_{r_{n-1}}\int_{r_{n-1}}^{t}X_{r_{n}}\mathrm{d}r_{n}\right]\mathrm{d}r_{1}\ldots\mathrm{d}r_{n-1}$
$\displaystyle=\int_{t_{1}<r_{1}<\ldots<r_{n-1}<t_{2}}\mathbb{E}\left[X_{r_{1}}\cdot\ldots\cdot
X_{r_{n-1}}\mathbb{E}\left[\int_{r_{n-1}}^{t}X_{r_{n}}\mathrm{d}r_{n}|\mathcal{F}_{r_{n-1}}\right]\right]\mathrm{d}r_{1}\ldots\mathrm{d}r_{n-1}$
$\displaystyle\leqslant\int_{t_{1}<r_{1}<\ldots<r_{n-1}<t_{2}}\mathbb{E}[X_{r_{1}}\cdot\ldots\cdot
X_{r_{n-1}}]\mathrm{d}r_{1}\ldots\mathrm{d}r_{n-1}=I_{n-1}$
which iteratively implies $I_{n}\leqslant 1$. Therefore we obtain
$\mathbb{E}\left[\exp\left(\lambda\int_{s}^{t}X_{u}\mathrm{d}u\right)\right]\leqslant\sum_{n=0}^{\infty}\lambda^{n}=(1-\lambda)^{-1}.\qed$
###### Lemma 4.15.
Let $Z\sim\mathcal{N}(m,\sigma^{2})$ be a real valued Gaussian variable. Then
for any $\theta\in(0,1)$ there exists $c_{\theta}>0$ such that
$\mathbb{E}[|Z|^{-\theta}]\leqslant c_{\theta}\sigma^{-\theta}.$
###### Proof.
Set $Z=\sigma N+m$, then
$\mathbb{E}[|Z|^{-\theta}]=\sigma^{-\theta}\mathbb{E}[|N-x|^{-\theta}]$ for
$x=-m/\sigma$; therefore is sufficed to show that
$\sup_{x\in\mathbb{R}}\mathbb{E}[|N-x|^{-\theta}]=\sup_{x\in\mathbb{R}}\int|x-y|^{-\theta}p(y)\mathrm{d}y=\||\cdot|^{-\theta}\ast
p\|_{L^{\infty}}<\infty$
where $p$ stands for the Gaussian density
$p(x)=(2\pi)^{-1/2}\exp(-|x|^{2}/2)$. By Young’s inequality it holds
$\displaystyle\||\cdot|^{-\theta}\ast p\|_{L^{\infty}}$
$\displaystyle\leqslant\|(|\cdot|^{-\theta}\mathbbm{1}_{|\cdot|<1})\ast
p\|_{L^{\infty}}+\|(|\cdot|^{-\theta}\mathbbm{1}_{|\cdot|\geqslant 1})\ast
p\|_{L^{\infty}}$
$\displaystyle\leqslant\||\cdot|^{-\theta}\mathbbm{1}_{|\cdot|<1}\|_{L^{1}}\|p\|_{L^{\infty}}+\||\cdot|^{-\theta}\mathbbm{1}_{|\cdot|\geqslant
1}\|_{L^{\infty}}\|p\|_{L^{1}}$
$\displaystyle\leqslant(2\pi)^{-1/2}\||\cdot|^{-\theta}\mathbbm{1}_{|\cdot|<1}\|_{L^{1}}+1<\infty$
which gives the conclusion. ∎
###### Lemma 4.16.
Let $Y:[0,\pi]\rightarrow\mathbb{R}$ be a $(1+H)$-SLND Gaussian process with
constant $C_{Y}$, in the sense of Definition 2.2. Then for any $\alpha>H$
there exists $\lambda=\lambda(\alpha,H,C_{Y})>0$ s.t.
$\mathbb{E}\left[\exp\left(\lambda\int_{\bar{y}}^{\bar{y}+\delta}|\Delta^{2}_{\delta}Y_{y}|^{-\frac{1}{1+\alpha}}\mathrm{d}y\right)\right]\leqslant
2\quad\forall\,\delta\in(0,1),\bar{y}\in[0,\pi-\delta].$
###### Proof.
The result follows Lemmas 4.14 and 4.15 applied to the process
$X_{y}=|\Delta^{2}_{\delta}\psi_{y}|^{-\frac{1}{1+\alpha}}$. Indeed, denote by
$\mathcal{F}_{y}$ the natural filtration generated by $\psi$ and let
$\mathcal{G}_{y}:=\mathcal{F}_{y+2\delta}$. It is clear that
$\Delta^{2}_{\delta}\psi_{y}=Y_{y+2\delta}-2Y_{y+\delta}+Y_{y}$ is
$\mathcal{G}_{y}$-adapted; for any $[z,y]\subset[\bar{y},\bar{y}+\delta]$ it
holds
$\operatorname{Var}(\Delta^{2}_{\delta}Y_{y}|\mathcal{G}_{z})=\operatorname{Var}(Y_{y+2\delta}|\mathcal{F}_{z+2\delta})\geqslant
C_{Y}|y-z|^{2(1+H)}.$
As a consequence, we have a decomposition
$\Delta^{2}_{\delta}Y_{y}=Z^{(1)}_{z,y}+Z^{(2)}_{z,y}$ with $Z^{(1)}_{z,y}$
adapted to $\mathcal{G}_{z}$ and $Z^{(2)}_{z,y}$ Gaussian and independent of
$\mathcal{G}_{z}$; therefore
$\displaystyle\mathbb{E}\left[\int_{u}^{\bar{y}+\delta}|\Delta^{2}_{\delta}Y_{y}|^{-\frac{1}{1+\alpha}}\mathrm{d}y|\mathcal{G}_{z}\right]$
$\displaystyle=\int_{z}^{\bar{y}+\delta}\mathbb{E}\left[|Z^{(2)}_{z,y}+\cdot|^{-\frac{1}{1+\alpha}}\right](Z^{(1)}_{u,y})\mathrm{d}y.$
By Lemma 4.15, since $\operatorname{Var}(Z^{(2)}_{z,y})\geqslant
C_{Y}{|y-z|^{2(1+H)}}$ and $\theta=(1+\alpha)^{-1}\in(0,1)$, it holds
$\sup_{x\in\mathbb{R}}\mathbb{E}\left[|Z^{(2)}_{z,y}+x|^{-\frac{1}{1+\alpha}}\right]\lesssim_{\alpha}\operatorname{Var}(Z^{(2)}_{z,y})^{-\frac{1}{2(1+\alpha)}}\lesssim_{\alpha,H,C_{Y}}|y-z|^{-\frac{1+H}{1+\alpha}}$
and thus
$\displaystyle\mathbb{E}\left[\int_{z}^{\bar{y}+\delta}|\Delta^{2}_{\delta}X_{y}|^{-\frac{1}{1+\alpha}}\mathrm{d}y|\mathcal{G}_{z}\right]$
$\displaystyle\lesssim\int_{z}^{\bar{y}+\delta}|y-z|^{-\frac{1+H}{1+\alpha}}\mathrm{d}z$
$\displaystyle\lesssim\int_{0}^{1}|r|^{-\frac{1+H}{1+\alpha}}\mathrm{d}r\sim
C(\alpha,H,C_{Y})$
where the estimate is uniform over $z\in[\bar{y},\bar{y}+\delta]$,
$\bar{y}\in\mathbb{T}$ and $\delta\in(0,1)$. Choosing
$\lambda=\frac{1}{2C(\alpha,H,C_{Y})},$
we obtain the conclusion by applying Lemma 4.14. ∎
With Lemmas 4.14-4.16 at hand, we can finally verify that suitable Gaussian
processes verify Wei’s condition with probability $1$; we give the statement
in full generality, but we stress that the most relevant example verifying the
hypothesis below is the canonical process $X$ associated to $\mu^{H}$, as
granted by Lemma 2.4.
###### Corollary 4.17.
Let $X:[0,\pi]\rightarrow\mathbb{R}$ be a Gaussian process such that
$Y_{y}=\int_{0}^{y}X_{z}\mathrm{d}z$
is $(1+H)$-SLND for some $H\in(0,1)$. Then
$\mathbb{P}(\Gamma_{\alpha}(X)>0)=1$
for any $\alpha>H$.
###### Proof.
It follows immediately combining Lemma 4.16 and Proposition 4.13. ∎
### 4.4. Prevalence statements and proof of Theorems 1.5, 1.1
Similarly to Section 3.3, in order to prove prevalence statements in
$B^{\alpha}_{1,\infty}(\mathbb{T})$, we will actually start by establishing
their analogues on $B^{\alpha}_{1,\infty}(0,\pi)$.
###### Theorem 4.18.
Let $\alpha\in(0,1)$; then a.e. $\varphi\in B^{\alpha}_{1,\infty}(0,\pi)$
satisfies $\Gamma_{\beta}(\varphi)>0$ for all $\beta>\alpha$.
###### Proof.
Fix $\alpha\in(0,1)$ and define $\mathcal{A}:=\left\\{\varphi\in
B^{\alpha}_{1,\infty}(0,\pi):\,\Gamma_{\beta}(\varphi)>0\text{ for all
}\beta>\alpha\right\\}$; it holds
$\mathcal{A}=\bigcap_{n=1}^{\infty}\bigcup_{m=1}^{\infty}\mathcal{A}_{n,m}:=\bigcap_{n=1}^{\infty}\bigcup_{m=1}^{\infty}\left\\{\varphi\in
B^{\alpha}_{1,\infty}(0,\pi):\Gamma_{\beta}(\varphi)\geqslant\frac{1}{m}\text{
for }\beta=\alpha+\frac{1}{n}\right\\}.$
The sets $\mathcal{A}_{n,m}$ are closed in the topology of
$B^{\alpha}_{1,\infty}(0,\pi)$ (the map
$\varphi\mapsto\Gamma_{\beta}(\varphi)$ is upper semicontinuous in the
topology of $L^{1}(0,\pi)$), thus $\mathcal{A}$ is Borel measurable. In order
to conclude, it is enough to show that for any fixed $\beta>\alpha$, the set
$\mathcal{A}_{\beta}:=\left\\{\varphi\in
B^{\alpha}_{1,\infty}(0,\pi):\,\Gamma_{\beta}(\varphi)>0\right\\}$ (which is
Borel by the same line of argument) is prevalent.
Now fix $\beta>\alpha$ and choose $H\in(\alpha,\beta)$; denote by $\mu^{H}$
the law of fBm of parameter $H$ on $C([0,\pi])$ and by
$u=\\{u_{y}\\}_{y\in[0,\pi]}$ the associated canonical process. Since
$\mu^{H}$ is supported on $C^{H-\varepsilon}([0,\pi])$ for any $\varepsilon>0$
and $H>\alpha$, it is also a tight probability measure on
$B^{\alpha}_{1,\infty}(0,\pi)$. By Lemma 2.4, the associated process
$\psi=\int_{0}^{\cdot}u(y)\mathrm{d}y$ is $(1+H)$-SLND and so by Lemma 2.3 the
same holds for $f+\psi$, for any measurable $f:[0,\pi]\rightarrow\mathbb{R}$.
In particular, for a given $\varphi\in B^{\alpha}_{1,\infty}(0,\pi)$, taking
$f=\int_{0}^{\cdot}\varphi(y)\mathrm{d}y$, it follows from Corollary 4.17 and
the choice $\beta>H$ that
$\displaystyle\mu^{H}(\varphi+\mathcal{A}_{\beta})$
$\displaystyle=\mu^{H}\left(\left\\{u\in
B^{\alpha}_{1,\infty}(0,\pi):\,\Gamma_{\beta}(u+\varphi)>0\right\\}\right)=1.$
As the reasoning holds for any $\varphi\in B^{\alpha}_{1,\infty}(0,\pi)$, we
deduce that $\mu^{H}$ witnesses the prevalence of $\mathcal{A}_{\beta}$ and we
obtain the conclusion. ∎
As in Section 3.3, we define for
$\tilde{\varphi}:[0,\pi]\rightarrow\mathbb{R}$ the map
$(T\tilde{\varphi})(y)=\tilde{\varphi}(|y|)$; conversely for
$\varphi:\mathbb{T}\rightarrow\mathbb{R}$, $\varphi_{1}(y):=\varphi(y)$,
$\varphi_{2}(y):=\varphi(-y)$. Recall that if $\tilde{\varphi}\in
B^{\alpha}_{1,\infty}\cap L^{\infty}$, then $T\tilde{\varphi}\in
B^{\alpha}_{1,\infty}$.
###### Corollary 4.19.
Almost every $\varphi\in B^{\alpha}_{1,\infty}(\mathbb{T})$ satisfies
$\Gamma_{\beta}(\varphi)>0$ for all $\beta>\alpha$.
###### Proof.
The proof is very similar to that of Corollary 3.13, again employing measures
of the form $\nu^{H}=T_{\sharp}\mu^{H}$ for suitable $H\in(0,1)$;
specifically, once we fix $\beta>\alpha$ and we define a subset
$\mathcal{A}_{\beta}$ of $B^{\alpha}_{1,\infty}(\mathbb{T})$ as in the proof
of Theorem 4.18, it suffices to choose $H\in(\alpha,\beta)$. In this way
$\mu^{H}$ is tight on $B^{\alpha}_{1,\infty}(0,\pi)\cap L^{\infty}(0,\pi)$, so
$\nu^{H}$ is tight on $B^{\alpha}_{1,\infty}(\mathbb{T})$; the verification
that
$\nu^{H}(\varphi+\mathcal{A}_{\beta})=1\quad\forall\,\varphi\in
B^{\alpha}_{1,\infty}(\mathbb{T})$
is almost identical to that of Corollary 3.13, only this time invoking Lemma
4.10 and Theorem 4.18. ∎
At this point we have all the ingredient to close the dissipative case.
###### Proof of Theorem 1.5.
The lower bound comes from Proposition 4.1, while the upper bound from a
combination of Theorem 4.6 and Corollary 4.19. ∎
The main result of the paper, Theorem 1.1, is now a direct consequence of
Theorems 1.4 and 1.5. In fact, let us record here a slightly sharper estimate.
Given $f\in L^{2}(\mathbb{T}^{2})$, for any $s\in\mathbb{R}$ define
$\|f\|_{L^{2}_{x}H^{s}_{y}}^{2}:=\sum_{k\in\mathbb{Z}}\|P_{k}f\|_{H^{s}(\mathbb{T};\mathbb{C})}^{2}=\sum_{(k,\eta)\in\mathbb{Z}^{2}}(1+|\eta|^{2})^{s}|\hat{f}(k,\eta)|^{2};$
it’s clear that, for $s\geqslant 0$,
$\|f\|_{L^{2}_{x}H^{s}_{y}}\leqslant\|f\|_{H^{s}(\mathbb{T}^{2})}$ and
$\|f\|_{H^{-s}(\mathbb{T}^{2})}\leqslant\|f\|_{L^{2}_{x}H^{-s}_{y}}$.
###### Theorem 4.20.
Almost every $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ satisfies the following
property: for any $\tilde{\alpha}>\alpha$, there exists
$C=C(\alpha,\tilde{\alpha},u)$ such that, for any $f_{0}\in
H^{1/2}(\mathbb{T}^{2})$ with $P_{0}f_{0}\equiv 0$, it holds
$\|e^{tu\partial_{x}}f_{0}\|_{{L^{2}_{x}}H^{-1/2}_{y}}\leqslant
Ct^{-\frac{1}{2\tilde{\alpha}}}\|f_{0}\|_{L^{2}_{x}H^{1/2}_{y}}.$ (4.11)
Almost every $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$ satisfies the following
property: for any $\tilde{\alpha}>\alpha$ there exist
$C_{i}=C(\alpha,\tilde{\alpha},u)$ such that, for any $f_{0}\in
L^{2}(\mathbb{T}^{2})$ with $P_{0}f_{0}\equiv 0$, it holds
$\|e^{-t(u\partial_{x}-\nu\Delta)}f\|_{L^{2}(\mathbb{T}^{2})}\leqslant
C_{1}\exp\left(-C_{2}t\nu^{\frac{\tilde{\alpha}}{\tilde{\alpha}+2}}\right)\|f_{0}\|_{L^{2}(\mathbb{T}^{2})}.$
(4.12)
###### Proof.
By Theorem 1.4 b), for almost every $u\in B^{\alpha}_{1,\infty}(\mathbb{T})$
and any $\tilde{\alpha}>\alpha$ it holds
$\displaystyle\|e^{-tu\partial_{x}}f_{0}\|_{{L^{2}_{x}}H^{-1/2}_{y}}^{2}$
$\displaystyle=\sum_{k\in\mathbb{Z}_{0}}\|P_{k}(e^{-tu\partial_{x}}f_{0})\|_{H^{-1/2}}^{2}\lesssim\sum_{k\in\mathbb{Z}_{0}}(t|k|)^{-\frac{1}{\tilde{\alpha}}}\|P_{k}f_{0}\|_{H^{-1/2}}^{2}\lesssim
t^{-\frac{1}{\tilde{\alpha}}}\|f_{0}\|_{{L^{2}_{x}}H^{-1/2}_{y}}^{2}$
proving (4.11). Denote $\mathcal{L}_{\nu}=-u\partial_{x}+\nu\partial_{y}^{2}$,
so that $-u\partial_{x}+-\nu\Delta=\mathcal{L}_{\nu}+\nu\partial_{x}^{2}$,
where the operators $\mathcal{L}_{\nu}$ and $\nu\partial_{x}^{2}$ commute;
also observe that $P_{k}(e^{t\partial_{x}^{2}}f)=e^{-tk^{2}}P_{k}f$.
Combining these properties with Theorem 1.5, for almost every $u\in
B^{\alpha}_{1,\infty}(\mathbb{T})$ and any $\tilde{\alpha}>\alpha$ it holds
$\displaystyle\|e^{t(-u\partial_{x}+\nu\Delta)}f\|_{L^{2}}^{2}$
$\displaystyle=\sum_{k\in\mathbb{Z}_{0}}\|P_{k}(e^{t\partial_{x}^{2}}e^{t\mathcal{L}_{\nu}}f)\|_{L^{2}}^{2}=\sum_{k\in\mathbb{Z}_{0}}e^{-2tk^{2}}\|P_{k}(e^{t\mathcal{L}_{\nu}}f)\|_{L^{2}}^{2}$
$\displaystyle\lesssim\sum_{k\in\mathbb{Z}_{0}}\exp\left(-2t|k|^{2}-Ct\nu^{\frac{\alpha}{\alpha+2}}|k|^{\frac{2}{\alpha+2}}\right)\|P_{k}f\|_{L^{2}}^{2}$
$\displaystyle\lesssim\exp\left(-Ct\nu^{\frac{\alpha}{\alpha+2}}\right)\sum_{k\in\mathbb{Z}_{0}}\|P_{k}f\|_{L^{2}}^{2}$
which yields (4.12). ∎
## 5\. Further comments and future directions
We have shown in this paper that generic rough shear flows satisfy both
inviscid mixing and enhanced dissipation properties, with rates sharply
determined by the regularity parameter $\alpha\in(0,1)$ in the Besov scale
$B^{\alpha}_{1,\infty}$. In the enhanced dissipation case, this confirms the
intuition from [10]; instead in the inviscid mixing one, it shows that the
behavior presented by Weierstrass-type functions constructed therein is not
generic in the sense of prevalence. Our results provide a connection to the
property of $\rho$-irregularity, which was never observed in this context, and
highlight the importance of working with mixing scales $H^{-s}$ with $s\neq
1$.
We conclude by presenting a few additional remarks and open problems arising
from this work.
1. 1.
We are currently unable to determine whether there is a clear connection
between the properties of $\rho$-irregularity and Wei’s condition. Lemma 3.6,
together with the trivial estimate
$\|f_{t}\|_{H^{-1}}\leqslant\|f_{t}\|_{H^{-1/2}}$, imply that for
$\alpha\in(0,1/2)$ the shear flows $u\in C^{\alpha}$ constructed in [10]
satisfy $\Gamma_{\alpha}(u)>0$ but are not $\rho$-irregular with
$\rho\sim(2\alpha)^{-1}$. Heuristically, this fact is similar to the existence
of flows with small dissipation time which are not mixing, like the cellular
flows presented in [30].
2. 2.
The above argument also implies the existence of Weierstrass type functions
which are not $\rho$-irregular, for suitable values $\rho$. We believe this
problem was open in the probabilistic community, although never been
explicitly addressed in the literature.
3. 3.
Even without establishing a direct connection to Wei’s condition, it would be
interesting to show that functions $u$ which are $\rho$-irregular for
$\rho\sim(2\alpha)^{-1}$ are diffusion enhancing with rate
$r_{\text{dif}}(\nu)\sim\nu^{\alpha/(\alpha+2)}$, in line with the heuristic
argument presenting in the introduction. Since such $u$ are mixing, they are
indeed diffusion enhancing with a suitable rate by [11]; however the
quantitative results from [13] only imply the worsened rate
$\nu^{3\alpha/(1+3\alpha)}$ and it is rather unclear how to “bridge the gap”.
4. 4.
Going through the same proof as in Lemma 3.6, one can show that if $u$ is
$(\gamma,\rho)$-irregular with $\gamma>1-s$, then $u$ is mixing on the scale
$H^{s}$ with rate $r_{s\text{-mix}}(t)=t^{\rho}$. In the case $\gamma=0$ an
even simpler proof based on duality and integration by parts provides mixing
on the scale $H^{1}$ with the same rate. In fact, since
$H^{1}(\mathbb{T};\mathbb{C})$ is an algebra, by integration by parts it holds
$\begin{array}[]{ll}|\langle e^{i\xi
u}f,g\rangle|&=\left|\int_{-\pi}^{\pi}e^{i\xi
u(y)}f(y)g(y)\mathrm{d}y\right|\\\
&\leqslant|(fg)(-\pi)|\left|\int_{-\pi}^{\pi}e^{i\xi
u(y)}\mathrm{d}y\right|\\\
&\qquad+\int_{-\pi}^{\pi}|(fg)^{\prime}(y)|\left|\int_{-\pi}^{y}e^{i\xi
u(z)}\mathrm{d}z\right|\mathrm{d}y\\\
&\lesssim(\|fg\|_{L^{\infty}}+\|(fg)^{\prime}\|_{L^{1}})\|\Phi^{u}\|_{0,\rho}|\xi|^{-\rho}\\\
&\lesssim\|f\|_{H^{1}}\|g\|_{H^{1}}\|\Phi^{u}\|_{0,\rho}|\xi|^{-\rho}\end{array}$
which by duality implies $\|e^{i\xi
u}f\|_{H^{-1}}\lesssim\|f\|_{H^{1}}\|\Phi^{u}\|_{0,\rho}|\xi|^{-\rho}$ and so
the claim.
5. 5.
It is however an open problem to provide examples of $(0,\rho)$-irregular
functions $u$, for any $\rho<1$. See Remark 69 in [24] for a deeper
discussion. There are several examples of $u:[0,\pi]\rightarrow\mathbb{R}$
which are $(0,1)$-irregular, including the choice $u(y)=y$; by Proposition 1.4
from [7], it is enough to require the existence of $\delta>0$ such that
$\frac{1}{\delta}\leqslant|u^{\prime}(y)|,\quad\frac{|u^{\prime\prime}(y)|}{|u^{\prime}(y)|}\leqslant\delta\quad\forall\,y\in[0,\pi];$
(5.1)
observe the similarity of condition (5.1) with Assumption (H) from [12].
6. 6.
The property of $(\gamma,\rho)$-irregularity can be reformulated in terms of
the (Fourier transform of) occupation measure of $u$, namely the family
$\\{\mu^{u}_{I},I\subset\mathbb{T}\\}$ given by
$\mu^{u}_{I}=u_{\sharp}\mathcal{L}_{I}$ where $I$ are subintervals of
$\mathbb{T}$ and $\mathcal{L}_{I}$ stands for the Lebesgue measure on $I$; see
Section 2.3 from [24] for more details. Closely related to the occupation
measure of $u$ is its local time, namely the Radon–Nikodym derivative
$\mathrm{d}\mu^{u}_{\mathbb{T}}/\mathrm{d}\mathcal{L}_{\mathbb{T}}$, which has
been intensively studied for stochastic processes, see the review [25]. The
following question arises naturally: is it possible to link the mixing
properties of $u$ to the regularity of its local time?
7. 7.
In the paper we have always focused on the scales
$B^{\alpha}_{1,\infty}(\mathbb{T})$ with $\alpha\in(0,1)$. If one is instead
interested in the mixing properties of generic $u\in C(\mathbb{T})$, much
faster rates are available. Indeed for any $\beta>1$ it’s possible to
construct $\tilde{u}^{\beta}\in C([0,\pi])$ satisfying
$\left|\int_{y_{1}}^{y_{2}}e^{i\xi
u^{\beta}(z)}\mathrm{d}z\right|\lesssim_{\gamma,\beta}|y_{2}-y_{1}|^{\gamma}\exp\left(-C_{\gamma,\beta}|\xi|^{\frac{2}{1+\beta}}\right)\quad\forall\,\xi\in\mathbb{R},\quad
0\leqslant y_{1}\leqslant y_{2}\leqslant\pi$ (5.2)
and so by symmetrization the same holds for $u^{\beta}:=T\tilde{u}^{\beta}$.
Such $\tilde{u}^{\beta}$ are given by typical realization of the so called
$(2\beta)$-log Brownian motion, see [27] for its definition and Propositions
48 and 49 from [24] for the proof of (5.2). In fact, one could use the law of
such process to prove that a.e. $u\in C(\mathbb{T})$ satisfies (5.2) for any
$\beta>1$ (the value $\beta=1$ can only be attained by Caratheodory functions,
which are naturally discontinuous). Arguing as in the proof of Lemma 3.6 one
can deduce that such $u$ are exponentially mixing, in the sense that they
satisfy the estimate
$\|e^{i\xi
u}g\|_{H^{-1}}\lesssim\exp\left(-C_{\gamma,\beta}|\xi|^{\frac{2}{1+\beta}}\right)\|g\|_{H^{1}}\quad\forall\,g\in
H^{1}(\mathbb{T};\mathbb{C})$ (5.3)
and so that
$\|e^{-tu\partial_{x}}f\|_{L^{2}_{x}H^{-1}_{y}}\lesssim\exp\left(-C_{\gamma,\beta}t^{\frac{2}{1+\beta}}\right)\|f\|_{L^{2}_{x}H^{1}_{y}}$
(5.4)
for all $f\in H^{1}(\mathbb{T}^{2})$ satisfying $P_{0}f\equiv 0$.
8. 8.
Finally, let us point out that the property of $\rho$-irregularity also holds
for generic vector valued functions $u:[0,1]\rightarrow\mathbb{R}^{d}$ (resp.
$u:\mathbb{T}\rightarrow\mathbb{R}^{d}$), for any $d\in\mathbb{N}$, see [24].
In particular, similar statements to part i. of Theorem 1.1 can be established
for “higher dimensional” shear flows of the form
$\partial_{t}f+\bar{u}\cdot\nabla f=\nu\Delta f$
for $f:\mathbb{T}^{d+1}\rightarrow\mathbb{R}$,
$\bar{u}(x_{1},\ldots,x_{d+1}):=(u(x_{d+1}),0)^{T}$; observe that for $d=2$,
$\bar{u}$ is a stationary solution to $3$D Euler equations. In light of [11],
the vector field $\bar{u}$ constructed by a $\rho$-irregular $u$ is diffusion
enhancing; thus can be applied in the study of suppression of blow-up by
mixing phenomena similarly to what was done in [33, 3, 30].
## Appendix A Besov spaces
In this appendix we record fundamentals on Besov spaces $B^{s}_{p,q}$ on the
torus $\mathbb{T}^{d}$, although in the paper we only need the case $d=1$. For
a gentle introduction on spaces on $\mathbb{R}^{d}$ we refer to the monograph
[1]; see also the classical paper [41] for spaces on an interval
$I\subset\mathbb{R}$. All their properties transfer to the analogous spaces on
$\mathbb{T}^{d}$ by a clever use of Poisson summation formula, see [26], [36].
Alternatively, periodic Besov spaces have been treated in Chapter 3 of [40].
Given a dyadic partition of the unity $(\chi,\varphi)$ and the associated
Littlewood–Paley blocks $\\{\Delta_{j}\\}_{j\geqslant-1}$, the (inhomogeneous)
Besov spaces $B^{s}_{p,q}(\mathbb{T}^{d})$ with $s\in\mathbb{R}$, $p,q$ are
defined as the set of tempered distributions
$f\in\mathcal{S}^{\prime}(\mathbb{T}^{d})$ such that
$\|f\|_{B^{s}_{p,q}}:=\|2^{sj}\|\Delta_{j}f\|_{L^{p}}\|_{\ell^{q}}=\left(\sum_{j=-1}^{\infty}2^{jsq}\|\Delta_{j}f\|_{L^{p}}^{q}\right)^{1/q}<\infty$
with the usual conventions when $q=\infty$.
$(B^{s}_{p,q}(\mathbb{T}^{d}),\|\cdot\|_{B^{s}_{p,q}})$ are Banach spaces and
the definition is independent of the choice of the partition of unity
$(\chi,\varphi)$. Besov spaces are handy to use due to their many properties,
including functional embeddings and behavior under derivation and
multiplication; we recall them briefly.
###### Proposition A.1 ([1], Prop. 2.71).
Let $1\leqslant p_{1}\leqslant p_{2}\leqslant\infty$ and $1\leqslant
q_{1}\leqslant q_{2}\leqslant\infty$. Then for any $s\in\mathbb{R}$, the space
$B^{s}_{p_{1},q_{1}}$ continuously embeds in
$B^{s-d\left(\frac{1}{p_{1}}-\frac{1}{p_{2}}\right)}_{p_{2},q_{2}}$.
Also recall the following basic facts: for any $\varepsilon>0$ and any
$p,q\in[1,\infty]$, the space $B^{s}_{p,q}$ continuously embeds in
$B^{s-\varepsilon}_{p,1}$, as can be checked using the definition; for any
$p\in[1,\infty]$, we have the embeddings
$B^{0}_{p,1}\hookrightarrow L^{p}\hookrightarrow B^{0}_{p,\infty}$
see for instance Remark A.3 from [36] for the second statement.
###### Proposition A.2 ([36], Prop. A.5).
Let $s\in\mathbb{R}$, $p,q\in[1,\infty]$, $i\in\\{1,\ldots,n\\}$. Then the map
$f\mapsto\partial_{i}f$ is a continuous linear operator from $B^{s}_{p,q}$ to
$B^{s-1}_{p,q}$.
###### Proposition A.3 ([36], Prop. A.7).
Let $s_{1},s_{2}\in\mathbb{R}$ and $p,p_{1},p_{2},q\in[1,\infty]$ be such that
$s_{1}<0<s_{2},\quad
s_{1}+s_{2}>0,\quad\frac{1}{p}=\frac{1}{p_{1}}+\frac{1}{p_{2}};$
then $(f,g)\mapsto fg$ is a well-defined continuous bilinear map from
$B^{s_{1}}_{p_{1},q}\times B^{s_{2}}_{p_{2},q}$ to $B^{s_{1}}_{p,q}$.
###### Proposition A.4 ([1], Cor. 2.86).
For any $s>0$ and $p,q\in[1,\infty]$, the space $B^{s}_{p,q}\cap L^{\infty}$
is an algebra and there exists a constant $C=C(s)$ such that
$\|fg\|_{B^{s}_{p,q}}\leqslant
C(\|f\|_{L^{\infty}}\|g\|_{B^{s}_{p,q}}+\|f\|_{B^{s}_{p,q}}\|g\|_{L^{\infty}})\quad\forall\,f,g\in
B^{s}_{p,q}\cap L^{\infty}.$
Another key property of Besov spaces is that they include several other
classical function spaces:
* •
for $s\in\mathbb{R}$, $B^{s}_{2,2}(\mathbb{T}^{d})$ coincide with the Sobolev
spaces $H^{s}(\mathbb{T}^{d})$, with equivalent norms;
* •
for $s\in(0,1)$, $B^{s}_{\infty,\infty}(\mathbb{T}^{d})$ coincide with
$C^{s}(\mathbb{T}^{d})$, the space of periodic $s$-Hölder continuous functions
(w.r.t. the canonical distance $d_{\mathbb{T}^{d}}$), with equivalent norms;
* •
for $s\in(0,1)$ and $p\in[1,\infty)$, the spaces
$B^{s}_{p,\infty}(\mathbb{T}^{d})$, often referred to as Besov–Nikolskii
spaces, can be characterized by the equivalent norm
$\|f\tilde{\|}_{B^{s}_{p,\infty}}:=\|f\|_{L^{p}}+\sup_{x\neq
y\in\mathbb{T}^{d}}\frac{\left\|f\left(\cdot\,+x\right)-f\left(\cdot\,+y\right)\right\|_{L^{p}}}{d_{\mathbb{T}^{d}}(x,y)^{s}}$
(A.1)
* •
for $s\in(0,1)$, $p,q\in[1,\infty)$ the space $B^{s}_{p,q}(\mathbb{T}^{d})$
has equivalent norm
$\|f\tilde{\|}_{B^{s}_{p,q}}:=\|f\|_{L^{p}}+\int_{\mathbb{T}^{d}}\left(\frac{\left\|f\left(\cdot\,+x\right)-f(\cdot)\right\|_{L^{p}}}{d_{\mathbb{T}^{d}}(x,0)^{s}}\right)^{q}\frac{1}{d_{\mathbb{T}^{d}}(x,0)^{d}}\mathrm{d}x.$
(A.2)
We conclude this appendix by proving some interpolation inequalities, which
played a fundamental role in the proofs in Section 3.1.
###### Lemma A.5.
Let $p\in[1,\infty]$, $s_{1},s_{2}\in\mathbb{R}$ with $s_{1}<s_{2}$ and
$\theta\in(0,1)$. Then there exists a constant $C=C(p,s_{2}-s_{1},\theta)$
such that
$\|f\|_{B^{\theta s_{1}+(1-\theta)s_{2}}_{p,1}}\leqslant
C\|f\|_{B^{s_{1}}_{p,\infty}}^{\theta}\|f\|^{1-\theta}_{B^{s_{2}}_{p,\infty}}\quad\forall\,f\in
B^{s_{2}}_{p,\infty}.$ (A.3)
###### Proof.
The result is well known, see Theorem 2.80 from [1] for the statement on
$\mathbb{R}^{d}$; let us provide a self-contained proof.
We may assume $\|f\|_{B^{s_{2}}_{p,\infty}}=1$; for any $N\geqslant 0$ it
holds
$\displaystyle\|f\|_{B^{s_{\theta}}_{p,1}}$
$\displaystyle=\sum_{j<N}2^{j(\theta
s_{1}+(1-\theta)s_{2})}\|\Delta_{j}f\|_{L^{p}}+\sum_{j\geqslant N}2^{j(\theta
s_{1}+(1-\theta)s_{2})}\|\Delta_{j}f\|_{L^{p}}$
$\displaystyle\leqslant\|f\|_{B^{s_{1}}_{p,\infty}}\sum_{j<N}2^{j(1-\theta)(s_{2}-s_{1})}+\|f\|_{B^{s_{2}}_{p,\infty}}\sum_{j\geqslant
N}2^{-j\theta(s_{2}-s_{1})}$
$\displaystyle\lesssim\|f\|_{B^{s_{1}}_{p,\infty}}2^{N(1-\theta)(s_{2}-s_{1})}+2^{-N\theta(s_{2}-s_{1})}.$
Choosing $N$ such that $\|f\|_{B^{s_{1}}_{p,\infty}}\sim 2^{-N(s_{2}-s_{1})}$
the conclusion then follows. ∎
###### Corollary A.6.
For any $s_{1},s_{2}>0$ there exists a constant $C(s_{1},s_{2})$ such that
$\|f\|_{L^{2}}\leqslant
C\|f\|_{H^{-s_{1}}}^{s_{2}/(s_{1}+s_{2})}\|f\|_{B^{s_{2}}_{2,\infty}}^{s_{1}/(s_{1}+s_{2})}\quad\forall\,f\in
B^{s_{2}}_{2,\infty}.$ (A.4)
###### Proof.
Applying Lemma A.5 for the choice $p=2$, $\theta=s_{2}/(s_{1}-s_{2})$ and
using Besov embeddings we find
$\|f\|_{L^{2}}\leqslant\|f\|_{B^{0}_{2,1}}\lesssim\|f\|_{B^{-s_{1}}_{2,\infty}}^{\theta}\|f\|^{1-\theta}_{B^{s_{2}}_{2,\infty}}\lesssim\|f\|_{H^{-s_{1}}}^{\theta}\|f\|^{1-\theta}_{B^{s_{2}}_{2,\infty}}.\qed$
## Appendix B A simple extension of a result by Wei
Theorem 5.1 from [43] requires the restriction to work with $u\in
C(\mathbb{T})$, but we show here that such a restriction is not necessary and
in fact the result holds for any $u\in L^{1}(\mathbb{T})$, as stated in
Theorem 4.6. Let us recall the setting: we are interested in the decay of
solutions to complex valued PDEs of the form
$\partial_{t}f+iuf=\nu\partial_{y}^{2}f.$ (B.1)
Equation B.1 is well-posed (in the weak sense) for any $u\in
L^{1}(\mathbb{T})$ and $f_{0}\in L^{2}(\mathbb{T})$. Indeed, for smooth $u$,
any solution $f$ to (B.1) satisfies
$\partial_{t}\|f\|_{L^{2}}^{2}+2\nu\|\partial_{y}f\|_{L^{2}}^{2}=0,$
thus implying that it belongs to $L^{2}(0,T;H^{1}(\mathbb{T}))\hookrightarrow
L^{2}(0,T;C(\mathbb{T}))$; therefore we have uniform estimates for $iuf\in
L^{2}(0,T;L^{1}(\mathbb{T}))$ only depending on $\|u\|_{L^{1}}$. Arguing by
weak compactness one can then easily construct weak solutions to (B.1) for any
$u\in L^{1}(\mathbb{T})$, establish their uniqueness, and show that they are
the strong limit in $C([0,T];L^{2}(\mathbb{T}))$ of those to smooth $u$.
Overall, this defines the semigroup $t\mapsto e^{t(\nu\partial_{y}^{2}-iu)}$
on $L^{2}(\mathbb{T})$ for any $u\in L^{1}(\mathbb{T})$ and $\nu>0$.
Identifying $u\in L^{1}(\mathbb{T})$ with a $2\pi$-periodic function, its
primitive $\psi$ is a (non periodic) element of $C(\mathbb{R})$, well defined
up to additive constant; for given $\delta\in(0,1)$, define
$\omega_{1}(\delta,u):=\inf_{x,c_{1},c_{2}\in\mathbb{R}}\int_{x-\delta}^{x+\delta}|\psi(y)-c_{1}-c_{2}\delta|^{2}\mathrm{d}y.$
Denote by $F:\mathbb{R}_{\geqslant 0}\rightarrow[0,\pi/2]$ the inverse of
$x\mapsto 36x\tan x$, which is a one-to-one increasing function. The next
statement summarizes some of the main findings from [43].
###### Lemma B.1.
Let $u\in C(\mathbb{T})$ and $\nu>0$ be fixed; then for all $\delta\in(0,1)$
and $t\geqslant 0$ it holds
$\|e^{t(\partial_{y}^{2}-iu)}\|_{L^{2}\rightarrow
L^{2}}\leqslant\exp\left(\frac{\pi}{2}-t\nu\delta^{-2}F(\delta\nu^{-2}(\omega_{1}(\delta,u)))^{2}\right).$
(B.2)
###### Proof.
By time rescaling, the solution $f$ to (B.1) is given by
$f(t,y)=f^{\nu}(t\nu,y)$ where $f^{\nu}$ solves
$\partial_{t}f^{\nu}+iu^{\nu}f^{\nu}=\partial_{y}^{2}f^{\nu}$ where
$u^{\nu}=u/\nu$; applying the Gearhart–Prüss theorem (Theorem 1.3 from [43])
to $f^{\nu}$, it holds
$\|f_{t}\|_{L^{2}}\leqslant\exp\left(\frac{\pi}{2}-t\nu\psi_{1}(u^{\nu})\right)$
where $\psi_{1}(u)$ is defined as in Section 4 from [43]. By Lemma 4.3 therein
and the 2-homogeneity of $u\mapsto\omega(\delta,u)$, for any $\delta\in(0,1)$
it holds
$\psi_{1}(u^{\nu})\geqslant\delta^{-2}F(\delta(\omega_{1}(\delta,u^{\nu})))^{2}=\delta^{-2}F(\delta\nu^{-2}(\omega_{1}(\delta,u)))$
which gives the conclusion. ∎
We can now give the
###### Proof of Theorem 4.6.
By time rescaling, we can restrict to the case $k=1$. Now let $u\in
L^{1}(\mathbb{T})$ be a function satisfying $\Gamma_{\alpha}(u)>0$ for some
$\alpha\in(0,1)$ and consider a family $\\{u^{\varepsilon},\varepsilon>0\\}$
of continuous functions satisfying
$\|u^{\varepsilon}-u\|_{L^{1}}\leqslant\varepsilon$. Denote by
$\psi^{\varepsilon}$ the primitive of $u^{\varepsilon}$; by the basic
inequality $a^{2}\geqslant b^{2}/2-(a-b)^{2}$, for any $\delta(0,1)$ it holds
$\begin{array}[]{ll}\omega_{1}(\delta,u^{\varepsilon})&\geqslant\frac{1}{2}\omega_{1}(\delta,u)-\sup_{x\in\mathbb{R}}\int_{x-\delta}^{x+\delta}|\psi^{\varepsilon}(y)-\psi(y)|^{2}\mathrm{d}y\\\
&\geqslant\frac{1}{2}\omega_{1}(\delta,u)-2\delta\|u-u^{\varepsilon}\|_{L^{1}(\mathbb{T})}^{2}.\end{array}$
Combined with the fact that by definition $\omega_{1}(\delta,u)\geqslant
2^{2\alpha+3}\delta^{2\alpha+3}\Gamma_{\alpha}(u)^{2}$, we deduce
$\omega_{1}(\delta,u^{\varepsilon})\geqslant
2^{2\alpha+2}\delta^{2\alpha+3}\Gamma_{\alpha}(u)^{2}-2\delta\varepsilon^{2}\quad\forall\,\delta\in(0,1),\,\varepsilon>0.$
(B.3)
Now fix $\nu>0$ and define $C_{1}=e^{\pi/2}$,
$C_{2}=2^{2\alpha+2}\Gamma_{\alpha}(u)^{2}$; applying Lemma B.1 to
$u^{\varepsilon}$, exploiting the fact that $F$ is increasing, and choosing
$\delta=\nu^{1/(\alpha+2)}$, we obtain
$\|e^{t(\partial_{y}^{2}-iu^{\varepsilon})}\|_{L^{2}\rightarrow
L^{2}}\leqslant
C_{1}\exp\left(-t\nu^{\frac{\alpha}{\alpha+2}}F\left(C_{2}-2\nu^{-2\frac{\alpha+1}{\alpha+2}}\varepsilon^{2}\right)^{2}\right)\quad\forall\,t\geqslant
0$ (B.4)
where the estimate holds for all $\varepsilon>0$ small enough such that
$C_{2}-2\nu^{-2(\alpha+1)/(\alpha+2)}\varepsilon^{2}>0$.
Since the semigroup $e^{t(\nu\partial_{y}^{2}-iu^{\varepsilon})}$ pointwise
converges to $e^{t(\nu\partial_{y}^{2}-iu^{\varepsilon})}$ as
$\varepsilon\rightarrow 0^{+}$, passing to the limit on both sides of (B.4)
gives the conclusion. ∎
Declarations. The authors have no relevant financial or non-financial
interests to disclose.
## References
* [1] Hajer Bahouri, Jean-Yves Chemin, and Raphaël Danchin. Fourier analysis and nonlinear partial differential equations, volume 343. Springer Science & Business Media, 2011.
* [2] Jacob Bedrossian and Michele Coti Zelati. Enhanced dissipation, hypoellipticity, and anomalous small noise inviscid limits in shear flows. Archive for Rational Mechanics and Analysis, 224(3):1161–1204, 2017.
* [3] Jacob Bedrossian and Siming He. Suppression of Blow-Up in Patlak–Keller–Segel via Shear Flows. SIAM Journal on Mathematical Analysis, 49(6):4722–4766, 2017.
* [4] Sergio Campanato. Proprietà di una famiglia di spazi funzionali. Annali della Scuola Normale Superiore di Pisa-Classe di Scienze, 18(1):137–160, 1964\.
* [5] Rémi Catellier. Rough linear transport equation with an irregular drift. Stochastics and Partial Differential Equations: Analysis and Computations, 4(3):477–534, 2016.
* [6] Rémi Catellier and Massimiliano Gubinelli. Averaging along irregular curves and regularisation of ODEs. Stochastic Processes and their Applications, 126(8):2323–2366, 2016.
* [7] K. Chouk and M. Gubinelli. Nonlinear PDEs with modulated dispersion I: Nonlinear Schrödinger equations. Comm. Partial Differential Equations, 40(11):2047–2081, 2015.
* [8] Khalil Chouk and Massimiliano Gubinelli. Nonlinear PDEs with modulated dispersion II: Korteweg–de Vries equation. arXiv:1406.7675, 2014\.
* [9] Zbigniew Ciesielski, Gérard Kerkyacharian, and Bernard Roynette. Quelques espaces fonctionnels associés à des processus gaussiens. Studia Mathematica, 2(107):171–204, 1993.
* [10] Maria Colombo, Michele Coti Zelati, and Klaus Widmayer. Mixing and diffusion for rough shear flows. Ars Inveniendi Analytica, paper no.2, 2021. https://doi.org/10.15781/83fc-j334
* [11] Peter Constantin, Alexander Kiselev, Lenya Ryzhik, and Andrej Zlatoš. Diffusion and mixing in fluid flow. Annals of Mathematics, pages 643–674, 2008\.
* [12] Michele Coti Zelati. Stable mixing estimates in the infinite Péclet number limit. Journal of Functional Analysis, 279(4):108562, 2020\.
* [13] Michele Coti Zelati, Matias G. Delgadino, and Tarek M. Elgindi. On the relation between enhanced dissipation timescales and mixing rates. Communications on Pure and Applied Mathematics, 73(6):1205–1244, 2020.
* [14] Michele Coti Zelati and Michele Dolce. Separation of time-scales in drift-diffusion equations on $\mathbb{R}^{2}$. Journal de Mathématiques Pures et Appliquées, 142:58–75, 2020.
* [15] Michele Coti Zelati and Theodore D. Drivas. A stochastic approach to enhanced diffusion. Annali della Scuola Normale Superiore di Pisa. Classe di scienze, 22(2):811-834, 2022.
* [16] Theodore D. Drivas and Gregory L. Eyink. A Lagrangian fluctuation–dissipation relation for scalar turbulence. Part I. Flows with no bounding walls. Journal of Fluid Mechanics, 829:153–189, 2017.
* [17] Theodore D. Drivas and Gregory L. Eyink. A Lagrangian fluctuation–dissipation relation for scalar turbulence. Part II. Wall-bounded flows. Journal of Fluid Mechanics, 829:236–279, 2017.
* [18] Yuanyuan Feng and Gautam Iyer. Dissipation enhancement by mixing. Nonlinearity, 32(5):1810, 2019.
* [19] Aurélia Fraysse. Regularity criteria for almost every function in Sobolev spaces. Journal of Functional Analysis, 258(6):1806–1821, 2010.
* [20] Aurélia Fraysse, Stéphane Jaffard et al. How smooth is almost every function in a Sobolev space? Revista Matematica Iberoamericana, 22(2):663–682, 2006\.
* [21] Uriel Frisch and Giorgio Parisi. Fully developed turbulence and intermittency. New York Academy of Sciences, Annals, 357:359–367, 1980.
* [22] Peter K. Friz and Martin Hairer. A course on rough paths. Springer, 2020\.
* [23] Peter K. Friz, Benjamin Seeger and Pavel Zorin-Kranich. Besov rough path analysis. Journal of Differential Equations, 339:152–231, 2022\.
* [24] Lucio Galeati and Massimiliano Gubinelli. Prevalence of $\rho$-irregularity and related properties. ArXiv preprint arXiv:2004.00872, 2020.
* [25] Donald Geman and Joseph Horowitz. Occupation densities. The Annals of Probability, 8(1):1–67, 1980\.
* [26] Massimiliano Gubinelli and Nicolas Perkowski. Lectures on singular stochastic PDEs. Ensaios Matemáticos, 29:1–89, 2015.
* [27] Fabian A. Harang and Nicolas Perkowski. $C^{\infty}$ regularization of ODEs perturbed by noise. Stochastics and Dynamics, 21(8):2140010, 2021.
* [28] J. van der Hoeven et al. GNU TeXmacs. https://www.texmacs.org, 1998.
* [29] Brian R. Hunt, Tim Sauer, and James A. Yorke. Prevalence: a translation–invariant “almost every” on infinite–dimensional spaces. Bulletin of the American Mathematical Society, 27(2):217–238, 1992.
* [30] Gautam Iyer, Xiaoqian Xu, and Andrej Zlatoš. Convection-induced singularity suppression in the Keller-Segel and other non-linear PDEs. Transactions of the American Mathematical Society, 2021.
* [31] Stéphane Jaffard. On the Frisch–Parisi conjecture. Journal de mathématiques pures et appliquées, 79(6):525–552, 2000.
* [32] Lord Kelvin. Stability of fluid motion: rectilinear motion of viscous fluid between two parallel plates. Phil. Mag, 24(5):188–196, 1887.
* [33] Alexander Kiselev and Xiaoqian Xu. Suppression of chemotactic explosion by mixing. Archive for Rational Mechanics and Analysis, 222(2):1077–1112, 2016.
* [34] Zhi Lin, Jean-Luc Thiffeault, and Charles R. Doering. Optimal stirring strategies for passive scalar mixing. Journal of Fluid Mechanics, 675:465–476, 2011.
* [35] Chong Liu, David Prömel, and Josef Teichmann. Characterization of nonlinear Besov spaces. Transactions of the American Mathematical Society, 373(1):529–550, 2020.
* [36] Jean-Christophe Mourrat and Hendrik Weber. The Dynamic $\Phi^{4}_{3}$ Model Comes Down from Infinity. Communications in Mathematical Physics, 356(3):673–753, 2017.
* [37] David Nualart. The Malliavin calculus and related topics, volume 1995. Springer, 2006\.
* [38] Nikolay Ivanovich Portenko. Generalized diffusion processes, volume 83. American Mathematical Society, 1990.
* [39] Osborne Reynolds. An experimental investigation of the circumstances which determine whether the motion of water shall be direct or sinuous, and of the law of resistance in parallel channels. Proceedings of the Royal Society of London, 35(224-226):84–99, 1883.
* [40] Hans-Jurgen Schmeisser and Hans Triebel. Topics in Fourier analysis and function spaces. Wiley, 1987.
* [41] Jacques Simon. Sobolev, Besov and Nikolskii fractional spaces: imbeddings and comparisons for vector valued spaces on an interval. Annali di Matematica Pura ed Applicata, 157(1):117–148, 1990.
* [42] Mark Veraar. Correlation inequalities and applications to vector-valued Gaussian random variables and fractional Brownian motion. Potential Analysis, 30(4):341–370, 2009\.
* [43] Dongyi Wei. Diffusion and mixing in fluid flow via the resolvent estimate. Science China Mathematics, 64(3):507–518, 2021.
* [44] Yimin Xiao. Properties of local-nondeterminism of Gaussian and stable random fields and their applications. Annales de la Faculté des sciences de Toulouse: Mathématiques, 15:157–193, 2006.
| arxiv-papers | 2021-07-26T11:24:19 | 2024-09-04T03:07:18.344230 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Lucio Galeati, Massimiliano Gubinelli",
"submitter": "Lucio Galeati",
"url": "https://arxiv.org/abs/2107.12115"
} |
2107.12116 | # Gröbner deformation and $F$-singularities
Mitra Koley Stat-Math Unit, Indian Statistical Institute, 203 B.T. Road,
Kolkata, India 700035 [email protected] and Matteo Varbaro Dipartimento
di Matematica, Universitá di Genova, Italy [email protected]
###### Abstract.
For polynomial ideals in positive charachteristic, defining $F$-split rings
and admitting a squarefree monomial initial ideal are different notions. In
this note we show that, however, there are strong interactions in both
directions. Moreover we provide an overview on which $F$-singularities are
Gröbner deforming. Also, we prove the following characteristic-free statement:
if $\mathfrak{p}$ is a height $h$ prime ideal such that
$\mathrm{in}(\mathfrak{p}^{(h)})$ contains at least one squarefree monomial,
then $\mathrm{in}(\mathfrak{p})$ is a squarefree monomial ideal.
###### Key words and phrases:
Gröbner deformation; F-rationality; strongly F-injective.
## 1\. Introduction
The motivation for this note has been, essentially, trying to achieve a better
understanding of the following question concerning polynomial ideals $I$ of a
polynomial ring $S$ over a field $K$:
###### Question 1.1.
When is there a monomial order $<$ on $S$ such that $\mathrm{in}_{<}(I)$ is a
squarefree?
One of the reasons why this is an interesting problem arises from the recent
work [10] by Conca and the second author of this paper, roughly stating that
$I$ and $\mathrm{in}_{<}(I)$ are much more related than usual provided the
latter is a squarefree monomial ideal. There are already many known classes of
ideals $I$ (and suitable monomial orders) such that $\mathrm{in}_{<}(I)$ is
squarefree, such as ideals defining Algebras with Straightening Law,
Cartwright-Sturmfels ideals and Knutson ideals. In Theorem 3.13 we identify a
new class: If $I$ is a radical ideal, as soon as $\mathrm{in}_{<}(I^{(h)})$
contains a squarefree monomial, where $h$ is the maximum height of a minimal
prime ideal of $I$, then $\mathrm{in}_{<}(I)$ is a squarefree monomial ideal.
The result is proved first in positive characteristic, and then derived over
fields of characteristic 0. The proof in positive characteristic relies on the
“$F$-split” notion and a suitable version of Fedder’s criterion, see Theorem
3.12.
If $K$ has positive characteristic, in which case we can speak of
$F$-singularities (where $F$ stands for the Frobenius endomorphism), we
investigate on the following:
###### Question 1.2.
For which kind of $F$-singularities do we have that $S/I$ has those
$F$-singularities provided that, for some weight vector $w\in\mathbb{N}^{n}$,
$S/\mathrm{in}_{w}(I)$ has those $F$-singularities?
The two questions above are actually related: if $\mathrm{in}_{<}(I)$ is
squarefree, then $S/\mathrm{in}_{<}(I)$ is $F$-split. Although there are
examples of ideals $I$ such that $\mathrm{in}_{<}(I)$ is squarefree but $S/I$
is not $F$-split (e.g. see Example 4.13), it turns out that $S/I$ is always
$F$-injective, and even strongly $F$-injective, provided $\mathrm{in}_{<}(I)$
is squarefree (see Corollary 4.11).
On the other hand, it is very easy to find examples of ideals $I$ such that
$S/I$ is $F$-split but $\mathrm{in}_{<}(I)$ is not squarefree for any monomial
order. However, Theorem 3.12 states that many ideals defining $F$-split rings
admit a squarefree initial ideal; hence, at some extent, “being $F$-split” and
“admitting a squarefree initial ideal” are connected properties.
Question 1.2 is also related to the so-called deformation problem: if
$(R,\mathfrak{m})$ is a Noetherian local ring and $x\in\mathfrak{m}$ is a
nonzero divisor on $R$ such that $R/xR$ has some property $\mathcal{P}$, is it
true that $R$ has property $\mathcal{P}$ as well? Of course the answer depends
on the property $\mathcal{P}$, for example it is positive if $\mathcal{P}$ is
“being a domain” and negative if $\mathcal{P}$ is “being irreducible”. There
is a fervent research on the deformation problem when $\mathcal{P}$ is an
$F$-singularity, especially if “$\mathcal{P}=$ $F$-injective”, in which case
the problem is still open. Regarding Question 1.2, the answers we get agree
with the answers to the deformation problem; this is expected, though it needs
some explanations.
## 2\. Gröbner deformations
Throughout this note, by a ring we mean a Noetherian commutative ring with
unity. A $\mathbb{N}$-graded ring is a ring
$R=\bigoplus_{i\in\mathbb{N}}R_{i}$ (usually $R_{0}$ will be a field). A
$\mathbb{N}$-graded ring $R=\bigoplus_{i\in\mathbb{N}}R_{i}$ is standard
graded if $R=R_{0}[R_{1}]$.
Let $S=K[X_{1},\ldots,X_{n}]$ be a polynomial ring over a field $K$ and
$I\subset S$ be an ideal. If $<$ is a monomial order on $S$ we can consider
the initial ideal $\operatorname{in}_{<}(I)\subset S$ generated by all the
monomials of the form $\operatorname{in}_{<}(f)$ with $f\in I$. It turns out
that it is possible to choose a suitable weight vector
$w\in(\mathbb{N}_{>0})^{n}$ (depending on $<$ and $I$) such that
$\operatorname{in}_{<}(I)=\operatorname{in}_{w}(I)$. Here
$\operatorname{in}_{w}(I)$ is the ideal of $S$ generated by
$\operatorname{in}_{w}(f)$ with $f\in I$, where $\operatorname{in}_{w}(f)$
stands for the sum of the terms of $f$ with maximal $w$-degree. The latter
point of view is more convenient concerning some aspects. For example, besides
Gröbner bases it also includes Sagbi bases. In fact, if $A\subset S$ is a
$K$-subalgebra of $S$, consider the $K$-subalgebra
$\operatorname{in}_{<}(A)\subset S$ generated by all the monomials of the form
$\operatorname{in}_{<}(f)$ with $f\in A$. If $f_{1},\ldots,f_{m}\in A$ are a
Sagbi basis of $A$, that is
$\operatorname{in}_{<}(A)=K[\operatorname{in}_{<}(f_{1}),\ldots,\operatorname{in}_{<}(f_{m})]$,
it is easy to see that $A=K[f_{1},\ldots,f_{m}]$. It turns out that, if
$J\subset P=K[Y_{1},\ldots,Y_{m}]$ is the kernel of the $K$-algebra
homomorphism sending $Y_{i}$ to $f_{i}$ (so that $P/J\cong A$), there exists
$u\in(\mathbb{N}_{>0})^{m}$ such that $\operatorname{in}_{u}(J)$ is the kernel
of the $K$-algebra homomorphism sending $Y_{i}$ to
$\operatorname{in}_{<}(f_{i})$, hence $\operatorname{in}_{u}(J)$ is a binomial
ideal and $P/\operatorname{in}_{u}(J)\cong\operatorname{in}_{<}(A)$, (cf. [9,
Corollary 2.1]).
The formation of $\operatorname{in}_{w}(I)$ can also be seen as a deformation:
Let $t$ be an extra homogenizing variable, and $\hom_{w}(I)\subset S[t]$ the
$w$-homogenization of $I$. Then we say that $R=S[t]/\hom_{w}(I)$ is a Gröbner
deformation, and we have that:
* •
$R$ is a $\mathbb{N}$-graded ring such that $R_{0}=K$ and $t\cdot 1\in R$ has
degree 1 (the grading is given by $\deg(X_{i}\cdot 1)=w_{i}$ and $\deg(t\cdot
1)=1$).
* •
$t$ is a nonzero-divisor on $R$.
* •
$R/tR\cong S/\operatorname{in}_{w}(I)$.
* •
$R/(t-1)R\cong S/I$.
A 1-parameter affine deformation over $K$ is a flat morphism
$X\rightarrow\mathbb{A}^{1}$ where $\mathbb{A}^{1}$ is the affine line over
$K$ and $X$ is an affine variety over $K$. In other words, a 1-parameter
affine deformation over $K$ is a $K$-algebra $R$ which is a flat $K[t]$-module
(equivalently a $K[t]$-module without nontrivial torsion). In the following we
will write $t$ for $t\cdot 1\in R$.
###### Lemma 2.1.
Let $R$ be a 1-parameter affine deformation over $K$. Then the following are
equivalent:
1. (1)
$R$ is $\mathbb{N}$-graded, $R_{0}=K$ and $t\in R$ is homogeneous of degree 1.
2. (2)
$R$ is a Gröbner deformation.
* Proof.
We already noticed (2) $\implies$ (1). For the converse, let $V\subset
R\setminus K$ be a finite dimensional graded vector space containing $t$ such
that $R=K[V]$. Set $n+1=\dim_{K}V$. Let $v_{1},\ldots,v_{n}\in V$ be
homogeneous elements such that $t,v_{1},\ldots,v_{n}$ is a $K$-basis of $V$,
$S=K[X_{1},\ldots,X_{n}]$ and $S[z]\rightarrow R$ the $K$-algebra homomorphism
sending $z$ to $t$ and $X_{i}$ to $v_{i}$. Call $J\subset S[z]$ the kernel,
$I=(J+(z-1))/(z-1)\subseteq S$, $w_{i}=\deg(v_{i})=\deg(X_{i})$ and put
$\deg(z)=\deg(t)=1$, so that the above map is graded. We claim that
$J=\hom_{w}(I)$ (so that $R/tR\cong S/\operatorname{in}_{w}(I)$). So we would
conclude because $R\cong S[z]/\hom_{w}(I)$.
To prove the claim, it is useful to introduce the dehomogeneization
homomorphism $\pi:S[z]\rightarrow S$ sending $X_{i}$ to itself and $z$ to 1.
With this notation $I=\pi(J)$.
Let us first see that $J\subset\hom_{w}(I)$. Let $F$ be a homogeneous element
of $J$. We can write $F=z^{r}G$ where $G$ is a homogeneous polynomial of
$S[z]$ not divided by $z$. Of course $\pi(F)=\pi(G)$ belongs to $I$, so
$\hom_{w}(\pi(G))=G\in\hom_{w}(I)$. Since $F$ is a multiple of $G$, it belongs
to $\hom_{w}(I)$ as well. Since $J$ is a homogeneous ideal we conclude that
$J\subset\hom_{w}(I)$.
For the inclusion $\hom_{w}(I)\subset J$, take $f\in I$ and consider
$\hom_{w}(f)\in\hom_{w}(I)$. By definition $f=\pi(F)$ for some $F\in J$. Since
$J$ is homogeneous, $F=\sum_{i}F_{i}$ where $F_{i}\in J$ is homogeneous of
degree $i$. If $d=\max\\{i:F_{i}\neq 0\\}$, we can replace $F$ with
$F^{\prime}=\sum_{i}z^{d-i}F_{i}$, which is a homogeneous element of $J$ such
that $\pi(F^{\prime})=f$. So we can assume at once that $F$ is homogeneous. As
before, we can write $F=z^{r}G$ where $G$ is a homogeneous polynomial of
$S[z]$ not divided by $z$. Since $R$ is flat over $K[t]$, $t$ is a nonzero-
divisor on $R$, so that $G$ belongs to $J$. So $\hom_{w}(f)=G$ belongs to $J$.
Since $\hom_{w}(I)$ is generated by elements of the form $\hom_{w}(f)$ with
$f\in I$, we conclude that $\hom_{w}(I)\subset J$. ∎
In view of the previous lemma, we will refer to a $\mathbb{N}$-graded ring $R$
which is a $K[t]$-module without nontrivial torsion, such that $t\in R$ is
homogeneous of degree 1 and such that $R_{0}=K$, as a Gröbner deformation. We
introduce the following concept:
###### Definition 2.2.
Let $\mathcal{P}$ be some property that can have a ring. We say that
$\mathcal{P}$ is $G$-deforming if the following two conditions hold:
1. (1)
If $R$ is a $\mathbb{N}$-graded ring with $R_{0}=K$ and $x\in R$ is a nonzero-
divisor on $R$ of degree 1 such that $R/xR$ has property $\mathcal{P}$, then
$R_{x}$ has property $\mathcal{P}$ as well.
2. (2)
If $R$ is a (not necessarily graded) ring such that $R[X,X^{-1}]$ has property
$\mathcal{P}$, then $R$ has property $\mathcal{P}$ as well.
###### Proposition 2.3.
Let $R$ be a Gröbner deformation. If $R/tR$, has a G-deforming property
$\mathcal{P}$, then $R/(t-\lambda)R$ has property $\mathcal{P}$ as well for
each $\lambda\in K$.
In other words, if $I\subset S=K[X_{1},\ldots,X_{n}]$ is an ideal and
$w\in\mathbb{N}^{n}$ a weight vector such that $S/\operatorname{in}_{w}(I)$
has a $G$-deforming property $\mathcal{P}$, then $S/I$ has property
$\mathcal{P}$ as well.
* Proof.
Since $\mathcal{P}$ is $G$-deforming, then:
* –
Because $R/tR$ has property $\mathcal{P}$, then $R_{t}$ has property
$\mathcal{P}$ as well.
* –
Notice that, if $A=R/(t-1)R$, $R_{t}\cong A[X,X^{-1}]$. Since $A[X,X^{-1}]$
has property $\mathcal{P}$, $A=R/(t-1)R$ has property $\mathcal{P}$ as well.
So $R/(t-1)R$ has property $\mathcal{P}$. Now simply notice that, since $R$ is
a Gröbner deformation, $R/(t-\lambda)R$ is isomorphic to $R/(t-1)R$ for
$\lambda\in K\setminus\\{0\\}$. ∎
###### Example 2.4.
The conclusion of Proposition 2.3 may fail for 1-parameter affine deformations
over $K$ which are not Gröbner. For example, if $K$ is a field of
characteristic 5, consider
$R=K[X,Y,Z,t]/(tX^{3}+tY^{3}+tZ^{3}+XYZ).$
such an $R$ is a 1-parameter affine deformation over $K$ and $R/tR\cong
K[X,Y,Z]/(XYZ)$ is strongly $F$-injective. As we will see, being strongly
$F$-injective is a $G$-deforming property, however $R/(t-1)R\cong
K[X,Y,Z]/(X^{3}+Y^{3}+Z^{3}+XYZ)$ is not even $F$-injective.
## 3\. $F$-singularities and Gröbner deformations
Let $p$ be a prime number. Let $R$ be a ring of characteristic $p$, and
consider the _Frobenius map_ :
$\displaystyle F:$ $\displaystyle R\longrightarrow R$ $\displaystyle r\mapsto
r^{p}$
Note that $F$ is a ring homomorphism. We denote by $F_{*}R$ the $R$-module
defined as follows:
* •
$F_{*}R=R$ as additive group;
* •
$r\cdot x=r^{p}x$ for all $r\in R$ and $x\in F_{*}R$.
This way we can also think of $F$ as the following map of $R$-modules:
$\displaystyle F:$ $\displaystyle R\longrightarrow F_{*}R$ $\displaystyle
r\mapsto r^{p}$
The ring $R$ is reduced if and only if $F$ is injective, so it is natural to
introduce the following concept:
###### Definition 3.1.
$R$ is _$F$ -split_ if there exists a homomorphism $\theta:F_{*}R\rightarrow
R$ of $R$-modules such that $\theta\circ F=1_{R}$. Such a $\theta$ is called
an $F$-splitting of $R$.
If $I$ is an ideal of $R$, we have an induced map of $R$-modules
$F:H^{i}_{I}(R)\to H^{i}_{I}(F_{*}R)$ for all $i\in\mathbb{N}$. As Abelian
groups, it is easy to check that $H^{i}_{F(I)R}(R)=H^{i}_{I}(F_{*}R)$, hence,
since $F(I)R=(x^{p}:x\in I)$ and $I$ have the same radical, we have a map of
Abelian groups:
$F:H_{I}^{i}(R)\to H_{I}^{i}(R).$
If $R$ is $F$-split, of course $F:H^{i}_{I}(R)\to H^{i}_{I}(F_{*}R)$ splits as
a map of $R$-modules. In particular, $F:H^{i}_{I}(R)\to H^{i}_{I}(R)$ is
injective for any ideal $I\subset R$ and $i\in\mathbb{N}$. The latter fact
turned out to be very powerful since the work of Hochster and Roberts [21], so
it has been natural to introduce the following definition:
###### Definition 3.2.
$R$ is _$F$ -injective_ if the map $F:H^{i}_{\mathfrak{m}}(R)\to
H^{i}_{\mathfrak{m}}(R)$ is injective for any maximal ideal
$\mathfrak{m}\subset R$ and $i\in\mathbb{N}$.
The “$F$-split” property does not deform, i.e. there are examples of local
rings $R$ which are not $F$-split but such that $R/xR$ is $F$-split for some
regular element $x\in R$ (see Example 4.13). It is still an open problem
whether the “$F$-injective” property deforms. For this reason we further need
to introduce the following property:
###### Definition 3.3.
$R$ is _$F$ -full_ if the image of the map $F:H^{i}_{\mathfrak{m}}(R)\to
H^{i}_{\mathfrak{m}}(R)$ generates $H^{i}_{\mathfrak{m}}(R)$ as $R$-module for
any maximal ideal $\mathfrak{m}\subset R$ and $i\in\mathbb{N}$.
It turns out that, if $R$ is $F$-split, then it is $F$-full ([23, Theorem 3.7]
and [24, Remark 2.4]). Moreover the “$F$-full property” is known to deform
([24, Theorem 4.2]). Since there is no relationship between being $F$-full and
being $F$-injective (any Cohen-Macaulay ring is $F$-full; on the other hand
there exist $F$-injective rings that are not $F$-full, see [25, Example 3.5]),
we introduce the last $F$-singularity of this paper:
###### Definition 3.4.
$R$ is _strongly $F$-injective_ if it is $F$-injective and $F$-full.
By the previous discussion it follows that being strongly $F$-injective is a
property in between the “‘$F$-split” and the “$F$-injective” properties. The
important point for us is that the “strongly $F$-injective” property deforms
by [24, Corollary 5.16].
### 3.1. $F$-splittings of the polynomial ring
In this subsection, we essentially combine parts of classical Fedder’s paper
[17] with parts of the more recent paper of Knutson [22], in order to find
interesting ideals having a squarefree Gröbner degeneration.
For this subsection, $K$ will be a perfect field of prime characteristic $p$
and $S=K[X_{1},\ldots,X_{n}]$ the polynomial ring in $n$ variables over $K$.
It is easy to see that $F_{*}S$ is the free $S$-module generated by the
monomials $X_{1}^{i_{1}}\cdots X_{n}^{i_{n}}$ with $i_{j}<p$ for all $j$. In
particular, $S$ is $F$-split. We want to describe all the $F$-splittings
$\theta:F_{*}S\rightarrow S$, and more generally the elements of
$\operatorname{Hom}_{S}(F_{*}S,S)$. Of course the latter is a free $S$-module
generated by the dual basis of $X_{1}^{i_{1}}\cdots X_{n}^{i_{n}}$ with
$i_{j}<p$ for all $j$, say $\phi_{i_{1},\ldots,i_{n}}$. But our purpose is to
understand the structure of $\operatorname{Hom}_{S}(F_{*}S,S)$ as an
$F_{*}S$-module.
To this goal, let us introduce the fundamental element
$\operatorname{Tr}:=\phi_{p-1,p-1,\ldots,p-1}\in\operatorname{Hom}_{S}(F_{*}S,S)$.
We claim that $\operatorname{Hom}_{S}(F_{*}S,S)$, as an $F_{*}S$-module, is
generated by $\operatorname{Tr}$. More precisely, the following is an
isomorphism of $F_{*}S$-modules:
$\displaystyle\Phi:$ $\displaystyle F_{*}S\rightarrow$
$\displaystyle\operatorname{Hom}_{S}(F_{*}S,S)$ $\displaystyle f\mapsto$
$\displaystyle f\star\operatorname{Tr}:g\mapsto\operatorname{Tr}(fg)$
The fact that $\Phi$ is an injective map of $F_{*}S$-modules is clear. For the
surjectivity, just notice that, if $i_{1},\ldots,i_{n}$ are natural numbers
such that $i_{j}<p$ for all $j$, we have
$\phi_{i_{1},\ldots,i_{n}}=X_{1}^{p-i_{1}-1}\cdots
X_{n}^{p-i_{n}-1}\star\operatorname{Tr}$.
###### Remark 3.5.
Notice that, given $f\in S$, $f\star\operatorname{Tr}$ is an $F$-splitting of
$R$ if and only if the following two conditions hold:
1. (1)
$X_{1}^{p-1}\cdots X_{n}^{p-1}\in\mathrm{supp}(f)$ and its coefficient in $f$
is 1.
2. (2)
If $X_{1}^{u_{1}}\cdots X_{n}^{u_{n}}\in\mathrm{supp}(f)$ and
$u_{1}\equiv\ldots\equiv u_{n}\equiv-1$ (mod $p$), then $u_{i}=p-1$ $\forall\
i$.
###### Definition 3.6.
If $\theta:F_{*}S\rightarrow S$ is an $F$-splitting, we say that an ideal
$I\subset S$ is compatibly split with respect to $\theta$ if $\theta(I)\subset
I$.
###### Remark 3.7.
Of course, if an ideal $I\subset S$ is compatibly split with respect to an
$F$-splitting $\theta$, then
$\overline{\theta}:(F_{*}S)/I=F_{*}(S/I)\rightarrow S/I$ defines an
$F$-splitting of $S/I$; in particular $S/I$ is $F$-split. Furthermore, in this
case, $\theta(I)=I$ (indeed the inclusion $I\subset\alpha(I)$ holds true for
any $F$-splitting $\alpha\in\operatorname{Hom}_{S}(F_{*}S,S)$).
###### Proposition 3.8.
The map $\theta=X_{1}^{p-1}\cdots
X_{n}^{p-1}\star\operatorname{Tr}\in\operatorname{Hom}_{S}(F_{*}S,S)$ is an
$F$-splitting of $S$, and the compatibly split ideals with respect to $\theta$
are exactly the squarefree monomial ideals of $S$.
* Proof.
That $\theta$ is an $F$-splitting is clear, and it is easy to check that a
squarefree monomial ideal is compatibly split with respect to $\theta$.
Viceversa, let $g=\sum_{i=1}^{s}a_{i}\mu_{i}\in I$, where
$\mu_{i}=X^{u_{i1}}_{1}\cdots X^{u_{in}}_{n}$ and $a_{i}\in
K\setminus\\{0\\}$. Pick $i\in\\{1,\ldots,s\\}$. Our purpose is to show that,
if $I$ is compatibly split ideals with respect to $\theta$, then $\mu_{i}\in
I$. Clearly, there exists $N\in\mathbb{N}$ such that, for all $i\neq
k\in\\{1,\ldots,s\\}$, $u_{kj}\not\equiv u_{ij}$ (mod $p^{N}$) for some
$j\in\\{1,\ldots,n\\}$. For each $j=1,\ldots,n$, let $0\leq v_{j}<p^{N}$ such
that $u_{ij}\equiv-v_{j}$ modulo $p^{N}$, and call $h=X^{v_{1}}_{1}\cdots
X^{v_{n}}_{n}g\in I$. Since $I$ is a compatibly split ideal with respect to
$\theta$, then $\theta^{N}(h)\in I$. Notice that the monomials in the support
of $\theta^{N}(h)$ correspond to those $k\in\\{1,\ldots,s\\}$ such that
$u_{kj}\equiv u_{ij}$ modulo $p^{N}$ for all $j=1,\ldots,n$. Hence
$\theta^{N}(h)$ is a monomial, precisely
$\theta^{N}(h)=\sqrt[p^{\tiny N}]{a_{i}X_{1}^{u_{i1}+v_{1}}\cdots
X_{n}^{u_{in}+v_{n}}}.$
Since $\frac{u_{ij}+v_{j}}{p^{N}}\leq u_{ij}$ for any $j=1,\ldots,n$,
$\mu_{i}$ is a multiple of $\theta^{N}(h)\in I$, so that $\mu_{i}\in I$. This
shows that $I$ is a monomial ideal. That $I$ is radical follows from the fact
that $S/I$ is $F$-split. ∎
The following proposition has already been proved in [22, Lemma 2]. We provide
a proof here for the convenience of the reader.
###### Proposition 3.9.
Let $w=(w_{1},\ldots,w_{n})\in(\mathbb{N}_{>0})^{n}$ be a weight vector. Then,
for any $g\in S$, either $\operatorname{Tr}(\mathrm{in}_{w}(g))=0$ or
$\operatorname{Tr}(\mathrm{in}_{w}(g))=\mathrm{in}_{w}(\operatorname{Tr}(g))$.
* Proof.
Given two vectors $(u_{1},\ldots,u_{n}),(v_{1},\ldots,v_{n})\in\mathbb{R}^{n}$
clearly we have:
(3.1) $\displaystyle\sum_{i=1}^{n}u_{i}w_{i}\geq\sum_{i=1}^{n}v_{i}w_{i}\ $
$\displaystyle\Longleftrightarrow\
\sum_{i=1}^{n}\left(\frac{u_{i}+1}{p}-1\right)w_{i}\geq\sum_{i=1}^{n}\left(\frac{v_{i}+1}{p}-1\right)w_{i}.$
Recall that, if $\mu=X_{1}^{u_{1}}\cdots X_{n}^{u_{n}}$ is a monomial of $S$,
$w(\mu)=\sum_{j=1}^{n}w_{j}u_{j}$, and that
$w(f)=\max\\{w(\nu):\nu\in\mathrm{supp}(f)\\}$ for any $f\in R$.
Let $g=\sum_{i=1}^{s}a_{i}\mu_{i}\in I$, where
$\mu_{i}\in\operatorname{Mon}(S)$ and $a_{i}\in K\setminus\\{0\\}$. Call
$\mu_{i}=X_{1}^{u_{i1}}\cdots X_{n}^{u_{in}}$. If
$\operatorname{Tr}(\mathrm{in}_{w}(g))\neq 0$, then there exists
$i\in\\{1,\ldots,s\\}$ such that $w(\mu_{i})=w(g)$ and $u_{ij}\equiv-1$ (mod
$p$) for all $j=1,\ldots,n$.
Then $\operatorname{Tr}(\mathrm{in}_{w}(g))=\sum_{k\in
A}\sqrt[p]{a_{k}}\operatorname{Tr}(\mu_{k})$ where
$A=\\{k\in\\{1,\ldots,s\\}:w(\mu_{k})=w(g)\ \mbox{ and }\ u_{kj}\equiv-1\
\forall\ j=1,\ldots,n\\}$. By our assumption $A$ is nonempty, indeed $i\in A$.
On the other hand, $\operatorname{Tr}(g)=\sum_{k\in
B}\sqrt[p]{a_{k}}\operatorname{Tr}(\mu_{k})$ where
$B=\\{k\in\\{1,\ldots,s\\}:u_{kj}\equiv-1\ \forall\ j=1,\ldots,n\\}$. Of
course $A\subset B\subset\\{1,\ldots,s\\}$. Furthermore, using (3.1), $\\{k\in
B:w(\operatorname{Tr}(\mu_{k}))\ \mbox{ is maximal}\\}=\\{k\in B:w(\mu_{k})\
\mbox{ is maximal}\\}=A$, so $\mathrm{in}_{w}(\operatorname{Tr}(g))=\sum_{k\in
A}\sqrt[p]{a_{k}}\operatorname{Tr}(\mu_{k})=\operatorname{Tr}(\mathrm{in}_{w}(g))$.
∎
###### Corollary 3.10.
Let $f\in S$ be such that there is a monomial order $<$ with
$\mathrm{in}_{<}(f)=X_{1}^{p-1}\cdots X_{n}^{p-1}$. Then
$f\star\operatorname{Tr}$ is an $F$-splitting of $S$, and
$\mathrm{in}_{<}(I)\subset S$ is a squarefree monomial ideal for any
compatibly split ideal (with respect to $f\star\operatorname{Tr}$) $I\subset
S$.
* Proof.
By Proposition 3.8, it is enough to show that $\mathrm{in}_{<}(I)$ is a
compatibly split ideal with respect to $X_{1}^{p-1}\cdots
X_{n}^{p-1}\star\operatorname{Tr}$. Notice that $\mathrm{in}_{<}(I)$, as an
$S$-submodule of $F_{*}S$, is generated by finitely many monomials, say
$\mu_{1},\ldots,\mu_{k}$; so to check that $\mathrm{in}_{<}(I)$ is compatibly
split with respect to $X_{1}^{p-1}\cdots X_{n}^{p-1}\star\operatorname{Tr}$ it
is enough to check that $\operatorname{Tr}(X_{1}^{p-1}\cdots
X_{n}^{p-1}\mu_{i})\in\mathrm{in}_{<}(I)$ for all $i=1,\ldots,k$. By
definition of initial ideal, for any $i=1,\ldots,k$ there are $g_{i}\in I$
such that $\mathrm{in}_{<}(g_{i})=\mu_{i}$. Pick a weight vector
$w\in(\mathbb{N}_{>0}^{n})$ such that
$\mathrm{in}_{w}(g_{i})=\mathrm{in}_{<}(g_{i})$ for any $i=1,\ldots,k$ and
$\mathrm{in}_{w}(f)=\mathrm{in}_{<}(f)$ (so
$\mathrm{in}_{w}(I)=\mathrm{in}_{<}(I)$). Then either
$\operatorname{Tr}(X_{1}^{p-1}\cdots X_{n}^{p-1}\mu_{i})=0$ or, using
Proposition 3.9,
$\displaystyle\operatorname{Tr}(X_{1}^{p-1}\cdots X_{n}^{p-1}\mu_{i})=$
$\displaystyle\operatorname{Tr}(\mathrm{in}_{w}(f)\mathrm{in}_{w}(g_{i}))$
$\displaystyle=$ $\displaystyle\operatorname{Tr}(\mathrm{in}_{w}(fg_{i}))$
$\displaystyle=$
$\displaystyle\mathrm{in}_{w}(\operatorname{Tr}(fg_{i})))\in\mathrm{in}_{w}(\operatorname{Tr}(fI))\subset\mathrm{in}_{w}(I)=\mathrm{in}_{<}(I).$
∎
We end this subsection recalling the following useful criterion (see [17,
Lemma 1.6]).
###### Proposition 3.11.
For any $f\in S$ and any ideal $I\subset S$, we have:
$(f\star\operatorname{Tr})(I)\subset I\iff f\in I^{[p]}:I.$
### 3.2. Conclusions
In this subsection we gather the conclusions we can get from the previous
subsection. We will not assume anymore that $K$ is a perfect field of positive
characteristic. It is useful to recall that, if $\phi:A\to B$ is a flat
homomorphism of Noetherian rings, then for any two ideals $I,J\subset A$ one
has (cf. [27, Theorem 7.4]:
$(I\cap J)B=IB\cap JB,\ \ \ \ \ (I:J)B=IB:JB.$
###### Theorem 3.12.
Let $S=K[X_{1},\ldots,X_{n}]$ be the polynomial ring in $n$ variables over a
field $K$ of characteristic $p>0$. Let $I\subset S$ be an ideal, $<$ a
monomial order of $S$. If $\mathrm{in}_{<}(I^{[p]}:I)$ contains
$X_{1}^{p-1}\cdots X_{n}^{p-1}$, then $\mathrm{in}_{<}(I)$ is a squarefree
monomial ideal.
* Proof.
Let $f\in I^{[p]}:I$ such that $\mathrm{in}_{<}(f)=X_{1}^{p-1}\cdots
X_{n}^{p-1}$. Let $K^{\prime}$ be the perfect closure of $K$,
$S^{\prime}=S\otimes_{K}K^{\prime}$ and $I^{\prime}=IS^{\prime}$. Since the
inclusion $S\subset S^{\prime}$ is flat, then
$(I^{[p]}:I)S^{\prime}=I^{\prime[p]}:I^{\prime}$, so
$(f\star\operatorname{Tr})(I^{\prime})\subset I^{\prime}$ by Proposition 3.11.
So, by Corollary 3.10 $\mathrm{in}_{<}(I^{\prime})$ is a squarefree monomial
ideal. Since the Buchberger algorithm is not affected by field extensions, we
conclude that $\mathrm{in}_{<}(I)$ is a squarefree monomial ideal. ∎
The proof of the next result is inspired by the results in [28], we recall
that the $m$th symbolic power of an ideal $I$ of a Noetherian ring $R$ is the
ideal $I^{(m)}:=I^{m}(T^{-1}R)\cap R$ where $T$ is the complement in $R$ of
the union of the minimal prime ideals of $I$. In other words, $r\in I^{(m)}$
if and only if there exists $x\in R$ avoiding all the minimal prime ideals of
$I$ such that $rx\in I^{m}$.
###### Theorem 3.13.
Let $S=K[X_{1},\ldots,X_{n}]$ be the polynomial ring in $n$ variables over a
field $K$ (not necessarily of positive characteristic). Let $I\subset S$ be an
ideal, $<$ a monomial order of $S$, and call
$h=\max\\{0pt(\mathfrak{p}):\mathfrak{p}\in\operatorname{Min}(I)\\}$. If
$\mathrm{in}_{<}(I^{(h)})$ contains a squarefree monomial, then
$\mathrm{in}_{<}(\sqrt{I})$ is a squarefree monomial ideal.
* Proof.
Of course we can assume that $I$ is radical, since
$I^{(h)}\subset(\sqrt{I})^{(h)}$.
Let us first assume that $K$ has characteristic $p>0$. Let $f\in
I^{(h)}=\bigcap_{\mathfrak{p}\in\operatorname{Min}(I)}\mathfrak{p}^{(h)}$ such
that $\mathrm{in}(f)$ is a squarefree monomial. Of course we can assume
$\mathrm{in}(f)=X_{1}\cdots X_{n}$, so that
$\mathrm{in}(f^{p-1})=\mathrm{in}(f)^{p-1}=X_{1}^{p-1}\cdots X_{n}^{p-1}$, so
if we show that $f^{p-1}\in I^{[p]}:I$ we are done by Theorem 3.12. Pick $g\in
I$. Then $g\in\mathfrak{p}$ for any minimal prime ideal $\mathfrak{p}$ of $I$.
So let us see $g\in\mathfrak{p}S_{\mathfrak{p}}$. Since $S_{\mathfrak{p}}$ is
a regular local ring of dimension $0pt(\mathfrak{p})\leq h$,
$\mathfrak{p}S_{\mathfrak{p}}$ is generated by at most $h$ elements. Hence,
since $f\in(\mathfrak{p}S_{\mathfrak{p}})^{h}$, by the pigeonhole principle,
then
$f^{p-1}g\in(\mathfrak{p}S_{\mathfrak{p}})^{[p]}.$
Hence there exists $a\in S\setminus\mathfrak{p}$ such that
$af^{p-1}g\in\mathfrak{p}^{[p]}$. In particular
$a^{p}f^{p-1}g\in\mathfrak{p}^{[p]}$, that is
$f^{p-1}g\in\mathfrak{p}^{[p]}:a^{p}$. So, since $S$ is a regular ring, the
Frobenius map $F:S\to S$ is flat by the theorem of Kunz (cf. [5, Corollary
8.2.8]) $f^{p-1}g\in(\mathfrak{p}:a)^{[p]}=\mathfrak{p}^{[p]}$, and
$f^{p-1}g\in\bigcap_{\mathfrak{p}\in\operatorname{Min}(I)}\mathfrak{p}^{[p]}=\left(\bigcap_{\mathfrak{p}\in\operatorname{Min}(I)}\mathfrak{p}\right)^{[p]}=I^{[p]}.$
This concludes the proof if $K$ has positive characteristic.
If $K$ has characteristic 0, let $\overline{K}$ denote the algebraic closure
of $K$, $\overline{S}=\overline{K}[X_{1},\ldots,X_{n}]$ and
$\overline{I}=I\overline{S}$. Since $K$, having characteristic 0, is perfect,
$\overline{I}$ is a radical ideal. Moreover we have an equality of sets
$\\{0pt(\mathfrak{p}):\mathfrak{p}\in\operatorname{Min}(\overline{I})\\}=\\{0pt(\mathfrak{p}):\mathfrak{p}\in\operatorname{Min}(I)\\}$:
indeed, given a height $c$ prime ideal $\mathfrak{p}\subset S$,
$\mathfrak{p}\overline{S}$ is a (perhaps not prime) ideal of $\overline{S}$
having all the minimal primes of height $c$, and the prime ideals of
$\operatorname{Min}(\overline{I})$ are minimal over some
$\mathfrak{p}\overline{S}$ with $\mathfrak{p}\in\operatorname{Min}(I)$. So
$h=\max\\{0pt(\mathfrak{p}):\mathfrak{p}\in\operatorname{Min}(\overline{I})\\}$.
Next, fix $f\in I^{(h)}$ such that $\mathrm{in}(f)$ is a squarefree monomial;
so there exists $g\in
S\setminus\left(\bigcup_{\mathfrak{p}\in\operatorname{Min}(I)}\mathfrak{p}\right)$
such that $fg\in I^{h}$. Clearly, viewing $f$ and $g$ as polynomials of
$\overline{S}$, we have $fg\in\overline{I}^{h}$. If $g$ were in some
$\mathfrak{p}\in\operatorname{Min}(\overline{I})$, then it would also belong
to $\mathfrak{p}\cap S$, which is a minimal prime ideal of $I$, and we know
this is not the case. So $fg\in\overline{I}^{h}$ and $g$ is not in
$\bigcup_{\mathfrak{p}\in\operatorname{Min}(\overline{I})}\mathfrak{p}$, so
$f\in\overline{I}^{(h)}$. Therefore, we can assume that $K$ is algebraically
closed.
Let $\\{f_{1},\ldots,f_{m}\\}$ be the reduced Gröbner basis of $I$ with
respect to $<$. We need to show that $\mathrm{in}(f_{i})$ is a squarefree
monomial for each $i=1,\ldots,m$.
To this purpose, let us fix $f\in I^{(h)}$ such that $\mathrm{in}(f)$ is a
squarefree monomial with coefficient 1 in $f$; so there is $g\in S$ such that
$fg\in I^{h}$ and $g$ does not belong to any of the minimal prime ideals of
$I$. We can find a finitely generated $\mathbb{Z}$-algebra $Z\subset K$ such
that the coefficients of $f,g$, those of all the $f_{i}$’s and those of the
polynomials of the reduced Gröbner bases of the minimal prime ideals of $I$
are in $Z$. In particular, if $S_{Z}=Z[X_{1},\ldots,X_{n}]$ and $J_{Z}=J\cap
S_{Z}$ for any ideal $J\subset S$, we have $I_{Z}S=I$ and
$\mathfrak{p}_{Z}S=\mathfrak{p}$ for all
$\mathfrak{p}\in\operatorname{Min}(I)$.
We also introduce the following notation: for all prime ideals $P\subset Z$,
$Q(P)$ denotes the field of fractions of $Z/P$ (we write just $Q$ if $P$ is
the zero ideal), $S_{Q(P)}=Q(P)[X_{1},\ldots,X_{n}]$ and $J_{Q(P)}$ stands for
$J_{Z}S_{Q(P)}$ for any ideal $J\subset S$. Also, we will write $\overline{a}$
for the image in $S_{Q(P)}$ of an element $a\in S_{Z}$. Notice that a prime
ideal $P\subset Z$ contains at most one prime number $p\in\mathbb{N}$; in this
case, $Q(P)$ is a field of characteristic $p$.
Notice that for any prime number $p>0$ and for all
$P\in\operatorname{Min}(pZ)$ we have that
$\\{\overline{f_{1}},\ldots,\overline{f_{m}}\\}$ is a (reduced) Gröbner basis
of $I_{Q(P)}$. This is simply because the coefficient of $\mathrm{in}(f_{i})$
in $f_{i}\in S_{Z}$ is 1 for all $i=1,\ldots,m$, so if the $S$-polynomials
between the $f_{i}$’s reduce to zero modulo $\\{f_{1},\ldots,f_{m}\\}$ in $S$,
they reduce to zero modulo $\\{\overline{f_{1}},\ldots,\overline{f_{m}}\\}$ in
$S_{Q(P)}$ as well.
Similarly to above, notice that for any prime number $p>0$ and for all
$P\in\operatorname{Min}(pZ)$ we have that
$\\{\overline{g_{1}},\ldots,\overline{g_{k}}\\}$ is the reduced Gröbner basis
of $\mathfrak{p}_{Q(P)}$ provided that $\\{g_{1},\ldots,g_{k}\\}$ is the
reduced Gröbner basis of $\mathfrak{p}$, for any
$\mathfrak{p}\in\operatorname{Min}(I)$. In particular, for any prime number
$p>0$ and for all $P\in\operatorname{Min}(pZ)$ we have
$0pt(\mathfrak{p})=0pt(\mathfrak{p}_{Q(P)})$ and
$\overline{g}\notin\mathfrak{p}_{Q(P)}$ for all
$\mathfrak{p}\in\operatorname{Min}(I)$.
We claim that there exists $N\in\mathbb{N}$ such that, for all prime numbers
$p>N$ and $P\in\operatorname{Min}(pZ)$, we have that
$I_{Q(P)}=\bigcap_{\mathfrak{p}\in\operatorname{Min}(I)}\mathfrak{p}_{Q(P)}$.
The intersection of two polynomial ideals $A$ and $B$ of $S_{Q(P)}$ can be
performed by computing a Gröbner basis of $At+B(1-t)\in S_{Q(P)}[t]$, so the
claim follows by [28, Lemma 2.3] (see the proof of Proposition 2.2 in [28] for
the same application).
We claim that, for all $\mathfrak{p}\in\operatorname{Min}(I)$, there exists
$N_{\mathfrak{p}}\in\mathbb{N}$ such that, for all prime numbers
$p>N_{\mathfrak{p}}$ and $P\in\operatorname{Min}(pZ)$, $\mathfrak{p}_{Q(P)}$
is a prime ideal of $S_{Q(P)}$. To see this, consider the morphism of schemes
$\phi:X=\operatorname{Spec}(S_{Z}/\mathfrak{p}_{Z})\to
Y=\operatorname{Spec}(Z).$
Notice that we have that $\phi$ is of finite type and $Y$ is irreducible.
Since $\mathfrak{p}_{Q}S=\mathfrak{p}_{Z}S$ is a prime ideal, then the special
fibre $X_{\eta}$ ($\eta$ is the generic point of $Y$, namely the zero ideal of
$Z$) is geometrically irreducible and geometrically reduced. Hence by Lemma
37.24.4 of [30, Tag 0574] and Lemma 37.25.5 of [30, Tag 0553] there exists a
nonempty open subset $U\subset Y$ such that $X_{y}$ is geometrically reduced
and geometrically irreducible for all $y\in U$. In other words,
$\mathfrak{p}_{Q(P)}$ is a geometrically prime ideal of $S_{Q(P)}$ for all
prime ideals $P\in U$. We have proved the claim since there exists a nonzero
ideal $J\subset Z$ such that $U=\\{y\in Y:y\not\supset J\\}$, so all but
finitely many prime ideals of height 1 in $Z$ belong to $U$.
Gathering everything, if we pick a prime number
$p>\max\\{N,N_{\mathfrak{p}}:\mathfrak{p}\in\operatorname{Min}(I)\\}$, we
proved that any $P\in\operatorname{Min}(pZ)$ is a prime ideal of $Z$ such
that:
* –
$Q(P)$ is a field of characteristic $p>0$.
* –
$I_{Q(P)}$ is a radical ideal with
$\operatorname{Min}(I_{Q(P)})=\\{\mathfrak{p}_{Q(P)}:\mathfrak{p}\in\operatorname{Min}(I)\\}$.
* –
The maximum height of a minimal prime ideal of $I_{Q(P)}$ is $h$.
* –
$\mathrm{in}((I_{Q(P)})^{(h)})$ contains a squarefree monomial.
The above facts, and what previously proved in characteristic $p>0$, tell us
that $\mathrm{in}(I_{Q(P)})$ is a squarefree monomial ideal. That is,
$\mathrm{in}(f_{i})$ is a squarefree monomial for all $i=1,\ldots,m$, i.e.
$\mathrm{in}(I)$ is a squarefree monomial ideal. ∎
## 4\. Some $G$-deforming $F$-singularities
In this section we will prove that being $F$-rational or strongly
$F$-injective are $G$-deforming properties. These facts depend on the fact
that these properties deform in the local case by, respectively, [19, Theorem
4.2(h)] and [24, Theorem 4.2(i)]. We show that they also deform in the graded
case accordingly with the nonlocal definitions, and to this purpose we proved
Theorem 4.3 and Proposition 4.9, that are expected but we could not find in
the literature. (We should point out that it would be possible to prove that
$F$-rational or strongly $F$-injective are $G$-deforming properties in a more
direct way, but we find Theorem 4.3 and Proposition 4.9 interesting by
themselves).
A sequence of elements $x_{1},\ldots,x_{n}$ in a ring $R$ are called
_parameters_ if they can be extended to a system of parameters in every local
ring $R_{\mathfrak{p}}$ of $R$ where $\mathfrak{p}$ is a prime ideal of $R$
that contains them. An ideal of $R$ is said to be a _parameter ideal_ if it
can be generated by parameters.
If $R$ has prime characteristic $p$, the tight closure of an ideal $I\subset
R$ is the ideal $I^{*}$ formed by the elements $r\in R$ such that there exists
$c\in R\setminus\bigcup_{\mathfrak{p}\in\mathrm{Min}(R)}\mathfrak{p}$ such
that $cr^{p^{e}}\in I^{[p^{e}]}=(x^{p^{e}}:x\in I)$ for any positive integer
$e\gg 0$. We say that $I$ is tightly closed if $I=I^{*}$.
###### Definition 4.1.
A ring of prime characteristic is _$F$ -rational_ if every parameter ideal is
tightly closed.
The following Lemma is well-known. For the convenience of the reader we
include a proof.
###### Lemma 4.2.
Let $f:R\to S$ be a faithfully flat map. If $S$ is $F$-rational so is $R$.
* Proof.
Since $R\to S$ is faithfully flat, then parameters of $R$ go to parameters of
$S$. Let $I\subset R$ be a parameter ideal. Then $(IS)^{*}=IS$, as $S$ is
$F$-rational. Now $I^{*}S\subseteq(IS)^{*}=IS$, hence $I^{*}=I^{*}S\cap
R\subseteq IS\cap R=I$ (the equalities follow because $R\to S$ is faithfully
flat). So $I^{*}=I$. ∎
###### Theorem 4.3.
If $R=\oplus_{i\in\mathbb{Z}}R_{i}$ is a $\mathbb{Z}$-graded ring having a
unique maximal homogeneous ideal $\mathfrak{m}\subset R$, and
$(R_{0},\mathfrak{m}_{0})$ is a complete local ring, then $R$ is $F$-rational
if and only if $R_{\mathfrak{m}}$ is $F$-rational.
* Proof.
Notice that under the assumptions $R$ is a homomorphic image of a Cohen-
Macaulay ring, so the “only if” direction follows from [19, Theorem 4.2(f)].
For the other direction we start by noting that $R$ is a domain since
$R_{\mathfrak{m}}$ is a domain.
First let us assume that $R_{0}$ is infinite. Then also the multiplicative
group $R_{0}\setminus\mathfrak{m}_{0}$ is infinite. Since $R$ is reduced and
finitely generated over the excellent local ring $R_{0}$, [31, Theorem 3.5]
says that
$U=\\{\mathfrak{p}\in\operatorname{Spec}R:R_{\mathfrak{p}}\textrm{ is
$F$-rational}\\}$
is an open subset of $\operatorname{Spec}R$. Let $I\subset R$ be the radical
ideal such that
$V(I)=\\{\mathfrak{p}\in\operatorname{Spec}R:\mathfrak{p}\supset I\\}$ is the
complement $\operatorname{Spec}R\setminus U$. Since $\mathfrak{m}\in U$, we
are done once we show that $I$ is homogeneous. Consider the action
$(R_{0}\setminus\mathfrak{m}_{0})\times R\to R$ defined by $\lambda\cdot
f=\lambda^{d}f$ whenever $f\in R_{d}$, extended by additivity. Because
$R_{0}\setminus\mathfrak{m}_{0}$ is infinite, it can be easily checked that
$I$ is homogeneous if and only if it is stable under this action. Let us see
that this is indeed true: of course $x\in I$ if and only if $R_{x}$ is
$F$-rational. Because $\phi_{\lambda}:R\to R$ sending $f$ to $\lambda\cdot f$
is an automorphism of $R$ for all $\lambda\in R_{0}\setminus\mathfrak{m}_{0}$,
$R_{x}$ is $F$-rational if and only if $R_{\lambda\cdot x}$ is $F$-rational
for all $\lambda\in R_{0}\setminus\mathfrak{m}_{0}$. Hence, if $x\in I$, then
$\lambda\cdot x\in I$ for any $\lambda\in R_{0}\setminus\mathfrak{m}_{0}$, and
this concludes the proof in the case in which $R_{0}\setminus\mathfrak{m}_{0}$
is infinite.
If $R_{0}$ is finite, then being a domain, it must be a perfect field. Hence
$R_{0}\hookrightarrow L$ is a separable extension where $L$ is an algebraic
closure of $R_{0}$. Consider $R^{\prime}=R\otimes_{R_{0}}L$. Then
$R_{\mathfrak{m}}\to R^{\prime}_{\mathfrak{n}}$, where $\mathfrak{n}$ is the
only maximal homogeneous ideal of $R^{\prime}$, is a faithfully flat smooth
extension, so by [31, Theorem 3.1], $R^{\prime}_{\mathfrak{n}}$ is
$F$-rational. Now, since $L=R^{\prime}_{0}$ is infinite, by what has been
previously said, $R^{\prime}$ is $F$-rational, and therefore $R$ is
$F$-rational by Lemma 4.2.
∎
###### Proposition 4.4.
Being $F$-rational is a $G$-deforming property.
* Proof.
Let $R$ be a $\mathbb{N}$-graded ring with $R_{0}=K$, and suppose that $x\in
R$ is a nonzero-divisor on $R$ of degree 1 such that $R/xR$ is $F$-rational.
If $\mathfrak{m}=\bigoplus_{i\geq 1}R_{i}$, then
$R_{\mathfrak{m}}/xR_{\mathfrak{m}}$ is $F$-rational. So $R_{\mathfrak{m}}$ is
$F$-rational by [19, Theorem 4.2(h)] and hence $R$ is $F$-rational by Theorem
4.3. By [5, Proposition 10.3.10], $R_{x}$ is $F$-rational. This proves
condition (1) of the $G$-deforming definition. Condition (2) of the
$G$-deforming definition follows by Lemma 4.2. ∎
###### Corollary 4.5.
Let $S=K[X_{1},\ldots,X_{n}]$ be a polynomial ring over a field $K$ of
positive characteristic and $w\in(\mathbb{N}_{>0})^{n}$. If $I\subset S$ is an
ideal such that $S/\operatorname{in}_{w}(I)$ is $F$-rational, then $S/I$ is
$F$-rational.
* Proof.
This follows from Propositions 2.3 and 4.4 ∎
If in the above corollary we replace the word “$F$-rational” with
“$F$-regular” (that is, in all the localizations of $R$, every ideal is
tightly closed), the statement is false.
###### Example 4.6.
Let $S=K[X_{1},\ldots,X_{5}]$ where $K$ has characteristic $p>2$, and $I$ the
ideal generated by the $2$-minors of the matrix:
$\begin{pmatrix}X_{4}^{2}+X_{5}^{3}&X_{3}&X_{2}\\\
X_{1}&X_{4}^{2}&X_{3}^{4}-X_{2}\end{pmatrix}.$
Note that, if $\deg(X_{4})=3$, $\deg(X_{1})=\deg(X_{3})=6$, $\deg(X_{2})=24$
and $\deg(X_{5})=2$, the ideal $I$ is homogeneous. By [29, Proposition 4.5]
$S/I$ is not $F$-regular. However, considering the weight vector
$w=(6,24,6,3,1)$ of $(X_{1},X_{2},X_{3},X_{4},X_{5})$, one has that
$\mathrm{in}_{w}(I)$ is the ideal of 2-minors of the matrix:
$\begin{pmatrix}X_{4}^{2}&X_{3}&X_{2}\\\
X_{1}&X_{4}^{2}&X_{3}^{4}-X_{2}\end{pmatrix}.$
By [29, Proposition 4.3] $S/\mathrm{in}_{w}(I)$ is $F$-regular, so
“$F$-regularity” is not a $G$-deforming property.
Next we want to prove that being “$F$-full” or “strongly $F$-injective” are
$G$-deforming properties.
###### Lemma 4.7.
Let $f:R\to S$ be a faithfully flat map between homomorphic images of regular
rings of prime characteristic. If $S$ is $F$-full, so is $R$.
* Proof.
First note that since $f$ is faithfully flat the natural map
$\operatorname{Spec}S\to\operatorname{Spec}R$ induced by $f$ is surjective. We
will show $R_{\mathfrak{m}}$ is $F$-full for every maximal ideal
$\mathfrak{m}$ of $R$, that is equivalent to say that $R$ is $F$-full since
$H_{\mathfrak{m}R_{\mathfrak{m}}}^{i}(R_{\mathfrak{m}})\cong
H_{\mathfrak{m}}^{i}(R)$.
Let $\mathfrak{m}$ be a maximal ideal of $R$. Let $\mathfrak{n}$ be a maximal
ideal in $S$ containing $\mathfrak{m}S$. Then $R_{\mathfrak{m}}\to
S_{\mathfrak{n}}$ is a flat local map. By hypothesis $S_{\mathfrak{n}}$ is
$F$-full. By [12, Proposition 3.9, Corollary 2.2], $R_{\mathfrak{m}}$ is
$F$-full. ∎
###### Proposition 4.8.
Let $R=S/I$ with $S$ is an $n$-dimensional regular ring of prime
characteristic. Then $R$ is $F$-full iff the natural map
$\operatorname{Ext}^{i}_{S}(R,S)\to H^{i}_{I}(S)$ is injective for every
$i=0,\ldots,n$.
In particular, if $R$ is a homomorphic image of a regular ring:
* •
the $F$-full locus
$\\{\mathfrak{p}\in\operatorname{Spec}R:R_{\mathfrak{p}}\textrm{ is
F-full}\\}$ is a Zariski open subset of $\operatorname{Spec}R$.
* •
If $R=\oplus_{i\in\mathbb{Z}}R_{i}$ is a $\mathbb{Z}$-graded ring having a
unique maximal homogeneous ideal $\mathfrak{m}\subset R$, then $R$ is $F$-full
if and only if $R_{\mathfrak{m}}$ is $F$-full.
* Proof.
By definition $R$ is $F$-full if and only if $R_{\mathfrak{m}}$ is $F$-full
for all maximal ideals $\mathfrak{m}\subset R$, or equivalently, if and only
if $R_{\mathfrak{m}}$ is $F$-full for all maximal ideals $M\subset S$
containing $I$ and $\mathfrak{m}=M/I$. On the other hand, by [12, Proposition
2.1, Corollary 2.2], $R_{\mathfrak{m}}$ is $F$-full if and only if the natural
map
$\operatorname{Ext}^{i}_{S}(R,S)_{M}\cong\operatorname{Ext}^{i}_{S_{M}}(R_{\mathfrak{m}},S_{M})\to
H^{i}_{IS_{M}}(S_{M})\cong H^{i}_{I}(S)_{M}$
is injective for every $i=0,\ldots,n$. Clearly, the above maps are injective
for all maximal ideals $M\subset S$ containing $I$ and for all $i=0,\ldots,n$
if and only if the maps $\operatorname{Ext}^{i}_{S}(R,S)\to H^{i}_{I}(S)$ are
injective for all $i=0,\ldots,n$.
For the last part, just call $N_{i}$ the kernel of
$\operatorname{Ext}^{i}_{S}(R,S)\to H^{i}_{I}(S)$. Then, for a prime ideal
$\mathfrak{p}\in\operatorname{Spec}R$, $R_{\mathfrak{p}}$ is $F$-full if and
only if $(N_{i})_{\mathfrak{p}}=0$ for all $i=0,\ldots,n$. Therefore the
$F$-full locus of $R$ is
$\operatorname{Spec}R\setminus\cup_{i=0}^{n}\mathrm{Supp}N_{i}$, that is open.
Finally, in the graded case, $N_{i}$ is a graded $R$-module, so
$(N_{i})_{\mathfrak{m}}=0$ implies $N_{i}=0$. ∎
###### Proposition 4.9.
Being $F$-full or strongly $F$-injective are $G$-deforming properties.
* Proof.
Let $(R,\mathfrak{m})$ be an $\mathbb{N}$-graded ring with $R_{0}=K$. Suppose
that $R/xR$ is $F$-full (strongly $F$-injective) for some homogeneous element
$x$ of degree $1$. Then $R_{\mathfrak{m}}/xR_{\mathfrak{m}}$ is $F$-full
(strongly $F$-injective) by definition. Hence $R_{\mathfrak{m}}$ is $F$-full
(strongly $F$-injective) by [24, Theorem 4.2, Corollary 5.16], thus $R$ is
$F$-full (strongly $F$-injective) by Proposition 4.8 and [13, Theorem 5.12].
By [12, Lemma 3.4] and [13, Theorem 3.3], $R_{x}$ is $F$-full (strongly
$F$-injective), so condition $(1)$ of $G$-deforming property definition is
satisfied. Now condition (2) follows from Lemma 4.7 and [13, Theorem 3.9]. ∎
Thus similar to Corollary 4.5, we have
###### Corollary 4.10.
Let $S=K[X_{1},\ldots,X_{n}]$ be a polynomial ring over a field $K$ of
positive characteristic and $w\in(\mathbb{N}_{>0})^{n}$. If $I\subset S$ is an
ideal such that $S/\operatorname{in}_{w}(I)$ is strongly $F$-injective, then
$S/I$ is strongly $F$-injective.
###### Corollary 4.11.
Let $K$ be a field of characteristic $p>0$ and $<$ a monomial order on
$S=K[X_{1},\ldots,X_{n}]$, $I\subset S$ an ideal of $S$ and $A\subset S$ a
$K$-subalgebra.
1. (1)
If $\operatorname{in}_{<}(A)$ is Noetherian and normal, then $A$ is
$F$-rational.
2. (2)
If $\operatorname{in}_{<}(I)$ is radical, then $S/I$ is strongly
$F$-injective, and so $F$-injective.
* Proof.
(1). If $f_{1},\ldots,f_{m}\in A$ are a Sagbi basis of $A$, that is
$\operatorname{in}_{<}(A)=K[\operatorname{in}_{<}(f_{1}),\ldots,\operatorname{in}_{<}(f_{m})]$,
it is easy to see that $A=K[f_{1},\ldots,f_{m}]$. It turns out that, if
$J\subset P=K[Y_{1},\ldots,Y_{m}]$ is the kernel of the $K$-algebra
homomorphism sending $Y_{i}$ to $f_{i}$ (so that $P/J\cong A$), there exists
$u\in(\mathbb{N}_{>0})^{m}$ such that $\operatorname{in}_{u}(J)$ is the kernel
of the $K$-algebra homomorphism sending $Y_{i}$ to
$\operatorname{in}_{<}(f_{i})$ (hence $\operatorname{in}_{u}(J)$ is a binomial
ideal and $P/\operatorname{in}_{u}(J)\cong\operatorname{in}_{<}(A)$). Since
$P/\operatorname{in}_{u}(J)\cong\operatorname{in}_{<}(A)$ is a normal toric
ring, it is $F$-regular, being a direct summand of a polynomial ring ([19,
Proposition 4.12]). In particular, $P/\operatorname{in}_{u}(J)$ is
$F$-rational. So, by Proposition 4.5, $A\cong P/J$ is $F$-rational.
(2). Since $\operatorname{in}_{<}(I)$ is radical, it is generated by square
free monomials, hence $S/\operatorname{in}_{<}(I)$ is $F$-split, in particular
strongly $F$-injective, hence by Corollary 4.10, $S/I$ is strongly
$F$-injective. ∎
###### Remark 4.12.
The conclusion that $A$ is $F$-rational if $\mathrm{in}_{<}(A)$ is normal was
already proved in [9, Corollary 2.3]. In the proof is used that a
$\mathbb{N}$-graded ring $R$ (with $R_{0}$ a field of positive characteristic)
is $F$-rational whenever $R/xR$ is so for some non-zero divisor $x\in R_{1}$.
It is not clear to us how to show this fact (certainly known in the local
case) without using Theorem 4.3.
The conclusion that $S/I$ is $F$-injective provided $\mathrm{in}_{<}(I)$ is a
squarefree monomial ideal has been proved independently in [18, Theorem 4.3],
where this result has been crucial to prove that the only Gorenstein binomial
edge ideals are complete intersections.
###### Example 4.13.
Let $S=K[X_{1},\ldots,X_{5}]$ where $K$ has characteristic $p>3$, and $I$ the
ideal generated by the $2$-minors of the matrix of Example 4.6, namely:
$\begin{pmatrix}X_{4}^{2}+X_{5}^{3}&X_{3}&X_{2}\\\
X_{1}&X_{4}^{2}&X_{3}^{4}-X_{2}\end{pmatrix}.$
If $<$ is the lexicographic monomial order with
$X_{1}>X_{2}>X_{3}>X_{4}>X_{5}$, then
$\mathrm{in}_{<}(I)=(X_{1}X_{3},X_{1}X_{2},X_{2}X_{3})$, so $S/I$ is strongly
$F$-injective by Corollary 4.11. However, $S/I$ is not $F$-split by [29,
Proposition 4.5].
The following corollary can help in recognising certain classes of projective
varieties whose defining ideal, in any embedding, cannot admit a squarefree
initial ideal.
###### Corollary 4.14.
Let $X$ be a projective scheme over a field $K$ of characteristic $0$ such
that, for some embedding of $X$ in $\mathbb{P}^{n}$ and monomial order $<$ on
$K[x_{0},\cdots,x_{n}]$, we have that $\operatorname{in}_{<}(I)$ is squarefree
(where $I$ is the defining ideal of the embedding). Then the Frobenius action
on $H^{i}(X_{p},\mathcal{O}_{X_{p}})$ must be injective for all $i>0$ and
prime number $p\gg 0$ ($X_{p}$ denotes a reduction mod $p$ of $X$).
* Proof.
Let $X\simeq\operatorname{Proj}S/I$, where $S=K[x_{0},\cdots,x_{n}]$ with
respect to some embedding of $X$ in $\mathbb{P}^{n}$ with defining ideal
$I=(f_{1},\cdots,f_{t})$. We can, and will, choose $f_{1},\ldots,f_{t}$
forming a Gröbner basis. Choose a finitely generated $\mathbb{Z}$-algebra $A$
in such a way that, taking $S_{A}=A[x_{0},\cdots,x_{n}]$ and defining
$I_{A}=(f_{1},\cdots,f_{t})S_{A}$, we have that $S_{A}/{I_{A}}$ is free over
$A$ and $S_{A}/{I_{A}}\otimes_{A}K=S/I$. Let
$X_{A}=\operatorname{Proj}S_{A}/I_{A}$. Then a reduction modulo a prime number
$p$ of $X$ has the form $X_{p}=\operatorname{Proj}S_{A}/I_{A}\otimes L$ where
$L=A/P$ for a maximal ideal $P\subset A$ containing $p$. In particular
$S_{A}/I_{A}\otimes_{A}L=S_{p}/I_{p}$, where $S_{p}=L[x_{1},\cdots,x_{n}]$
where $L$ is a field of characteristic $p>0$ and
$I_{p}=(\bar{f_{1}},\cdots,\bar{f_{t}})$. Furthermore, if $p$ is big enough,
we can assume $\\{\bar{f_{1}},\cdots,\bar{f_{t}}\\}$ remains a Gröbner basis
of $I_{p}$ and
$\overline{\operatorname{in}(f_{i})}=\operatorname{in}(\bar{f_{i}})$ for all
$i$. Hence $\operatorname{in}(I_{p})$ is also square free. Thus by Corollary
4.11, $S_{p}/I_{p}$ is $F$-injective for all $p\gg 0$. Since for all $i>0$,
$H^{i}(X_{p},\mathcal{O}_{X_{p}})=[H^{i+1}_{\mathfrak{m}_{p}}(S_{p}/I_{p})]_{0}$,
where $\mathfrak{m}_{p}$ denotes the homogeneous maximal ideal of
$S_{p}/I_{p}$, the Frobenius action on $H^{i}(X_{p},\mathcal{O}_{X_{p}})$ is
injective. ∎
## 5\. Examples
For the convenience of the reader we recall briefly the definitions of Algebra
with Straightening Law (ASL) and Cartwright-Sturmfels ideals. For more details
see, respectively, [6] and [7].
ASL. Let $A=\oplus_{i\in\mathbb{N}}A_{i}$ be a $\mathbb{N}$-graded algebra and
let $(H,\prec)$ be a finite poset. Let $H\to\cup_{i>0}A_{i}$ be an injective
function. The elements of $H$ will be identified with their images. Given a
chain $h_{1}\preceq h_{2}\preceq\dots\preceq h_{s}$ of elements of $H$ the
corresponding product $h_{1}\cdots h_{s}\in A$ is called standard monomial.
One says that $A$ is an ASL on $H$ (with respect to the given embedding $H$
into $\cup_{i>0}A_{i}$) if three conditions are satisfied:
* •
The elements of $H$ generate $A$ as a $A_{0}$-algebra.
* •
The standard monomials are $A_{0}$-linearly independent.
* •
For every pair $h_{1},h_{2}$ of incomparable elements of $H$ there is a
relation
$h_{1}h_{2}=\sum_{j=1}^{u}\lambda_{j}h_{j1}\cdots h_{jv_{j}}$
where $\lambda_{j}\in A_{0}\setminus\\{0\\}$, the $h_{j1}\cdots h_{jv_{j}}$
are distinct standard monomials and, assuming that $h_{j1}\preceq\dots\preceq
h_{jv_{j}}$, one has $h_{j1}\prec h_{1}$ and $h_{j1}\prec h_{2}$ for all $j$.
Cartwright-Sturmfels ideals. Given positive integers $d_{1},\dots,d_{m}$ one
considers the polynomial ring $S=K[X_{ij}:1\leq i\leq m\mbox{ and }1\leq j\leq
d_{i}]$ with $\mathbb{Z}^{m}$-graded structure induced by assignment
$\deg(x_{ij})=e_{i}\in\mathbb{Z}^{m}$. The group
$G=\operatorname{GL}_{d_{1}}(K)\times\cdots\times\operatorname{GL}_{d_{m}}(K)$
acts on $S$ as the group of multigraded $K$-algebra automorphisms. The Borel
subgroup $B=B_{d_{1}}(K)\times\cdots\times B_{d_{m}}(K)$ of the upper
triangular invertible matrices acts on $S$ by restriction. An ideal $J$ is
Borel-fixed if $g(J)=J$ for all $g\in B$. A multigraded ideal $I\subset S$ is
Cartwright-Sturmfels if its multigraded Hilbert function coincides with that
of a Borel-fixed radical ideal.
###### Corollary 5.1.
Let $K$ be a field of characteristic $p>0$. Then the following $K$-algebras
are strongly $F$-injective, and so $F$-injective:
1. (1)
Algebras with straightening law.
2. (2)
Quotients of the form $S/I$ where $S$ is a polynomial ring over $K$ and $I$ is
a Cartwright-Sturmfels ideal (e.g. $I$ is a binomial edge ideal).
* Proof.
(1) Writing $A=S/I$ where $S$ is a polynomial ring in variables indexed by the
poset $H$ over $K$, and $I$ is the ideal generated by the straightening
relations, choosing a degree (according to the grading of $A$) reverse
lexicographic order $<$ extending the partial order on $H$, it easily follows
from the definition that $\mathrm{in}_{<}(I)$ is a quadratic squarefree
monomial ideal, hence $A$ is strongly $F$-injective by Corollary 4.11.
(2) In [7, Proposition 1.6] it has been shown that, in this case,
$\mathrm{in}_{<}(I)$ is a squarefree monomial ideal for any monomial order, so
the thesis follows once again by Corollary 4.11. ∎
###### Remark 5.2.
Let $S=K[X_{1},X_{2},X_{3},X_{4}]$, where $K$ is algebraically closed field of
characteristic $p>0$, and $I$ the ideal generated by the $2$-minors of the
matrix:
$\begin{pmatrix}X_{4}^{4}&X_{1}&X_{3}\\\
X_{2}&X_{4}^{4}&X_{2}-X_{3}\end{pmatrix}.$
One notes that $I=(X_{1}X_{2}-X_{4}^{8},\leavevmode\nobreak\
X_{2}X_{3}-X_{4}^{4}(X_{2}-X_{3}),\leavevmode\nobreak\
X_{1}X_{3}-X_{4}^{8}+X_{4}^{4}X_{3})$. It is easy to check that the ring $S/I$
is an ASL on the poset $H$ below:
$\cdots$$X_{4}$$\cdots$$X_{3}$$\cdots$$X_{2}$$\cdots$$X_{1}$$\cdots$$\cdots$$\cdots$
that is, in the poset $H$ we have $X_{4}<X_{3},X_{2},X_{1}$ ($X_{1},X_{2}$ and
$X_{3}$ are incomparable). By [20, Example 7.15] $S/I$ is $F$-rational but not
$F$-split. In particular, there are algebras with straightening law that are
not $F$-split. Notice that the poset $H$ is “wonderful” in the terminology of
[15], and for an ASL $A$ with $A_{0}$ a complete local ring being $F$-split
and $F$-pure are equivalent conditions, so this is a counterexample to a
conjecture stated at page 245 of [15].
Similarly, there are Cartwright-Sturmfels ideals which are not $F$-pure. For
example consider the binomial edge ideal of a pentagon, namely
$I=(X_{i}Y_{i+1}-X_{i+1}Y_{i},X_{5}Y_{1}-X_{1}Y_{5}\ :\ i=1,2,3,4)\subset
S=K[X_{1},\ldots,X_{5},Y_{1},\ldots,Y_{5}].$
We have that $I$ is a Cartwright-Sturmfels ideal by [8, Theorem 2.1], however,
if $K$ has characteristic 2, $S/I$ is not $F$-split by [26, Example 2.7].
###### Corollary 5.3.
Let $K$ be a field of characteristic $p>0$. Then the following $K$-algebras
are $F$-split:
* •
Gorenstein ASL.
* •
Gorenstein quotients of the form $S/I$ where $S$ is a polynomial ring over $K$
and $I$ is a Cartwright-Sturmfels ideal
* Proof.
For a Gorensteun ring being in $F$-split is equivalent to being $F$-injective
by [17, Lemma 3.3]. So the result follows from Corollary 5.1. ∎
The following argument has been suggested by Winfried Bruns.
###### Corollary 5.4.
Let $M_{t}(X)$ be the set of $t$-minors of a $m\times n$ generic matrix $X$,
and $K$ a field of characteristic $p>\min\\{t,m-t,n-t\\}$. The algebra of
minors $K[M_{t}(X)]$ is $F$-regular.
* Proof.
First of all, by [2, Theorem 3.11] there exists a monomial order such that
$\operatorname{in}(K[M_{t}(X)])$ is a normal semigroup ring, so $K[M_{t}(X)]$
is $F$-rational by Corollary 4.11.
In order to see that $K[M_{t}(X)]$ is $F$-regular, we assume that $m\leq n$.
So, let us add $n-m$ rows to $X$ in order to form the generic $n\times
n$-matrix $X^{\prime}$. By [4, Proposition 1.4] and [19, Proposition 4.12], if
$K[M_{t}(X^{\prime})]$ is $F$-regular, then $K[M_{t}(X)]$ is $F$-regular as
well. Furthermore, by [4, Proposition 1.3], $K[M_{t}(X^{\prime})]$ is
$F$-regular if and only if $K[M_{n-t}(X^{\prime})]$ is $F$-regular.
So we can assume that $X$ is a generic $n\times n$-matrix and $t\geq n/2$. Now
we can add $2t-n$ rows and $2t-n$ columns to $X$ and get a generic $2t\times
2t$-matrix $X^{\prime}$. Again using [4, Proposition 1.4] and [19, Proposition
4.12], if $K[M_{t}(X^{\prime})]$ is $F$-regular, then $K[M_{t}(X)]$ is
$F$-regular as well.
So, we can eventually assume that $X$ is a generic $2t\times 2t$-matrix. In
this case, $K[M_{t}(X)]$ is Gorenstein by [3, Theorem 5.5]. Since a Gorenstein
ring is $F$-regular if and only if it is $F$-rational by [19, Corollary
4.7(a)], we are done. ∎
###### Remark 5.5.
When $t=\min\\{m,n\\}$, the $K$-algebra $K[M_{t}(X)]$ is the coordinate ring
of a Grassmannian in its Plücker embedding, and in this case the F-regularity
in positive characteristic had already been proved in [20, Theorem 7.14].
In general, that the $K$-algebra $K[M_{t}(X)]$ is $F$-rational whenever $K$ a
field of characteristic $p>\min\\{t,m-t,n-t\\}$ was already known and proved
in [1]. Analogously, in [1] it has been proved that also the Rees algebra of
the ideal of the $t$-minors of $X$ is $F$-rational whenever $K$ a field of
characteristic $p>\min\\{t,m-t,n-t\\}$. The $F$-split and $F$-regularity
properties for these and other blowup algebras of determinantal objects are
studied in [14].
We conclude with the following corollary, recently proved in [11, Theorem
4.3].
###### Corollary 5.6.
If $X$ is a smooth projective curve of genus 1 over the rationals, then
$\operatorname{in}_{<}(I)$ is never squarefree, where $I$ is the homogeneous
ideal defining $X\subset\mathbb{P}^{n}$ (independently on the embedding).
* Proof.
Since $X$ is a smooth curve of genus $1$, then $X$ is isomorphic to an
elliptic curve. Then for infinitely many primes $p$, the reduction mod $p$
considered in [16], $X_{p}$ of $X$ is supersingular [16, Theorem 1]. That is
the Frobenius morphism on $H^{1}(X_{p},\mathcal{O}_{X_{p}})$ is zero for
infinitely many primes $p$. Hence the corollary follows from Corollary 4.14. ∎
## References
* [1] Winfried Bruns and Aldo Conca. $F$-rationality of determinantal rings and their Rees rings. Michigan Math. J., 45(2):291–299, 1998.
* [2] Winfried Bruns and Aldo Conca. KRS and powers of determinantal ideals. Compositio Math., 111(1):111–122, 1998.
* [3] Winfried Bruns and Aldo Conca. Algebras of minors. J. Algebra, 246(1):311–330, 2001.
* [4] Winfried Bruns, Aldo Conca, and Matteo Varbaro. Relations between the minors of a generic matrix. Adv. Math., 244:171–206, 2013.
* [5] Winfried Bruns and Jürgen Herzog. Cohen-Macaulay rings, volume 39 of Cambridge Studies in Advanced Mathematics. Cambridge University Press, Cambridge, 1993.
* [6] Winfried Bruns and Udo Vetter. Determinantal rings, volume 1327 of Lecture Notes in Mathematics. Springer-Verlag, Berlin, 1988.
* [7] A. Conca, E. De Negri, and E. Gorla. Universal Gröbner bases and Cartwright-Sturmfels ideals. Int. Math. Res. Not. IMRN, (7):1979–1991, 2020.
* [8] Aldo Conca, Emanuela De Negri, and Elisa Gorla. Cartwright-Sturmfels ideals associated to graphs and linear spaces. J. Comb. Algebra, 2(3):231–257, 2018.
* [9] Aldo Conca, Jürgen Herzog, and Giuseppe Valla. Sagbi bases with applications to blow-up algebras. J. Reine Angew. Math., 474:113–138, 1996.
* [10] Aldo Conca and Matteo Varbaro. Square-free Gröbner degenerations. Invent. Math., 221(3):713–730, 2020.
* [11] Alexandru Constantinescu, De Negri Emanuela, and Matteo Varbaro. Singularities and radical initial ideals. Bull. Lond. Math. Soc, 52(4):674–686, 2020.
* [12] Hailong Dao, Alessandro De Stefani, and Linquan Ma. Cohomologically Full Rings. International Mathematics Research Notices, 10 2019. rnz203.
* [13] Rankeya Datta and Takumi Murayama. Permanence properties of $F$-injectivity, 2020.
* [14] Alessandro De Stefani, Jonathan Montaño, and Luis Nuñez Betancourt. Blowup algebras of determinantal ideals in positive characteristic. Preprint, 2021.
* [15] David Eisenbud. Introduction to algebras with straightening laws. In Ring theory and algebra, III (Proc. Third Conf., Univ. Oklahoma, Norman, Okla., 1979), volume 55 of Lecture Notes in Pure and Appl. Math., pages 243–268. Dekker, New York, 1980.
* [16] Noam D. Elkies. The existence of infinitely many supersingular primes for every elliptic curve over ${\bf Q}$. Invent. Math., 89(3):561–567, 1987.
* [17] Richard Fedder. $F$-purity and rational singularity. Trans. Amer. Math. Soc., 278(2):461–480, 1983.
* [18] René González-Martínez. Gorenstein binomial edge ideals. Math. Nach., 2021.
* [19] Melvin Hochster and Craig Huneke. $F$-regularity, test elements, and smooth base change. Trans. Amer. Math. Soc., 346(1):1–62, 1994.
* [20] Melvin Hochster and Craig Huneke. Tight closure of parameter ideals and splitting in module-finite extensions. J. Algebraic Geom., 3(4):599–670, 1994.
* [21] Melvin Hochster and Joel L. Roberts. The purity of the Frobenius and local cohomology. Advances in Math., 21(2):117–172, 1976.
* [22] Allen Knutson. Frobenius splitting, point-counting, and degeneration, 2009.
* [23] Linquan Ma. Finiteness properties of local cohomology for $F$-pure local rings. Int. Math. Res. Not. IMRN, (20):5489–5509, 2014.
* [24] Linquan Ma and Pham Hung Quy. Frobenius actions on local cohomology modules and deformation. Nagoya Math. J., 232:55–75, 2018.
* [25] Linquan Ma, Karl Schwede, and Kazuma Shimomoto. Local cohomology of Du Bois singularities and applications to families. Compos. Math., 153(10):2147–2170, 2017.
* [26] Kazunori Matsuda. Weakly closed graphs and $F$-purity of binomial edge ideals. Algebra Colloq., 25(4):567–578, 2018.
* [27] Hideyuki Matsumura. Commutative ring theory, volume 8 of Cambridge Studies in Advanced Mathematics. Cambridge University Press, Cambridge, 1986. Translated from the Japanese by M. Reid.
* [28] Lisa Seccia. Knutson ideals and determinantal ideals of hankel matrices, 2020.
* [29] Anurag K. Singh. $F$-regularity does not deform. Amer. J. Math., 121(4):919–929, 1999.
* [30] The Stacks Project Authors. Stacks Project. https://stacks.math.columbia.edu, 2018.
* [31] Juan D. Vélez. Openness of the F-rational locus and smooth base change. J. Algebra, 172(2):425–453, 1995.
| arxiv-papers | 2021-07-26T11:24:56 | 2024-09-04T03:07:18.365436 | {
"license": "Creative Commons Zero - Public Domain - https://creativecommons.org/publicdomain/zero/1.0/",
"authors": "Mitra Koley, Matteo Varbaro",
"submitter": "Mitra Koley",
"url": "https://arxiv.org/abs/2107.12116"
} |
2107.12118 | # Reconstruction of photon number conditioned states using phase randomised
homodyne measurements
H. M. Chrzanowski1, S. M. Assad1, J. Bernu1, B. Hage2,1, A. P. Lund3, T. C.
Ralph3, P. K. Lam1 and T. Symul1 1 Centre for Quantum Computation and
Communication Technology, Department of Quantum Science, Research School of
Physics and Engineering, Australian National University, Canberra ACT 0200,
Australia. 2 Institut für Physik, Universität Rostock, 18055 Rostock,
Germany. 3 Centre for Quantum Computation and Communication Technology,
Department of Physics, University of Queensland, St. Lucia QLD 4072,
Australia. [email protected]
###### Abstract
We experimentally demonstrate the reconstruction of a photon number
conditioned state without using a photon number discriminating detector. By
using only phase randomised homodyne measurements, we reconstruct up to the
three photon subtracted squeezed vacuum state. The reconstructed Wigner
functions of these states show regions of pronounced negativity, signifying
the non-classical nature of the reconstructed states. The techniques presented
allow for complete characterisation of the role of a conditional measurement
on an ensemble of states, and might prove useful in systems where photon
counting is still technically challenging.
###### pacs:
03.67.Ac, 03.67.Lx
## 1 Introduction
Central to the weirdness of quantum mechanics is the notion of wave-particle
duality, where classical concepts of particle or wave behaviour alone cannot
provide a complete description of quantum objects. When investigating quantum
systems, information concerning one description is typically sacrificed in
favour of the other, depending on which description suits your endeavour.
Probing the continuous variables of an infinite Hilbert space, such as the
amplitude and phase of a light field, is often viewed as less interesting than
probing the quantised variables of a quantum system. This is largely due to
the fact that, given current technology, when probing the continuous variables
(CV) of a quantum system alone, one is restricted to transformations that map
Gaussian states onto Gaussian states. Nevertheless, the idea of measuring the
quantised nature of light with only CV techniques has been theoretically [1,
2, 3, 4] and experimentally [5, 6, 7, 8] investigated.
The usual CV toolbox of Gaussian transformations, comprising beam splitters,
displacements, rotations, squeezing, homodyne and heterodyne detection allows
for deterministic manipulation of quantum optical states that can be
experimentally realised with typically very high efficiency. However, the
absence of a strong non-linearity within this toolbox severely handicaps the
reach of CV techniques for quantum information processing applications [9,
10]. Conversely, DV is implicitly non-linear—forgoing determinism to harness
the measurement-induced non-linearity of a photon-counting measurement.
Recently, there has been a move to hybridise both CV and DV techniques for
quantum information purposes, as one non-Gaussian operation, when combined
with Gaussian resources and operations, is sufficient to realise universal
quantum computing [11].
Here we present the CV analog of the photon counting measurement, whereby we
replace a non-deterministic photon counting measurement with a deterministic
phase randomised measurement of the field quadratures. This extends the ideas
reported in [4, 12] to show how the requirement of a photon counting
measurement can be replaced by CV measurements for the reconstruction of the
statistics of non-Gaussian states. This approach forgoes the shot by shot
nature of DV photon counting in favour of ensemble measurements, and
consequently cannot be appropriated for state preparation. As we only preform
Gaussian measurements, all the directly measured statistics remain Gaussian
and the ‘non-Gaussianity’ emerges in the nature of post-processing preformed.
The inherently ensemble nature of the technique and our restriction to
Gaussian measurements ensure it can never be used to prepare a non-Gaussian
state—in accordance with the limitations of Gaussian toolbox. It does,
however, still permit access to the same non-Gaussian statistics that were
previously only accessible with the requirement of a projective photon
counting measurement. Using this method, we have successfully reconstructed
the non-Gaussian 1, 2 and 3 photon subtracted squeezed vacuum (PSSV) states.
The context for the implementation of this protocol will be the
characterisation of the PSSV states. Also coined ‘kitten states’, due to their
high fidelity with small amplitude Schrödinger cat states, these states are
typically prepared by annihilating one or more photons from a squeezed vacuum
state [13]. This ‘annihilation’ is experimentally realised to high fidelity
with a beam splitter of weak reflectivity and a conditional photon counting
measurement, such that the detection of a photon in the reflected mode heralds
the successful subtraction of a photon. Interest in such states was mainly
prompted by optical quantum computing [14, 15], but they are also of interest
for metrology and entanglement distillation [16, 17]. Experiments involving
the generation of kitten states were amongst the first hybridisation
experiments—bridging the gap between two historically distinct areas of
quantum optics [18, 19, 20, 21, 22].
This paper is organised as follows: in Section 2, we discuss the theory
linking homodyne detection and photon counting measurement. Section 3
discusses the experimental implementation. We present the experimental results
in Section 4. The Appendix provides the conditioning functions that transform
photon counting measurements to homodyne observables.
## 2 Theory
We want to design the homodyne equivalent of a heralded photon discriminating
measurement. The setup consist of a correlated two mode state $\rho_{ab}$,
where mode $a$ is used to condition the outcome of mode $b$ (see Figure 2).
The conditioning measurement consists of sampling the homodyne observable
$\hat{X}_{a}^{\phi}$ in a phase randomised manner such that each quadrature
angle, $\phi$ contributes equally. Here,
$\hat{X}^{\phi}_{a}=e^{-i\phi}\hat{a}_{a}+e^{i\phi}\hat{a}_{a}^{\dagger}$,
where $\hat{a}_{a}$ and $\hat{a}_{a}^{\dagger}$ are the annihilation and
creation operators in mode $a$ and $\phi$ is the field quadrature angle. The
conditioned mode $b$ is then characterised via homodyne tomography.
Figure 1: (a) A photon number discriminating detector heralds a successful
preparation. The correctly prepared state is subsequently reconstructed via
homodyne tomography. (b) The same statistics of the state heralded by a photon
counting measurement can be retrieved by replacing the photon number
discriminating detector with a phase randomised homodyne detection and
appropriate post-processing. Figure 2: Experimental Setup A CW Nd:YAG laser
at 1064 nm provides the laser resource for this experiment. An internal second
harmonic generation (SHG) cavity frequency doubles a portion of the 1064nm
light. Both the 1064 nm and 532 nm fields undergo spatial and frequency
filtering before providing seed and pump resources respectively for a doubly-
resonant optical parametric amplifier (OPA). A small portion of the resulting
squeezed coherent state is then reflected for ’conditioning’ by a variable
beam-splitter - implement with a $\lambda/2$ wave-plate and a polarising beam
splitter (PBS). The reflected light (mode $a$) is subsequently sampled via a
phase randomised homodyne detection. The remaining transmitted light (mode
$b$) is characterised by a tomographic homodyne detection, sampling
$X^{\theta}_{b}$ for $\theta=0\ldots 165^{\circ}$ in intervals of
$15^{\circ}$.
If $\rho_{ab}$ originates from a squeezed vacuum mode passing through a weakly
reflecting beam splitter, the resulting mode at $b$ conditioned on finding $n$
photons at $a$ will be an $n$-PSSV state.
We demonstrate how this conditioning can be performed using two approaches. In
the first approach, we express a polynomial operator function of $\hat{n}_{a}$
that we want to condition upon in terms of homodyne observables
$\hat{X}^{\phi}_{a}$. In the second approach, we utilise the pattern functions
[1] to access an inner product in the Fock basis via homodyne measurements.
### 2.1 Transformation Polynomials
In this section, our goal is to obtain the measurement statistics that would
correspond to measuring a two mode observable $F(\hat{n}_{a})\otimes
G(\hat{b})$ without actually constructing a device that directly detects
$F(\hat{n}_{a})$. Instead we will be measuring quadrature values of $a$. As we
shall see in the following subsections, by suitably conditioning on a homodyne
measurement outcome of $a$, one can recover the statistics of an $m$-photon
subtracted state at $b$.
#### Example 1: Conditioning on $\hat{n}_{a}$.
In the first example, we will attempt to condition the output state $b$ on the
measurement outcome of operator $\hat{n}_{a}$. We want to estimate the
expectation value
$g\left(X_{b}^{\theta}\right)=\textrm{tr}\left\\{\rho_{ab}\;\hat{n}_{a}\otimes\left|{X_{b}^{\theta}}\right\rangle\left\langle{X_{b}^{\theta}}\right|\right\\}\;,$
(1)
where $\rho_{ab}$ is the joint state at modes $a$ and $b$. Expanding the
operator $\hat{n}_{a}$, $g\left(X_{b}^{\theta}\right)$ can be written as
$\displaystyle g\left(X_{b}^{\theta}\right)$
$\displaystyle=\sum_{n}n\,\mathrm{pr}(n)\textrm{tr}\left\\{\rho_{b}(n)\left|{X_{b}^{\theta}}\right\rangle\left\langle{X_{b}^{\theta}}\right|\right\\}$
(2) $\displaystyle=\sum_{n}n\,\mathrm{pr}(n)\,\mathrm{pr}(X_{b}^{\theta}|n)$
(3) $\displaystyle=\sum_{n}n\,\mathrm{pr}(X_{b}^{\theta},n)\;.$ (4)
We use $\mathrm{pr}$ to denote probabilities and $\mathrm{pr}(n)$ denotes the
probability of getting an outcome $n$ at $a$. $\rho_{b}(n)$ is the state at
$b$ conditioned on an outcome $n$ at $a$.
In particular, we consider $\rho_{ab}$ is a weakly squeezed vacuum state
passing through a low reflectivity beam-splitter with vacuum entering through
the other input. Ignoring higher order terms, a squeezed state can be
approximated by
$\left|{\psi}\right\rangle=\left|{0}\right\rangle-\left|{2}\right\rangle\gamma$
where $\gamma\ll 1$. $\left|{n}\right\rangle$ is the Fock state with $n$
photons. The beam-splitter transforms this state to
$\left|{0,0}\right\rangle+\left(\left|{1,1}\right\rangle\sqrt{2\eta^{2}(1-\eta^{2})}+\left|{2,0}\right\rangle(1-\eta^{2})+\left|{0,2}\right\rangle\eta^{2}\right)\gamma$
(5)
where the beam splitter transmissivity is $\eta\sim 1$.
$\left|{n,m}\right\rangle$ is the Fock state with $n$ photons in the first
output (mode $a$) and $m$ photons in the second output (mode $b$).
For this state, the expectation value, equation (1) becomes
$\textrm{tr}\left\\{\left(\left|{1}\right\rangle\left\langle{1}\right|2\eta^{2}(1-\eta^{2})+\left|{0}\right\rangle\left\langle{0}\right|2(1-\eta^{2})^{2}\right)\left|{X_{b}^{\theta}}\right\rangle\left\langle{X_{b}^{\theta}}\right|\right\\}\gamma^{2}\;,$
(6)
where the second term arises from the probability of reflecting two photons.
Assuming this probability is small (for $\eta\sim 1$), the output expectation
value gives the statistics corresponding to a single photon Fock state.
To realise this conditioning, we could measure $a$ in the Fock basis
$\left|{n}\right\rangle\left\langle{n}\right|$ and scale the measurement
outcomes of $b$ by the outcomes $n_{a}$ (see Figure 1 (a)). But suppose we are
restricted to only homodyne tomography. We can still realise the conditioning
by expressing $\hat{n}$ in terms the quadrature operators $\hat{X}$ and
$\hat{P}$:
$\hat{n}=\frac{1}{4}\left(\hat{X}^{2}+\hat{P}^{2}-2\right)\;$ (7)
where $\hat{X}$ and $\hat{P}$ are two orthogonal quadrature operators with the
commutation relation $[\hat{X},\hat{P}]=2i$. Although $\hat{X}$ and $\hat{P}$
cannot be measured simultaneously, Equation (1) can nevertheless can be
written as the sum
$\displaystyle g\left(X_{b}^{\theta}\right)$
$\displaystyle=\textrm{tr}\left\\{\rho_{ab}\;\frac{1}{4}(\hat{X}^{2}-1)\otimes\left|{X_{b}^{\theta}}\right\rangle\left\langle{X_{b}^{\theta}}\right|\right\\}$
(8)
$\displaystyle+\textrm{tr}\left\\{\rho_{ab}\;\frac{1}{4}(\hat{P}^{2}-1)\otimes\left|{X_{b}^{\theta}}\right\rangle\left\langle{X_{b}^{\theta}}\right|\right\\}\;.$
(9)
The expectation value $g\left(X_{b}^{\theta}\right)$ can be built up by
combining the outcomes of two independent measurements.
Phase randomised measurements: The quadratures $\hat{X}$ and $\hat{P}$ can be
replaced by any pair of orthogonal quadratures. Instead of locking the
quadrature angles, we can also randomise the phase by scanning the local
oscillator. Equation (7) can be written as an integration over all phases
$\displaystyle\hat{n}$
$\displaystyle=\frac{1}{2\pi}\int_{0}^{2\pi}\frac{1}{4}\left[(\hat{X}^{\phi})^{2}+(\hat{X}^{\phi+\frac{\pi}{2}})^{2}-2\right]d\phi$
(10) $\displaystyle=\frac{1}{2}\left(\bar{X}^{2}-1\right)\;,$ (11)
where
$\bar{X}^{n}=\frac{1}{2\pi}\int_{0}^{2\pi}(\hat{X}^{\phi})^{n}d\phi$ (12)
is the phase averaged quadrature moment operator. Substituting this into
Equation (1) we obtain
$g\left(X_{b}^{\theta}\right)=\textrm{tr}\left\\{\rho_{ab}\;\frac{1}{2}\left(\bar{X}_{a}^{2}-1\right)\otimes\left|{X_{b}^{\theta}}\right\rangle\left\langle{X_{b}^{\theta}}\right|\right\\}\;.$
(13)
So $g\left(X_{b}^{\theta}\right)$ can be obtained by a phase randomised
sampling of the quadratures and weighting the outcomes at $b$ by the outcomes
of $\frac{1}{2}(\bar{X}_{a}^{2}-1)$ at $a$ (Figure 1b).
#### Example 2: Conditioning on $\hat{n}_{a}(\hat{n}_{a}-2)$.
To obtain a more faithful reproduction of the single photon Fock state
distributions from a weakly squeezed state, we can weight the outcomes on
$\hat{n}_{a}(\hat{n}_{a}-2)$ instead. This removes the contribution of two
photon states at mode $a$. For a weakly squeezed vacuum input state
(neglecting four photon terms), the analogue of Equation (6) for this
conditioning is
$g\left(X_{b}^{\theta}\right)=\textrm{tr}\left\\{\left|{1}\right\rangle\left\langle{1}\right|2\eta^{2}(1-\eta^{2})\left|{X_{b}^{\theta}}\right\rangle\left\langle{X_{b}^{\theta}}\right|\right\\}\gamma^{2}\;.$
(14)
To achieve this conditioning via homodyne measurements, we repeat the recipe
as before to express $\hat{n}$ in terms quadrature variables $\hat{X}$ and
$\hat{P}$:
$\displaystyle\hat{n}(\hat{n}-2)$
$\displaystyle=\frac{1}{16}\left(\hat{X}^{2}+\hat{P}^{2}-2\right)\left(\hat{X}^{2}+\hat{P}^{2}-10\right)$
(15)
$\displaystyle=\frac{1}{16}\left(2\bar{X}^{4}-24\bar{X}^{2}+20+\hat{X}^{2}\hat{P}^{2}+\hat{P}^{2}\hat{X}^{2}\right)\;.$
(16)
The terms involving products of $\hat{X}$ and $\hat{P}$ cannot be evaluated
directly through a phase randomised homodyne measurement. In order to make
them accessible, we need to express
$\hat{X}^{2}\hat{P}^{2}+\hat{P}^{2}\hat{X}^{2}$ as a function of $\bar{X}$
which can be done as follows:
$\displaystyle\hat{X}^{2}\hat{P}^{2}+\hat{P}^{2}\hat{X}^{2}=\frac{1}{2\pi}\int_{0}^{2\pi}2(\hat{X}^{\phi})^{2}(\hat{X}^{\phi+\frac{\pi}{2}})^{2}d\phi$
(17)
$\displaystyle=\frac{1}{\pi}\int_{0}^{2\pi}(2\hat{a}_{\phi}^{\dagger}\hat{a}_{\phi}\hat{a}_{\phi}^{\dagger}\hat{a}_{\phi}+2\hat{a}_{\phi}^{\dagger}\hat{a}_{\phi}-1-\hat{a}_{\phi}^{4}-(\hat{a}_{\phi}^{\dagger})^{4})d\phi$
(18)
$\displaystyle=\frac{1}{\pi}\int_{0}^{2\pi}(2\hat{a}_{\phi}^{\dagger}\hat{a}_{\phi}\hat{a}_{\phi}^{\dagger}\hat{a}_{\phi}+2\hat{a}_{\phi}^{\dagger}\hat{a}_{\phi}-1)d\phi$
(19)
$\displaystyle=\frac{1}{\pi}\int_{0}^{2\pi}\left(\frac{(\hat{a}_{\phi}^{\dagger}+\hat{a}_{\phi})^{4}}{3}-2\right)d\phi$
(20) $\displaystyle=\frac{2\bar{X}^{4}}{3}-4\;,$ (21)
where we define $\hat{a}_{\phi}=\hat{a}\exp(-i\phi)$. Substituting this into
Equation (16), we obtain the sampling polynomial as
$\displaystyle\hat{n}(\hat{n}-2)=\frac{\bar{X}^{4}}{6}-\frac{3\bar{X}^{2}}{2}+1\;.$
(22)
With this, the expectation value becomes
$g\left(X_{b}^{\theta}\right)=\textrm{tr}\left\\{\rho_{ab}\left(\frac{\bar{X}_{a}^{4}}{6}-\frac{3\bar{X}_{a}^{2}}{2}+1\right)\otimes\left|{X_{b}^{\theta}}\right\rangle\left\langle{X_{b}^{\theta}}\right|\right\\}\;$
(23)
which can be sampled via a randomised phase quadrature measurement.
#### General conditioning on $f(\hat{n}_{a})$.
Higher order polynomials of $\hat{n}$ can be constructed in a similar way. We
provide two algorithms in Appendix A. These polynomials provide a simple
construction for a $k$ photon subtracted state by conditioning on
$\mathcal{P}(\hat{n})=\frac{1}{\hat{n}-k}\prod_{j=0}^{j_{max}}\hat{n}-j\;$
(24)
with $j_{max}>k$. Increasing $j_{max}$ in the product above would correct for
higher photon number contributions up to $j_{max}$. But this will be at the
expense of a higher weighting from outcomes having photon numbers greater than
$j_{max}$. If the probabilities of these outcomes are large, it could dilute
the actual conditioning state that we are interested in.
As an example, to get a two photon subtracted state, we can use the
conditioning polynomial with $k=2$ and $j_{max}=6$:
$\mathcal{P}(\hat{n})=\hat{n}(\hat{n}-1)(\hat{n}-3)(\hat{n}-4)(\hat{n}-5)\;.$
(25)
Expanding in the Fock basis,
$\mathcal{P}(\hat{n})=-12\left|{2}\right\rangle\left\langle{2}\right|+180\left|{7}\right\rangle\left\langle{7}\right|+1008\left|{8}\right\rangle\left\langle{8}\right|+\ldots$
(26)
In this example, we see that the seven and eight photons events are weighted
by a factor of 15 and 84 compared to the two photon events. In most
applications however, these high photon number states would have exponentially
vanishing probabilities.
### 2.2 Pattern functions
The pattern functions, first introduced in [1, 23], specify the link between
homodyne observables of a quantum state and the density matrix. These set of
sampling functions allow reconstruction of the density matrix without the
requirement of first reconstructing the Wigner function.
We want to characterise the state at $a$ conditioned on an $n$ photon event at
$b$. Ideally, we would choose an appropriate polynomial in $X_{a}^{\phi}$ that
corresponds to $\left|{n}\right\rangle\left\langle{n}\right|$. Practically,
however, we can only realise a polynomial of a limited order—correcting for
the finite undesired photon number events that may prove statistically
significant. The pattern functions however permit a perfectly isolating
characterisation that removes all unwanted photon number events.
We start with the general problem of reconstructing the statistics of the
post-selected state at $b$, $\tilde{\rho}_{b}$, conditioned on the event of
having a state $\rho_{a}^{cond}$ at $a$. This conditioning can be achieved by
means of a measurement apparatus at $a$ having two outcomes:
$\displaystyle\pi_{1}$ $\displaystyle=\rho_{a}^{cond}$ (27)
$\displaystyle\pi_{2}$ $\displaystyle=1-\rho_{a}^{cond}.$ (28)
The output at $b$ conditioned on the outcome $\pi_{1}$ would be
$\tilde{\rho}_{b}=\frac{1}{\mathrm{pr}_{1}}\textrm{tr}_{a}\left\\{\rho_{ab}\,\pi_{1}\right\\}$
(29)
where
$\mathrm{pr}_{1}=\textrm{tr}\left\\{\rho_{ab}\,\pi_{1}\right\\}$ (30)
is the probability of getting outcome $\pi_{1}$. We decompose the conditioned
state $\rho_{a}^{cond}$ in the Fock basis with coefficients $c_{mn}$
$\rho_{a}^{cond}=\sum_{mn}c_{mn}\left|{n_{a}}\right\rangle\left\langle{m_{a}}\right|$
(31)
so that the post-selected state at $b$ can be written as the sum
$\displaystyle\tilde{\rho}_{b}=\frac{1}{\mathrm{pr}_{1}}\sum_{mn}c_{mn}\textrm{tr}_{a}\left\\{\rho_{ab}\,\left|{n_{a}}\right\rangle\left\langle{m_{a}}\right|\right\\}\;.$
(32)
To be able to reconstruct the post-selected state, we do a quadrature
tomography by measuring $X_{b}^{\theta}$ at $b$. The probability of getting an
outcome $X_{b}^{\theta}$ on the post-selected state is
$\displaystyle\tilde{\mathrm{pr}}\left(X_{b}^{\theta}\right)$
$\displaystyle=\left\langle{X_{b}^{\theta}}\right|\tilde{\rho}_{b}\left|{X_{b}^{\theta}}\right\rangle$
(33)
$\displaystyle=\frac{1}{\mathrm{pr}_{1}}\sum_{mn}c_{mn}\left\langle{m_{a},X_{b}^{\theta}}\right|\rho_{ab}\left|{n_{a},X_{b}^{\theta}}\right\rangle$
(34)
$\displaystyle=\frac{1}{\mathrm{pr}_{1}}\sum_{mn}c_{mn}\left\langle{m_{a}}\right|\textrm{tr}_{b}\left\\{\rho_{ab}\,\left|{X_{b}^{\theta}}\right\rangle\left\langle{X_{b}^{\theta}}\right|\right\\}\left|{n_{a}}\right\rangle$
(35)
$\displaystyle=\frac{1}{\mathrm{pr}_{1}}\sum_{mn}c_{mn}\left\langle{m_{a}}\right|\rho_{a}\left(X_{b}^{\theta}\right)\left|{n_{a}}\right\rangle\mathrm{pr}\left(X_{b}^{\theta}\right)$
(36)
where $\rho_{a}\left(X_{b}^{\theta}\right)$ is the state at $a$ when we obtain
outcome $X_{b}^{\theta}$ at $b$. The probability of getting this outcome is
denoted as $\mathrm{pr}\left(X_{b}^{\theta}\right)$.
We want to write the matrix elements
$\left\langle{m_{a}}\right|\rho_{a}\left(X_{b}^{\theta}\right)\left|{n_{a}}\right\rangle$
in terms of quadrature value measurements. For this we utilise the Fock basis
pattern function [1] to write
$\left\langle{m_{a}}\right|\rho_{a}\left(X_{b}^{\theta}\right)\left|{n_{a}}\right\rangle=\int_{0}^{\pi}\int_{-\infty}^{+\infty}\mathrm{pr}\left(X_{a}^{\phi}|X_{b}^{\theta}\right)F_{mn}(X_{a}^{\phi})dX_{a}d\phi$
(37)
where the $F_{mn}$ are the pattern functions of the Fock basis. They are given
by
$F_{mn}(X_{a}^{\phi})=\frac{1}{\pi}\exp(i(m-n)\phi)\frac{\partial}{\partial
x}\left[\psi_{m}(X_{a})\varphi_{n}(X_{a})\right]$ (38)
where $\psi_{m}(X_{a})$ and $\varphi_{m}(X_{a})$ are the $m$-th regular and
irregular eigenfunctions of the Schrödinger equation in a harmonic potential.
Substituting this into eq.(36), we get
$\displaystyle\tilde{\mathrm{pr}}\left(X_{b}^{\theta}\right)$
$\displaystyle=\frac{1}{\mathrm{pr}_{1}}\sum_{mn}c_{mn}\int_{0}^{\pi}\int_{-\infty}^{+\infty}\mathrm{pr}\left(X_{b}^{\theta}\right)\mathrm{pr}\left(X_{a}^{\phi}|X_{b}^{\theta}\right)F_{mn}(X_{a}^{\phi})dX_{a}d\phi$
(39)
$\displaystyle=\frac{1}{\mathrm{pr}_{1}}\sum_{mn}c_{mn}\int_{0}^{\pi}\int_{-\infty}^{+\infty}\mathrm{pr}\left(X_{a}^{\phi},X_{b}^{\theta}\right)F_{mn}(X_{a}^{\phi})dX_{a}d\phi$
(40)
where $\mathrm{pr}(X_{a}^{\phi},X_{b}^{\theta})$ is the unconditioned
probability of getting outcomes $X_{a}^{\phi}$ and $X_{b}^{\theta}$ when we
measure $a$ and $b$ in quadrature at angles $\phi$ and $\theta$. Introducing
the weighting function
$w\left(X_{a}^{\phi}\right)=\frac{1}{\mathrm{pr}_{1}}\sum_{mn}c_{mn}F_{mn}\left(X_{a}^{\phi}\right)\;$
(41)
we can write
$\tilde{\mathrm{pr}}\left(X_{b}^{\theta}\right)=\int_{0}^{\pi}\int_{-\infty}^{+\infty}\mathrm{pr}\left(X_{a}^{\phi},X_{b}^{\theta}\right)w\left(X_{a}^{\phi}\right)dX_{a}d\phi\;.$
(42)
From this expression, we see that the conditioned distribution
$\tilde{\mathrm{pr}}\left(X_{b}^{\theta}\right)$ can be obtained by sampling
the distribution $\mathrm{pr}\left(X_{a}^{\phi},X_{b}^{\theta}\right)$ and
weighting the outcomes by $w\left(X_{a}^{\phi}\right)$.
As an example, to obtain $\tilde{\rho}_{b}$ conditioned on a one photon event
at $a$, we condition on
$\rho_{a}^{cond}=\left|{1}\right\rangle\left\langle{1}\right|$. This sets
$c_{11}=1$ and all other $c_{mn}=0$. To condition on the superposition state
$\rho_{a}^{cond}=\tfrac{1}{2}(\left|{1}\right\rangle+\left|{2}\right\rangle)(\left\langle{1}\right|+\left\langle{2}\right|)$,
we require $c_{00}=c_{01}=c_{10}=c_{11}=\tfrac{1}{2}$ and all other
$c_{nm}=0$.
Phase randomised measurements: For a conditioned state $\rho_{a}^{cond}$ that
is diagonal in the Fock basis, the weighting function $w(X_{a}^{\phi})$ is a
sum of $F_{mn}(X_{a}^{\phi})$ with $m=n$ which does not depend on the angle
$\phi$. Hence the probability
$\tilde{\mathrm{pr}}\left(X_{b}^{\theta}\right)=\int_{0}^{\pi}\int_{-\infty}^{+\infty}\mathrm{pr}\left(X_{a}^{\phi},X_{b}^{\theta}\right)w\left(X_{a}\right)dX_{a}d\phi$
(43)
can be obtained by doing a phase randomised sample of the quadratures of $a$.
## 3 Experiment
Figure 3: The convergence of the $\hat{n}$ polynomials to their corresponding
pattern functions for a photon number measurement of (a) $n=1$ and (b) $n=2$.
The polynomials are scaled so that $\mathcal{P}(X)=1$ at $X=0$. Figure 4:
Reconstructed Wigner functions of the: (a) $\mathrm{i}$ measured squeezed
vacuum state and (a)$\mathrm{ii}$ and $\mathrm{iii}$ $0$-PSSV state, (b)
$1$-PSSV state, (c) $2$-PSSV state, and (d) $3$-PSSV state and their
corresponding photon number populations (up to $n=7$), obtained from the
reconstructed density matrix. Insets show the conditioning functions for each
reconstruction. The Wigner functions are normalised such that the vacuum state
has a variance of $\tfrac{1}{4}$.
Our experimental setup is detailed in Fig.2. A shot-noise limited 1064 nm
Nd:YAG continuous wave (CW) laser provides the laser source for this
experiment. A portion of the 1064 nm light is frequency doubled to provide a
pump field at 532 nm. Both fields undergo spatial and frequency filtering to
provide shot-noise limited light at the sideband frequencies above 2 MHz. A
doubly-resonant optical parametric amplifier in a bow-tie geometry provides a
squeezed vacuum resource at the sidebands centred around the carrier. The
resulting squeezed coherent state is then displaced to remove much of the
intensity of the carrier field. The interference also provides classical
amplitude and phase modulation signals, which was introduced on the reference
beam, to control of the tomographic angle. The resulting dim squeezed state is
then split, with typically 10% reflected towards the conditioning stage and
subsequently sampled via phase randomised homodyne detection. The remaining
transmitted 90% is measured via tomographic homodyne detection, consisting of
sampling $X_{b}^{\theta}$ for 12 values from $\theta=0\ldots 165^{\circ}$. The
proportion of light reflected for conditioning is sometimes increased to 15%
or 20% to make unlikely events more statistically accessible. This is done at
the expense of the state fidelity. Accurate state reconstruction with the
techniques presented here relies on experimentally realising a phase-
randomised homodyne detection with equal representation of all angles. This is
experimentally realised by sweeping the phase of the homodyne over a few $\pi$
at approximately 100 Hz—significantly faster than the drift of the global
phase of the lasers. The encoding of phase and amplitude modulation sidebands
to allow control of the homodyne angle $X^{\theta}_{b}$ for tomographic
reconstruction also allows us to verify that our conditioning measurement
$X^{\phi}_{a}$ is appropriately phase-randomised.
These two homodyne detections sample the sideband frequencies between 3–5 MHz,
collecting typically $10^{8}$ samples per homodyne angle for both
characterisation and conditioning. The probability distributions for the
directly measured squeezed state $X^{\theta}_{b}$ is reconstructed for the 12
measured values of $\theta$. We then employ a maximum entropy state estimation
(as originally defined in [24]) permitting a Hilbert space up to $n$=30. The
maximum entropy state estimation gives the most mixed state consistent with
our measured statistical ensemble.
## 4 Results
If we first ignore the role of conditioning, the ensemble of homodyne
measurements at the tomographic characterisation stage allows construction of
the histograms describing the probability distribution of each measured
$X^{\theta}_{b}$. To achieve this, for each sample, $X^{\theta}_{b}$, we
increment the relevant bin by one. One can then reconstruct the Wigner
function of the state sampled at the tomographic homodyne detection (Figure
4(b)i) using the maximum entropy state estimation principle [24]. The
extension to ‘conditioning’ in post-processing is implemented as follows. For
each sample $X^{\theta}_{b}$ we have a corresponding measurement of mode $a$,
$X^{\phi}_{a}$, which provides the value for the relevant weighting. Instead
of incrementing the bin corresponding to $X^{\theta}_{b}$ by one, we increment
the bin by the outcome of a function of our choosing
$\mathcal{P}(X_{a}^{\phi})$.
In Figure 4 (b) we focus on the reconstruction of the 1-PSSV state. Figure 4
(a) $\mathrm{i}$ shows the Wigner function obtained using the simplest
conditioning polynomial, $\mathcal{P}(X^{\phi}_{a})=\hat{n}_{a}$. This should
ideally remove any contributions corresponding to a measurement of $n_{a}=0$
(vacuum) in mode $a$. All other contributions remain and their contributions
are additionally weighted by their corresponding eigenvalues, $n_{a}$. In
essence we reconstruct a statistical mixture of primarily the $1$-PSSV and
$2$-PSSV states, where their contributions are not solely weighted by the
likelihood of successful ‘conditioning’, but additionally by their
corresponding eigenvalue. For instance, the contributions from $n_{a}=2$ are
weighted at twice that of contributions from $n_{a}=1$.
An idealised implementation of a photon annihilation corresponds to a beam
splitter with reflectivity approaching zero. This permits statistical
isolation of a single photon subtraction event from the considerably less
likely two photon subtraction event. However, with an experimental
implementation, the requirement of a finite tap-off (typically around 10%)
inevitably introduces spurious higher order photon subtraction contributions.
One can instead consider a higher order polynomial in $\hat{n}_{a}$ that
removes potential contributions to the reconstructed state from higher order
subtractions that are unwanted and are sufficiently statistically significant
to warrant removal. As the ideal squeezed vacuum populates only the even
photon number pairs, the ideal subtraction of one photon from squeezed vacuum
should produce a superposition of the odd photons numbers (and remove any
vacuum contribution). Figure 4 (b) $\mathrm{ii}$ demonstrates the dramatic
improvement in the reconstructed $1$-PSSV state by implementing the
conditioning polynomial
$\mathcal{P}(X^{\phi}_{a})=\hat{n}_{a}(\hat{n}_{a}-2)(\hat{n}_{a}-3)$,
removing polluting contributions from the $2$ and $3$ photon subtractions. The
$F_{11}$ pattern function allows an ideal implementation of a one photon
conditioning in mode $a$ (Figure 4 (b)$\mathrm{iii}$). The results of Figure 4
(b) $\mathrm{ii}$ and $\mathrm{iii}$ are markedly similar (sharing a fidelity
of 99.2%) despite the clear departure of the polynomials, especially noting
how rapidly the polynomial $\hat{n}_{a}(\hat{n}_{a}-2)(\hat{n}_{a}-3)$
diverges in $X^{\phi}_{a}$ (Figure 3).
Figure 4 (c) compiles the results of the $2$-PSSV state reconstruction. Figure
4 (c) $\mathrm{i}$ considers the
$\mathcal{P}(X^{\phi}_{a})=\hat{n}_{a}(\hat{n}_{a}-1)$, removing contributions
corresponding to a photon number measurement of $n_{a}=0$ and $n_{a}=1$. The
ideal reconstructed $2$-PSSV state has high fidelity with the even kitten
state. When we additionally correct for the contributions of the $3$-PSSV
state there is a clear improvement (Figure 4 (c) $\mathrm{ii}$) in the purity
of the reconstructed state, evidenced by the increasing isolation of the even-
photon number contributions to the photon number populations. If we consider
the relevant pattern function $\mathcal{P}(X^{\phi}_{a})=F_{22}$ (Figure 4 (c)
$\mathrm{iii}$) we see a further improvement in the purity of the
reconstructed state.
There a handful of subtleties involved in the estimation of the photon
statistics with homodyne measurements. Analogies with many of these can be
drawn with the usual problems that afflict photon counting measurements. This
technique relies on correlations shared between modes $a$ and $b$, and may be
degraded by any process that introduces uncorrelated classical or quantum
noise. With the results presented here, the significance of electronic noise
in detection is understood to be negligible. As we adopt an inherently
‘ensemble’ approach, by making the assumption that the dark noise is
uncorrelated to the quantum state we could realise a dark noise correction for
both our conditioning in mode $a$ and our characterisation in mode $b$. In
reality, the dark noise is sufficiently negligible that any correction proves
insignificant. Experimentally, we typically enjoy greater than 18 db dark
noise clearance over our measurement band.
However, we are still exposed to the effects of loss. Any loss of purity on
the initial squeezed vacuum state constrains the non-Gaussian nature of the
reconstructed state. The role of loss can be accurately modelled as a beam-
splitter with transmissivity $\lambda$. The role of loss can be understood in
by drawing analogy to traditional photon counting. Inefficiencies arising from
imperfect homodyne detection efficiency or transmission losses scale the rate
of success of the homodyne conditioning, analogous to loss on a photon
counting measurement. Whilst here we cannot refer to individual events, as
this approach succeeds by considering the entire ensemble, we essentially
require a larger ensemble to obtain the same conditioned statistics.
Additionally, it can also lead to erroneous conditioning, where a loss of
photon may see a 3-photon subtraction event contributing as two photon
subtraction.
Our homodyne efficiency is typically 98%, with a fringe visibility of
typically $99.2\%$ and specified photodiode quantum efficiency of $\geq 99\%$.
Our primary source of loss in the experiment arises from the impurity of the
squeezed vacuum resource—and this is most evident with the reconstruction of
the 3-PSSV state (Figure 4 (d)). Endeavouring to reconstruct the 3-PSSV state,
we optimised the experimental parameters to increase the likelihood of having
3 photons in mode $a$ without sacrificing the quality of the reconstructed
state. The likelihood of encountering a 3 photon subtraction event is low.
Whilst the probability of subtracting $n$-photons with a beam splitter of
reflectivity $\eta$ scales as $\eta^{n}$, attempting to measure 3 or 4 photons
from mode $a$ also enforces the additional requirement of having at least $4$
photons in the original squeezed vacuum mode. As a result, the likelihood of
having 3 or more photons in mode $a$ scales poorly. We can improve this
predicament by firstly increasing the percentage of the input mode used for
conditioning (typically 15%) and secondly, by using a stronger squeezed
resource, enhancing population of the higher order photon pairs. Increasing
the squeezing level is detrimental to the squeezing purity as it introduces
noise sources only dominant at high pump power, such as phase noise. In our
doubly-resonate system the requirement of the stronger pump field also has
consequences for the long-term stability of the experiment. Obtaining
sufficient statistics requires longer acquisition time which concatenates the
typical experimental drifts in the measured tomographic angle $\theta$,
alignment and squeezing levels over time, reducing the overall purity of the
reconstructed state. As a result the reconstructed $3$-PSSV state in Figure 4
(d) has lower reconstructed state purity (evidenced by the smaller observable
negativities at the origin) than the reconstructed $1$ and $2$-PSSV states
which require smaller data sets.
If we attempt to reconstruct the $3$-PSSV state with an additional correction
for the $4$ photons events in mode $a$, the reconstructed state becomes
noisier. It is not immediately apparent that removing unwanted contributions
should introduce statistical noise into the ensemble, but the conditioning on
higher photon numbers or the removal of higher order terms essentially
requires extraction of finer correlations between modes $a$ and $b$. For a
polynomial $\mathcal{P}(n_{a})$ of degree $k$, we essentially estimate moments
of $X^{\phi}_{b}$ up to order $2k$. When coupled with the rapid divergence of
the polynomials in $X^{\phi}_{b}$, sufficient statistics must be acquired to
minimise error. This prevents us from implementing a purification of the
$3$-PSSV state in figure 4 (d) with the polynomial approach, even though it is
successful with the corresponding $F_{33}$ pattern function (figure 4 (d)
$\mathrm{ii}$).
While the pattern functions extract the statistics of ideal photon number
discriminating measurement at mode $a$, limited only by the experimental
imperfections, it is worth noting that one can essentially obtain the same
outcome by implementing a polynomial weighting to only a few orders. This is
despite the fact the polynomials calculated to any $\mathcal{P}(\hat{n_{a}})$
will diverge for sufficiently large $X^{\theta}_{b}$. In spite of the clear
divergence between polynomial $\hat{n}_{a}(\hat{n}_{a}-1)(\hat{n}_{a}-3)$ and
the corresponding pattern function $F_{22}$ (Figure 3 (b)), the corresponding
Wigner functions (Figure 4 (c) $\mathrm{ii}$ and $\mathrm{iii}$ share a
fidelity of $98.8$%. To emulate a conditioning photon number measurement a low
order implementation of the $\hat{n}$ polynomials is generally sufficient.
As a small aside, we also consider the effect of measuring no photons in the
conditioning mode (Figure 4 (a) ii and iii). This projects onto a subset of
weaker squeezed vacuum input states. This can be compared to the action of de-
amplification with a noiseless linear amplifier with a gain $<1$.
## 5 Conclusion
In this paper we have experimentally demonstrated a technique to reconstruct
the Wigner functions of various non-Gaussian states of light with only
homodyne measurements. This technique relies on an ensemble based post-
processing of the homodyne data informed by a phase randomised homodyne
measurement. While it therefore never allows us to prepare a non-Gaussian
state, it still enables their characterisation. Using these methods, we were
able to reconstruct a 1-PSSV, 2-PSSV and 3-PSSV. Previously, extracting such
statistics would have required a full tomographic reconstruction of the two-
mode Wigner function. These techniques allow for complete characterisation of
the outcome of a conditional measurement on a system, and might prove useful
in systems where measurements of the DV of the system are limited or
unavailable.
This research was conducted by the Australian Research Council Centre of
Excellence for Quantum Computation and Communication Technology (Project
number CE110001027).
## Appendix A Conditioning Polynomials
In this appendix, we demonstrate how the sampling polynomials can be obtained
for arbitrary functions of $\hat{n}$. We provide two equivalent methods for
doing this.
The first method involves writing the polynomial functions of the phase
randomised quadrature operators $\bar{X}$ in terms of $\hat{n}$ via the
creation and annihilation operators. These functions can then be inverted to
solve for functions of $\hat{n}$ in term of $\bar{X}$.
The second method reproduce the same polynomials via measuring the moment of
the Fock state by integration of Hermite polynomials.
### Method 1
For an arbitrary function of $f(\hat{n})$, the analogue of equation (1) that
we want to estimate using a phase randomised homodyne measurement would be
$\displaystyle f\left(X_{b}^{\theta}\right)$
$\displaystyle=\textrm{tr}\left\\{\hat{\rho}_{ab}f(\hat{n})\otimes\left|{X_{b}^{\theta}}\right\rangle\left\langle{X_{b}^{\theta}}\right|\right\\}$
(44)
$\displaystyle=\mathrm{pr}(X_{b}^{\theta})\textrm{tr}_{a}\left\\{\hat{\rho}_{a}(X_{b}^{\theta})f(\hat{n})\right\\}\;,$
(45)
where $\rho_{a}(X_{b}^{\theta})$ is the state at $a$ after tracing out $b$.
Our goal is to find a function $F(\bar{X})$ corresponding to $f(\hat{n})$ such
that
$\textrm{tr}\left\\{\hat{\rho}f(\hat{n})\right\\}=\textrm{tr}\left\\{\hat{\rho}F(\bar{X})\right\\}\;,$
(46)
where
$F(\bar{X})=\frac{1}{2\pi}\int_{0}^{2\pi}\,d\theta
F(\hat{a}_{\phi}+\hat{a}_{\phi}^{\dagger})$ (47)
and $\hat{a}_{\phi}=\hat{a}\exp(-i\phi)$. Let us consider polynomial functions
of $\bar{X}$ for which the monomials $\bar{X}^{m}$ for $m=0,1,\ldots$ forms a
basis.
For all odd values of $m$, $\bar{X}^{m}$ vanish since the exponential terms
$\exp(-i\phi)$ integrate to zero. For even $m$, the only terms in the
expansion of $(\hat{a}_{\phi}+\hat{a}_{\phi}^{\dagger})^{m}$ that are not a
function of $\phi$ are those having equal numbers of $\hat{a}_{\phi}$ and
$\hat{a}_{\phi}^{\dagger}$. These are the only terms that are non-zero after
performing the integral in equation (47). They can be expressed as a function
of $\hat{n}$ using the identity $\hat{a}^{\dagger}\hat{a}=\hat{n}$ and the
commutation relation $[\hat{a},\hat{a}^{\dagger}]=1$.
We provide an example for the case of $m=4$:
$\displaystyle\bar{X}^{4}$
$\displaystyle=\frac{1}{2\pi}\int_{0}^{2\pi}d\phi\left(\hat{a}_{\phi}+\hat{a}_{\phi}^{\dagger}\right)^{4}$
(48)
$\displaystyle=\hat{a}\hat{a}\hat{a}^{\dagger}\hat{a}^{\dagger}+\hat{a}\hat{a}^{\dagger}\hat{a}\hat{a}^{\dagger}+\hat{a}\hat{a}^{\dagger}\hat{a}^{\dagger}\hat{a}$
(49)
$\displaystyle+\hat{a}^{\dagger}\hat{a}^{\dagger}\hat{a}\hat{a}+\hat{a}^{\dagger}\hat{a}\hat{a}^{\dagger}\hat{a}+\hat{a}^{\dagger}\hat{a}\hat{a}\hat{a}^{\dagger}$
(50) $\displaystyle=6\hat{n}^{2}+6\hat{n}+3\;.$ (51)
Results for various powers of $\bar{X}$ are tabulated below.
$\displaystyle\bar{X}^{0}$ $\displaystyle=1$ $\displaystyle\bar{X}^{2}$
$\displaystyle=1+2\hat{n}$ $\displaystyle\bar{X}^{4}$
$\displaystyle=3+6\hat{n}+6\hat{n}^{2}$ $\displaystyle\bar{X}^{6}$
$\displaystyle=15+40\hat{n}+30\hat{n}^{2}+20\hat{n}^{3}$
$\displaystyle\bar{X}^{8}$
$\displaystyle=105+280\hat{n}+350\hat{n}^{2}+140\hat{n}^{3}+70\hat{n}^{4}$
$\displaystyle\bar{X}^{10}$
$\displaystyle=945+2898\hat{n}+3150\hat{n}^{2}+2520\hat{n}^{3}+630\hat{n}^{4}+252\hat{n}^{5}$
### Method 2
As an alternative method, we note that equation (46) must hold for arbitrary
inputs $\hat{\rho}$. In particular, when
$\hat{\rho}=\left|{n}\right\rangle\left\langle{n}\right|$ we get
$\displaystyle\textrm{tr}\left\\{F(\bar{X})\left|{n}\right\rangle\left\langle{n}\right|\right\\}$
$\displaystyle=f(n)$ (52) $\displaystyle\iint
dxd\tilde{x}\,\phi_{n}(x)\phi^{\star}_{n}(\tilde{x})F(x)\delta(x-\tilde{x})$
$\displaystyle=f(n)$ (53) $\displaystyle\int
dx\,\left|\phi_{n}(x)\right|^{2}F(x)$ $\displaystyle=f(n)$ (54)
where $\phi_{n}(x)=\left<n|x\right>$ are the eigenstates of the harmonic
oscillators. For $F(\bar{X})=\bar{X}^{m}$, the associated functions of $n$
would correspond to the $m$-th moment of the eigenstates.
While this integration can be performed directly using the Hermite
polynomials, it turns out that it is more convenient to express $\bar{X}$ in
terms of the annihilation and creation operators instead. As an example, we
evaluate $f(n)$ when $F(\bar{X})=\bar{X}^{4}$:
$\displaystyle\left\langle{n}\right|\bar{X}^{4}\left|{n}\right\rangle$
$\displaystyle=\frac{1}{2\pi}\int_{0}^{2\pi}d\phi\left\langle{n}\right|\left(\hat{a}_{\phi}+\hat{a}_{\phi}^{\dagger}\right)^{4}\left|{n}\right\rangle$
(55)
$\displaystyle=\left\langle{n}\right|\hat{a}\hat{a}\hat{a}^{\dagger}\hat{a}^{\dagger}+\hat{a}\hat{a}^{\dagger}\hat{a}\hat{a}^{\dagger}+\hat{a}\hat{a}^{\dagger}\hat{a}^{\dagger}\hat{a}$
(56)
$\displaystyle+\hat{a}^{\dagger}\hat{a}^{\dagger}\hat{a}\hat{a}+\hat{a}^{\dagger}\hat{a}\hat{a}^{\dagger}\hat{a}+\hat{a}^{\dagger}\hat{a}\hat{a}\hat{a}^{\dagger}\left|{n}\right\rangle$
(57) $\displaystyle=6n^{2}+6n+3\;$ (58)
which is the same result as equation (51) as to be expected.
## References
## References
* [1] U. Leonhardt, H. Paul, and G. M. D’Ariano. Tomographic reconstruction of the density matrix via pattern functions. Physical Review A, 52:4899–4907, Dec 1995.
* [2] Th. Richter. Determination of photon statistics and density matrix from double homodyne detection measurements. Journal of Modern Optics, 45(8):1735–1749, August 1998.
* [3] T. Ralph, W. Munro, and R. Polkinghorne. Proposal for the Measurement of Bell-Type Correlations from Continuous Variables. Physical Review Letters, 85(10):2035–2039, September 2000.
* [4] T. C. Ralph, E. H. Huntington, and T. Symul. Single-photon side bands. Physical Review A, 77(6):1–7, June 2008.
* [5] K. Banaszek. Maximum likelihood estimation of photon number distribution from homodyne statistics. arXiv.org, 1997.
* [6] M. Vasilyev, S. K. Choi, P. Kumar, and G. M. D’Ariano. Tomographic measurement of joint photon statistics of the twin-beam quantum state. Physical Review Letters, 84(11):2354–2357, 2000.
* [7] J. G. Webb, T. C. Ralph, and E. H. Huntington. Homodyne measurement of the average photon number. Physical Review A, 73(3):1–7, March 2006.
* [8] N. B. Grosse, T. Symul, M. Stobińska, T. C Ralph, and P. K. Lam. Measuring Photon Antibunching from Continuous Variable Sideband Squeezing. Physical Review Letters, 98(15):1–4, April 2007.
* [9] J. Eisert, S. Scheel, and M. B. Plenio. Distilling Gaussian states with Gaussian operations is impossible. Physical Review Letters, 89(13):137903, 2002.
* [10] G. Giedke and J. I. Cirac. Characterization of Gaussian operations and distillation of Gaussian states. Physical Review A, 66(3):32316, 2002.
* [11] P. Van Loock. Optical hybrid approaches to quantum information. Laser & Photonics Reviews, 5(2):167–200, 2011.
* [12] H.M. Chrzanowski, J. Bernu, B. Sparkes, B. Hage, A. P. Lund, T.C. Ralph, P. Lam, and T. Symul. Photon-number discrimination without a photon counter and its application to reconstructing non-Gaussian states. Physical Review A, 84(5), November 2011.
* [13] M. Dakna, T. Anhut, T. Opatrný, .L Knöll, and D. G. Welsch. Generating Schrödinger-cat-like states by means of conditional measurements on a beam splitter. Physical Review A, 55(4):3184–3194, 1997.
* [14] A. Gilchrist, K. Nemoto, W. J. Munro, T. C. Ralph, S. Glancy, S. L. Braunstein, and G. J. Milburn. Schrödinger cats and their power for quantum information processing. Journal of Optics B: Quantum and Semiclassical Optics, 6:S828, 2004\.
* [15] A. P. Lund, T. Ralph, and H. Haselgrove. Fault-Tolerant Linear Optical Quantum Computing with Small-Amplitude Coherent States. Physical Review Letters, 100(3):030503, January 2008.
* [16] T. Opatrný, G. Kurizki, and D. G. Welsch. Improvement on teleportation of continuous variables by photon subtraction via conditional measurement. Physical Review A, 61(3):32302, 2000.
* [17] A. Ourjoumtsev, A. Dantan, R. Tualle-Brouri, and P. Grangier. Increasing Entanglement between Gaussian States by Coherent Photon Subtraction. Physical Review Letters, 98(3):1–4, 2007.
* [18] A. Ourjoumtsev, H. Jeong, R. Tualle-Brouri, and P. Grangier. Generation of optical ‘Schrödinger cats’ from photon number states. Nature, 448:784–786, 2007.
* [19] A. Ourjoumtsev, R. Tualle-Brouri, J. Laurat, and P. Grangier. Generating Optical Schrödinger Kittens for Quantum Information Processing. Science, 312(5770):83–86, 2006.
* [20] J. S. Neergaard-Nielsen, B. M. Nielsen, C. Hettich, K. Mølmer, and E. S. Polzik. Generation of a superposition of odd photon number states for quantum information networks. Physical Review Letters, 97(8):83604, 2006.
* [21] K. Wakui, H. Takahashi, A. Furusawa, and M. Sasaki. Photon subtracted squeezed states generated with periodically poled KTiOPO4. Opt. Express, 15(6):3568–3574, March 2007.
* [22] T. Gerrits, S. Glancy, T. Clement, and B. Calkins. Generation of optical coherent-state superpositions by number-resolved photon subtraction from the squeezed vacuum. Physical Review A, 2010.
* [23] U. Leonhardt, M. Munroe, T. Kiss, T. Richter, and M. G. Raymer. Sampling of photon statistics and density matrix using homodyne detection. Optics communications, 127(1-3):144–160, 1996.
* [24] V. Buzek and G. Drobny. Quantum tomography via the MaxEnt principle. Journal of Modern Optics, 47(14):2823–2839, November 2000.
| arxiv-papers | 2021-07-26T11:30:25 | 2024-09-04T03:07:18.381101 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "H. M. Chrzanowski, S. M. Assad, Julien Bernu, Boris Hage, A. P. Lund,\n T. C. Ralph, P. K. Lam and T. Symul",
"submitter": "Syed Assad",
"url": "https://arxiv.org/abs/2107.12118"
} |
2107.12125 | 11institutetext: Hamburger Sternwarte, Universität Hamburg, Gojenbergsweg 112,
D-21029 Hamburg, Germany
11email: [email protected] 22institutetext: Department of Physics
and Astronomy, Michigan State University, East Lansing, MI 48824, USA
22email: [email protected]
# Turbulence in the intragroup and circumgalactic medium
W. Schmidt 11 J. P. Schmidt 11 P. Grete 22
(Preprint)
###### Abstract
Context. In massive objects, such as galaxy clusters, the turbulent velocity
dispersion, $\sigma_{\mathrm{turb}}$, is tightly correlated to both the object
mass, $M$, and the thermal energy.
Aims. Here, we investigate whether these scaling laws extend to lower-mass
objects in dark-matter filaments.
Methods. We perform a cosmological zoom-in simulation of a filament using an
adaptive filtering technique for the resolved velocity component and a
subgrid-scale model to account for the unresolved component. We then compute
the mean turbulent and thermal energies for all halos in the zoom-in region
and compare different definitions of halo averages. Averaging constrained by
density and temperature thresholds is favored over averages solely based on
virial spheres.
Results. We find no clear trend for the turbulent velocity dispersion versus
halo mass, but significant correlation and a scaling law with exponent
$\alpha\sim 0.5$ between the turbulent velocity dispersion and thermal energy
that agrees with a nearly constant turbulent Mach number, similar to more
massive objects.
Conclusions. We conclude that the self-similar energetics proposed for galaxy
clusters extends down to the CGM of individual galaxies.
###### Key Words.:
galaxies: groups: general, galaxies: evolution, galaxies: star formation,
hydrodynamics, turbulence, methods: numerical
## 1 Introduction
In contrast to galaxy clusters, groups consist of a small number of galaxies
and their halos have a typical mass $\sim 10^{13}M_{\odot}$. Most importantly,
groups of galaxies along with isolated galaxies are mainly found in the dark-
matter filaments of the cosmic web (Lietzen et al. 2012; Cautun et al. 2014;
Tempel et al. 2014). Even so, they host nearly half of all galaxies and there
are observations indicating that the intragroup medium (IGrM) constitutes a
significant fraction of the baryons in the Universe (Mulchaey 2000; Freeland &
Wilcots 2011). Observations of groups also suggest that they are typically out
of equilibrium (O’Sullivan et al. 2014). In particular, scaling laws for the
X-ray luminosity of groups are observed to deviate from clusters (Bharadwaj et
al. 2015; Vajgel et al. 2014; Lovisari et al. 2015) in two ways. First, groups
exhibit a more pronounced scatter than clusters. Second, there exist some
indications of a change of slope in the luminosity-mass and luminosity-
temperature relations.
In numerical simulations, various processes affecting the IGrM have been
identified. For example, the rate of galaxy mergers is relatively high in
groups (Díaz-Giménez & Mamon 2010). Outflows produced by active galactic
nuclei (AGN) and supernovae, which are enhanced by mergers, are expected to
have a significant impact on thermal and non-thermal properties of IGrM (Mihos
& Hernquist 1996; Planelles et al. 2013; Liang et al. 2016; Martin et al.
2018; Patton et al. 2020). In addition to tides and outflows, galaxies
interact via gas stripping with the surrounding medium (Iapichino et al. 2008;
Roediger et al. 2015). All of these processes produce turbulence and heat the
IGrM.
By computing luminosity relations for simulated groups, Liang et al. (2016)
and Paul et al. (2017) were able to confirm deviations from the scaling that
follows from self-similar structure formation. Objects in the mass range of
groups show a steeper slope and relatively high entropy. This suggests that
the energy budget of the IGrM is not predominately controlled by the depth of
the gravitational potential well. Indeed, the analysis of Paul et al. (2017)
indicates that many groups are far from virial equilibrium. If this is so,
tidal tails of merging galaxies, turbulent wakes produced by galaxies falling
into the potential well of a group, and outflows of galaxies should elevate
the kinetic energy by stirring turbulent motions in the IGrM. Since outflows
are also expected for isolated galaxies, they should produce turbulence in the
gas surrounding the galaxies, i.e. the circumgalactic medium (CGM), see, e.g.,
Tumlinson et al. (2017); Lochhaas et al. (2020).
As a result, the turbulent velocity dispersion is an important indicator of
non-equilibrium conditions. Unfortunately, the computation of the turbulent
velocity dispersion is nontrivial. From a physical point of view, it is
important to distinguish between bulk motions (that are induced, for instance,
by gravity) and fully nonlinear, turbulent flows. For example, the accretion
of gas into the potential well of a halo produces bulk flows on large scales,
while eddies produced by hydrodynamic instabilities in the wake of a moving
galaxy or an outflow propagating into the surrounding medium are turbulent.
Separating these components is not possible with the commonly applied method
to compute standard deviations of the radial velocity component in spherical
shells. To circumvent this issue, Schmidt et al. (2016) computed the three-
dimensional turbulent velocity dispersion $\sigma_{\mathrm{turb}}$ by means of
an adaptive algorithm that filters out bulk flows (e.g., accretion flows). It
was shown that both in the intracluster medium (ICM) and in the warm-hot
intergalactic medium (WHIM), the turbulent velocity dispersion follows power
laws. In particular, a strong correlation of turbulent and thermal energies
was established, indicating what is called second self-similarity (Miniati &
Beresnyak 2015; Schmidt et al. 2017). This relation can be expressed as
$\sigma_{\mathrm{turb}}\propto e^{\,\alpha}$, where $e$ is the specific
thermal energy and $\alpha$ the power-law exponent. For the ICM,
$\alpha\approx 0.5$ was found, implying that the turbulent Mach number in
halos is roughly constant. Moreover, the turbulent Mach number in halos was
generally found to be close to unity. This is physically expected, as
turbulence is driven by accretion shocks, mergers, stellar feedback,and active
galactic nuclei. Supersonic flows generated by these processes rapidly decay
to subsonic turbulence, which in turn decays more slowly.
In light of the observational and numerical results mentioned above, it is
interesting to ask whether the energetics of objects in the mass range of
groups and isolated galaxies reveal fundamental differences compared to more
massive clusters, in other words, whether $\alpha$ changes. In this article,
we use the adaptive mesh refinement (AMR) Enzo to zoom into a filament in a
cosmological volume with a spatial resolution scale of $1\,\mathrm{kpc}$ at
the maximum refinement level. The subgrid physics encompasses a novel subgrid-
scale (SGS) model for numerically unresolved turbulence (Grete et al. 2016) in
combination with standard recipes for star formation and stellar feedback in
galaxies. After describing the numerical methods applied in our simulations in
more detail in the following Section, we present an analysis of the numerical
data in Sect. 3. We begin with a phenomenological discussion and an analysis
of radial profiles for some representative halos. Then we present statistics
for all halos in the selected filament including an analysis of how to
constrain the IGrM or, equivalently, the circumgalactic medium of isolated
galaxies in simulations. Finally, we investigate the correlations of the mean
turbulent velocity dispersion with the halo mass and the thermal energy. In
our conclusions in Sect. 4, we discuss our results with regard to the question
raised above.
## 2 Numerical methods
We use a modified version of the publicly available, open source cosmological
AMR code Enzo (Bryan et al. 2014; Brummel-Smith et al. 2019).111See also
website enzo-project.org. Our modifications are available at
https://github.com/pgrete/enzo-dev. Commit 6300b03 was used in this work. The
code is MPI parallelized, features N-body dynamics based on a second-order
drift-kick-drift algorithm in combination with cloud-in-cell interpolation to
compute the joint gravitational potential of gas and particles, and a variety
of different finite volume solvers for gas dynamics and magnetic fields. In
our simulations, we apply the monotonic upstream-centered scheme for
conservation laws (MUSCL) with a local Lax-Friedrichs (LLF) solver for
sufficient numerical robustness. In addition, we utilize a structural subgrid-
scale (SGS) model that computes effects of numerically unresolved turbulence
on the basis of the instantaneous flow structure at the smallest resolved
scales, see Grete et al. (2016, 2017b) for idealized setups and Grete et al.
(2019) for a cosmological application. This approach avoids the difficulties
and computational cost of solving an additional partial differential equation
(Maier et al. 2009). While the impact on gas properties in halos is typically
negligible compared to the statistical variation among comparable objects
evolving from different initial conditions (Grete et al. 2019), it was found
that the employed model improves higher-order statistics of quantities such as
the vorticity in compressible turbulence simulations (Grete et al. 2017b).
Since turbulence is confined to the cosmic web (Schmidt et al. 2016), we
implemented the Kalman filtering technique for spatially inhomogeneous
turbulence into Enzo. Since no no fixed smoothing scale is applied, this
method is particularly suitable for spatially inhomogeneous turbulence. In the
statistically stationary regime, the filter operates like an exponential low-
pass filter with a characteristic time-scale of $5\,$Gyr and a characteristic
velocity scale of $100\,{\mathrm{km/s}}$ (see statistics discussed in Sect.
3.2). As a result, Kalman filtering allows us to separate the turbulent
fluctuation $\@vec{v}^{\prime}$ from non-turbulent bulk flows such as gas
accretion into the potential wells of halos and filaments:
$\@vec{v}^{\prime}=\@vec{v}-[\@vec{v}],$ (1)
where $\@vec{v}$ and $[\@vec{v}]$ is the unfiltered and filtered velocity,
respectively. In combination with the SGS model for the numerically unresolved
specific kinetic energy $E_{\mathrm{sgs}}$, we define the turbulent velocity
dispersion by
$\sigma_{\rm turb}^{2}=|\@vec{v}^{\prime}|^{2}+2E_{\mathrm{sgs}},$ (2)
A detailed description and numerical tests of the algorithm can be found in
Schmidt et al. (2014).
To achieve sufficient mass resolution for groups of galaxies and individual
galaxies in a filament, the zoom-in technique is applied. With the help of
MUSIC (Hahn & Abel 2011), we generated initial data for a $256^{3}$
cosmological box of co-moving size $50\,\mathrm{Mpc}/h$ and four nested grids
(levels 1-4) in a volume of about $3.9\times 8.6\times
3.9\,(\mathrm{Mpc}/h)^{3}$. As cosmological parameters, we chose $h=0.673$,
$\Omega_{\rm b}=0.0487$, and $\Omega_{\rm m}=0.315$ from the Planck
Collaboration et al. (2014).222Corrections in later releases of the Planck
data are not significant for this work. The particle mass is about $8\times
10^{8}\,M_{\odot}$ at the coarsest (root-grid) resolution and $2\times
10^{5}\,M_{\odot}$ in the zoom-in region. To reach the targeted spatial
resolution of $1\,\mathrm{kpc}$, up to four AMR levels (levels 5 to 8) were
added in the course of the simulation by applying refinement by dark matter
and baryon mass. The total number of cells in our highest-resolution run
culminated above $5\times 10^{8}$. As an illustration, Figure 1 shows a slice
through the nested-grid region around a prominent filament. We use the Python
package yt (Turk et al. 2011) for postprocessing and visualization.
Figure 1: Slice of the gas density in a zoom-in simulation of a filament at
redshift $z=0$. The shown region is a close-up view of the central quarter of
the simulation box ($74.3\,\mathrm{Mpc}$ physical size). Gray lines show edges
of Enzo subgrids (i.e. pieces distributed among processors) up to level 4. AMR
levels 5-8 are hidden.
To treat chemical species and radiative cooling, we use the Grackle library.
In our simulations, we applied a 6-species atomic H and He network, metal
cooling using the Cloudy tables, and heating and cooling rates and UV
background rates from Haardt & Madau (2012).333For further details, see
grackle.readthedocs.io/en/latest/Parameters.html In addition to cooling, star
formation and feedback are crucial ingredients to determine the thermodynamic
state of the gas. Unfortunately, star formation is notoriously difficult to
model in cosmological simulations (see review by Naab & Ostriker 2017). For
this reason, we evaluated three models in test runs at lower resolution (two
AMR levels, six levels of refinement in total) in preparation for our fiducial
run. 444Usually, the total star formation rate in a cosmological volume with
periodic boundary conditions is normalized to stellar mass per unit time and
unit volume. However, since stars form only in the nested-grid region in our
simulations, we need to estimate the normalized star formation rate based on
this region. This allows us to compare trends for different star formation
models. First, the model of Kravtsov (2003) (K03) that assumes that star
formation is proportional to the local gas density. This assumption
corresponds to a global Schmidt law.555To reduce fluctuations, we modified the
code to support stochastic star formation also for the K03 model. The
coefficient of proportionality is given by the inverse of a time scale, which
we set to $1\,\mathrm{Gyr}$. With a decline by about one order of magnitude
toward low redshift, see dot-dashed orange line in Fig. 2, the K03 model is
qualitatively in agreement with the observed redshift dependence of the star
formation rate (Behroozi et al. 2013). Nevertheless, the model breaks down
close to $z=0$, as reflected by the sudden burst of star formation. At
intermediate redshifts ($z\sim 1$), the KO3 model roughly agrees with an
expected star formation efficiency $\varepsilon=0.01$. The second model by Cen
& Ostriker (1992) (CO92) directly employs a stochastic star formation recipe
with $\varepsilon$ as free parameter controlling the star formation
efficiency. The two test runs with $\varepsilon=0.01$ and $\varepsilon=0.1$
(dotted blue and turquoise lines in Fig. 2) span the range of efficiencies
that can be reasonably assumed. However, both runs result in unrealistically
high star formation at lower redshifts. The third model we tested is the
dynamical model proposed by Semenov et al. (2016) (S+16). In this case, the
computation of the star formation efficiency is based on the local turbulent
Mach number following from the SGS model. While the model seems to perform
well in simulations of isolated disk galaxies, our results suggest that the
model initially overproduces stars, followed by a too rapid decline, see green
dotted line in Fig. 2. Apart from the underlying assumptions of the model, a
potential problem is that one would need to scale the turbulent energy down to
the scale of star forming clouds, which is much below the spatial resolution
scale of our simulations.
Figure 2: Mean star formation rate per unit volume in zoom-in region for
different models and resolutions: Cen & Ostriker (1992) model (CO92) with
stochastic star formation, where $\varepsilon$ is the star formation
efficiency, a dynamical model with local star formation efficiency (Semenov et
al. 2016, S+16), and the Kravtsov (2003) model (K03) with threshold number
density $n$ and a fixed star formation time scale of $1\,\mathrm{Gyr}$. The
number of levels specified in the legend refers to the number of AMR levels on
top of nested-grid levels. Our fiducial model is shown as solid (online
version: red) line.
Overall, the K03 model follows most closely the observed star formation rates.
Thus, we decided to use the K03 model in our fiducial run. Finally, the
threshold density above which gas can be turned into star particles needs to
be adjusted to the numerical resolution. While we achieved good agreement for
two and three AMR levels (see dashed and dot-dashed lines in Fig. 2), we
increased the threshold by a factor of ten to a number density of
$50\,\mathrm{cm}^{-3}$ in the case of the highest resolution. Otherwise, the
star formation rate for $z\lesssim 2$ would have become too high, however, at
the cost of a systematically lower star formation rate in the high-redshift
regime.
The K03 model employs supernova feedback similar to the prescription of CO92.
Basically, a certain fraction $f_{m\ast}$ of the stellar mass is assumed to be
ejected, resulting in momentum feedback. Thermal feedback is controlled by the
fraction $f_{\mathrm{SN}}$ of a star particle’s rest energy that is deposited
as thermal energy into the gas. The only difference compared to CO92 is that
feedback is applied instantaneously, which is a reasonable approximation for
resolutions in the kpc range, where the numerical time step $\Delta t\gtrsim
10^{6}\,\mathrm{yr}$ is comparable to or greater than the life time of massive
stars. Momentum feedback potentially contributes to the production of
turbulence, although gas motions that are induced locally at the grid scale
will be strongly affected by numerical dissipation. Thermal feedback produces
hot bubbles, particularly during episodes of intense star formation. The
expansion of such bubbles can also give rise to turbulence. For this work, we
adopt the commonly used default parameters $f_{m\ast}=0.25$ and
$f_{\mathrm{SN}}=10^{-5}$. For further details, see Bryan et al. (2014) and
references therein.
Figure 3: Slices of gas density, temperature, and turbulent velocity for the
example compact group halo with a halo mass of $M_{\rm halo}=1.17\times
10^{12}M_{\odot}$ at redshift $z=1$. The panels are scaled to a size of
$4R_{\rm vir}$, where the virial radius of the halo is $R_{\rm vir}=298\,$kpc.
## 3 Results
In this section, we begin with a phenomenological discussion of individual
halos accompanied by an analysis of halo profiles. This is the basis for
determining constraints to distinguish the CGM/IGrM from other gas phases.
Then we analyze mean CGM/IGrM values for all halos in the zoom-in region. We
concentrate on the the cosmological epoch after the observed peak of star
formation and AGN activity. To study evolutionary trends, statistics for $z=0$
and $1$ are compared.
### 3.1 Individual halos
In the following, we analyze data from our high-resolution runs (4 nested grid
levels, 4 AMR levels, global Schmidt law for number densities
$n>50\,\mathrm{{}^{-3}}$). We applied the HOP finder of yt, to identify halos
in the zoom-in region (Eisenstein & Hut 1998). The HOP algorithm defines halos
by searching for density peaks and grouping particles in distinct groups based
on nested density contours (peak, saddle, and outer boundary). The resulting
halos can be either isolated galaxies or groups of galaxies.666For a brief
outline of the algorithm, see https://yt-
project.org/doc/analyzing/analysis_modules/halo_catalogs.html?highlight=hop#hop.
We applied the halo finder with default parameters. At redshift $z=1$, 102
objects with halo masses between $10^{10}\,M_{\odot}$ and $1.32\times
10^{12}\,M_{\odot}$ and virial radii in the range from $28$ to
$298\,\mathrm{kpc}$ were identified.777The halo mass is defined by the total
mass of the particle group identified as halo by the HOP finder. Halos of
lower mass are excluded from our analysis. Apart from relatively poor mass
resolution, turbulent structures smaller than about ten times the grid scale
(i.e., $\lesssim 10\,\mathrm{kpc}$ at the maximum refinement level) are
strongly damped by numerical dissipation (Grete et al. 2017a), making
estimates of turbulent velocities in small, low-mass halos infeasible.
Figure 4: Same as in Fig. 3 for the isolated galaxy example within a lower
mass halo ($M_{\rm halo}=9.90\times 10^{10}M_{\odot}$, $R_{\rm vir}=73\,$kpc).
Examples are visualized in Figs 3 and 4, respectively, which show slices of
the baryonic gas density $\rho$, the temperature $T$ of the gas, and the
turbulent velocity dispersion $\sigma_{\rm turb}$ defined by equation (2). For
a particular object, the slices are scaled to twice the diameter of the halo.
The object shown in Fig 3 is located outside of the major filament in the
zoom-in region. Its mass of $1.17\times 10^{12}\,M_{\odot}$ is typical for a
galactic halo. Two off-center density peaks in the lower right quarter of the
region shown in Fig 3 are correlated with low temperatures $T\lesssim
10^{4}\,\mathrm{K}$. These structures can be interpreted as satellites of the
galaxy at the center of the halo. Within this halo there are sharp outward
drops in density and temperature are associated with outer accretions shocks.
As discussed in Schmidt et al. (2016), the volume inside of the accretions
shocks is filled with turbulent gas. Compared to the medium in the void,
$\sigma_{\rm turb}$ is at least two orders of magnitude larger in the WHIM and
ICM of clusters. For the halo shown in Fig. 3, the gas outside the accretion
shocks is comparatively quiescent, but the change of $\sigma_{\rm turb}$
across the outer shocks is less pronounced (see right panel). The residuals of
the order of $10\,\mathrm{km/s}$ in low-density regions are caused by slowly
decaying temporal correlations in the filtered flow888See Schmidt et al.
(2014), section 3, for a detailed discussion. Nevertheless, these residuals
are typically a factor of ten smaller than the turbulent velocity dispersion
inside the halo (see radial profiles below).
The object shown in Fig. 4 has a halo mass that is by one order of magnitude
smaller than in the previous example. At the center is a single galaxy with
outflows that can be discerned as hot gas (middle slice) at low densities
(left slice). In this case, a turbulent velocity dispersion of a few
$100\,\mathrm{km/s}$ is found inside the galaxy and in some of the ejected
gas. In most of the CGM, $\sigma_{\rm turb}$ is around $100\,\mathrm{km/s}$.
This is confirmed by the radial profiles shown in Fig. 5, where this halo
(green line) is compared to the halo discussed above (blue line) and two
additional halos with masses of $3.87\times 10^{11}\,M_{\odot}$ (orange line)
and $6.23\times 10^{10}\,M_{\odot}$ (red line). While the gas of the most
massive halo has profiles that resemble those of small galaxy clusters, i.e.
they are relatively flat near the core and fall off steeply at the outskirts
(Schmidt et al. 2016), the lower mass objects have pronounced peaks at the
center and falls off more gradually with radius. As suggested by the profiles
of the two additional halos, the transition is rather gradual and the shapes
of the profiles vary substantially. It is important to keep in mind that
scales $\lesssim 10$ kpc are affected by numerical dissipation and that radial
binning presumes spherical symmetry. Especially for galactic halos, the disk-
like structures can strongly distort the profiles. For example, the central
dip of the temperature profile for the halo with mass $9.9\times
10^{10}\,M_{\odot}$ is not as distinct as one would suppose, given the
temperature slice shown in Fig. 4. This is a consequence of averaging over
low-temperature gas in the ISM and hot gas in the CGM in spherical shells.
Separately, we assess the robustness of the profiles in Fig. 5 by plotting the
mean and median values (solid vs. dashed lines) as well as the interquartile
ranges (IQRs as shaded regions). In addition to the raw IQR, deviations
between the mean and median indicate a large spread in a quantity. Those are
particularly useful for quantities that vary over several orders of magnitude
as the mean values tend to be dominated by the largest values in the sample.
Beyond the central regions ($R\gtrsim 10$ kpc) the profiles exhibit a limited
spread hinting at some first trends. Roughly speaking, more massive halos
typically also have higher temperatures whereas there is no clear trend in the
turbulent velocity dispersion for halo with different masses.
Figure 5: Radial profiles of temperature $T$ and turbulent velocity dispersion
$\sigma_{\rm turb}$ for selected halos at redshift $z=1$. (Mean values per
radial bin are shown as solid lines, medians as dashed lines, and
interquartile ranges as shaded regions.) Figure 6: Resolution dependence of
radial profiles (mean values) for the halo of mass mass $M_{\rm
halo}=3.87\times 10^{11}M_{\odot}$ (see also Figs 4 and 5). The shaded regions
indicate the interquartile range for the highest resolution.
As discussed in Sect. 2, star formation and radiative cooling are sensitive to
numerical resolution. The tuning of star formation and feedback model
parameters to numerical resolution is a common problem in simulations of
galaxy evolution. As an example, the impact of the number of refinement levels
on radial profiles is shown in Fig. 6 for a halo of intermediate mass (i. e.,
$M_{\mathrm{halo}}\sim 10^{11}\,M_{\odot}$). While the turbulent velocity
dispersion profiles are comparable for different spatial resolutions, there
are clearly deviations in the temperature profiles. At lower resolution, star
formation activity is reduced and feedback becomes less efficient, resulting
in a drop of the gas temperature in the core. At least the maximum
temperatures agree for spatial resolutions of $2\,\mathrm{kpc}$ (3 AMR levels)
and $1\,\mathrm{kpc}$ (4 AMR levels). With a radius smaller than a tenth of
the virial radius, the core region fills only a small fraction of the total
volume of halo. As a result, resolution effects can be expected to affect mass
averages more strongly than volume averages.
Figure 7: Same as in Fig. 3 for a halo of mass $M_{\rm halo}=1.58\times
10^{12}M_{\odot}$ and $R_{\rm vir}=373\,$kpc at redshift $z=0$.
At redshift zero, the largest halo in the nested-grid region has a mass of
$3.61\times 10^{12}\,M_{\odot}$ and a virial radius $R_{\rm
vir}=467\,\mathrm{kpc}$. In total, we found 62 objects above
$10^{10}\,M_{\odot}$ with virial radii greater than $50\,\mathrm{kpc}$. From
the four halos with masses greater than $10^{12}\,M_{\odot}$, two are massive
galaxies, while the others are composed of multiple objects. An example is
shown in Fig. 7. There are two galaxies close to the center that are
surrounded by relatively dense and hot gas. Quite likely, these galaxies are
interacting. There are also smaller blobs of dense, cool gas that could be
either residuals from an interaction or smaller satellite galaxies. Thus, it
can be interpreted as a compact group at the lower end of the mass range of
groups. In contrast to the halo of comparable mass shown in Fig. 3, the
transition to the intergalactic medium of the filament is rather gradual. This
becomes clear when looking at the temperature profile of this halo, see blue
lines in Fig. 8. The temperature changes only little with radius, except for
the temperature increase in the core region. The profile of the turbulent
velocity dispersion is also rather flat. The halo of mass $1.22\times
10^{12}\,M_{\odot}$ (orange lines) is an isolated, massive galaxy. There is no
drop in temperature in the outskirts either and the temperature profile is
flat throughout the halo. This object might be a fossil group, which is the
end state of former group members merging into a single, dominant galaxy. The
other profiles show two single galaxies (green and red lines) with halo masses
$\sim 10^{11}\,M_{\odot}$. They are dominated by cooler gas close to the
center. Interestingly, they exhibit strong turbulence at radii $\sim
10\,\mathrm{kpc}$, where $\sigma_{\mathrm{turb}}$ is in the range from $100$
to more than $200\,\mathrm{km/s}$. Further outside in the CGM,
$\sigma_{\mathrm{turb}}$ decreases to a significantly lower background level.
This suggests that feedback from the galaxies enhances turbulence.
Figure 8: Radial profiles of temperature $T$ and turbulent velocity dispersion
$\sigma_{\rm turb}$ selected halos at redshift $z=0$. (Mean values per radial
bin are shown as solid lines, medians as dashed lines, and interquartile
ranges as shaded regions.)
### 3.2 Halo statistics
The key element of our analysis is the computation of mean energies for all
halos in the zoom-in region with mass $M_{\rm halo}\leavevmode\nobreak\
>\leavevmode\nobreak\ 10^{10}M_{\odot}$. The standard method is to average
over all cells within the virial sphere, i.e. from the center of the halo to
the radius $R_{\rm vir}$. However, our phenomenological discussion in Sect.
3.1 shows that warm, tenuous gas can be found inside the virial radius, while
hotter gas at higher density can extend beyond the virial radius. For this
reason, we need additional constraints to distinguish the CGM or IGrM from
other gas phases in filaments.
#### 3.2.1 Identifying the CGM/IGrM
To remove the warm, tenuous gas we exclude gas below a minimum overdensity
$\delta_{\mathrm{min}}$ relative to the mean density of baryonic and dark
matter and below a minimum temperature $T_{\mathrm{min}}$:
$\delta>\delta_{\mathrm{min}},\quad\mathrm{and}\quad T>T_{\mathrm{min}}.$ (3)
In addition, we only include gas with a neutral hydrogen number density below
$1\,\mathrm{cm^{-3}}$. These constraints are applied to a spherical volume of
radius $R_{\mathrm{max}}=2R_{\mathrm{vir}}$. On the one hand, this includes
gas in the outskirts outside of the virial sphere. On the other hand, dense
gas at low temperatures inside galaxies, i.e., star-forming gas, is excluded.
A maximal radius of $2R_{\mathrm{vir}}$ corresponds to the regions shown Figs
3, 4, and 7. It is sufficiently large to contain structures like the galactic
halo in Fig. 4 while a significant overlap with neighboring halos is avoided.
Figure 9: Volume-weighted (left) and mass-weighted (right) mean values of the
turbulent velocity dispersion and thermal energy of halos for different
constraints at $z=0$. Solid lines show medians of objects in the mass range
$[M/2,2M]$ for each halo of mass $M$.
To quantitatively evaluate different thresholds, we first computed the volume-
and mass-weighted averages of the turbulent velocity dispersion and thermal
energy of all halos at redshift $z=0$ for varying overdensity
$\delta_{\mathrm{min}}$ of 1, 10, and 50 at a fixed temperature limit
$T_{\mathrm{min}}=2.5\times 10^{4}\,\mathrm{K}$, see Fig. 9. While the chosen
thresholds are arbitrary within the range of plausible densities and
temperatures of the CGM/IGrM, they avoid assumptions such as spherical
symmetry or correlations between the properties of a dark-matter halo and its
gas contents (see also the discussion in Schmidt et al. 2016 in the context of
clusters). The turbulent velocity dispersion $\sigma_{\mathrm{turb}}$ exhibits
no clear trend with halo mass, except that the scatter increases toward low-
mass halos. The results are overall not particularly sensitive to the chosen
threshold density. As indicated by the sliding median for a mass window
$[M/2,2M]$ (solid lines in Fig. 9), the typical turbulent velocity dispersion
is of the order of $100\,\mathrm{km/s}$. The mean thermal energies suggest a
drop from halo masses above $M_{\rm halo}\sim 10^{12}M_{\odot}$ to lower
masses. Since the four most massive halos are both galaxies and small groups,
it is unclear whether this drop has any significance or is merely a
statistical fluctuation. Similar to the turbulent velocity dispersion, we find
larger scatter for halos of lower mass. Moreover, some trends with the
thresholds $\delta_{\mathrm{min}}$ and $T_{\mathrm{min}}$ can be discerned:
Toward the low-mass end, thermal energies tend to be higher for lower
$\delta_{\mathrm{min}}$. This can be understood as a consequence of excluding
high-temperature gas at relatively low densities, for example, the shocked gas
that can be seen in Fig. 4. As expected, this trend is reduced for the lowest
density threshold if mass weighing is applied. We also calculated the mean
turbulent velocity dispersion and thermal energy for a higher minimum
temperature of $T_{\mathrm{min}}=5\times 10^{4}\,\mathrm{K}$ at intermediate
overdensity $\delta_{\mathrm{min}}=10$ to assess the effect of
$T_{\mathrm{min}}$. While the overall impact of the temperature threshold is
rather minor, one can see a clustering of the mean thermal energies just above
the chosen temperature threshold. This means that objects with a significant
fraction of gas in the temperature range below the threshold are shifted
upwards, which should be avoided. On the other hand, choosing
$T_{\mathrm{min}}$ significantly lower than $2.5\times 10^{4}\,\mathrm{K}$,
would partially mix up the surroundings of galaxies with warm component of the
ISM.
To gain a qualitative understanding of the thresholds, Fig. 10 shows the gas
temperature inside the regions constrained by the $\delta_{\mathrm{min}}$ and
$T_{\mathrm{min}}$ for the halo with $M_{\rm halo}=1.74\times
10^{11}M_{\odot}$ at $z=0$ (see also Fig. 8). In the case
$\delta_{\mathrm{min}}=1$ and $T_{\mathrm{min}}=2.5\times 10^{4}\,\mathrm{K}$
(top left panel in Fig. 10), only the ISM of the galaxy in the center is
excluded and the region for which the average is computed is simply cut off at
$r=2R_{\rm vir}$. The opposite extreme is $\delta_{\mathrm{min}}=50$
$T_{\mathrm{min}}=2.5\times 10^{4}\,\mathrm{K}$ (bottom left), where only the
most dense part of the CGM is included. The intermediate threshold
($\delta_{\mathrm{min}}=10$, right panels) effectively constrains the CGM to
gas inside $2R_{\rm vir}$. In agreement with the preceding analysis, the
resulting mean values of the thermal energy become higher if gas at lower
densities is included (see Table 1), while the differences between
$T_{\mathrm{min}}=2.5\times 10^{4}\,\mathrm{K}$ (top right) and
$10^{5}\,\mathrm{K}$ (bottom right) are rather small for this halo. The
largest turbulent velocity dispersion is found for $\delta_{\mathrm{min}}=50$.
In this case, turbulent gas in the close vicinity of the galaxy fills a
relatively large volume fraction. As indicated by the radial profile plotted
in Fig. 8, the mean turbulent velocity dispersion tends to decrease if more
gas from regions at radii $\gtrsim 100\,$kpc contributes to the average.
(a) $\delta>1$, $T>2.5\times 10^{4}\;{\mathrm{K}}$
(b) $\delta>10$, $T>2.5\times 10^{4}\;{\mathrm{K}}$
(c) $\delta>50$, $T>2.5\times 10^{4}\;{\mathrm{K}}$
(d) $\delta>10$, $T>10^{5}\;{\mathrm{K}}$
Figure 10: Temperature slices constrained by overdensity $\delta>\delta_{\mathrm{min}}$ and temperature $T>T_{\mathrm{min}}$ for a halo of mass $M_{\rm halo}=1.74\times 10^{11}M_{\odot}$ at redshift $z=0$. The inner circle shows the virial radius $R_{\rm vir}=194\,$kpc of the halo. For the computation of halo averages based on these constraints, a maximal radius of $2R_{\rm vir}$ (outer circle) is applied. Table 1: Mean thermal energy and turbulent velocity dispersion of the halo shown in Fig. 10 for different density and temperature thresholds. $\delta_{\mathrm{min}}$ | $T_{\mathrm{min}}$ | $\langle\sigma_{\mathrm{turb}}\rangle\,$[km/s] | $\langle e\rangle\,$[keV]
---|---|---|---
$1$ | $2.5\times 10^{4}\,$K | 60.5 | 0.0334
$10$ | $2.5\times 10^{4}\,$K | 53.9 | 0.0190
$10$ | $10^{5}\,$K | 53.3 | 0.0195
$50$ | $2.5\times 10^{4}\,$K | 72.6 | 0.0184
Figure 11: Mean values of the turbulent velocity dispersion vs halo mass (top) and mean thermal energy (bottom) for halos at redshift $z=1$ (left) and $z=0$ (right). As indicated in the legend, both volume- and mass-weighted averages are computed for cells within the virial radius or cells with density and temperature above the specified thresholds. While the thick solid lines in the top plots ($\sigma_{\mathrm{turb}}$ vs $M$) are sliding medians as Fig. 9, the lower plots ($\sigma_{\mathrm{turb}}$ vs $e$) show power-law fits with slope $\alpha$. Table 2: Median values of the turbulent velocity dispersion for $M_{\rm halo}\geq 10^{10}M_{\odot}$. $z$ | region (weighing) | median [km/s]
---|---|---
$1$ | $R_{\mathrm{vir}}$ (vol) | 105.3
$1$ | $R_{\mathrm{vir}}$ (mass) | 121.3
$1$ | $\delta>10,T>2.5\times 10^{4}\,$K (vol) | 100.7
$1$ | $\delta>10,T>2.5\times 10^{4}\,$K (mass) | 110.2
$0$ | $R_{\mathrm{vir}}$ (vol) | 83.3
$0$ | $R_{\mathrm{vir}}$ (mass) | 120.2
$0$ | $\delta>10,T>2.5\times 10^{4}\,$K (vol) | 80.5
$0$ | $\delta>10,T>2.5\times 10^{4}\,$K (mass) | 84.2
#### 3.2.2 Scaling laws
Based on the quantitative and qualitative analysis, we we choose
$\delta_{\mathrm{min}}=10$ and $T_{\mathrm{min}}=2.5\times 10^{4}\,\mathrm{K}$
for the following analysis. Again, to evaluate the robustness of this choice
we also compare the results to the ones obtained from directly averaging over
the virial spheres. The resulting mean values of $\sigma_{\mathrm{turb}}$ at
redshifts $z=1$ and $0$ are plotted in Fig. 11. Both definitions of averages
result in similar distributions of $\sigma_{\mathrm{turb}}$ vs. halo mass,
which is confirmed by the sliding medians. For the complete sample, however,
we find that mass-weighted averages over virial spheres tend to be larger
compared to other averages (see medians of $\sigma_{\mathrm{turb}}$ listed in
Table 2). Since the radial profiles (see Figs 5 and 8) show that
$\sigma_{\mathrm{turb}}$ is larger in the cores than in the outskirts, mass-
weighing emphasizes the peak values of $\sigma_{\mathrm{turb}}$. As shown in
the preceding section, low-mass halos exhibit a stronger scatter. Moreover, at
lower redshift this scatter becomes even more pronounced, suggesting that
evolutionary effects beyond the self-similar gravitational collapse enhance
the diversity of low-mass halos. The overall median values confirm a trend of
decreasing $\sigma_{\mathrm{turb}}$ from $z=1$ to $0$, suggesting that energy
injection is reduced toward lower redshift. This is in agreement with the
decline of stellar feedback, which contributes to the production of turbulence
in the surroundings of galaxies.
The strong scatter of the energy-mass relations is confirmed by the
computation of correlation coefficients. Since the data cannot be assumed to
follow normal distributions,999This is easily confirmed by plotting histograms
of the data. we use Spearman’s nonparametric measure of correlation. The
results are listed in Table 3. While moderate correlations are found for
$z=1$, the halo masses become weakly correlated or uncorrelated with thermal
energy and turbulent velocity dispersion at $z=0$.
Table 3: Spearman’s correlations (correlation coefficient $r$ and $p$-value) between halo mass $M$, mean thermal energy $e$, and turbulent velocity dispersion $\sigma_{\mathrm{turb}}$ for the data shown in Fig. 11. | | $e$–$M$ | $\sigma_{\mathrm{turb}}$–$M$ | $\sigma_{\mathrm{turb}}$–$e$
---|---|---|---|---
$z$ | region (weighing) | $r$ | $p$ | $r$ | $p$ | $r$ | $p$
$1$ | $R_{\mathrm{vir}}$ (vol) | 0.482 | $2.9\times 10^{-7}$ | 0.450 | $2.1\times 10^{-6}$ | 0.638 | $5.3\times 10^{-13}$
$1$ | $R_{\mathrm{vir}}$ (mass) | 0.390 | $5.0\times 10^{-5}$ | 0.591 | $6.0\times 10^{-11}$ | 0.538 | $5.7\times 10^{-9}$
$1$ | $\delta>10,T>2.5\times 10^{4}\,$K (vol) | 0.424 | $9.0\times 10^{-6}$ | 0.329 | $7.3\times 10^{-4}$ | 0.654 | $8.8\times 10^{-14}$
$1$ | $\delta>10,T>2.5\times 10^{4}\,$K (mass) | 0.482 | $3.0\times 10^{-7}$ | 0.395 | $3.9\times 10^{-5}$ | 0.641 | $3.9\times 10^{-13}$
$0$ | $R_{\mathrm{vir}}$ (vol) | 0.151 | 0.24 | 0.074 | 0.57 | 0.702 | $2.0\times 10^{-10}$
$0$ | $R_{\mathrm{vir}}$ (mass) | 0.101 | 0.44 | 0.262 | 0.040 | 0.418 | $7.3\times 10^{-4}$
$0$ | $\delta>10,T>2.5\times 10^{4}\,$K (vol) | 0.305 | 0.016 | -0.028 | 0.83 | 0.540 | $5.9\times 10^{-6}$
$0$ | $\delta>10,T>2.5\times 10^{4}\,$K (mass) | 0.412 | $8.7\times 10^{-4}$ | 0.006 | 0.96 | 0.442 | $3.2\times 10^{-4}$
A different picture emerges when plotting $\sigma_{\mathrm{turb}}$ vs. the
thermal energy $e$. Similar to the analysis of clusters in Schmidt et al.
(2016), we find a correlation between the turbulent and thermal energies (with
$\sigma_{\mathrm{turb}}$ as proxy of the turbulent kinetic energy. The
correlation coefficients listed in Table 3 are in the range between 0.5 and
0.7 for volume-weighted averages and somewhat lower of mass-weighted averages.
The rather high correlations are confirmed by $p$-values: For $p<0.05$, it can
be excluded at $95\,\%$ confidence level that data sets appear correlated by
chance. However, compared to clusters (halo mass above $10^{13}\,M_{\odot}$),
the scatter is stronger. Power-law fits are shown as straight lines in Fig. 11
and their slopes $\alpha$ are listed in the lower legends. Although there are
small deviations between the slopes following from constraints (3) and volume-
averaged virial spheres, the data basically agree within the scatter. Compared
to mass-weighted averages over virial spheres, however, the discrepancy is
large. By inspecting individual halos, it clearly follows that the mean
thermal energy is shifted to systematically lower values if they are computed
for all gas inside the virial radius. The explanation is quite simple: Mass-
weighing emphasizes dense gas, including the cold gas in the interstellar
medium. This results in a significant bias that is avoided by excluding gas at
densities and temperatures that are characteristic for the ISM. These
contributions are reduced if volume-weighing is applied. Apart from that,
volume-averaging moves the focus away from the close vicinity of galaxies to
the outskirts of the CGM/IGrM. For this reason, we calculated the slopes for
the volume-averaged mean values based on the density and temperature
thresholds at different redshift, $z=\\{1,0.5,0.25,0\\}$, see Table 4. The
resulting $\alpha\sim 0.47$ varies only little with redshift and is consistent
with the scaling $\sigma_{\mathrm{turb}}\propto e^{\,0.5}$, i.e. a roughly
constant turbulent Mach number at given redshift (see also Schmidt et al.
2016). However, the power-law coefficient $\sigma_{0}$, i.e. the turbulent
velocity dispersion at an energy of $1\,\mathrm{keV}$, decreases with
redshift.
Table 4: Fit parameters for the power-law model $\langle\sigma_{\mathrm{turb}}\,[\mathrm{km/s}]\rangle=\sigma_{0}\langle e\,[\mathrm{keV}]\rangle^{\alpha}$, where volume-weighted mean values are computed for overdensities $\delta>10$ and $T>2.5\times 10^{4}\,$K. $z$ | $\alpha$ | $\sigma_{0}\,[\mathrm{km/s}]$
---|---|---
$1$ | $0.477\pm 0.052$ | $793_{-166}^{+210}$
$0.5$ | $0.479\pm 0.063$ | $638_{-151}^{+198}$
$0.25$ | $0.473\pm 0.042$ | $522_{-81}^{+96}$
$0$ | $0.468\pm 0.079$ | $507_{-151}^{+214}$
## 4 Conclusions
We performed nested-grid simulations of a filament, applying AMR to increase
the spatial resolution in halos of masses below $10^{13}\,M_{\odot}$. For
these objects, which can be interpreted as galaxies and groups of galaxies, we
analyzed the thermal and turbulent energy contents of the circumgalactic (CGM)
and, in a few cases, the intragroup (IGrM) medium at the lower-mass end of
groups. We applied a standard recipe for star formation (constant star
formation time scale above a number density threshold) and supernova feedback.
Our study was motivated by the question whether the energy of the CGM/IGrM
scales differently in the mass regime of groups or individual galaxies
compared to halos of higher mass, i.e. in the range of clusters. Since we
approached this question from a physical point of view, we chose
hydrodynamical variables as metrics, namely, the thermal energy of the gas and
the kinetic energy of turbulent gas flows. For the latter, we use the
turbulent velocity dispersion as associated quantity. A meaningful definition
of the turbulent velocity dispersion must be based on an integral quantity,
encompassing velocity fluctuations on all scales. For this reason, we applied
a Kalman filtering algorithm to estimate the numerically resolved component
(Schmidt et al. 2014) and the subgrid-scale model of Grete et al. (2016) for
the unresolved component.
To infer scaling relations from the simulation data, we need to compute mean
energies. The standard procedure is to apply a halo finder and to average over
the virial sphere of each halo. However, the virial radius is only a crude way
of specifying the boundaries of the gas belonging to a group of galaxies or
the gas surrounding an isolated galaxy. For this reason, we investigated
various criteria for defining the CGM based on density and temperature
thresholds. We find a reasonable constraint when averaging over moderately
overdense (a factor of 10 higher than the mean cosmological density) and warm-
hot gas (above $2.5\times 10^{4}$ K) within a maximum radius of two times the
virial radius. The former excludes the cool-warm ISM inside galaxies whereas
the latter avoids an overlap between halos. We find no clear trend of the
turbulent velocity dispersion with halo mass, except for a larger scatter
toward lower masses (top plots in Fig. 11). The scatter also tends to be more
pronounced at lower redshift. The median for all halos in the nested-grid
region is about $100\,\mathrm{km/s}$ at $z=1$ and $80\,\mathrm{km/s}$ at
redshift zero (see Table 2). It turns out that ISM contributions cause
significant deviations of the statistics inferred from mass-weighted averages
using virial spheres in the mass range of galactic halos. In contrast, we find
only minor differences between volume averages over virial spheres and regions
constrained by density and temperature, which supports the robustness of our
results. The power-law relation between turbulent velocity dispersion and
thermal energy (bottom plots in Fig. 11) with a scaling exponent around
$\alpha\sim 0.47$ at $z=0$ is similar to the relation found in simulations of
galaxy clusters ($\alpha\sim 0.5$, see Schmidt et al. 2016 and references
therein). In other words, halos filled with hot gas also tend to be turbulent,
regardless of the halo mass. This appears to apply all the way from the CGM to
the IGrM to the ICM of massive clusters, although the scatter becomes larger
with decreasing halo mass. Recently, Lochhaas et al. (2021) confirmed for an
individual halo that the turbulent energy is a nearly constant fraction of the
thermal energy over time.
Radial profiles of individual halos suggest that outflows from galaxies may
produce high levels of turbulence in the close vicinity of star-forming
galaxies, while the turbulent velocity dispersion decreases steeply in the
outer regions of the CGM (see, for example, the sigma-shaped profile in Fig.
8). Turbulence in the IGrM can be driven by mergers. In particular, fossil
groups at low redshifts tend to be highly turbulent, as illustrated in Fig. 7.
These effects result in substantially varying turbulence among different
objects, obscuring the scaling relations found for halos of higher mass. Our
results indicate that gravitational potential energy reservoir, for which the
halo mass can be considered as proxy, becomes increasingly modulated by
additional sources of energy in halos of lower mass. Tidal interactions
between galaxies and supernova feedback during episodes of intense star
formation both heat the surrounding gas and stir up turbulence, resulting in
what is sometimes called second self-similarity (Miniati & Beresnyak 2015;
Schmidt et al. 2017). Owing to their transient nature, interactions and
feedback introduce stronger variations and, as a result, weaker correlation.
Although AGN feedback is not decisive for understanding the properties of the
IGrM (Liang et al. 2016), it also has an impact on the galactic environment,
particularly at earlier epochs ($z>1$). For this reason, incorporating AGNs
into the subgrid physics will be an important component in improving the
simulations discussed in this work. Similarly, the CGM and IGrM are weakly
magnetized (Han 2017). Like the ICM , the weakly collisional nature of the
plasma make it prone to fast growing kinetic instabilities that (may) alter
the magnetic field structure and saturation strength in a turbulent
environment(Schekochihin et al. 2005). Thus, a treatment of magnetic fields in
the simulations would further increase their fidelity. In addition, it was
recently shown that the standard adaptive refinement condition based on dark
matter and baryon overdensity, which we also used, (naturally) misses some
details in the structure of the low density outskirts (Peeples et al. 2019;
Hummels et al. 2019). In turn, this may alter the turbulent velocity
dispersion at larger radii. Given the increased amount resources required to
resolve a larger fraction of the filament at very high resolution, we leave
this analysis to a future simulation campaign.
From an observational point of view, the situation is even more complicated
because the X-ray luminosity is the main indicator of the dynamical state of
the IGrM. Indeed, observed group luminosities point toward stronger scatter,
but the question whether the scaling of X-ray luminosity vs temperature breaks
at halo masses characteristic for groups is not settled (Bharadwaj et al.
2015; Vajgel et al. 2014; Lovisari et al. 2015; Liang et al. 2016; Paul et al.
2017). Although the emission of bremsstrahlung depends on $T^{1/2}$ and we
found that $T$ scales with $\sigma_{\mathrm{turb}}$, the dependence on the
squared number density of the gas might substantially weaken the relation
between X-ray luminosity and turbulent energy. In future work, it will be
important to analyze the imprint of the thermal and turbulent energy contents
of the IGrM on X-ray luminosity quantitatively. Moreover, a larger sample will
help to obtain better statistics, including halos in the typical mass range of
groups (i.e. $M\sim 10^{13}M_{\odot}$). This can be achieved by running
nested-grid simulations of a number of filaments in different regions. To
detect breaks in scaling relations under comparable conditions, many halos in
the mass range of clusters have to be computed with a resolution that is
sufficiently high for the sensible application of star formation and feedback
recipes. Although we were not able to extend our analysis to objects outside
of the zoom-in region because of too coarse resolution, our simulations point
at a break down of self-similarity with respect to halo mass, while second
self-similarity prevails in group and galaxy halos.
###### Acknowledgements.
We thank Surajit Paul and Luigi Iapichino for discussions that initiated the
work presented this paper. Moreover, comments by Brian O’Shea helped us to
improve our manuscript. PG acknowledges funding by NASA Astrophysics Theory
Program grant #NNX15AP39G. The simulations presented in this article were
performed on SuperMUC(-NG) at the Leibniz Supercomputing Centre (project
pr62ze). We also acknowledge the yt toolkit by Turk et al. (2011) that was
used for our analysis of numerical data.
## References
* Behroozi et al. (2013) Behroozi, P. S., Wechsler, R. H., & Conroy, C. 2013, ApJ, 770, 57
* Bharadwaj et al. (2015) Bharadwaj, V., Reiprich, T. H., Lovisari, L., & Eckmiller, H. J. 2015, A&A, 573, A75
* Brummel-Smith et al. (2019) Brummel-Smith, C., Bryan, G., Butsky, I., et al. 2019, The Journal of Open Source Software, 4, 1636
* Bryan et al. (2014) Bryan, G. L., Norman, M. L., O’Shea, B. W., et al. 2014, ApJS, 211, 19
* Cautun et al. (2014) Cautun, M., van de Weygaert, R., Jones, B. J. T., & Frenk, C. S. 2014, MNRAS, 441, 2923
* Cen & Ostriker (1992) Cen, R. & Ostriker, J. P. 1992, ApJ, 399, L113
* Díaz-Giménez & Mamon (2010) Díaz-Giménez, E. & Mamon, G. A. 2010, MNRAS, 409, 1227
* Eisenstein & Hut (1998) Eisenstein, D. J. & Hut, P. 1998, ApJ, 498, 137
* Freeland & Wilcots (2011) Freeland, E. & Wilcots, E. 2011, ApJ, 738, 145
* Grete et al. (2019) Grete, P., Latif, M. A., Schleicher, D. R. G., & Schmidt, W. 2019, MNRAS, 487, 4525
* Grete et al. (2017a) Grete, P., O’Shea, B. W., Beckwith, K., Schmidt, W., & Christlieb, A. 2017a, Physics of Plasmas, 24, 092311
* Grete et al. (2016) Grete, P., Vlaykov, D. G., Schmidt, W., & Schleicher, D. R. G. 2016, Physics of Plasmas, 23
* Grete et al. (2017b) Grete, P., Vlaykov, D. G., Schmidt, W., & Schleicher, D. R. G. 2017b, Phys. Rev. E, 95, 033206
* Haardt & Madau (2012) Haardt, F. & Madau, P. 2012, ApJ, 746, 125
* Hahn & Abel (2011) Hahn, O. & Abel, T. 2011, MNRAS, 415, 2101
* Han (2017) Han, J. 2017, ARA&A, 55, 111
* Hummels et al. (2019) Hummels, C. B., Smith, B. D., Hopkins, P. F., et al. 2019, ApJ, 882, 156
* Iapichino et al. (2008) Iapichino, L., Adamek, J., Schmidt, W., & Niemeyer, J. C. 2008, MNRAS, 388, 1079
* Kravtsov (2003) Kravtsov, A. V. 2003, ApJ, 590, L1
* Liang et al. (2016) Liang, L., Durier, F., Babul, A., et al. 2016, MNRAS, 456, 4266
* Lietzen et al. (2012) Lietzen, H., Tempel, E., Heinämäki, P., et al. 2012, A&A, 545, A104
* Lochhaas et al. (2020) Lochhaas, C., Bryan, G. L., Li, Y., Li, M., & Fielding, D. 2020, MNRAS, 493, 1461
* Lochhaas et al. (2021) Lochhaas, C., Tumlinson, J., O’Shea, B. W., et al. 2021, arXiv [2102.08393]
* Lovisari et al. (2015) Lovisari, L., Reiprich, T. H., & Schellenberger, G. 2015, A&A, 573, A118
* Maier et al. (2009) Maier, A., Iapichino, L., Schmidt, W., & Niemeyer, J. C. 2009, ApJ, 707, 40
* Martin et al. (2018) Martin, G., Kaviraj, S., Devriendt, J. E. G., Dubois, Y., & Pichon, C. 2018, MNRAS, 480, 2266
* Mihos & Hernquist (1996) Mihos, J. C. & Hernquist, L. 1996, ApJ, 464, 641
* Miniati & Beresnyak (2015) Miniati, F. & Beresnyak, A. 2015, Nature, 523, 59
* Mulchaey (2000) Mulchaey, J. S. 2000, ARA&A, 38, 289
* Naab & Ostriker (2017) Naab, T. & Ostriker, J. P. 2017, ARA&A, 55, 59
* O’Sullivan et al. (2014) O’Sullivan, E., Vrtilek, J. M., David, L. P., et al. 2014, ApJ, 793, 74
* Patton et al. (2020) Patton, D. R., Wilson, K. D., Metrow, C. J., et al. 2020, MNRAS, 494, 4969
* Paul et al. (2017) Paul, S., John, R. S., Gupta, P., & Kumar, H. 2017, MNRAS, 471, 2
* Peeples et al. (2019) Peeples, M. S., Corlies, L., Tumlinson, J., et al. 2019, ApJ, 873, 129
* Planck Collaboration et al. (2014) Planck Collaboration, Ade, P. A. R., Aghanim, N., et al. 2014, A&A, 571, A16
* Planelles et al. (2013) Planelles, S., Borgani, S., Dolag, K., et al. 2013, MNRAS, 431, 1487
* Roediger et al. (2015) Roediger, E., Kraft, R. P., Nulsen, P. E. J., et al. 2015, ApJ, 806, 103
* Schekochihin et al. (2005) Schekochihin, A. A., Cowley, S. C., Kulsrud, R. M., Hammett, G. W., & Sharma, P. 2005, ApJ, 629, 139
* Schmidt et al. (2014) Schmidt, W., Almgren, A. S., Braun, H., et al. 2014, MNRAS, 440, 3051
* Schmidt et al. (2017) Schmidt, W., Byrohl, C., Engels, J., Behrens, C., & Niemeyer, J. 2017, MNRAS, 470, 142
* Schmidt et al. (2016) Schmidt, W., Engels, J. F., Niemeyer, J. C., & Almgren, A. S. 2016, MNRAS, 459, 701
* Semenov et al. (2016) Semenov, V. A., Kravtsov, A. V., & Gnedin, N. Y. 2016, ApJ, 826, 200
* Tempel et al. (2014) Tempel, E., Kipper, R., Saar, E., et al. 2014, A&A, 572, A8
* Tumlinson et al. (2017) Tumlinson, J., Peeples, M. S., & Werk, J. K. 2017, ARA&A, 55, 389
* Turk et al. (2011) Turk, M. J., Smith, B. D., Oishi, J. S., et al. 2011, ApJS, 192, 9
* Vajgel et al. (2014) Vajgel, B., Jones, C., Lopes, P. A. A., et al. 2014, ApJ, 794, 88
| arxiv-papers | 2021-07-26T11:47:53 | 2024-09-04T03:07:18.394460 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "W. Schmidt, J. P. Schmidt, P. Grete",
"submitter": "Wolfram Schmidt",
"url": "https://arxiv.org/abs/2107.12125"
} |
2107.12127 | # Operator spectrum of nonrelativistic CFTs at large charge
Vito Pellizzani [email protected] Albert Einstein Center for
Fundamental Physics, Institute for Theoretical Physics, University of Bern,
Switzerland
###### Abstract
We extend and clarify the large-charge expansion of the conformal dimension
$\Delta_{Q}$ of the lowest operator of charge $Q$ in nonrelativistic conformal
field theories using the state-operator correspondence. The latter requires
coupling the theory to an external harmonic trap that confines the particles
to a spherical cloud, at the edge of which the effective theory breaks down
and leads to divergences. Only recently has this issue been overcome by
constructing appropriate counterterms at the edge of the cloud
[arXiv:2010.07967]. In this paper, we extend these results by systematically
analyzing the degree of divergence of operators in the effective action and
show that there always exist appropriate edge counterterms that make the final
contributions to $\Delta_{Q}$ finite. On the other side of the correspondence,
this also provides new corrections to the Thomas-Fermi approximation of the
unitary Fermi gas, and we comment on their relevance for ultracold atom
physics.
## I Introduction
The large-charge approach to strongly coupled systems with global symmetries
is a systematic way of deriving the spectrum of charged operators in an
expansion in inverse powers of the charge, as first discussed in [2] (see [3]
for a recent review), as well as certain correlation functions [4, 5, 6].
Sequels of this approach include the large-charge expansion in nonrelativistic
conformal field theories [1, 7, 8, 9, 10], the $O(N)$ model at large charge
[11], its double-scaling large-$N$ limit [12, 13] and the study of
nonperturbative corrections thereof using resurgence techniques [14], the
large $R$-charge limit [15, 16, 17, 18, 19, 20, 21] and the
$\epsilon$-expansion at large charge [22, 23, 24, 25, 26, 27], among others.
In most cases, the state-operator correspondence turns out to be extremely
powerful.
In this paper, we are concerned with nrcfts where the correspondence maps the
spectrum of conformal dimensions of (positively charged) local operators to
the energy spectrum of states in an external spherical harmonic trap
$A_{0}(\vec{x})=\frac{m\omega^{2}}{2\hbar}|\vec{x}|^{2},$ (1)
and vice-versa [28, 29, 30]. In particular, we focus on the conformal
dimension $\Delta_{Q}$ of the lowest operator of fixed charge $Q\gg 1$, which
can be accessed via the ground-state energy $E_{0}$ of the trapped system with
$Q$ particles confined to a spherical cloud. The argument is presented for
general spatial dimension $d$ in dimensionless units $\hbar=m=\omega=1$, in
which case we simply have $\Delta_{Q}=E_{0}$.
In order to derive the large-charge expansion of the ground-state energy, we
construct the effective field theory (eft) for the Goldstone boson $\chi$
associated with the broken $U(1)$ (i.e. particle number) symmetry with
appropriate dilaton dressing rules that guarantee conformal invariance. To
leading order, this description corresponds to the usual Thomas-Fermi
approximation, and the first subleading corrections were found by Son and
Wingate in a small momentum expansion for the nonlinear sigma model (nlsm)
[31]. While the power counting they used in this work might seem somewhat
arbitrary, it is in fact best understood from a large-charge perspective [9]
(see also [8]). However, this effective theory is known to break down close to
the edge of the cloud, where the particle density falls off and gives rise to
divergences even at the classical level [31, 9, 7]. Building upon the recent
work [1], we classify all types of edge divergences and explain how to restore
tree-level consistency by constructing appropriate counterterms in a procedure
that we refer to as $\delta_{\epsilon}$-layer regularization. We show that, in
general,
$\displaystyle\Delta_{Q}$
$\displaystyle=Q^{\frac{d+1}{d}}\left[a_{1}+a_{2}Q^{-\frac{2}{d}}+a_{3}Q^{-\frac{4}{d}}+\ldots\right]$
(2)
$\displaystyle+Q^{\frac{2d-1}{3d}}\left[b_{1}+b_{2}Q^{-\frac{2}{3d}}+b_{3}Q^{-\frac{4}{3d}}+\ldots\right]$
$\displaystyle+Q^{\frac{d-5}{3d}}\left[c_{1}+c_{2}Q^{-\frac{2}{3d}}+c_{3}Q^{-\frac{4}{3d}}+\ldots\right]+\ldots$
plus quantum corrections starting at $Q^{0}$. The first line is analogous to
the relativistic case, while the second and third lines are new structures
arising from edge effects. Note that some of the $b_{i}$’s contain a $\log
Q$-factor when $d$ is even, e.g.
$\displaystyle\Delta_{Q}^{(d=2)}=c_{1}Q^{\frac{3}{2}}$
$\displaystyle+c_{2}\sqrt{Q}\log Q+c_{3}\sqrt{Q}$ (3)
$\displaystyle+c_{4}Q^{\frac{1}{6}}-0.29416+\ldots,$
where the last term is the model-independent one-loop Casimir energy
calculated in [7], and further corrections scale with negative powers of $Q$.
Equation (3) was first derived in [1], based on [7, 8, 9], although we shall
clarify the origin of the $Q^{\frac{1}{2}}$ and $Q^{\frac{1}{6}}$ terms.
Similarly, we show that
$\displaystyle\Delta_{Q}^{(d=3)}=c_{1}Q^{\frac{4}{3}}$
$\displaystyle+c_{2}Q^{\frac{2}{3}}+c_{3}Q^{\frac{5}{9}}$ (4)
$\displaystyle+c_{4}Q^{\frac{1}{3}}+c_{5}Q^{\frac{1}{9}}+c_{6}Q^{0}+\ldots,$
and we argue that one should expect the presence of a universal $\log Q$-term
associated with the Casimir energy, which will be computed in an upcoming
publication [32]. Son & Wingate [31] derived the first two terms of Eq. (4)
and anticipated the presence of a divergent term that would scale like
$Q^{\frac{5}{9}}$ after $\delta_{\epsilon}$-layer regularization. In this
paper, we renormalize it for the first time and extend the expansion down to
$Q^{0}$.
Moreover, the description of the system in terms of the dilaton mode allows us
to explore the near-conformal regime of the theory by introducing a small
dilaton mass $m_{\sigma}$ _à la_ Coleman [33], as was done in [7] based on the
general expectation detailed in [34, 35] that a dilatonlike mode appears near
a smooth quantum phase transition. We overcome the issues faced in [7] related
to boundary divergences and find that the signature of this mass deformation
is an additional $\sqrt{Q}\log Q$ and $\sqrt{Q}$-contributions in $d=3$, while
the structure of the expansion is unaffected in $d=2$. Note that, while the
concept of conformal dimension becomes (softly) ill-defined in this scenario,
the corrections induced by the dilaton mass to the ground-state energy of the
trapped system are interesting _per se_. In this work, one can therefore think
of $\Delta_{Q}$ as the latter energy, which exactly corresponds to the
conformal dimension of the lowest operator of charge $Q$ only when
$m_{\sigma}=0$.
Finally, let us comment on the relevance for ultracold atom physics, as
trapped gases can be realized experimentally (see e.g. the beautiful reviews
[36, 37] and references therein). Typically, this is achieved for cold and
dilute atomic Fermi gases whose interaction strength is dominated by the
s-wave scattering and can be tuned using Feshbach resonances. Correspondingly,
the value and even the sign of the dimensionless scattering parameter
$\frac{1}{k_{F}a_{s}}$—where $k_{F}$ is the Fermi wave-vector and $a_{s}$ the
s-wave scattering length—can be changed. In the Bardeen-Cooper-Schrieffer
(bcs) regime $\frac{1}{k_{F}a_{s}}\ll-1$, the interaction is weakly attractive
and fermions form Cooper pairs, while for $\frac{1}{k_{F}a_{s}}\gg 1$, the
attraction is strong and binds pairs of fermions with opposite spin together.
The latter system is effectively described by a weakly interacting bosonic gas
of such molecules (also called dimers), i.e. a Bose-Einstein condensate (bec)
[37]. Both regimes are known to exhibit superfluidity and no phase transition
occurs in between, indicating a smooth crossover that preserves superfluidity
for all values of $k_{F}a_{s}$. This is particularly relevant for the
crossover region $\frac{1}{k_{F}a_{s}}\in[-1,1]$, centered around the resonant
case $\frac{1}{k_{F}a_{s}}=0$ known as the _unitary_ limit, where the system
is strongly interacting and an expansion in $k_{F}a_{s}$ is inappropriate.
While a complete description of the crossover is still lacking, the emergent
scale invariance at unitarity allows for an eft description of the cold Fermi
gas with a large number of trapped particles, as initiated by Son & Wingate
[31] and completed in the present work from a linear sigma model (lsm)
perspective, where the only massless, low-energy degree of freedom $\chi$
corresponds to the phase of the condensate. As already mentioned, this eft
goes beyond the Thomas-Fermi approximation, yielding corrections e.g. to the
ground-state energy, Eq. (4), or the doubly integrated density
$n(x_{3})=\iint\differential x_{1}\differential x_{2}\,\rho(\vec{x})$ measured
experimentally in [38] (where $\rho(\vec{x})$ is the charge density):
$\displaystyle n(x_{3})$ $\displaystyle=\frac{2\pi
g}{5}\left[\frac{2c_{\frac{4}{3}}\mu}{g}\left(1-\frac{x_{3}^{2}}{2\mu}\right)\right]^{\frac{5}{2}}$
$\displaystyle\times\left[1+\frac{45c_{\frac{2}{3}}}{32c_{\frac{4}{3}}\mu^{2}}\left\\{\frac{5}{\left(1-\frac{x_{3}^{2}}{2\mu}\right)^{2}}-\frac{1}{\left(1-\frac{x_{3}^{2}}{2\mu}\right)^{3}}\right\\}+\ldots\right].$
Here, $\mu$ is the chemical potential and $g$, $c_{\frac{4}{3}}$,
$c_{\frac{2}{3}}$ are Wilsonian parameters. The latter is associated with the
simplest subleading operator in the eft; without it, only the first line above
matters, which can be written as
$n(x_{3})=\frac{16}{5\pi}\frac{Q}{R_{cl}}\left(1-\frac{x_{3}^{2}}{R_{cl}^{2}}\right)^{\frac{5}{2}}$,
where $R_{cl}=2\mu$ is the classical radius of the cloud, thus matching the
known expression [37]. Further corrections can readily be computed. It would
also be interesting to investigate to what extent the somewhat naïve breaking
of conformal invariance caused by the introduction of a small dilaton mass
mentioned earlier allows for the exploration of the crossover region (e.g. in
the spirit of [39]).
Another interesting direction for future research in ultracold atom physics
concerns bec, for which the Gross-Pitaevskii theory predicts that the ground-
state energy be given by [36]
$E_{0}=\int\differential^{3}x\left[\frac{1}{2}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a(\vec{x}))^{2}+A_{0}(\vec{x})a(\vec{x})^{2}+2\pi
a_{s}\cdot a(\vec{x})^{4}\right],$
where $a(\vec{x})$ is the radial mode of the condensate wave-function
$\Phi(t,\vec{x})=a(\vec{x})e^{-i\mu t}$ and $\mu$ is the chemical potential.
The first term in this expression is called _quantum pressure_ and is
neglected in the Thomas-Fermi approximation. However, it becomes important
close to the edge of the cloud, in a region sometimes called _effective
surface thickness_ in this context. Upon approximating the potential by a
linear ramp in this region, the authors of [40] (based on [41]) found
schematically
$E_{0}=d_{1}Q^{\frac{7}{5}}+d_{2}Q^{\frac{3}{5}}\log
Q+d_{3}Q^{\frac{3}{5}}+\ldots,$ (5)
where we did not keep track of $a_{s}$ for simplicity. It would therefore be
interesting to understand how much this result could be improved by including
edge counterterms similar to the ones presented in the present work.
* * *
This paper is organized as follows. In Sec. II.1 and II.2, we review the
construction of the leading-order effective action from the lsm perspective
using the dilaton dressing, following [7]. We then discuss in Sec. II.3 how to
adapt the previous dilaton dressing as we approach the edge of the cloud, as
first discussed in [1], and we give the recipe for the construction of edge
counterterms in Sec. II.4, based on the same reference. The core of our work
is presented in Sec. II.5, where we analyze the possible diverging behaviors
of operators due to boundary effects and show that the previously constructed
counterterms always match. This allows to complete the large-charge expansion
of $\Delta_{Q}$ in any dimension up to terms that scale with negative powers
of $Q$, in which case quantum corrections have to be taken into account. We
make some general observations and comment on quantum corrections in Sec.
II.6, and finally work out the $d=2$ and $d=3$ cases in Sec. III.1 and Sec.
III.2, respectively, including the aforementioned dilaton mass deformation.
## II Effective action
### II.1 Dilaton dressing and radial mode
Working in a sector of fixed charge spontaneously breaks the associated global
symmetry, as well as conformal invariance. Describing the eft in terms of the
Goldstone mode that accounts for the breaking of conformal invariance, namely
the dilaton $\sigma$, turns out to be convenient even though it may actually
be massive (see e.g. [42] for a discussion on gapped Goldstone bosons). A
simple construction is due to Coleman [33], who pointed out that it is
possible to promote Lorentz invariance of a given theory to a full conformal
invariance by dressing the operators with an appropriate factor involving the
dilaton. The very same game can be played with nonrelativistic theories [7,
43], where the nonrelativistic conformal symmetry group is usually referred to
as the _Schrödinger group_. Scale transformation
$(t,\vec{x})\to(e^{z\tau}t,e^{\tau}\vec{x})$—where $z=1$ in the relativistic
case and $z=2$ in the nonrelativistic one—acts on the dilaton as
$\sigma(t,\vec{x})\longrightarrow\sigma(t,\vec{x})+\frac{d+z-2}{2f},$ (6)
where the dimensionful parameter $f$ can be regarded as the (inverse) decay
constant of the dilaton [44].
If one considers a theory featuring a global $U(1)$ symmetry, as is the case
of the Schrödinger group, one may first construct the most general eft for the
Goldstone mode $\chi$ invariant under Galilean or Lorentz symmetry that
nonlinearly realizes the $U(1)$ symmetry, and then appropriately dress the
operators with the dilaton $\sigma$ so as to make them marginal. Note that in
general, these two fields can then be conveniently recast as
$\psi=\frac{1}{f}e^{-f\sigma-i\chi},$ (7)
and we shall therefore refer to $a\equiv|\psi|=\frac{1}{f}e^{-f\sigma}$ as the
_radial mode_. Of course, this construction would require an infinite number
of Wilsonian coefficients, but one can then organize them in a large-charge
expansion and truncate to any desired order. While this provides an explicit
recipe for the construction of the large-charge lsm of the effective theory
(which essentially works in the same way for the relativistic [3, 45] and the
nonrelativistic cases [7]), it should be pointed out that the radial mode
becomes massive under spontaneous breaking of the $U(1)$ symmetry and thus
decouples below the energy scale associated with the charge. Upon integrating
it out, one would recover an equivalent large-charge effective action for the
Goldstone $\chi$ alone in the form of a nlsm, which can be obtained using
different methods, e.g. the coset construction [4, 9]. In this paper, we shall
use the lsm description in view of including a small dilaton mass deformation.
### II.2 Leading-order Lagrangian
As mentioned in the introduction, we aim to compute the conformal dimension of
the lowest operator at large charge using the nonrelativistic state-operator
correspondence. Accordingly, we consider the theory coupled to an external
trapping potential,
$A_{0}(r)=\frac{1}{2}r^{2},$ (8)
which restricts the support of the (classical) theory to a ball of finite
radius, i.e., a cloud—or droplet—of particles at the edge of which the
particle density rapidly falls off to zero. Unlike in the relativistic case
where the state-operator correspondence is realized on a fixed background, the
cloud is a dynamical object whose boundary undergoes quantum fluctuations. It
is a very reasonable question to wonder whether short-distance physics causes
any trouble close to the edge, and the answer is known to be positive [31, 9,
7]. This issue is already present at the classical level, and a sharp cutoff
procedure was discussed in these references, where the so-called
$\delta_{\epsilon}$-layer is removed at the edge in order to regularize the
theory. More recently, a thorough discussion of the possible counterterms
located at the edge of the droplet has been carried out in [1]. We aim at
translating and extending these results into the language of the lsm at large
charge.
The building block of a generic Galilean invariant theory for the Goldstone
mode $\chi$ in the trap is the operator
$U\equiv\dot{\chi}-A_{0}(r)-\frac{1}{2}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}\chi)^{2},$
(9)
where the presence of $A_{0}(r)$ requires some notion of general coordinate
invariance, as discussed in [31]. As we shall see later, derivatives of this
operator, as well as other operators featuring more derivatives of the
Goldstone mode $\chi$ contribute to the effective action but, for now, let us
focus on the power series in $U$,
$\mathcal{L}(\chi)=-k_{0}+\sum_{n=1}^{\infty}k_{n}U^{n},$ (10)
where the $k_{i}$’s are Wilsonian coefficients. Promoting such a Galilean-
invariant Lagrangian to a fully Schrödinger-invariant one is now an easy task
with the dilaton dressing. Since the dimension of the radial mode
$a=\frac{1}{f}e^{-f\sigma}$ is $[a]=\frac{d}{2}$ and $[U]=2$, we simply have
$\mathcal{L}(\chi,a)=-k_{0}a^{2+\frac{4}{d}}+a^{2+\frac{4}{d}}\sum_{n=1}^{\infty}k_{n}\cdot\left(\frac{U}{a^{\frac{4}{d}}}\right)^{n}.$
(11)
In the superfluid ground state, the vacuum expectation value (vev) of the
Goldstone mode is $\langle\chi\rangle=\mu\cdot t$, where $\mu$ is the chemical
potential. The $U(1)$ and conformal symmetries are spontaneously broken, and
the equation of motion for $a$ imposes that the ratio
$\frac{U}{a^{\frac{4}{d}}}$ is necessarily a constant. Correspondingly, their
vev are of the form
$\langle a\rangle^{\frac{4}{d}}\sim\langle
U\rangle=\mu\left(1-\frac{r^{2}}{2\mu}\right).$ (12)
From the form of the action, Eq. (11), one readily sees that $a$ acquires a
mass $m_{a}^{2}\sim\langle a\rangle^{\frac{4}{d}}\sim\mu$. Moreover, the
ground-state charge density $\rho\propto\langle a\rangle^{2}$ is supported on
the interval $r\in[0,R_{cl}]$ where $R_{cl}\equiv\sqrt{2\mu}$ defines the
radius of the cloud, i.e. the classical turning point, and sets an infrared
(ir) length-scale. Upon integrating the charge density over this region, one
finds that the total charge is
$Q\sim\mu^{d}.$ (13)
If one associates an ultraviolet (uv) length-scale
$R_{\mu}=\sqrt{\frac{2}{\mu}}$ with the mass of the radial mode, the effective
theory description is under perturbative control when there is a separation of
scales,
$R_{cl}\gg r\gg R_{\mu},$ (14)
which amounts to requiring that the controlling parameter
$\frac{R_{\mu}}{R_{cl}}=\frac{1}{\mu}\sim Q^{-\frac{1}{d}}$ be small. This, in
turn, is guaranteed by the large-charge condition $Q\gg 1$.
The drawback of keeping track of the massive mode $a$ in the low-energy
description is that we technically have to account for series of operators, as
in Eq. (11), that give the same contribution to observables to leading-order.
Roughly speaking, integrating the radial mode out in Eq. (11) gives a single
leading-order term $U^{1+\frac{2}{d}}$ in the nlsm, and trading
$a^{\frac{4}{d}}$ for $U$ is therefore unseen at the level of the nlsm. The
minimal Lagrangian that captures all the above properties is given by
$\mathcal{L}_{LO}(\chi,a)=c_{\frac{d+1}{d}}a^{2}U-\frac{d}{2(d+2)}ga^{2+\frac{4}{d}},$
(15)
where we renamed and rescaled the Wilsonian coefficients for future
convenience. Correspondingly, the ground-state energy—and therefore, the
conformal dimension of the lowest operator of charge $Q$ in the system without
trap—is given by
$\Delta_{Q}=\frac{d}{d+1}\zeta Q^{\frac{d+1}{d}},$ (16)
where $\zeta=\sqrt{\frac{g}{4\pi
c_{\frac{d+1}{d}}}}\left[\frac{2\Gamma(d)}{c_{\frac{d+1}{d}}\Gamma\left(\frac{d}{2}\right)}\right]^{\frac{1}{d}}$
is a constant, in accordance with the nlsm results [9]. The advantage of this
description, however, is that it allows for a rather straightforward analysis
of the subleading corrections to Eq. (16), as discussed in Sec. II.5.
In order to further simplify the argument, we introduce the dimensionless
coordinate $z\equiv 1-\frac{r^{2}}{R_{cl}^{2}}=1-\frac{r^{2}}{2\mu}$, which
measures the distance from the classical boundary of the cloud. Since
spherical symmetry is preserved by the superfluid ground state, it will be
convenient to express every vev as a function of $z$. Useful properties are
$\displaystyle(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}f(\vec{x}))(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}g(\vec{x}))=\frac{2(1-z)}{\mu}f^{\prime}(z)g^{\prime}(z),$
(17)
$\displaystyle\nabla^{2}f(\vec{x})=\frac{2}{\mu}\left[(1-z)f^{\prime\prime}(z)-\frac{d}{2}f^{\prime}(z)\right],$
$\displaystyle\int_{cloud}\differential^{d}x\,f(\vec{x})=\frac{(2\pi\mu)^{\frac{d}{2}}}{\Gamma\left(\frac{d}{2}\right)}\int_{0}^{1}\differential
z\,(1-z)^{\frac{d-2}{2}}f(z),$
where primes refer to derivatives with respect to $z$ and $f,g$ are
spherically invariant functions. Note that spatial derivatives of operators _a
priori_ make their contributions to the conformal dimension $\Delta_{Q}$
parametrically smaller due to the division by $\mu\sim Q^{\frac{1}{d}}$.
At this stage, let us point out that Eq. (15) in $d=3$ corresponds to the
Thomas-Fermi approximation of the unitary Fermi gas, and yields, among others,
the known expression for the doubly integrated density mentioned in the
introduction and measured experimentally in [38], namely
$\displaystyle n(x_{3})$ $\displaystyle=\iint\differential x_{1}\differential
x_{2}\,\rho(\vec{x})$ (18) $\displaystyle=\frac{2\pi
g}{5}\left[\frac{2c_{\frac{4}{3}}\mu}{g}\left(1-\frac{x_{3}^{2}}{2\mu}\right)\right]^{\frac{5}{2}},$
where the $x_{i}$’s take values in the cloud. At the end of this paper, we
discuss corrections to this expression.
### II.3 Dressing rules
The presence of the dilaton field, via the radial mode
$a(t,\vec{x})=\frac{1}{f}e^{-f\sigma(t,\vec{x})}$, allows for the dressing of
operators to marginality, as discussed in the previous section. At the same
time, the breakdown of the effective theory near the edge of the cloud is
associated with the vanishing of the particle density and, hence, the
vanishing of $a$ [31, 9, 7]. From a large-charge perspective, this indicates
that the dressing rule based on powers of $a$ is only appropriate when edge
effects are negligible, i.e. in the _bulk_ of the cloud (to be defined later).
As we approach the boundary, the dressed theory fails to describe the system,
and another nonvanishing, nonsingular operator needs to take over as the new
appropriate dressing rule [1].
Concretely, a generic dressing operator can involve powers of $a$ and its
derivatives $(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}$, so we
consider
$\mathcal{D}_{b,c}\equiv\left[a^{2b}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2c}\right]^{\frac{2}{d\cdot(b+c)+2c}},$
(19)
where $b,c$ can be any positive numbers for now, and the overall power is
chosen such that its dimension is fixed:
$[\mathcal{D}_{b,c}]=2.$ (20)
Indeed, note that $[a^{2}]=d$ and
$[(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}]=d+2$. It is
straightforward to see that the dressing rule associated with
$\mathcal{D}_{b,c}$ for an operator $\mathcal{O}$ with dimension
$[\mathcal{O}]$ is
$\mathcal{O}_{dressed}\equiv\mathcal{O}\cdot\mathcal{D}_{b,c}^{\frac{d+2-[\mathcal{O}]}{2}}.$
(21)
So how do we fix $b$ and $c$ in the bulk and at the edge? Since the dressing
operator has the same dimension for any pair $(b,c)$, the selection criteria
are rather simple [1]. To leading-order in $\mu$, the vev of the dressing
operator scales like
$\langle\mathcal{D}_{b,c}\rangle\sim\mu^{1-\frac{4c}{d\cdot(b+c)+2c}}\cdot
z^{1-\frac{6c}{d\cdot(b+c)+2c}},$ (22)
since $\langle a\rangle\sim(\mu\cdot z)^{\frac{d}{4}}$ and
$(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}\langle
a\rangle)^{2}\sim\mu^{\frac{d-2}{2}}\cdot z^{\frac{d-4}{2}}$. In the bulk of
the cloud, $z$ is of order 1 and the dressing rule is associated with the
operator $\mathcal{D}_{b,c}$ that has the highest $\mu$-scaling, i.e. $c=0$.
This yields the natural dressing rule used in the previous section, namely
$\mathcal{D}_{bulk}\equiv a^{\frac{4}{d}}.$ (23)
At the edge, however, the dressing operator is required to be nonvanishing
(unlike $\mathcal{D}_{bulk}$), and nonsingular. In short, its leading-order
dependence on $\mu$ in the ground state should feature neither positive nor
negative powers of $z$, i.e. it is a constant. This happens when
$d\cdot(b+c)=4c$, and we get
$\mathcal{D}_{edge}\equiv\left[a^{\frac{8}{d}-2}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}\right]^{\frac{1}{3}}.$
(24)
This operator is proportional to
$\left|\mathop{\mathrm{{}\partial}}\mathopen{}_{i}\left(a^{\frac{4}{d}}\right)\right|^{\frac{2}{3}}$
which is equivalent to the edge dressing rule originally discussed in [1] upon
trading $a^{\frac{4}{d}}$ for $U$ at the level of the nlsm.
### II.4 $\delta_{\epsilon}$-layer and edge counterterms
In order to account for the lack of control at the droplet edge, one can
effectively cut off a small layer close the classical boundary of the cloud,
as discussed in [31, 9, 7]. Following [1], this regularization prescription
can be made slightly more precise in terms of the dressing operators we have
just found. Indeed, we eventually want to renormalize the theory by
introducing counterterms in the region where
$\mathcal{D}_{bulk}\sim\mathcal{D}_{edge}$ and beyond which
$\mathcal{D}_{edge}$ is the only appropriate dressing operator. This condition
is equivalent to
$(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}\sim a^{\frac{4}{d}+2},$
(25)
which, in the ground state, is satisfied when $z\sim\mu^{-\frac{2}{3}}$, and
we thus define the $\delta_{\epsilon}$-layer,
$\delta_{\epsilon}\equiv\frac{\epsilon}{\mu^{\frac{2}{3}}}\sim\mathcal{O}\left(Q^{-\frac{2}{3d}}\right),$
(26)
for an arbitrary constant $\epsilon\sim\mathcal{O}(1)$. We thereby define the
bulk of the cloud as the region covered by the interval
$\delta_{\epsilon}\lesssim z\leq 1$, in agreement with the literature.
In order to construct a counterterm at the edge, we use Eq. (24) to dress to
marginality an operator $\mathcal{O}$ of dimension $[\mathcal{O}]$ together
with an operator-valued Dirac $\delta$-function $\delta(\mathcal{D}_{bulk})$
of dimension $-2$ [1]:
$\displaystyle\mathcal{O}_{edge}$
$\displaystyle\equiv\mathcal{O}\cdot\delta(\mathcal{D}_{bulk})\cdot\mathcal{D}_{edge}^{\frac{d+4-[\mathcal{O}]}{2}}$
(27)
$\displaystyle=\mathcal{O}\cdot\delta(a^{\frac{4}{d}})\cdot\left[a^{\frac{8}{d}-2}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}\right]^{\frac{d+4-[\mathcal{O}]}{6}}.$
Given that the vev $\langle a\rangle\equiv v(z)$ of the radial mode is of the
form [cf. Eq. (12)]
$v(z)=v_{hom}\cdot z^{\frac{d}{4}}\cdot[1+\mathrm{subleading}],$ (28)
where $v_{hom}$ is the superfluid ground-state solution of Eq. (15) in the
homogeneous case (i.e. without trap), the Dirac $\delta$-function becomes
$\delta(v^{\frac{4}{d}})=\delta\left(\frac{v^{\frac{4}{d}}}{v_{hom}^{\frac{4}{d}}}\right)\frac{1}{v_{hom}^{\frac{4}{d}}}=\frac{\delta(z)}{v_{hom}^{\frac{4}{d}}}.$
(29)
This shows that, in the ground state, counterterms are indeed located at
$z=0$, that is, at the classical edge.
The renormalization procedure thus consists in (1) regularizing the divergent
integrals of operators dressed in the bulk by removing the
$\delta_{\epsilon}$-layer from the domain of integration, and (2) introducing
edge counterterms whose coefficients get renormalized so as to absorb the
resulting $\epsilon$-dependence. After the first step, the regulator
$\epsilon$ appears in logarithms and denominators, and thus serves as a
diagnosis of divergences. However, the limit $\epsilon\to 0$ is not implicitly
understood at any point, since no physical quantity depends on it.
At this stage, it is worth mentioning that edge counterterms have already been
discussed in the literature in the context of effective open strings [46] and,
while this construction is very clear from an effective point of view, it
would be interesting to put it on a more formal basis.
### II.5 Subleading operators
We now make a simple argument that allows to push the large-charge expansion
of $\Delta_{Q}$ beyond all known results so far. From a Wilsonian perspective,
the effective action contains infinitely many operators. However, in a large-
charge regime, there is a way in which we can organize them. The action Eq.
(15) provides the leading-order contribution to the conformal dimension
$\Delta_{Q}$, Eq. (16), which is of order $Q^{\frac{d+1}{d}}$. Operators with
more derivatives yield corrections to $\Delta_{Q}$ that are parametrically
suppressed by inverse powers of the charge. If one truncates the large-charge
expansion of $\Delta_{Q}$ to a desired order in $Q$, the task is to identify
the operators that survive in the action. In turn, this means we have to
understand how a generic operator contributes to $\Delta_{Q}$.
To do so, let us consider an operator $\mathcal{O}$ with dimension
$[\mathcal{O}]$, which we dress to marginality in the bulk as
$\mathcal{O}_{bulk}\equiv\mathcal{O}\cdot a^{\frac{2}{d}(d+2-[\mathcal{O}])}.$
(30)
If the latter appears in the Hamiltonian density, its contribution to
$\Delta_{Q}$ is then obtained by integrating its vev over the volume of the
cloud. Spherical invariance being preserved by the superfluid ground state,
this computation simplifies if the vev is expressed as a function of the
dimensionless coordinate $z$, in which case it turns into an integration over
$z\in[0,1]$—as indicated in Eq. (17)—which may need to be regularized upon
removing the $\delta_{\epsilon}$-layer. More specifically, let
$\mu[\mathcal{O}]$ and $z[\mathcal{O}]$ be such that the vev of the operator
$\mathcal{O}$ to leading-order in $\mu$ takes the form
$\langle\mathcal{O}\rangle\sim\mu^{\mu[\mathcal{O}]}\cdot
z^{z[\mathcal{O}]}+\mathrm{(subleading)}.$ (31)
The dressed operator in the bulk, Eq. (30), then has a vev that scales to
leading order in $\mu$ as
$\langle\mathcal{O}_{bulk}\rangle\sim\mu^{\mu[\mathcal{O}]+\frac{d+2-[\mathcal{O}]}{2}}\cdot
z^{z[\mathcal{O}]+\frac{d+2-[\mathcal{O}]}{2}}.$ (32)
It is now straightforward to analyze the leading contribution of this operator
to $\Delta_{Q}$. Indeed, if
$z[\mathcal{O}_{bulk}]\equiv
z[\mathcal{O}]+\frac{d+2-[\mathcal{O}]}{2}\leq-1,$ (33)
a divergence occurs when integrating over $z\in[0,1]$. In particular, a
logarithmic divergence appears if $z[\mathcal{O}_{bulk}]=-1$. We thus
regularize these divergences by removing the $\delta_{\epsilon}$-layer and,
accounting for the factor of $\mu^{\frac{d}{2}}\sim\sqrt{Q}$ from the measure
[cf. Eq. (17)], we find
$\Delta_{Q}\ni\begin{cases}Q^{\frac{d+1}{d}-\frac{[\mathcal{O}]-2\mu[\mathcal{O}]}{2d}}&\text{if}\quad[\mathcal{O}]<d+4+2z[\mathcal{O}]\\\
Q^{\frac{d+1}{d}-\frac{[\mathcal{O}]-2\mu[\mathcal{O}]}{2d}}\cdot\log\frac{Q}{\epsilon^{3d/2}}&\text{if}\quad[\mathcal{O}]=d+4+2z[\mathcal{O}]\\\
\frac{Q^{\frac{2}{3}-([\mathcal{O}]+4z[\mathcal{O}]-6\mu[\mathcal{O}]-2)/(6d)}}{\epsilon^{([\mathcal{O}]-2z[\mathcal{O}]-d-4)/2}}&\text{if}\quad[\mathcal{O}]>d+4+2z[\mathcal{O}].\end{cases}$
(34)
The last two cases are $\epsilon$-dependent and thus need to be renormalized
using edge counterterms.
We address this issue in the following, but let us first answer a natural
question: now that we have identified all possible (classical) leading
contributions to the conformal dimension $\Delta_{Q}$, what sort of operator
can $\mathcal{O}$ actually be? As dictated by general coordinate invariance
[31], one possibility is given by
$Z\equiv\nabla^{2}A_{0}-\frac{1}{d^{2}}\left(\nabla^{2}\chi\right)^{2},$ (35)
whose vev is $\langle Z\rangle=d$, but any other operator with more
derivatives of $\chi$ actually has a vanishing vev. Therefore, $\mathcal{O}$
is a composite operator made out of integer powers of $U$,
$(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}U)^{2}$, $a$,
$(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}$ and $Z$. As already
mentioned, the massive radial mode $a$ would have to be integrated out and one
can thus effectively trade $U$ for $a^{\frac{4}{d}}$—and likewise for
$\mathop{\mathrm{{}\partial}}\mathopen{}_{i}U$ and
$\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a$—without changing the low-energy
description. This is convenient because if $U$ only appears in the Lagrangian
density as given in Eq. (15), then there is no need to worry about Legendre
transforming $\mathcal{O}$: it simply enters the Hamiltonian density
$\mathcal{H}=\frac{\mathop{\mathrm{{}\partial}}\mathopen{}\mathcal{L}}{\mathop{\mathrm{{}\partial}}\mathopen{}U}\dot{\chi}-\mathcal{L}$
with the opposite sign. Finally, we can strip off powers of $a$ as they will
be restored appropriately upon dressing $\mathcal{O}$ in the bulk [cf. Eq.
(30)]. Hence, we only need to consider operators of the form
$\mathcal{O}^{(m,n)}\equiv(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2m}Z^{n},$
(36)
with $m,n$ two positive integers and $[\mathcal{O}^{(m,n)}]=(d+2)m+4n$,
$\mu[\mathcal{O}^{(m,n)}]=\frac{d-2}{2}m$,
$z[\mathcal{O}^{(m,n)}]=\frac{d-4}{2}m$. The corresponding bulk operator is
then given by
$\displaystyle\mathcal{O}^{(m,n)}_{bulk}$
$\displaystyle\equiv(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2m}Z^{n}\cdot
a^{\frac{2}{d}((d+2)(1-m)-4n)}$ (37)
$\displaystyle=\left(\frac{(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}}{a^{\frac{4}{d}+2}}\right)^{m}\left(\frac{Z}{a^{\frac{8}{d}}}\right)^{n}a^{\frac{4}{d}+2},$
and its leading contribution to $\Delta_{Q}$ is classified as follows:
$\Delta_{Q}\ni\begin{cases}Q^{\frac{d+1-2(m+n)}{d}}&\text{if}\quad
6m+4n<d+4\\\
Q^{\frac{2d-1}{3d}-\frac{2n}{3d}}\cdot\log\frac{Q}{\epsilon^{3d/2}}&\text{if}\quad
6m+4n=d+4\\\
\frac{Q^{\frac{2d-1}{3d}-\frac{2n}{3d}}}{\epsilon^{\frac{1}{2}(6m+4n-d-4)}}&\text{if}\quad
6m+4n>d+4.\end{cases}$ (38)
Notice that there are infinitely many operators contributing to the same power
of $Q$ in the last category, as it is independent of $m$. This remains true at
the level of the nlsm. For instance, the set of operators
$(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2m}$ with $m\geq 2$ in $d=2$
all give $Q^{\frac{1}{2}}$-contributions after
$\delta_{\epsilon}$-regularization, but they have not yet appeared in the
literature so far. The same holds for
$(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2m}Z$ with $m\geq 1$ in
$d=2$, yielding $Q^{\frac{1}{6}}$-contributions, and similarly in $d=3$ where
sets of equally contributing operators give terms of order $Q^{\frac{5}{9}}$,
$Q^{\frac{1}{3}}$, $Q^{\frac{1}{9}}$, etc. In Sec. III, we illustrate this by
including one operator of each set.
Note that these terms, however, appear with different powers of $\epsilon$ and
can thus be distinguished and compensated for by a single edge counterterm. In
order to identify the latter, we repeat the same analysis as before and remark
that the only candidates to be dressed at the edge are of the form $Z^{n}$
(with $n$ a positive integer), since powers of $a$ and
$(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}$ are appropriately
incorporated by the dressing rule Eq. (27), which reads in this case
$Z^{n}_{edge}\equiv
Z^{n}\cdot\delta(a^{\frac{4}{d}})\cdot\left[a^{\frac{8}{d}-2}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}\right]^{\frac{d+4(1-n)}{6}}.$
(39)
After integrating the vev of the latter over the volume of the cloud, the
contribution to $\Delta_{Q}$ turns out to be
$\Delta_{Q}\ni Q^{\frac{2d-1}{3d}-\frac{2n}{3d}},$ (40)
which matches exactly the regulator-dependent part (i.e. the last two
categories) of Eq. (38). This analysis of divergences and counterterms thus
provides us with a simple way of constructing the effective action that
describes $\Delta_{Q}$ to a given order in $Q$. In Sec. III, we carry out this
derivation in $d=2$ and $d=3$ up to corrections that scale with negative
powers of the charge, but we first make some general observations.
### II.6 Properties
_Equation of motion_ (eom). Consider the leading-order Lagrangian Eq. (15).
The equation of motion (eom) with respect to the radial mode $a$ then simply
reads $(v(z)/v_{hom})^{\frac{4}{d}}=z$ in the superfluid ground state, where
$v_{hom}\equiv(2\mu c_{\frac{d+1}{d}}/g)^{\frac{d}{4}}$ is the ground-state
solution in the homogeneous case, i.e. when the trap is turned off. As we
complement the Lagrangian with operators of the form Eq. (37) and the
corresponding counterterms, Eq. (39), the eom gets more and more complicated,
although it can always be put in the form
$\left(\frac{v(z)}{v_{hom}}\right)^{\frac{4}{d}}=z[1+B(z,v,v^{\prime},v^{\prime\prime})]$
(41)
in the ground state. In the bulk, $B(z,v,v^{\prime},v^{\prime\prime})\ll 1$
and one can solve this equation order by order in an expansion in
$\frac{1}{\mu}$. For instance, adding the first subleading operator
$-\frac{c_{1}}{2}\mathcal{O}^{(1,0)}_{bulk}$ to the Lagrangian yields
$\displaystyle\left(\frac{v(z)}{v_{hom}}\right)^{\frac{4}{d}}$
$\displaystyle=z\left[1-\frac{d}{16}\frac{c_{1}}{c_{\frac{d+1}{d}}}\frac{(4-d)+(3d-4)z}{\mu^{2}z^{3}}\right.$
(42) $\displaystyle\hskip
31.29802pt\left.+\mathcal{O}\left(\frac{1}{\mu^{4}}\right)\right].$
_Chemical potential._ The chemical potential can then be expressed as a
function of the charge $Q$ by inverting
$Q=\int_{cloud}\differential^{d}x\,\rho(\vec{x}),$ (43)
where $\rho=c_{\frac{d+1}{d}}v(z)^{2}$ is the ground-state charge density.
Using Eq. (42) and removing the $\delta_{\epsilon}$-layer to regularize the
divergent part, we find
$Q=\left(\frac{\mu}{\zeta}\right)^{d}\left[1+\mathcal{O}\left(\mu^{-\frac{d+2}{3}}\right)\right],$
(44)
where
$\zeta\equiv\sqrt{\frac{g}{4\pi
c_{\frac{d+1}{d}}}}\left[\frac{2\Gamma(d)}{c_{\frac{d+1}{d}}\Gamma\left(\frac{d}{2}\right)}\right]^{\frac{1}{d}},$
(45)
as first discussed in [7]. Therefore,
$\mu=\zeta
Q^{\frac{1}{d}}\left[1+\mathcal{O}\left(Q^{-\frac{d+2}{3d}}\right)\right].$
(46)
It is tempting to give an explicit expression for the correction in the square
bracket based on the solution found above for $v(z)$, but some remarks are in
order. Pushing the expansion further in Eq. (42), one would actually face
terms of the form $\frac{c_{1}^{k}}{(\mu^{2}z^{3})^{k}}$ ($k\in\mathbb{N}$),
which all become of order one close to the edge (i.e. where
$z\approx\delta_{\epsilon}\sim\mu^{-\frac{2}{3}}$), and contribute to the
$Q^{-\frac{d+2}{3d}}$-correction in the chemical potential, which makes it
hard to express it in closed form. A reasonable choice, though, is to limit
ourselves from now on to linear order in the Wilsonian coefficients of
subleading operators, since this does not change the nature of the expansion,
but merely its coefficients. Similarly, the operator
$-\frac{c_{2}}{4}\mathcal{O}^{(2,0)}_{bulk}$ also ends up contributing to the
next-to-leading order in the chemical potential for exactly the same reason,
and so does any operator $\mathcal{O}^{(m,0)}_{bulk}$, although we will not
need to consider $m>2$. With this,
$\displaystyle\mu$ $\displaystyle=\zeta
Q^{\frac{1}{d}}\left[1+\frac{d^{2}\Gamma(d)}{8c_{\frac{d+1}{d}}\Gamma\left(\frac{d}{2}\right)^{2}}\frac{1}{Q^{\frac{d+2}{3d}}}\left\\{\frac{c_{1}}{\epsilon^{\frac{4-d}{2}}}\right.\right.$
(47) $\displaystyle\hskip
45.5244pt\left.\left.+\frac{3d^{2}}{32}\frac{c_{2}g}{c_{\frac{d+1}{d}}}\frac{1}{\epsilon^{\frac{10-d}{2}}}\right\\}+\mathcal{O}\left(Q^{-\frac{d+4}{3d}}\right)\right].$
Counterterms contributions would allow us to renormalize this expression and
get rid of the $\epsilon$-dependence, but for practical purposes, we shall do
this and fix the renormalized coefficients such that they cancel all
divergences only at the very end of the computation of $\Delta_{Q}$. This is
because the latter coefficients are only fixed up to a finite piece which we
will not keep track of. Renormalizing $\mu$ at this stage would therefore just
clutter the computations.
_Structure of the expansion_. We now elaborate on the structure of the
expansion of $\Delta_{Q}$ by first noting that the contribution of
$\mathcal{O}^{(m,n)}_{bulk}$ is itself an expansion. Indeed, using the
leading-order solution $v(z)\sim(\mu\cdot z)^{\frac{d}{4}}$ (corrections do
not change the argument) and Eq. (17), we have
$\displaystyle\int_{cloud}\differential^{d}x\,\langle\mathcal{O}^{(m,n)}_{bulk}\rangle$
$\displaystyle\sim\mu^{d+1-2(m+n)}\int_{0}^{1}\differential
z\,\frac{(1-z)^{\frac{d}{2}-1+m}}{z^{3m+2n-1-\frac{d}{2}}}.$ (48)
The integral on the right-hand side either converges and corresponds to the
first case of Eq. (38), or needs to be regularized by setting the lower bound
to $\delta_{\epsilon}$, yielding the last two cases of this classification. In
the latter situation, however, the upper bound of the integral always gives a
finite result, thus continuing the expansion in $Q^{-\frac{2}{d}}$ starting at
$Q^{\frac{d+1}{d}}$. For concreteness, consider
$\mathcal{O}^{(1,1)}_{bulk}=\frac{(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}Z}{a^{\frac{8}{3}}}$
(49)
in $d=3$. We obtain
$\displaystyle\int_{cloud}\differential^{3}x\,\langle\mathcal{O}^{(1,1)}_{bulk}\rangle$
$\displaystyle\sim\int_{\delta_{\epsilon}}^{1}\differential
z\,\frac{(1-z)^{\frac{3}{2}}}{z^{\frac{5}{2}}}$
$\displaystyle=\pi+\frac{2}{3\delta_{\epsilon}^{\frac{3}{2}}}-\frac{3}{\sqrt{\delta_{\epsilon}}}+\mathcal{O}\left(\sqrt{\delta_{\epsilon}}\right)$
$\displaystyle=\frac{2\zeta}{3\epsilon^{\frac{3}{2}}}Q^{\frac{1}{3}}-\frac{3\zeta^{\frac{1}{3}}}{\sqrt{\epsilon}}Q^{\frac{1}{9}}+\pi+\mathcal{O}\left(Q^{-\frac{1}{9}}\right),$
where $\pi$ comes from the upper bound, and the rest is an expansion in
$\delta_{\epsilon}$ whose dependence on $\epsilon$ is cured by the
counterterms. All in all, the expansion of $\Delta_{Q}$ reads
$\displaystyle\Delta_{Q}$
$\displaystyle=Q^{\frac{d+1}{d}}\left[a_{1}+a_{2}Q^{-\frac{2}{d}}+a_{3}Q^{-\frac{4}{d}}+\ldots\right]$
(50)
$\displaystyle+Q^{\frac{2d-1}{3d}}\left[b_{1}+b_{2}Q^{-\frac{2}{3d}}+b_{3}Q^{-\frac{4}{3d}}+\ldots\right]$
$\displaystyle+Q^{\frac{d-5}{3d}}\left[c_{1}+c_{2}Q^{-\frac{2}{3d}}+c_{3}Q^{-\frac{4}{3d}}+\ldots\right]+\ldots$
The first line is completely analogous to the relativistic case, while the
rest is specific to nrcft. The last line arises when $\mu$ is replaced by $Q$,
according to Eq. (46). When $d$ is even, it can be absorbed in the second
line, where some of the $b_{i}$’s contain $\log Q$-terms [see Eq. (38)].
_Casimir energy_. The leading quantum correction is due to the one-loop
Casimir energy [1], given by the Coleman-Weinberg formula applied to the
spectrum of excited states, Eq. (54) below. It is model-independent and _a
priori_ enters the expansion of $\Delta_{Q}$ at order $Q^{0}$. However, it was
shown in the relativistic case that the Casimir energy in odd spatial
dimensions is divergent and yields instead a universal $Q^{0}\log Q$-term
after renormalization [6]. This is hinted at by the presence of a classical
$Q^{0}$-contribution that serves as a counterterm for this divergence, and the
same phenomenon is thus to be expected in nrcft when $d$ is odd. This is the
object of a future publication [32].
_Dilaton mass_. In addition to the operators discussed in the previous
section, we now include a small dilaton mass deformation, as originally
proposed by Coleman in [33] in the relativistic case and studied in the
context of nrcfts at large charge in [7]. In the latter case, this potential
is of the form
$U_{C}\equiv\left(\frac{d}{d+2}\right)^{2}\frac{m_{\sigma}^{2}}{4f^{2}}\left[(fa)^{2\frac{d+2}{d}}-2\frac{d+2}{d}\log(fa)-1\right],$
(51)
where $m_{\sigma}\ll f^{-\frac{2}{d}}$ is a small dilaton mass, as can be seen
from the fact that, to quadratic order,
$U_{C}\approx\frac{1}{2}m_{\sigma}^{2}\sigma^{2}$. Adding this term to the
Lagrangian softly breaks conformal invariance and should trigger some
signature in the ground-state energy of the trapped system.
_Nonlinear sigma model_. If one is not interested in such a deformation, it
might be more natural to work with the nlsm, where the radial mode is
integrated out. Upon trading $a^{\frac{4}{d}}$ for $U$, the bulk operators,
Eq. (37), become
$\displaystyle\mathcal{O}^{(m,n)}_{bulk}$
$\displaystyle\equiv(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}U)^{2m}Z^{n}\cdot
U^{\frac{d}{2}+1-(3m+2n)}$ (52)
$\displaystyle=\left(\frac{(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}U)^{2}}{U^{3}}\right)^{m}\left(\frac{Z}{U^{2}}\right)^{n}U^{\frac{d}{2}+1},$
and edge counterterms, Eq. (39), read
$Z^{n}_{edge}\equiv
Z^{n}\cdot\delta(U)\cdot(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}U)^{\frac{d+4(1-n)}{3}}.$
(53)
_Collective excitations_. As a final comment, let us mention that the energy
spectrum of collective excitations above the ground state (see e.g. [47] in
$d=3$, or [9]),
$\varepsilon(n,l)=\sqrt{\frac{4n}{d}(n+l+d-1)+l},$ (54)
can be given corrections, as initiated in [7], thanks to the renormalization
procedure discussed here. Similarly, the spectrum of spinning operators at
large charge described in [10] can be refined. We leave this for future work.
## III Examples
We are now going to see this machinery in action through two examples, so let
us repeat the recipe. We are interested in the conformal dimension of the
lowest operator of charge $Q\gg 1$ in the theory without trap, $\Delta_{Q}$,
which is given by the ground-state energy of the trapped system. After
choosing at which order in $Q$ we want to truncate the expansion of
$\Delta_{Q}$ (in what follows, we go up to $Q^{0}$), we construct the
Lagrangian density by complementing Eq. (15) with subleading operators, Eq.
(37), based on the classification given in Eq. (38). We also include the
corresponding counterterms, Eq. (39), and we account for the small dilaton
mass deformation introduced above.
We then compute the ground-state energy density and we integrate it over the
volume of the cloud, regularizing the integrals when needed. We also express
the chemical potential $\mu$ as a function of the charge $Q$ to write
$\Delta_{Q}$ as an expansion in powers of the charge and, finally, we
renormalize the couplings of the counterterms so as to absorb any dependence
on the regulator $\epsilon$. We do this for both $d=2$ and $d=3$.
### III.1 The $d=2$ case
Typically, nrcfts in two spatial dimensions are relevant for the description
of anyons [48, 29], which themselves are at the origin of the Aharonov-Bohm
effect [49] and whose existence has very recently been proven in the context
of the fractional quantum Hall effect [50, 51]. Based on the previous
discussion, we include the subleading operators $\mathcal{O}^{(m,n)}_{bulk}$
of Eq. (37) for $(m,n)\in\\{(1,0),(0,1),(2,0),(1,1)\\}$, as well as the
counterterms $Z^{0}_{edge}$ and $Z^{1}_{edge}$ constructed in Eq. (39). The
Lagrangian thus reads
$\displaystyle\mathcal{L}$
$\displaystyle=c_{\frac{3}{2}}a^{2}U-\frac{g}{4}a^{4}-\frac{c_{\frac{3}{2}}m^{2}_{\sigma}}{16f^{2}}\left[(fa)^{4}-4\log(fa)-1\right]$
$\displaystyle-\frac{c_{\frac{1}{2}}}{2}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}-\frac{c^{\prime}_{\frac{1}{2}}}{2}Z-\frac{c^{\prime\prime}_{\frac{1}{2}}}{4}\frac{(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{4}}{a^{4}}-\frac{c_{\frac{1}{6}}}{4}\frac{(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}}{a^{4}}Z$
$\displaystyle+\delta(a^{2})\left[\kappa_{\frac{1}{2}}\frac{a^{2}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}}{2}+\frac{\kappa_{\frac{1}{6}}}{2}\left(\frac{a^{2}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}}{2}\right)^{\frac{1}{3}}Z\right],$
where the Wilsonian parameters of subleading operators
$c_{\frac{1}{2}},c^{\prime}_{\frac{1}{2}},c^{\prime\prime}_{\frac{1}{2}}$,
etc. are labeled according to the order at which they enter the expansion of
$\Delta_{Q}$ in the end, and they are normalized so as to slightly simplify
the expression of the ground-state energy density $\mathcal{H}_{0}$. Indeed,
using $\langle\chi\rangle=\mu\cdot t$, $\langle a\rangle\equiv v(z)$ and Eq.
(17), we get
$\displaystyle\mathcal{H}_{0}$
$\displaystyle=c_{\frac{3}{2}}v^{2}\mu(1-z)+\frac{\tilde{g}}{4}v^{4}-\frac{c_{\frac{3}{2}}m^{2}_{\sigma}}{16f^{2}}\left[4\log(fv)+1\right]$
(55) $\displaystyle+\frac{(1-z)v^{\prime
2}}{\mu}\left[c_{\frac{1}{2}}+\frac{c_{\frac{1}{6}}}{v^{4}}\right]+c^{\prime}_{\frac{1}{2}}+\frac{c^{\prime\prime}_{\frac{1}{2}}(1-z)^{2}}{\mu^{2}}\left(\frac{v^{\prime}}{v}\right)^{4}$
$\displaystyle-\delta(v^{2})\left[\frac{\kappa_{\frac{1}{2}}(1-z)}{\mu}(vv^{\prime})^{2}+\frac{\kappa_{\frac{1}{6}}(1-z)^{\frac{1}{3}}}{\mu^{\frac{1}{3}}}(vv^{\prime})^{\frac{2}{3}}\right].$
The vev $v(z)$ is the solution of the eom (cf. Eq. (41))
$\left(\frac{v(z)}{v_{hom}}\right)^{2}=z\left[1+B(z,v,v^{\prime},v^{\prime\prime})\right],$
(56)
with $v_{hom}\equiv\sqrt{2\mu c_{\frac{3}{2}}/\tilde{g}}$, and
$B(z,v,v^{\prime},v^{\prime\prime})$ is given by
$\displaystyle B(z,v,v^{\prime},v^{\prime\prime})$
$\displaystyle=\frac{m_{\sigma}^{2}}{8f^{2}\mu
z}\frac{1}{v^{2}}+\frac{c_{\frac{1}{2}}}{c_{\frac{3}{2}}\mu^{2}z}\frac{(1-z)v^{\prime\prime}-v^{\prime}}{v}$
$\displaystyle+\frac{6c^{\prime\prime}_{\frac{1}{2}}(1-z)}{c_{\frac{3}{2}}\mu^{3}z}\frac{{v^{\prime}}^{2}}{v^{4}}\frac{(1-z)(vv^{\prime\prime}-{v^{\prime}}^{2})-vv^{\prime}}{v^{2}}$
$\displaystyle+\frac{c_{\frac{1}{6}}}{c_{\frac{3}{2}}\mu^{2}z}\frac{(1-z)(vv^{\prime\prime}-2{v^{\prime}}^{2})-vv^{\prime}}{v^{6}}.$
in the bulk. Referring to the previous section, we find that the chemical
potential is related to the charge as
$\displaystyle\mu$
$\displaystyle=\zeta\sqrt{Q}\left[1+\frac{1}{2c_{\frac{3}{2}}}\frac{1}{Q^{\frac{2}{3}}}\left\\{\frac{c_{\frac{1}{2}}}{\epsilon}+\frac{3}{8}\frac{c^{\prime\prime}_{\frac{1}{2}}\tilde{g}}{c_{\frac{3}{2}}}\frac{1}{\epsilon^{4}}\right\\}+\mathcal{O}\left(Q^{-1}\right)\right],$
where $\zeta\equiv\sqrt{\tilde{g}/(2\pi c_{\frac{3}{2}}^{2})}$. Note that the
dilaton mass $m_{\sigma}$ modifies the expression of the chemical potential
only beyond next-to-leading order. We are now in position to integrate Eq.
(55), removing the $\delta_{\epsilon}$-layer when necessary. Working linearly
in the Wilsonian coefficients of subleading operators, we find
$\displaystyle\Delta_{Q}^{(d=2)}$ $\displaystyle=\frac{2}{3}\zeta
Q^{\frac{3}{2}}+\left[\frac{c_{\frac{1}{2}}}{6\zeta
c_{\frac{3}{2}}}-\frac{\pi\zeta
c_{\frac{3}{2}}m_{\sigma}^{2}}{8f^{2}}\right]\sqrt{Q}\log Q$ (57)
$\displaystyle-\frac{k_{\frac{1}{2}}^{ren.}}{2\zeta
c_{\frac{3}{2}}}\sqrt{Q}-\left(2\pi^{4}c_{\frac{3}{2}}\right)^{\frac{1}{3}}\zeta
k_{\frac{1}{6}}^{ren.}\cdot Q^{\frac{1}{6}}-0.29416,$
up to corrections scaling with negative powers of $Q$. The last term is
universal and given by the Casimir energy found in [7], while the renormalized
couplings are
$\displaystyle\kappa_{\frac{1}{2}}^{ren.}$
$\displaystyle=\kappa_{\frac{1}{2}}+c_{\frac{1}{2}}\log\epsilon-\frac{\gamma}{24}\frac{c^{\prime\prime}_{\frac{1}{2}}}{\epsilon^{3}}+\mathrm{(finite)},$
(58) $\displaystyle\kappa_{\frac{1}{6}}^{ren.}$
$\displaystyle=\kappa_{\frac{1}{6}}+\left[\frac{c^{\prime\prime}_{\frac{1}{2}}}{24\gamma^{\frac{1}{3}}}-\frac{\gamma^{\frac{2}{3}}}{8}c_{\frac{1}{6}}\right]\frac{1}{\epsilon^{2}}+\mathrm{(finite)},$
where $\gamma\equiv\tilde{g}/c_{\frac{3}{2}}$. Note that we have absorbed the
contribution of $c^{\prime}_{\frac{1}{2}}$, which is finite, as well as a
finite correction due to $m_{\sigma}$ into the finite part of
$\kappa_{\frac{1}{2}}^{ren.}$. We thus see that the effect of the small
dilaton mass deformation is a mere shift in the coefficients of the
$\sqrt{Q}\log Q$ and $\sqrt{Q}$-terms.
Let us mention that anyons are not invariant under parity and it would thus be
interesting to extend this study to the case of parity-violating theories (cf.
[9] for suggestions), in the spirit of [52] in the relativistic case.
### III.2 The $d=3$ case
As mentioned in the introduction, the case of nrcfts in three spatial
dimensions is relevant for the description of the unitary Fermi gas. In order
to build the large-charge eft, we again use the leading-order Lagrangian Eq.
(15), to which we add Coleman’s potential Eq. (51), the operators given in Eq.
(37) with $(m,n)\in\\{(1,0),(0,1),(2,0),(1,1),(0,2)\\}$ and the edge
counterterms, Eq. (39), constructed from $Z^{0}$, $Z^{1}$, and $Z^{2}$. We
thus consider the following Lagrangian density:
$\displaystyle\mathcal{L}$
$\displaystyle=c_{\frac{4}{3}}a^{2}U-\frac{3\tilde{g}}{10}a^{\frac{10}{3}}+\frac{9c_{\frac{4}{3}}m^{2}_{\sigma}}{100f^{2}}\left[\frac{10}{3}\log(fa)+1\right]$
$\displaystyle-\frac{c_{\frac{2}{3}}}{2}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}-\frac{c^{\prime}_{\frac{2}{3}}}{3}a^{\frac{2}{3}}Z-\frac{c_{\frac{5}{9}}}{4}\frac{(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{4}}{a^{\frac{10}{3}}}-\frac{c_{\frac{1}{3}}}{6}\frac{(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}}{a^{\frac{8}{3}}}Z$
$\displaystyle-\frac{c_{\frac{1}{9}}}{9}\frac{Z^{2}}{a^{2}}+\delta(a^{\frac{4}{3}})\left[\kappa_{\frac{5}{9}}\left(\frac{a^{\frac{2}{3}}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}}{2}\right)^{\frac{7}{6}}\right.$
$\displaystyle\left.+\frac{\kappa_{\frac{1}{3}}}{3}\left(\frac{a^{\frac{2}{3}}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}}{2}\right)^{\frac{1}{2}}Z+\frac{\kappa_{\frac{1}{9}}}{9}\left(\frac{2}{a^{\frac{2}{3}}(\mathop{\mathrm{{}\partial}}\mathopen{}_{i}a)^{2}}\right)^{\frac{1}{6}}Z^{2}\right],$
where $\tilde{g}\equiv
g\left(1+3c_{\frac{4}{3}}m_{\sigma}^{2}f^{\frac{4}{3}}/(10g)\right)$. The
ground-state energy density then reads
$\displaystyle\mathcal{H}_{0}$ $\displaystyle=c_{\frac{4}{3}}\mu
v^{2}(1-z)+\frac{3\tilde{g}}{10}v^{\frac{10}{3}}-\frac{9c_{\frac{4}{3}}m^{2}_{\sigma}}{100f^{2}}\left[\frac{10}{3}\log(fv)+1\right]$
(59)
$\displaystyle+\frac{{v^{\prime}}^{2}(1-z)}{\mu}\left[c_{\frac{2}{3}}+\frac{c_{\frac{1}{3}}}{v^{\frac{8}{3}}}\right]+c^{\prime}_{\frac{2}{3}}v^{\frac{2}{3}}+\frac{c_{\frac{5}{9}}(1-z)^{2}}{\mu^{2}}\frac{{v^{\prime}}^{4}}{v^{\frac{10}{3}}}$
$\displaystyle+\frac{c_{\frac{1}{9}}}{v^{2}}-\delta(v^{\frac{4}{3}})\left[\frac{\kappa_{\frac{5}{9}}(1-z)^{\frac{7}{6}}}{\mu^{\frac{7}{6}}}v^{\frac{7}{9}}{v^{\prime}}^{\frac{7}{3}}+\frac{\kappa_{\frac{1}{3}}\sqrt{z}}{\sqrt{z}}v^{\frac{1}{3}}v^{\prime}\right.$
$\displaystyle\hskip
128.0374pt\left.+\frac{\kappa_{\frac{1}{9}}\mu^{\frac{1}{6}}}{(1-z)^{\frac{1}{6}}}\frac{1}{v^{\frac{1}{9}}{v^{\prime}}^{\frac{1}{3}}}\right].$
Moreover, the vev of the radial mode $v(z)$ satisfies the equation of motion
Eq. (41) with $v_{hom}\equiv(2\mu c_{\frac{4}{3}}/\tilde{g})^{\frac{3}{4}}$
and
$\displaystyle B(z,v,v^{\prime},v^{\prime\prime})$
$\displaystyle=\frac{3m_{\sigma}^{2}}{20f^{2}\mu
z}\frac{1}{v^{2}}+\frac{c_{\frac{2}{3}}}{2c_{\frac{4}{3}}\mu^{2}z}\frac{2(1-z)v^{\prime\prime}-3v^{\prime}}{v}$
$\displaystyle-\frac{c^{\prime}_{\frac{2}{3}}}{3c_{\frac{4}{3}}\mu
z}\frac{1}{v^{\frac{4}{3}}}+\frac{c_{\frac{1}{9}}}{c_{\frac{4}{3}}\mu
z}\frac{1}{v^{4}}$
$\displaystyle+\frac{c_{\frac{5}{9}}(1-z)}{c_{\frac{4}{3}}\mu^{3}z}\frac{{v^{\prime}}^{2}}{v^{\frac{10}{3}}}\frac{(1-z)(6vv^{\prime\prime}-5{v^{\prime}}^{2})-9vv^{\prime}}{v^{2}}$
$\displaystyle+\frac{c_{\frac{1}{3}}}{6c_{\frac{4}{3}}\mu^{2}z}\frac{(1-z)(6vv^{\prime\prime}-8{v^{\prime}}^{2})-9vv^{\prime}}{v^{\frac{14}{3}}}$
in the bulk. Consequently, the chemical potential reads
$\displaystyle\mu$ $\displaystyle=\zeta Q^{\frac{1}{3}}\left[1+\frac{9}{\pi
c_{\frac{4}{3}}}\frac{1}{Q^{\frac{5}{9}}}\left\\{\frac{c_{\frac{2}{3}}}{\sqrt{\epsilon}}+\frac{27c_{\frac{5}{9}}g}{32c_{\frac{4}{3}}}\frac{1}{\epsilon^{\frac{7}{2}}}\right\\}+\mathcal{O}\left(Q^{-\frac{7}{9}}\right)\right].$
Proceeding as before, we finally find
$\displaystyle\Delta_{Q}^{(d=3)}$ $\displaystyle=\frac{3}{4}\zeta
Q^{\frac{4}{3}}+\left[\frac{27c_{\frac{2}{3}}}{8\zeta
c_{\frac{4}{3}}}+\frac{\pi^{\frac{4}{3}}\zeta
c^{\prime}_{\frac{2}{3}}}{c_{\frac{4}{3}}^{\frac{1}{3}}}\right]\cdot
Q^{\frac{2}{3}}$ (60)
$\displaystyle-\left[\frac{3^{42}}{2^{15}\pi^{14}c_{\frac{4}{3}}^{16}}\right]^{\frac{1}{18}}\frac{\kappa_{\frac{5}{9}}^{ren.}}{\zeta}\cdot
Q^{\frac{5}{9}}$ $\displaystyle-\frac{\sqrt{2}\pi
m_{\sigma}^{2}\zeta^{\frac{3}{2}}c_{\frac{4}{3}}}{5f^{2}}\cdot\sqrt{Q}\left[\log
Q+\left(\log\frac{512f^{4}}{\pi^{2}\zeta^{3}c_{\frac{4}{3}}^{2}}-\frac{34}{5}\right)\right]$
$\displaystyle-3\sqrt{2}\pi\zeta k_{\frac{1}{3}}^{ren.}\cdot
Q^{\frac{1}{3}}-\left[\frac{2^{33}\pi^{50}c_{\frac{4}{3}}^{16}}{3^{6}}\right]^{\frac{1}{18}}\zeta^{3}\kappa_{\frac{1}{9}}^{ren.}\cdot
Q^{\frac{1}{9}}$
$\displaystyle-\frac{\sqrt{2}\pi^{2}}{2}\left[\frac{3^{5}}{16\sqrt{\gamma}}c_{\frac{5}{9}}-\frac{3\sqrt{\gamma}}{4}c_{\frac{1}{3}}+\frac{\gamma^{\frac{3}{2}}}{27}c_{\frac{1}{9}}\right],$
where $\gamma\equiv 18\tilde{g}/c_{\frac{4}{3}}$ is a convenient parameter to
express the renormalized couplings, which are given by
$\displaystyle\kappa_{\frac{5}{9}}^{ren.}$
$\displaystyle=\kappa_{\frac{5}{9}}-\frac{\gamma^{\frac{5}{6}}}{80}\frac{c_{\frac{5}{9}}}{\epsilon^{\frac{5}{2}}}+\mathrm{(finite)}$
(61) $\displaystyle\kappa_{\frac{1}{3}}^{ren.}$
$\displaystyle=\kappa_{\frac{1}{3}}+\left[\frac{135c_{\frac{5}{9}}}{8\sqrt{\gamma}}-\frac{\sqrt{2}\gamma}{18}c_{\frac{1}{3}}\right]\frac{1}{4\epsilon^{\frac{3}{2}}}+\mathrm{(finite)}$
$\displaystyle\kappa_{\frac{1}{9}}^{ren.}$
$\displaystyle=\kappa_{\frac{1}{9}}+\left[\frac{3^{5}}{2^{3}}\frac{c_{\frac{1}{3}}}{\gamma^{\frac{5}{6}}}-\frac{3^{8}\cdot
5}{2^{7}}\frac{c_{\frac{5}{9}}}{\gamma^{\frac{11}{6}}}-\gamma^{\frac{1}{6}}c_{\frac{1}{9}}\right]\frac{1}{\sqrt{\epsilon}}+\mathrm{(finite)}.$
The dilaton mass deformation $m_{\sigma}$ is responsible for the presence of
the $\sqrt{Q}\log Q$ and $\sqrt{Q}$-terms, which is the signature of the soft
breaking of conformal invariance. Moreover, there is a mixed
$Q^{0}$-contribution that serves as a counterterm for the divergent one-loop
Casimir energy, and a universal $Q^{0}\log Q$-term is expected to arise as a
consequence of it. This will be computed in an upcoming article [32].
Among the many quantities that can be given corrections based on this
construction (cf. also [31]), let us come back to the doubly integrated
density mentioned in the introduction, whose leading-order expression is given
in Eq. (18). We solve the equation of motion as in Eq. (42), but we only
account for the corrections caused $c_{\frac{2}{3}}$ for simplicity. We then
integrate the charge density $\rho(z)=c_{\frac{4}{3}}v(z)^{2}$ over $x_{1}$
and $x_{2}$ to obtain
$\displaystyle n(x_{3})$ $\displaystyle=\frac{2\pi
g}{5}\left[\frac{2c_{\frac{4}{3}}\mu}{g}\left(1-\frac{x_{3}^{2}}{2\mu}\right)\right]^{\frac{5}{2}}$
(62)
$\displaystyle\times\left[1+\frac{45c_{\frac{2}{3}}}{32c_{\frac{4}{3}}\mu^{2}}\left\\{\frac{5}{\left(1-\frac{x_{3}^{2}}{2\mu}\right)^{2}}-\frac{1}{\left(1-\frac{x_{3}^{2}}{2\mu}\right)^{3}}\right\\}+\ldots\right].$
This expression is valid for $x_{3}\in[0,R_{cl}\sqrt{1-\delta_{\epsilon}}]$
and can in principle improve the fitting to experimental data.
## IV Conclusion
Via the nonrelativistic state-operator map, charged operators correspond to
finite density states in a harmonic trap. In this work, we investigated the
class of nrcfts whose large-charge sector is effectively described by a
superfluid state in the trap, which is particularly relevant for the case of
the unitary Fermi gas. Specifically, we exploited this effective description
to extend all known results about the expansion of the conformal dimension of
the lightest charged operator, up to quantum corrections entering at order
$Q^{0}$, see Eq. (50), thereby uncovering a rich structure of logarithmic
contributions. This is based on the recent treatment of divergences at the
edge of the physical cloud of trapped particles [1], which we reviewed and
extended. We also accounted for a small dilaton mass deformation in order to
explore the near-conformal regime, and illustrated the full procedure in the
$d=2$ and $d=3$ cases, see Sec. III.
Whenever we found it appropriate, we commented on the connections with the
ultracold atom literature (cf. in particular the introduction) and computed
some new corrections, as in Eq. (62) for the doubly integrated density. This
fruitful direction of research remains to be explored systematically. See also
[31, 39].
Let us finally mention the seminal works [53, 54] paving the way toward a
gravity/nrcft correspondence (see also [55] for a recent proposal). It would
be fascinating to understand whether the large-charge sector of certain nrcfts
can be described in a dual picture and how this would relate to the effective
construction used here.
### Acknowledgments
Enlightening discussions with Simeon Hellerman, Domenico Orlando, Susanne
Reffert and Ian Swanson are gratefully acknowledged. This work is supported by
the Swiss National Science Foundation under grant No. 200021 192137.
## References
* [1] S. Hellerman and I. Swanson, “Droplet-Edge Operators in Nonrelativistic Conformal Field Theories,” arXiv:2010.07967 [hep-th].
* [2] S. Hellerman, D. Orlando, S. Reffert, and M. Watanabe, “On the CFT Operator Spectrum at Large Global Charge,” JHEP 12 (2015) 071, arXiv:1505.01537 [hep-th].
* [3] L. A. Gaumé, D. Orlando, and S. Reffert, “Selected Topics in the Large Quantum Number Expansion,” arXiv:2008.03308 [hep-th].
* [4] A. Monin, D. Pirtskhalava, R. Rattazzi, and F. K. Seibold, “Semiclassics, Goldstone Bosons and CFT data,” JHEP 06 (2017) 011, arXiv:1611.02912 [hep-th].
* [5] G. Cuomo, “The OPE meets semiclassics,” arXiv:2103.01331 [hep-th].
* [6] G. Cuomo, “A note on the large charge expansion in 4d CFT,” Phys. Lett. B 812 (2021) 136014, arXiv:2010.00407 [hep-th].
* [7] D. Orlando, V. Pellizzani, and S. Reffert, “Near-Schrödinger dynamics at large charge,” arXiv:2010.07942 [hep-th].
* [8] S. Favrod, D. Orlando, and S. Reffert, “The large-charge expansion for Schrödinger systems,” JHEP 12 (2018) 052, arXiv:1809.06371 [hep-th].
* [9] S. M. Kravec and S. Pal, “Nonrelativistic Conformal Field Theories in the Large Charge Sector,” JHEP 02 (2019) 008, arXiv:1809.08188 [hep-th].
* [10] S. M. Kravec and S. Pal, “The Spinful Large Charge Sector of Non-Relativistic CFTs: From Phonons to Vortex Crystals,” JHEP 05 (2019) 194, arXiv:1904.05462 [hep-th].
* [11] L. Alvarez-Gaume, O. Loukas, D. Orlando, and S. Reffert, “Compensating strong coupling with large charge,” JHEP 04 (2017) 059, arXiv:1610.04495 [hep-th].
* [12] L. Alvarez-Gaume, D. Orlando, and S. Reffert, “Large charge at large N,” JHEP 12 (2019) 142, arXiv:1909.02571 [hep-th].
* [13] S. Giombi and J. Hyman, “On the Large Charge Sector in the Critical $O(N)$ Model at Large $N$,” arXiv:2011.11622 [hep-th].
* [14] N. Dondi, I. Kalogerakis, D. Orlando, and S. Reffert, “Resurgence of the large-charge expansion,” arXiv:2102.12488 [hep-th].
* [15] S. Hellerman, S. Maeda, and M. Watanabe, “Operator Dimensions from Moduli,” JHEP 10 (2017) 089, arXiv:1706.05743 [hep-th].
* [16] S. Hellerman and S. Maeda, “On the Large $R$-charge Expansion in ${\mathcal{N}}=2$ Superconformal Field Theories,” JHEP 12 (2017) 135, arXiv:1710.07336 [hep-th].
* [17] A. Bourget, D. Rodriguez-Gomez, and J. G. Russo, “A limit for large $R$-charge correlators in $\mathcal{N}=2$ theories,” JHEP 05 (2018) 074, arXiv:1803.00580 [hep-th].
* [18] S. Hellerman, S. Maeda, D. Orlando, S. Reffert, and M. Watanabe, “Universal correlation functions in rank 1 SCFTs,” JHEP 12 (2019) 047, arXiv:1804.01535 [hep-th].
* [19] M. Beccaria, “On the large R-charge $\mathcal{N}=2$ chiral correlators and the Toda equation,” arXiv:1809.06280 [hep-th].
* [20] M. Beccaria, F. Galvagno, and A. Hasan, “$\mathcal{N}=2$ conformal gauge theories at large R-charge: the $SU(N)$ case,” arXiv:2001.06645 [hep-th].
* [21] S. Hellerman, S. Maeda, D. Orlando, S. Reffert, and M. Watanabe, “S-duality and correlation functions at large R-charge,” arXiv:2005.03021 [hep-th].
* [22] G. Badel, G. Cuomo, A. Monin, and R. Rattazzi, “The Epsilon Expansion Meets Semiclassics,” arXiv:1909.01269 [hep-th].
* [23] G. Arias-Tamargo, D. Rodriguez-Gomez, and J. G. Russo, “The large charge limit of scalar field theories and the Wilson-Fisher fixed point at $\epsilon=0$,” arXiv:1908.11347 [hep-th].
* [24] M. Watanabe, “Accessing Large Global Charge via the $\epsilon$-Expansion,” arXiv:1909.01337 [hep-th].
* [25] O. Antipin, J. Bersini, F. Sannino, Z.-W. Wang, and C. Zhang, “Charging the $O(N)$ model,” Phys. Rev. D 102 no. 4, (2020) 045011, arXiv:2003.13121 [hep-th].
* [26] O. Antipin, J. Bersini, F. Sannino, Z.-W. Wang, and C. Zhang, “Charging non-Abelian Higgs theories,” Phys. Rev. D 102 no. 12, (2020) 125033, arXiv:2006.10078 [hep-th].
* [27] O. Antipin, J. Bersini, F. Sannino, Z.-W. Wang, and C. Zhang, “Untangling scaling dimensions of fixed charge operators in Higgs theories,” Phys. Rev. D 103 no. 12, (2021) 125024, arXiv:2102.04390 [hep-th].
* [28] F. Werner and Y. Castin, “Unitary gas in an isotropic harmonic trap: Symmetry properties and applications,” Phys. Rev. A 74 (Nov, 2006) 053604. https://link.aps.org/doi/10.1103/PhysRevA.74.053604.
* [29] Y. Nishida and D. T. Son, “Nonrelativistic conformal field theories,” Phys. Rev. D76 (2007) 086004, arXiv:0706.3746 [hep-th].
* [30] W. D. Goldberger, Z. U. Khandker, and S. Prabhu, “OPE convergence in non-relativistic conformal field theories,” JHEP 12 (2015) 048, arXiv:1412.8507 [hep-th].
* [31] D. T. Son and M. Wingate, “General coordinate invariance and conformal invariance in nonrelativistic physics: Unitary Fermi gas,” Annals Phys. 321 (2006) 197–224, arXiv:cond-mat/0509786 [cond-mat].
* [32] S. Hellerman, D. Orlando, V. Pellizzani, S. Reffert, and I. Swanson, “Nonrelativistic CFTs at Large Charge: Casimir Energy and Logarithmic Enhancements,” arXiv:2111.12094 [hep-th].
* [33] S. Coleman, Aspects Of Symmetry. Cambridge University Press, 1988.
* [34] D. Orlando, S. Reffert, and F. Sannino, “Charging the conformal window,” Phys. Rev. D 103 (May, 2021) 105026. https://link.aps.org/doi/10.1103/PhysRevD.103.105026.
* [35] D. Orlando, S. Reffert, and F. Sannino, “Near-conformal dynamics at large charge,” Phys. Rev. D 101 (Mar, 2020) 065018. https://link.aps.org/doi/10.1103/PhysRevD.101.065018.
* [36] F. Dalfovo, S. Giorgini, L. P. Pitaevskii, and S. Stringari, “Theory of bose-einstein condensation in trapped gases,” Reviews of Modern Physics 71 no. 3, (Apr, 1999) 463–512. http://dx.doi.org/10.1103/RevModPhys.71.463.
* [37] S. Giorgini, L. P. Pitaevskii, and S. Stringari, “Theory of ultracold atomic fermi gases,” Rev. Mod. Phys. 80 (Oct, 2008) 1215–1274. https://link.aps.org/doi/10.1103/RevModPhys.80.1215.
* [38] M. Bartenstein, A. Altmeyer, S. Riedl, S. Jochim, C. Chin, J. H. Denschlag, and R. Grimm, “Crossover from a molecular bose-einstein condensate to a degenerate fermi gas,” Phys. Rev. Lett. 92 (Mar, 2004) 120401. https://link.aps.org/doi/10.1103/PhysRevLett.92.120401.
* [39] M. A. Escobedo, M. Mannarelli, and C. Manuel, “Bulk viscosities for cold Fermi superfluids close to the unitary limit,” Phys. Rev. A 79 (2009) 063623, arXiv:0904.3023 [cond-mat.quant-gas].
* [40] A. L. Fetter and D. L. Feder, “Beyond the thomas-fermi approximation for a trapped condensed bose-einstein gas,” Phys. Rev. A 58 (Oct, 1998) 3185–3194. https://link.aps.org/doi/10.1103/PhysRevA.58.3185.
* [41] F. Dalfovo, L. Pitaevskii, and S. Stringari, “Order parameter at the boundary of a trapped bose gas,” Phys. Rev. A 54 (Nov, 1996) 4213–4217. https://link.aps.org/doi/10.1103/PhysRevA.54.4213.
* [42] T. Brauner and H. Watanabe, “Spontaneous breaking of spacetime symmetries and the inverse Higgs effect,” Phys. Rev. D 89 no. 8, (2014) 085004, arXiv:1401.5596 [hep-ph].
* [43] I. Arav, I. Hason, and Y. Oz, “Spontaneous Breaking of Non-Relativistic Scale Symmetry,” JHEP 10 (2017) 063, arXiv:1702.00690 [hep-th].
* [44] Z. Komargodski and A. Schwimmer, “On Renormalization Group Flows in Four Dimensions,” JHEP 1112 (2011) 099, arXiv:1107.3987 [hep-th].
* [45] D. Orlando, S. Reffert, and F. Sannino, “Near-Conformal Dynamics at Large Charge,” Phys. Rev. D 101 no. 6, (2020) 065018, arXiv:1909.08642 [hep-th].
* [46] S. Hellerman and I. Swanson, “Boundary Operators in Effective String Theory,” JHEP 04 (2017) 085, arXiv:1609.01736 [hep-th].
* [47] M. A. Baranov and D. S. Petrov, “Low-energy collective excitations in a superfluid trapped fermi gas,” Phys. Rev. A 62 (Sep, 2000) 041601(R). https://link.aps.org/doi/10.1103/PhysRevA.62.041601.
* [48] F. Wilczek, “Quantum Mechanics of Fractional Spin Particles,” Phys. Rev. Lett. 49 (1982) 957–959.
* [49] O. Bergman and G. Lozano, “Aharonov-Bohm scattering, contact interactions and scale invariance,” Annals Phys. 229 (1994) 416–427, arXiv:hep-th/9302116 [hep-th].
* [50] H. Bartolomei, M. Kumar, R. Bisognin, A. Marguerite, J.-M. Berroir, E. Bocquillon, B. Plaçais, A. Cavanna, Q. Dong, U. Gennser, and et al., “Fractional statistics in anyon collisions,” Science 368 no. 6487, (Apr, 2020) 173–177. http://dx.doi.org/10.1126/science.aaz5601.
* [51] J. Nakamura, S. Liang, G. C. Gardner, and M. J. Manfra, “Direct observation of anyonic braiding statistics at the $\nu$=1/3 fractional quantum hall state,” 2020\.
* [52] G. Cuomo, L. V. Delacretaz, and U. Mehta, “Large Charge Sector of 3d Parity-Violating CFTs,” JHEP 05 (2021) 115, arXiv:2102.05046 [hep-th].
* [53] D. T. Son, “Toward an AdS/cold atoms correspondence: A Geometric realization of the Schrodinger symmetry,” Phys. Rev. D 78 (2008) 046003, arXiv:0804.3972 [hep-th].
* [54] K. Balasubramanian and J. McGreevy, “Gravity duals for non-relativistic CFTs,” Phys. Rev. Lett. 101 (2008) 061601, arXiv:0804.4053 [hep-th].
* [55] X. Bekaert, E. Meunier, and S. Moroz, “Towards a gravity dual of the unitary fermi gas,” Phys. Rev. D 85 (May, 2012) 106001. https://link.aps.org/doi/10.1103/PhysRevD.85.106001.
*[nrcfts]: nonrelativistic conformal field theory
*[eft]: effective field theory
*[nlsm]: nonlinear sigma model
*[bcs]: Bardeen-Cooper-Schrieffer
*[bec]: Bose-Einstein condensate
*[lsm]: linear sigma model
*[vev]: vacuum expectation value
*[ir]: infrared
*[uv]: ultraviolet
*[eom]: equation of motion
*[nrcft]: nonrelativistic conformal field theory
| arxiv-papers | 2021-07-26T11:56:11 | 2024-09-04T03:07:18.409829 | {
"license": "Creative Commons - Attribution - https://creativecommons.org/licenses/by/4.0/",
"authors": "Vito Pellizzani",
"submitter": "Vito Pellizzani",
"url": "https://arxiv.org/abs/2107.12127"
} |
Subsets and Splits