content
stringlengths 4
1.04M
| lang
stringclasses 358
values | score
int64 0
5
| repo_name
stringlengths 5
114
| repo_path
stringlengths 4
229
| repo_licenses
sequencelengths 1
8
|
---|---|---|---|---|---|
<template>
<uni-cover-view
:scroll-top="scrollTop"
v-on="$listeners"
>
<div
ref="content"
class="uni-cover-view"
>
<slot />
</div>
</uni-cover-view>
</template>
<script>
export default {
name: 'CoverView',
props: {
scrollTop: {
type: [String, Number],
default: 0
}
},
watch: {
scrollTop (val) {
this.setScrollTop(val)
}
},
mounted () {
this.setScrollTop(this.scrollTop)
},
methods: {
setScrollTop (val) {
var content = this.$refs.content
if (getComputedStyle(content).overflowY === 'scroll') {
content.scrollTop = this._upx2pxNum(val)
}
},
_upx2pxNum (val) {
if (/\d+[ur]px$/i.test(val)) {
val.replace(/\d+[ur]px$/i, text => {
return uni.upx2px(parseFloat(text))
})
}
return parseFloat(val) || 0
}
}
}
</script>
<style>
uni-cover-view {
display: block;
line-height: 1.2;
overflow: hidden;
white-space: nowrap;
pointer-events: auto;
}
uni-cover-view[hidden] {
display: none;
}
uni-cover-view .uni-cover-view {
width: 100%;
height: 100%;
text-overflow: inherit;
overflow: hidden;
white-space: inherit;
-webkit-align-items: inherit;
align-items: inherit;
-webkit-justify-content: inherit;
justify-content: inherit;
-webkit-flex-direction: inherit;
flex-direction: inherit;
-webkit-flex-wrap: inherit;
flex-wrap: inherit;
display: inherit;
overflow: inherit;
}
</style>
| Vue | 4 | spiritl7db/uni-app | src/platforms/h5/view/components/cover-view/index.vue | [
"Apache-2.0"
] |
#define UPSAMPLE_FORMAT unorm float
#include "upsample_bilateral_float4CS.hlsl" | HLSL | 0 | rohankumardubey/WickedEngine | WickedEngine/shaders/upsample_bilateral_unorm1CS.hlsl | [
"MIT"
] |
(import os.path)
(import [hy.models.expression [HyExpression]]
[hy.models.keyword [HyKeyword]]
[hy.models.integer [HyInteger]]
[hy.models.float [HyFloat]]
[hy.models.string [HyString]]
[hy.models.symbol [HySymbol]]
[hy.models.list [HyList]]
[hy.models.dict [HyDict]]
[hy.compiler [checkargs]]
[hy.macros]
[hy.importer [import-file-to-hst]])
(import [hua.mlast :as ast]
[hua.lua [tlast->src]])
(def -compile-table {})
(defn ast-str (s)
(% "%s" s))
(defn builds [-type]
"assoc decorated function to compile-table"
(lambda [f]
(assoc -compile-table -type f)
f))
(defclass Result [object]
[[--init--
(fn [self &rest args &kwargs kwargs]
(setv self.stmts [])
(setv self.temp-vars [])
(setv self.-expr nil)
(setv self.--used-expr false)
(for [kwarg kwargs]
(unless (in kwarg ["stmts"
"expr"
"temp_vars"])
(print "something wrong"))
(setattr self kwarg (. kwargs [kwarg])))
nil)]
[expr
(with-decorator property
(defn expr [self]
(setv self.--used-expr true)
self.-expr))]
[expr
(with-decorator expr.setter
(defn expr [self value]
(setv self.--used-expr false)
(setv self.-expr value)))]
[expr?
(fn [self]
"Check whether I am a pure expression"
(and self.-expr
(empty? [])))]
[force-expr
(with-decorator property
(defn force-expr [self]
"Force the expression context of the Result"
(if self.expr
self.expr
;; FIXME
(ast.Nil))))]
[expr-as-stmt
(fn [self]
"Convert the Result's expression context to a statement
Unlike python, only function/method call can be pure expression statement"
(if (and self.expr
(instance? ast.Apply self.expr))
(+ (Result) (apply Result [] {"stmts" [self.expr]}))
(Result)))]
[rename
(fn [self new-names-]
"Rename the Result's temporary variables to a `new-name`"
(def new-names (if (coll? new-names-)
(list-comp (ast-str new-name-)
[new-name- new-names-])
[new-names-]))
(for [var self.temp-vars]
(cond [(instance? ast.Id var)
(setv var.nodes (get new-names 0))]
[(instance? ast.Multi var)
(do
(def new-ids (list-comp (ast.Id new-name)
[new-name new-names]))
(setv var.exprs new-ids))]
[true
(raise "FIXME")]))
(setv self.temp-vars []))]
[--add--
(fn [self other]
(cond
;; ast.expr case come first because ast.Apply is both statement and expression. By default we will treat them as expression.
[(ast.expr? other)
(+ self (apply Result [] {"expr" other}))]
[(ast.stat? other)
(+ self (apply Result [] {"stmts" [other]}))]
;; FIXME
[true
(let [[result (Result)]]
(setv result.stmts (+ self.stmts
other.stmts))
(setv result.expr other.expr)
(setv result.temp-vars other.temp-vars)
result)]))]])
(defn -branch [results-]
"make a branch out of a list of Result objects"
(let [[results (list results-)]
[ret (Result)]]
(for [result (slice results 0 -1)]
(+= ret result)
(+= ret (.expr-as-stmt result)))
(for [result (slice results -1)]
(+= ret result))
ret))
(defn -assign-expr-for-result [result var expr]
"If the result's last statement is not ast.Return, append an ast.Set statement of assigning var to expr to the result."
(when (or (empty? result.stmts)
(not (instance? ast.Return
(get result.stmts -1))))
(+= result (ast.Set var expr)))
result)
(defclass HuaASTCompiler [object]
[[--init--
(fn [self module-name]
(setv self.anon-fn-count 0)
(setv self.anon-var-count 0)
(setv self.module-name module-name)
nil)]
[get-anon-var
(fn [self]
(+= self.anon-var-count 1)
(% "_hua_anon_var_%s" self.anon-var-count))]
[get-anon-fn
(fn [self]
(+= self.anon-fn-count 1)
(% "_hua_anon_fn_%s" self.anon-fn-count))]
[compile-atom
(fn [self atom-type atom]
;; (print atom-type)
;; (print atom)
;; (print (in atom-type -compile-table))
;; (print "compile-atom ======")
(when (in atom-type -compile-table)
;; (print "compile-f: " (get -compile-table atom-type))
;; (print "atom: " atom)
;; (print "\n")
(let [[compile-f (get -compile-table atom-type)]
[ret (compile-f self atom)]]
(if (instance? Result ret)
ret
(+ (Result) ret)))))]
[compile
(fn [self tree]
;;; FIXME compiler errors
;; (print "compile =====")
(let [[-type (type tree)]]
(.compile-atom self -type tree)))]
[-compile-collect
(fn [self exprs]
"Collect the expression contexts from a list of compiled expression."
(let [[compiled-exprs []]
[ret (Result)]]
(for [expr exprs]
(+= ret (.compile self expr))
(.append compiled-exprs ret.force_expr))
(, compiled-exprs ret)))]
[-compile-branch
(fn [self exprs]
(-branch (list-comp (.compile self expr) [expr exprs])))]
;;; FIXME no keyword and kwargs yet, maybe never
[-parse-lambda-list
(fn [self exprs]
(def ll-keywords (, "&rest" "&optional"))
(def ret (Result))
(def args [])
(def defaults [])
(def varargs nil)
(def lambda-keyword nil)
(for [expr exprs]
(if (in expr ll-keywords)
;; FIXME &optional error handling
(setv lambda-keyword expr)
(cond
[(nil? lambda-keyword)
(.append args expr)]
[(= lambda-keyword "&rest")
(if (nil? varargs)
(setv varargs (str expr))
(print "FIXME only one &rest error"))]
[(= lambda-keyword "&optional")
(do
(if (instance? HyList expr)
(if (not (= 2 (len expr)))
(print "FIXME optinal rags hould be bare names"
"or 2-item lists")
(setv (, k v) expr))
(do
(setv k expr)
(setv v (.replace (HySymbol "nil") k))))
(.append args k)
(+= ret (.compile self v))
(.append defaults ret.force_expr))])))
(, ret args defaults varargs))]
;;; FIXME _storeize do we really need this?
[-storeize
(fn [self name]
(if-not (.expr? name)
(print "FIXME: type error")
(setv name name.expr))
;;; FIXME multiple assign, index etc.
(cond [(instance? (, ast.Id ast.Index ast.Multi) name)
name]
[true
(print "FIXME: type error")]))]
[compile-raw-list
(with-decorator (builds list)
(fn [self entries]
(let [[ret (.-compile-branch self entries)]]
(+= ret (.expr-as-stmt ret))
ret)))]
;;; FIXME quote related. or no quote because we don't have macro?
;;; FIXME a lot of functions in between
[compile-progn
(with-decorator (builds "do") (builds "progn")
(fn [self expression]
(.pop expression 0)
(.-compile-branch self expression)))]
[compile-do-block
(with-decorator (builds "do_block")
(fn [self expression]
(.pop expression 0)
(def branch (.-compile-branch self expression))
(def var-name (.get-anon-var self))
(def var (ast.Multi (ast.Id var-name)))
(setv branch
(-assign-expr-for-result branch var branch.force-expr))
(+ (Result)
(ast.Local var)
(ast.Do branch.stmts)
(apply Result
[]
{"expr" var "temp_vars" [var]}))))]
[compile-if
(with-decorator
(builds "if")
(apply checkargs [] {"min" 2 "max" 3})
(fn [self expression]
(.pop expression 0)
(let [[condition (.compile self (.pop expression 0))]
[body (.compile self (.pop expression 0))]
[orel (if (empty? expression)
(Result)
(.compile self (.pop expression 0)))]
[ret condition]
[var-name (.get-anon-var self)]
[var (ast.Multi (ast.Id var-name))]
[expr-name (ast.Multi (ast.Id (ast-str var-name)))]]
;; we won't test if statements in body or orel because lua doesn't have official ternary operator support
;; (+= ret (ast.Local [var]))
(setv ret (+ (Result) (ast.Local var) ret))
(setv body
(-assign-expr-for-result body var body.force-expr))
(setv orel
(-assign-expr-for-result orel var orel.force-expr))
(+= ret (ast.If ret.force-expr body.stmts orel.stmts))
(+= ret (apply Result []
{"expr" expr-name "temp_vars" [expr-name
var]}))
ret
)))]
;;; FIXME break, assert etc.
;;; FIXME import/require
[compile-index-expression
(with-decorator
(builds "get")
(apply checkargs [] {"min" 2})
(fn [self expr]
(.pop expr 0)
(def val (.compile self (.pop expr 0)))
(def (, indexes ret) (.-compile-collect self expr))
(when (not (empty? val.stmts))
(+= ret val))
(for [index indexes]
(setv val (+ (Result)
(ast.Index
(let [[val-expr val.force-expr]]
;; if val.force-expr is a literal table, we
;; need a pair of parentheses around the
;; literal table to make the index work
(if (instance? ast.Table val-expr)
(ast.Paren val-expr)
val-expr))
index))))
(+ ret val)))]
[compile-multi
(with-decorator (builds ",")
(fn [self expr]
(.pop expr 0)
(def (, elts ret) (.-compile-collect self expr))
(def multi (ast.Multi elts))
(+= ret multi)
ret))]
[compile-require-macro
(with-decorator (builds "require_macro")
(fn [self expression]
(.pop expression 0)
(for [entry expression]
(--import-- entry)
(hy.macros.require entry self.module-name))
(Result)))]
[compile-compare-op-expression
(with-decorator
(builds "=*")
(builds "<*")
(builds "<=*")
(checkargs 2)
(fn [self expression]
(def operator (.pop expression 0))
(def op-id (ast.get-op-id operator))
(def (, exprs ret) (.-compile-collect self expression))
(+ ret (ast.Op op-id
(get exprs 0)
(get exprs 1)))))]
[compile-unary-operator
(with-decorator
(builds "not" )
(builds "len")
(checkargs 1)
(fn [self expression]
(def operator (.pop expression 0))
(def op-id (ast.get-op-id operator))
(def operand (.compile self (.pop expression 0)))
(+= operand (ast.Op op-id operand.expr))
operand))]
[compile-binary-operators
(with-decorator
(builds "and")
(builds "or")
(builds "%")
(builds "/")
(builds "//")
(builds "^")
;; bitwise for lua 5.3
(builds "|")
(builds "bor")
(builds "&")
(builds "<<")
(builds ">>")
(builds "concat")
(fn [self expression]
(def operator (.pop expression 0))
(def op-id (ast.get-op-id operator))
(def ret (.compile self (.pop expression 0)))
(for [child expression]
(def left-expr ret.force-expr)
(+= ret (.compile self child))
(def right-expr ret.force-expr)
(+= ret (ast.Op op-id left-expr right-expr)))
(+ ret (ast.Paren ret.expr))))]
[compile-add-and-mul-expression
(with-decorator
(builds "+")
(builds "*")
(fn [self expression]
(if (> (len expression) 2)
(.compile-binary-operators self expression)
(do
(def id-op {"+" (HyInteger 0) "*" (HyInteger 1)})
(def op (.pop expression 0))
(def arg (if (empty? expression)
(get id-op op)
(.pop expression 0)))
(def expr (.replace (HyExpression [(HySymbol op)
(get id-op op)
arg])
expression))
(.compile-binary-operators self expr)))))]
[compile-sub-expression
(with-decorator
(builds "-")
(fn [self expression]
(if (> (len expression) 2)
(.compile-binary-operators self expression)
(do
(def arg (get expression 1))
(def ret (.compile self arg))
(+= ret (ast.Op "sub" ret.force-expr))
ret))))]
[compile-expression
(with-decorator (builds HyExpression)
(fn [self expression]
;;; FIXME: macroexpand and "." and a lot more
(setv expression (hy.macros.macroexpand expression
self.module-name))
(cond [(not (instance? HyExpression expression))
(.compile self expression)]
[(= expression [])
(.compile-list self expression)]
[true
(let [[fun (get expression 0)]]
(cond [(instance? HyKeyword fun)
(print "FIXME: keyword call")]
[(instance? HyString fun)
(do
(setv ret (.compile-atom self fun expression))
(if (not (nil? ret))
ret
(.-compile-fun-call self expression)))]
[true
(let [[func (.compile self fun)]]
(def (, args ret)
(.-compile-collect self
(slice expression 1)))
(def call (ast.Call func.expr
args))
(+ func ret call))]))])))]
[-compile-fun-call
(fn [self expression]
(setv fun (get expression 0))
(setv func nil)
(setv method-call? false)
(when (.startswith fun ".")
(setv method-call? true)
(setv ofun fun)
(setv fun (HySymbol (slice ofun 1)))
(.replace fun ofun)
(when (< (len expression) 2)
(print "FIXME error message"))
;; FIXME: this line should we ensure the compiled result is a string?
(setv method-name (ast.String (ast-str fun)))
(setv func (.compile self (.pop expression 1))))
(when (nil? func)
(setv func (.compile self fun)))
;; FIXME: no kwargs for lua?
(setv (, args ret) (.-compile-collect self
(slice expression 1)))
(setv call (if method-call?
(ast.Invoke func.expr
method-name
args)
(ast.Call func.expr
args)))
(+ func ret call))]
[compile-def-expression
(with-decorator
(builds "def")
(checkargs 2)
(fn [self expression]
(.-compile-define self
(get expression 1)
(get expression 2))))]
[-compile-define
(fn [self name result]
(setv str-name (% "%s" name))
;;; FIXME test builtin
(setv result (.compile self result))
(setv ident (.compile self name))
(if (and (empty? ident.stmts)
(instance? (, ast.Multi ast.Id) ident.expr))
(setv ident ident.expr)
(raise "FIXME: identities required"))
(if (empty? result.temp-vars)
(+= result (ast.Local ident
result.force-expr))
(.rename result (if (instance? ast.Id ident)
ident.name
(list-comp (. idn name)
[idn ident.nodes]))))
(+= result ident)
result)]
[compile-setv-expression
(with-decorator
(builds "setv")
(checkargs 2)
(fn [self expression]
(let [[name (get expression 1)]
[result (get expression 2)]]
(setv result (.compile self result))
(setv ld-name (.compile self name))
(when (and (instance? ast.Multi ld-name.expr)
(not (empty? result.temp-vars)))
(.rename result
(list-comp (.get-anon-var self)
[i (range (.count ld-name.expr))])))
;; FIXME do we need this? (setv st-name (.-storeize self ld-name))
(setv result (+ result
(ast.Set [ld-name.expr]
[result.force-expr])
ld-name))
result)))]
[compile-for-expression
(with-decorator
(builds "for*")
(apply checkargs [] {"min" 1})
(fn [self expression]
(.pop expression 0)
(def args (.pop expression 0))
(when (not (instance? HyList args))
(raise (.format "FIXME for expects a list, received `{0}'"
(. (type args) --name--))))
;; FIXME for args number checkign
(def (, target-name iterable) args)
(def target (.-storeize self (.compile self target-name)))
(def body (.-compile-branch self expression))
(+= body (.expr-as-stmt body))
(def ret (Result))
(+= ret (.compile self iterable))
;; two form of for
;; generic for: (for* [expr iterable] body)
;; numeric for: (for* [expr [init final step]] body)
(if (is HyList (type iterable)) ; this looks ugly, but it prevent HyExpression go into the true branch
(+= ret (ast.Fornum target ret.force-expr.nodes body.stmts))
(+= ret (ast.Forin target ret.force-expr body.stmts)))
ret))]
[compile-integer
(with-decorator (builds HyInteger)
(fn [self number]
(ast.Number number)))]
[compile-float
(with-decorator (builds HyFloat)
(fn [self number]
(ast.Number number)))]
[compile-string
(with-decorator (builds HyString)
(fn [self string]
(ast.String string)))]
[compile-symbol
(with-decorator (builds HySymbol)
(fn [self symbol]
;;; FIXME more complex case
(if (in "." symbol)
(do
(setv (, glob local) (.rsplit symbol "." 1))
(setv glob (.replace (HySymbol glob) symbol))
(setv ret (.compile-symbol self glob))
(setv ret (ast.Index ret (ast.String (ast-str local))))
ret)
(cond
[(= symbol "True") (ast.MLTrue)]
[(= symbol "False") (ast.MLFalse)]
[(or (= symbol "None")
(= symbol "nil"))
(ast.Nil)]
[(= symbol "DOTDOTDOT") (ast.Dots)]
[true (ast.Id (ast-str symbol))]))))]
[compile-list
(with-decorator (builds HyList)
(fn [self expression]
(setv (, elts ret) (.-compile-collect self expression))
(+= ret (ast.Table elts nil))
ret))]
[compile-function-def
(with-decorator
(builds "lambda")
(builds "fn")
(apply checkargs [] {"min" 1})
(fn [self expression]
(.pop expression 0)
(def arglist (.pop expression 0))
(def (, ret -args defaults vararg)
(.-parse-lambda-list self arglist))
(def args (list-comp (. (.compile self arg) expr)
[arg -args]))
(def body (Result))
;; FIXME &optional parameters
;; use var = var == nil and default_value or var
(when vararg
(.append args (ast.Dots))
(+= body (apply Result
[]
{"stmts" [(ast.Local [(ast.Id vararg)]
[(ast.Table
[(ast.Dots)]
nil)])]})))
(+= body (.-compile-branch self expression))
(when body.expr
(+= body (ast.Return body.expr)))
(+= ret (ast.Function args
body.stmts))
ret))]
[compile-return
(with-decorator
(builds "return")
(apply checkargs [] {"min" 1})
(fn [self expression]
(.pop expression 0)
(def (, return-exprs ret) (.-compile-collect self expression))
(+ ret (ast.Return return-exprs))))]
[compile-dispatch-reader-macro
(with-decorator
(builds "dispatch_reader_macro")
(checkargs 2)
(fn [self expression]
(.pop expression 0)
(def str-char (.pop expression 0))
(when (not (type str-char))
(raise "FIXME"))
(def module self.module-name)
(def expr (hy.macros.reader-macroexpand str-char
(.pop expression 0)
module))
(.compile self expr)))]
[compile-dict
(with-decorator (builds HyDict)
(fn [self m]
(def (, kv ret) (.-compile-collect self m))
(def length (len kv))
(if (= length 1)
(+= ret (ast.Table kv nil))
(do
(setv half-length (int (/ length 2)))
(setv hash-part (dict-comp (get kv (* 2 i))
(get kv (inc (* 2 i)))
[i (range half-length)]))
(+= ret (ast.Table nil hash-part)) ))
ret))]])
(defn compile-file-to-string [filename]
(def metalua-ast (let [[hst (import-file-to-hst filename)]
;; use filename as module name here since the
;; only function of module name in hua
;; compiler is to track which file requires
;; which macros
[compiler (HuaASTCompiler filename)]]
(.compile compiler hst)))
(def stmts (ast.to-ml-table metalua-ast.stmts))
(tlast->src stmts))
(defn compile-file [filename]
(def result (compile-file-to-string filename))
(def (, basename extname) (os.path.splitext filename))
(def lua-filename (+ basename ".lua"))
(with [[lua-f (open lua-filename "w")]]
(.write lua-f result)))
| Hy | 4 | larme/hua | hua/compiler.hy | [
"MIT"
] |
SynthDef(\sawpulse, { |out, freq = 440, gate = 0.5, plfofreq = 6, mw = 0,
ffreq = 2000, rq = 0.3, freqlag = 0.05, amp = 1|
var sig, plfo, fcurve;
plfo = SinOsc.kr(plfofreq, mul:mw, add:1);
freq = Lag.kr(freq, freqlag) * plfo;
fcurve = EnvGen.kr(Env.adsr(0, 0.3, 0.1, 20), gate);
fcurve = (fcurve - 1).madd(0.7, 1) * ffreq;
sig = Mix.ar([Pulse.ar(freq, 0.9), Saw.ar(freq*1.007)]);
sig = RLPF.ar(sig, fcurve, rq)
* EnvGen.kr(Env.adsr(0.04, 0.2, 0.6, 0.1), gate, doneAction:2)
* amp;
Out.ar(out, sig ! 2)
}).add;
// kick -------
// http://www.soundonsound.com/sos/jan02/articles/synthsecrets0102.asp
// increase mod_freq and mod_index for interesting electronic percussion
SynthDef(\kick,
{ arg out = 0, freq = 50, mod_freq = 5, mod_index = 5, sustain = 0.4, amp = 0.8, beater_noise_level = 0.025;
var pitch_contour, drum_osc, drum_lpf, drum_env;
var beater_source, beater_hpf, beater_lpf, lpf_cutoff_contour, beater_env;
var kick_mix;
// hardcoding, otherwise skoar will set to the length of the noat ) ] ]]] etc
sustain = 0.4;
freq = 50;
pitch_contour = Line.kr(freq*2, freq, 0.02);
drum_osc = PMOsc.ar( pitch_contour,
mod_freq,
mod_index/1.3,
mul: 1,
add: 0);
drum_lpf = LPF.ar(in: drum_osc, freq: 1000, mul: 1, add: 0);
drum_env = drum_lpf * EnvGen.ar(Env.perc(0.005, sustain), 1.0, doneAction: 2);
beater_source = WhiteNoise.ar(beater_noise_level);
beater_hpf = HPF.ar(in: beater_source, freq: 500, mul: 1, add: 0);
lpf_cutoff_contour = Line.kr(6000, 500, 0.03);
beater_lpf = LPF.ar(in: beater_hpf, freq: lpf_cutoff_contour, mul: 1, add: 0);
beater_env = beater_lpf * EnvGen.ar(Env.perc, 1.0, doneAction: 2);
kick_mix = Mix.new([drum_env, beater_env]) * 2 * amp;
Out.ar(out, [kick_mix, kick_mix])
}).store;
// snare -------
// http://www.soundonsound.com/sos/Mar02/articles/synthsecrets0302.asp
SynthDef(\snare,
{arg out = 0, sustain = 0.1, drum_mode_level = 0.25,
snare_level = 40, snare_tightness = 1000,
freq = 405, amp = 0.8;
var drum_mode_sin_1, drum_mode_sin_2, drum_mode_pmosc, drum_mode_mix, drum_mode_env;
var snare_noise, snare_brf_1, snare_brf_2, snare_brf_3, snare_brf_4, snare_reson;
var snare_env;
var snare_drum_mix;
sustain = 0.1;
freq = 405;
drum_mode_env = EnvGen.ar(Env.perc(0.005, sustain), 1.0, doneAction: 2);
drum_mode_sin_1 = SinOsc.ar(freq*0.53, 0, drum_mode_env * 0.5);
drum_mode_sin_2 = SinOsc.ar(freq, 0, drum_mode_env * 0.5);
drum_mode_pmosc = PMOsc.ar( Saw.ar(freq*0.85),
184,
0.5/1.3,
mul: drum_mode_env*5,
add: 0);
drum_mode_mix = Mix.new([drum_mode_sin_1, drum_mode_sin_2, drum_mode_pmosc]) * drum_mode_level;
snare_noise = LFNoise0.ar(20000, 0.1);
snare_env = EnvGen.ar(Env.perc(0.005, sustain), 1.0, doneAction: 2);
snare_brf_1 = BRF.ar(in: snare_noise, freq: 8000, mul: 0.5, rq: 0.1);
snare_brf_2 = BRF.ar(in: snare_brf_1, freq: 5000, mul: 0.5, rq: 0.1);
snare_brf_3 = BRF.ar(in: snare_brf_2, freq: 3600, mul: 0.5, rq: 0.1);
snare_brf_4 = BRF.ar(in: snare_brf_3, freq: 2000, mul: snare_env, rq: 0.0001);
snare_reson = Resonz.ar(snare_brf_4, snare_tightness, mul: snare_level) ;
snare_drum_mix = Mix.new([drum_mode_mix, snare_reson]) * 5 * amp;
Out.ar(out, [snare_drum_mix, snare_drum_mix]);
}).store;
// hats -------
// http://www.soundonsound.com/sos/Jun02/articles/synthsecrets0602.asp
SynthDef(\hats,
{arg out = 0, freq = 6000, sustain = 0.1, amp = 0.8;
var root_cymbal, root_cymbal_square, root_cymbal_pmosc;
var initial_bpf_contour, initial_bpf, initial_env;
var body_hpf, body_env;
var cymbal_mix;
amp = amp * 0.5;
sustain = 0.1;
freq = 6000;
root_cymbal_square = Pulse.ar(freq, 0.5, mul: 1);
root_cymbal_pmosc = PMOsc.ar(root_cymbal_square,
[freq*1.34, freq*2.405, freq*3.09, freq*1.309],
[310/1.3, 26/0.5, 11/3.4, 0.72772],
mul: 1,
add: 0);
root_cymbal = Mix.new(root_cymbal_pmosc);
initial_bpf_contour = Line.kr(15000, 9000, 0.1);
initial_env = EnvGen.ar(Env.perc(0.005, 0.1), 1.0);
initial_bpf = BPF.ar(root_cymbal, initial_bpf_contour, mul:initial_env);
body_env = EnvGen.ar(Env.perc(0.005, sustain, 1, -2), 1.0, doneAction: 2);
body_hpf = HPF.ar(in: root_cymbal, freq: Line.kr(9000, 12000, sustain),mul: body_env, add: 0);
cymbal_mix = Mix.new([initial_bpf, body_hpf]) * amp;
Out.ar(out, [cymbal_mix, cymbal_mix])
}).store;
x = "
130 => )
.alice <0,3,5> => @detune mp
.bob <0,3,5> => @detune mp
.bass @sawpulse => @instrument mp o~~~~
.hats @hats => @instrument pp
.snare @snare => @instrument mf
.kick @kick => @instrument mf
{! four_bars_rest !! }}}}} !}
{! eight_bars_rest !! }}}}}} !}
{! twelve_bars_rest !! !four_bars_rest !eight_bars_rest !}
{! bass_fun<x> !! !x ) ]] ]] ] ) ) !}
{! bass_end<x> !! !x ) ) ) ] ] !}
{! bass_climb !! | _e ]] _a# ]] c# ] e ]] a# ]] ~o c# ] e ) } | f ) o~ _f ]] ]] ] ) } | !}
{! bassline_a !!
!bass_fun<a#>
!bass_fun<g#>
!bass_fun<f#>
!bass_fun<c#>
!bass_fun<b>
!bass_fun<a#>
!bass_fun<c>
!bass_end<f>
!}
{! bassline_b !!
!bass_fun<a#>
!bass_fun<g#>
!bass_fun<f#>
!bass_fun<f>
!bass_climb
!bass_climb
!bass_fun<b>
!bass_fun<a#>
!bass_fun<c>
!bass_end<f>
!}
{! intro !!
.hats !four_bars_rest
.snare !four_bars_rest
.kick !four_bars_rest
.alice | _a# )) o/. ]] ]] ]] ] | ]. _g# ]] _a# ) o/. ]] ]] ]] ] |
.bob | _d )) o/. ]] ]] ]] ] | _c ]. ]] ) o/. ]] ]] ]] ] |
.bass | a# ) ]] ]] ] ) ]] ]] ] | g# ) ]] ]] ] ) ]] ]] ] |
.alice | ]. _g# ]] _a# ) o/. ]] ]] ]] ] | ] _f ]] ]] ] ]] ]] ] ]] ]] ] ] |
.bob | _c# ]. ]] ) o/. ]] ]] ]] ] | ] o~ _a ]] ]] ] ]] ]] ] ]] ]] ] ] |
.bass | f# ) ]] ]] ] ) ]] ]] ] | f ) ) ) g ] a ] |
!}
{! melody_a !! .bass !bassline_a
.alice | _a# ) _f )__ o/. _a# ]] ]] c ]] d ]] d# ]] |
.bob | _d ) ]] ]] _c ] _d ]. ]] ]] _d# ]] _f ]] _g ]] |
.alice | f )) o/ ] f ] f# ]] g# ]] |
.bob | _g# ]. _a# ]] ]] c ]] d ]] d# ]] f ) _g# ] _a# ]] c ]] |
.alice | a# )) o/ a# ] ] g# ]] f# ]] |
.bob | c# ]. _f# ]] ]] _g# ]] _a# ]] c ]] c# ]. ]] ] c ]] _a# ]] |
.alice | g# ]. f# ]] f )) ) |
.bob | c# ]. _g# ]] ]] ]] _f# ] _g# ]. ]] ]] _f# ]] _g# ] |
.alice | d# ] ]] f ]] f# )) f ] d# ] |
.bob | _f# ] ]] _f ]] _f# ] ]] _g# ]] _a# ) _g# ] _f# ] |
.alice | c# ] ]] d# ]] f )) d# ] c# ] |
.bob | _f ] ]] _d# ]] _f ] ]] _f# ]] _g# ) _f# ] _d# ] |
.alice | c ] ]] d ]] e )) g ) |
.bob | _e ] ]] _d ]] _e ] ]] _g ] ]] _a ]] _a# ] c ] |
.alice | f ] _f ]] ]] ] ]] ]] ] ]] ]] ] ] |
.bob | _a ] o~ _a ]] ]] ] ]] ]] ] ]] ]] ] ] ~o |
!}
{! melody_b !! .bass !bassline_b
.alice | _a# ) _f )__ o/. _a# ]] ]] c ]] d ]] d# ]] |
.bob | _d ) ]] ]] _c ] _d ]. ]] ]] _d# ]] _f ]] _g ]] |
.alice | f )) o/ ] f ] f# ]] g# ]] |
.bob | _g# ]. _a# ]] ]] c ]] d ]] d# ]] f ) _g# ] _a# ]] c ]] |
.alice | a# )). ~o c# ) | c ) o~ a )) f ) | f# )). a# ) | a ) f )) ) |
.bob | c# )). e ) | d# ) c )) _a ) | _b )). c# ) | c ) _a )) ) |
.alice | f# )). a# ) | a ) f )) d ) | d# )). f# ) | f ) c# )) _a# ) |
.bob | _b )). c# ) | c ) _a )) ) | _f# )). _b ) | _a# ) _f )) _c# ) |
.alice | c ] ]] d ]] e )) g ) |
.bob | _e ] ]] _d ]] _e ] ]] _f ]] _g ] ]] _a ]] _a# ] c ] |
.alice | f ] _f ]] ]] ] ]] ]] ] ]] ]] ] ] |
.bob | _a ] o~ _a ]] ]] ] ]] ]] ] ]] ]] ] ] ~o |
!}
{! fill !!
.alice | f ] _f ]] ]] ] ]] ]] ] ]] ]] ] ] |
.bob | _a ] o~ _a ]] ]] ] ]] ]] ] ]] ]] ] ] ~o |
.snare | ] ]] ]] ] ]] ]] ] ]] ]] ] ] |
.hats | ] ] ] ] ] ] ] ] |
.kick | ) } ) } |
.bass !bass_end<f>
!}
{! drums !!
.hats |: ] ] ] ] ] ] ] ]] ]] :| :| :| :| :| :| :| :| :| :| :|
.snare |: } ) } ) :| :| :| :| :| :| :| :| :| :| ] ]] ]] ] ]] ]] ] ]] ]] ] ] |
.kick |: ) } ) } :| :| :| :| :| :| :| :| :| :| :|
!}
!intro
!melody_a
.kick !eight_bars_rest
.hats !four_bars_rest }}} }}} }}} ] ] ] ] ] ] ] ]
.snare !eight_bars_rest
!fill
!melody_b
!drums
!fill
";
for (0, 60, {x.skoar;});
| SuperCollider | 5 | sofakid/Skoarcery | SuperCollider/testing/bigskoar.scd | [
"Artistic-2.0"
] |
# This file is distributed under the same license as the Django package.
#
# Translators:
# Daniel Ursache-Dogariu, 2011
# Eugenol Man <[email protected]>, 2020
# Jannis Leidel <[email protected]>, 2011
# Razvan Stefanescu <[email protected]>, 2016
msgid ""
msgstr ""
"Project-Id-Version: django\n"
"Report-Msgid-Bugs-To: \n"
"POT-Creation-Date: 2019-09-08 17:27+0200\n"
"PO-Revision-Date: 2020-07-15 11:20+0000\n"
"Last-Translator: Eugenol Man <[email protected]>\n"
"Language-Team: Romanian (http://www.transifex.com/django/django/language/"
"ro/)\n"
"MIME-Version: 1.0\n"
"Content-Type: text/plain; charset=UTF-8\n"
"Content-Transfer-Encoding: 8bit\n"
"Language: ro\n"
"Plural-Forms: nplurals=3; plural=(n==1?0:(((n%100>19)||((n%100==0)&&(n!=0)))?"
"2:1));\n"
msgid "Redirects"
msgstr "Redirecționări"
msgid "site"
msgstr "site"
msgid "redirect from"
msgstr "redirecționat de la "
msgid ""
"This should be an absolute path, excluding the domain name. Example: “/"
"events/search/”."
msgstr ""
"Trebuie sa fie o cale absoluta, exeptand numele domeniului. Exemplu: “/"
"events/search/”."
msgid "redirect to"
msgstr "redirecționat către"
msgid ""
"This can be either an absolute path (as above) or a full URL starting with "
"“http://”."
msgstr ""
"Poate fi cale absolută (ca mai sus) sau link URL complet, începând cu "
"“http://”."
msgid "redirect"
msgstr "redirecționare"
msgid "redirects"
msgstr "redirecționări"
| Gettext Catalog | 2 | jpmallarino/django | django/contrib/redirects/locale/ro/LC_MESSAGES/django.po | [
"BSD-3-Clause",
"0BSD"
] |
size: 1024px 512px;
dpi: 96;
limit-x: 0.5 6.5;
limit-y: -20 70;
bars {
data-x: csv("test/testdata/bardata.csv" var0);
data-y: csv("test/testdata/bardata.csv" var1);
data-y-low: csv("test/testdata/bardata.csv" var2);
width: 1em;
offset: -.8em;
color: #ccc;
}
bars {
data-x: csv("test/testdata/bardata.csv" var0);
data-y: csv("test/testdata/bardata.csv" var4);
data-y-low: csv("test/testdata/bardata.csv" var5);
width: 1em;
offset: .8em;
color: #666;
}
| CLIPS | 3 | asmuth-archive/travistest | test/plot-bars/barchart_ranges.clp | [
"Apache-2.0"
] |
//
// Copyright (c) 2006, Brian Frank and Andy Frank
// Licensed under the Academic Free License version 3.0
//
// History:
// 17 Sep 06 Brian Frank Creation
//
**
** CheckErrorsTest
**
class CheckErrorsTest : CompilerTest
{
//////////////////////////////////////////////////////////////////////////
// Types
//////////////////////////////////////////////////////////////////////////
Void testTypeFlags()
{
// parser stage
verifyErrors(
"abstract mixin A {}
final mixin B {}
abstract enum class C { none }
const final enum class D { none }
abstract facet class E {}
const final facet class F {}
public public class G {}
abstract internal abstract class H {}
",
[
1, 10, "The 'abstract' modifier is implied on mixin",
2, 7, "Cannot use 'final' modifier on mixin",
3, 10, "Cannot use 'abstract' modifier on enum",
4, 13, "The 'const' modifier is implied on enum",
4, 13, "The 'final' modifier is implied on enum",
5, 10, "Cannot use 'abstract' modifier on facet",
6, 13, "The 'const' modifier is implied on facet",
6, 13, "The 'final' modifier is implied on facet",
7, 8, "Repeated modifier",
8, 19, "Repeated modifier",
])
// check errors stage
verifyErrors(
"
private class B {}
protected class C {}
virtual static class D {}
once class G {}
public internal class H {}
abstract final class I {}
",
[
//1, 5, "Cannot use 'new' modifier on type",
2, 9, "Cannot use 'private' modifier on type",
3, 11, "Cannot use 'protected' modifier on type",
4, 16, "Cannot use 'static' modifier on type",
//4, 16, "Cannot use 'virtual' modifier on type",
5, 6, "Cannot use 'once' modifier on type",
6, 17, "Invalid combination of 'public' and 'internal' modifiers",
7, 16, "Invalid combination of 'abstract' and 'final' modifiers",
])
}
Void testTypeAbstractSlots()
{
// errors
verifyErrors(
"virtual class A { abstract Void x() }
virtual class B { abstract Void x(); abstract Void y(); }
class C : B {}
class D : A { abstract Void y(); }
class E : B, X { override Void a() {} override Void x() {} }
mixin X { abstract Void a(); abstract Void b(); }
",
[
1, 9, "Class 'A' must be abstract since it contains abstract slots",
2, 9, "Class 'B' must be abstract since it contains abstract slots",
3, 1, "Class 'C' must be abstract since it inherits but doesn't override '$podName::B.x'",
3, 1, "Class 'C' must be abstract since it inherits but doesn't override '$podName::B.y'",
4, 1, "Class 'D' must be abstract since it inherits but doesn't override '$podName::A.x'",
4, 1, "Class 'D' must be abstract since it contains abstract slots",
5, 1, "Class 'E' must be abstract since it inherits but doesn't override '$podName::B.y'",
5, 1, "Class 'E' must be abstract since it inherits but doesn't override '$podName::X.b'",
])
}
Void testTypeMisc()
{
// check inherit stage
verifyErrors(
"class A { Type typeof }
class B { Type typeof() { return Str# } }
class C { override Type typeof() { return Str# } }
",
[3, 11, "Override of unknown virtual slot 'typeof'"]
/*
[
1, 11, "Cannot override non-virtual slot 'sys::Obj.typeof'",
2, 11, "Cannot override non-virtual slot 'sys::Obj.typeof'",
3, 11, "Cannot override non-virtual slot 'sys::Obj.typeof'",
]*/
)
}
Void testConstInheritance()
{
// check errors stage
verifyErrors(
"virtual const class Q {}
const mixin X {}
const mixin Y {}
mixin Z {}
class A : Q {}
class B : X {}
class C : Q, X, Y {}
class D : Z, X {}
mixin E : X {}
mixin F : Z, Y {}
",
[
6, 1, "Non-const type 'A' cannot subclass const class 'Q'",
7, 1, "Non-const type 'B' cannot implement const mixin 'X'",
8, 1, "Non-const type 'C' cannot subclass const class 'Q'",
8, 1, "Non-const type 'C' cannot implement const mixin 'X'",
8, 1, "Non-const type 'C' cannot implement const mixin 'Y'",
9, 1, "Non-const type 'D' cannot implement const mixin 'X'",
10, 1, "Non-const type 'E' cannot implement const mixin 'X'",
11, 1, "Non-const type 'F' cannot implement const mixin 'Y'",
])
}
//////////////////////////////////////////////////////////////////////////
// Test protection scopes
//////////////////////////////////////////////////////////////////////////
Void testProtectionScopes()
{
// first create a pod with internal types/slots
compile(
"class Public
{
virtual public Void mPublic() {}
virtual protected Void mProtected() {}
virtual internal Void mInternal() {}
private Void mPrivate() {} // can't mix virtual+private
static public Void msPublic() {}
static protected Void msProtected() {}
static internal Void msInternal() {}
static private Void msPrivate() {}
virtual public Int fPublic
virtual protected Int fProtected
virtual internal Int fInternal
private Int fPrivate // can't mix virtual+private
public Int fPublicProtected { protected set }
public Int fPublicReadonly { private set }
protected Int fProtectedInternal { internal set }
}
virtual internal class InternalClass
{
Void m() {}
}
internal mixin InternalMixin
{
static Void x() { Public.msPublic; Public.msProtected; Public.msInternal }
}
")
p := pod.types[0]
ic := pod.types[1]
im := pod.types[2]
// CheckInherit step
verifyErrors(
"using $p.pod.name
class C00 : Public {}
class C01 : InternalClass {}
class C02 : InternalMixin {}
mixin C03 : InternalMixin {}
",
[
4, 1, "Class 'C01' cannot access internal scoped class '$ic'",
5, 1, "Type 'C02' cannot access internal scoped mixin '$im'",
6, 1, "Type 'C03' cannot access internal scoped mixin '$im'",
])
// Inherit step
verifyErrors(
"using $p.pod.name
class C01 : Public { override Void figgle() {} }
class C02 : Public { Str toStr() { return null } }
class C03 : Public { override Void mPublic() {} } // ok
class C04 : Public { override Void mProtected() {} } // ok
//class C05 : Public { override Void mInternal() {} }
class C06 : Public { override Void mPrivate() {} }
",
[
3, 22, "Override of unknown virtual slot 'figgle'",
4, 22, "Must specify override keyword to override 'sys::Obj.toStr'",
// TODO: internal/privates never make it this far to tell you its a scope problem...
//8, 22, "Override of unknown virtual slot 'mInternal'",
9, 22, "Override of unknown virtual slot 'mPrivate'",
])
// CheckErrors step
verifyErrors(
"using $p.pod.name
class C04 : Public { Void f() { mPublic; x := fPublic } } // ok
class C05 : Public { Void f() { mProtected; x := fProtected } } // ok
class C06 { Void f(Public p) { p.mProtected } }
class C07 { Void f(Public p) { p.mInternal } }
class C08 { Void f(Public p) { p.mPrivate } }
class C09 { Void f() { Public.msProtected } }
class C10 { Void f() { Public.msInternal } }
class C11 { Void f() { Public.msPrivate } }
class C13 { Obj f(Public p) { return p.fPublic } } // ok
class C14 : Public { Obj f(Public p) { return p.fProtected} } // ok
class C15 { Obj f(Public p) { return p.fPublicProtected } } // ok
class C16 { Obj f(Public p) { return p.fPublicReadonly } } // ok
class C17 : Public { Obj f(Public p) { return p.fProtectedInternal } } // ok
class C19 { Obj f(Public p) { return p.fProtected } }
class C20 { Obj f(Public p) { return p.fProtectedInternal } }
class C21 { Obj f(Public p) { return p.fInternal } }
class C22 { Obj f(Public p) { return p.fPrivate } }
class C24 { Void f(Public p) { p.fPublic = 7 } } // ok
class C25 : Public { Void f(Public p) { p.fProtected = 7 } } // ok
class C26 : Public { Void f(Public p) { p.fPublicProtected = 7 } } // ok
class C27 { Void f(Public p) { p.fProtected = 7 } }
class C28 { Void f(Public p) { p.fInternal = 7 } }
class C29 { Void f(Public p) { p.fPrivate = 7 } }
class C30 { Void f(Public p) { p.fPublicProtected = 7; p.fPublicProtected++ } }
class C31 { Void f(Public p) { p.fPublicReadonly = 7; p.fPublicReadonly++ } }
class C32 : Public { Void f(Public p) { p.fProtectedInternal = 7; p.fProtectedInternal++ } }
class C33 { Bool f(Obj o) { o is MemBuf } }
class C34 { Bool f(Obj o) { o isnot MemBuf } }
class C35 { Obj? f(Obj o) { o as MemBuf } }
class C36 { Obj? f(Obj o) { return (MemBuf)o } }
",
[
5, 34, "Protected method '${p}.mProtected' not accessible",
6, 34, "Internal method '${p}.mInternal' not accessible",
7, 34, "Private method '${p}.mPrivate' not accessible",
8, 31, "Protected method '${p}.msProtected' not accessible",
9, 31, "Internal method '${p}.msInternal' not accessible",
10, 31, "Private method '${p}.msPrivate' not accessible",
18, 40, "Protected field '${p}.fProtected' not accessible",
19, 40, "Protected field '${p}.fProtectedInternal' not accessible",
20, 40, "Internal field '${p}.fInternal' not accessible",
21, 40, "Private field '${p}.fPrivate' not accessible",
26, 34, "Protected field '${p}.fProtected' not accessible",
27, 34, "Internal field '${p}.fInternal' not accessible",
28, 34, "Private field '${p}.fPrivate' not accessible",
29, 34, "Protected setter of field '${p}.fPublicProtected' not accessible",
29, 58, "Protected setter of field '${p}.fPublicProtected' not accessible",
30, 34, "Private setter of field '${p}.fPublicReadonly' not accessible",
30, 57, "Private setter of field '${p}.fPublicReadonly' not accessible",
31, 43, "Internal setter of field '${p}.fProtectedInternal' not accessible",
31, 69, "Internal setter of field '${p}.fProtectedInternal' not accessible",
//32, 29, "Internal type 'sys::MemBuf' not accessible",
//33, 29, "Internal type 'sys::MemBuf' not accessible",
//34, 29, "Internal type 'sys::MemBuf' not accessible",
//35, 36, "Internal type 'sys::MemBuf' not accessible",
]
)
}
Void testClosureProtectionScopes()
{
// verify closure get access to external class privates
compile(
"class Foo : Goo
{
private static Int x() { return 'x' }
static Int testX()
{
f := |->Int| { return x }
return f.call
}
protected static Int y() { return 'y' }
static Int testY()
{
f := |->Int|
{
g := |->Int| { return y }
return g.call
}
return f.call
}
static Int testZ()
{
f := |->Int| { return z }
return f.call
}
}
virtual class Goo
{
protected static Int z() { return 'z' }
}")
t := pod.types[1]
verifyEq(t.name, "Foo")
verifyEq(t.method("testX").call, 'x')
verifyEq(t.method("testY").call, 'y')
verifyEq(t.method("testZ").call, 'z')
}
//////////////////////////////////////////////////////////////////////////
// Test Type Scopes
//////////////////////////////////////////////////////////////////////////
Void testTypeProtectionScopes()
{
// first create a pod with internal types/slots
compile(
"internal class Foo
{
static const Int f
static Void m() {}
}
")
p := pod
verifyErrors(
"using $p.name
internal class Bar
{
Void m00() { echo(Foo.f) }
Void m01() { Foo.m() }
Void m02() { echo(Foo#) }
Void m03() { echo(Foo#f) }
Void m04() { echo(Foo#m) }
Foo m05() { throw Err() }
Foo? m06() { throw Err() }
|Foo x| m07() { throw Err() }
|->Foo| m08() { throw Err() }
Void m09(Foo p) {}
Void m10(Foo? p) {}
Void m11(Foo?[] p) {}
Void m12([Str:Foo?] p) {}
Void m13([Foo:Str] p) {}
Void m14(|->Foo[]| p) {}
Foo? f00
Foo?[]? f01
}
",
[
5, 25, "Internal field '$p::Foo.f' not accessible",
6, 20, "Internal method '$p::Foo.m' not accessible",
7, 21, "Internal type '$p::Foo' not accessible",
8, 21, "Internal field '$p::Foo.f' not accessible",
9, 21, "Internal method '$p::Foo.m' not accessible",
11, 3, "Internal type '$p::Foo' not accessible",
12, 3, "Internal type '$p::Foo' not accessible",
13, 3, "Internal type '$p::Foo' not accessible",
14, 3, "Internal type '$p::Foo' not accessible",
16, 12, "Internal type '$p::Foo' not accessible",
17, 12, "Internal type '$p::Foo' not accessible",
18, 12, "Internal type '$p::Foo' not accessible",
19, 12, "Internal type '$p::Foo' not accessible",
20, 12, "Internal type '$p::Foo' not accessible",
21, 12, "Internal type '$p::Foo' not accessible",
23, 3, "Internal type '$p::Foo' not accessible",
24, 3, "Internal type '$p::Foo' not accessible",
])
}
//////////////////////////////////////////////////////////////////////////
// API Protection Scopes
//////////////////////////////////////////////////////////////////////////
Void testApiProtectionScopes()
{
// errors
verifyErrors(
"class Bar : Foo, Goo
{
Foo? a() { return null }
protected Void b([Str:Foo] x) {}
Foo? f
protected Foo[]? g
|Foo|? h
|Str->Foo|? i
internal Foo? ai(Foo x) { return null } // ok
internal Foo? fi // ok
}
virtual internal class Foo {}
internal mixin Goo {}",
[
3, 3, "Public method 'Bar.a' cannot use internal type '$podName::Foo?'",
4, 3, "Public method 'Bar.b' cannot use internal type '",
5, 3, "Public field 'Bar.f' cannot use internal type '$podName::Foo?'",
6, 3, "Public field 'Bar.g' cannot use internal type '",
7, 3, "Public field 'Bar.h' cannot use internal type '",
8, 3, "Public field 'Bar.i' cannot use internal type '",
1, 1, "Public type 'Bar' cannot extend from internal class 'Foo'",
1, 1, "Public type 'Bar' cannot implement internal mixin 'Goo'",
])
}
//////////////////////////////////////////////////////////////////////////
// Fields
//////////////////////////////////////////////////////////////////////////
Void testFieldFlags()
{
// parser stage
verifyErrors(
"abstract class Foo
{
private private Int f01
Int f02 { override get { return f02 } }
Int f03 { internal override get { return f03 } }
Int f04 { override set {} }
}
",
[
3, 11, "Repeated modifier",
4, 13, "Cannot use modifiers on field getter",
5, 13, "Cannot use modifiers on field getter",
6, 13, "Cannot use modifiers on field setter except to narrow protection",
])
// check errors stage
verifyErrors(
"abstract class Foo : Bar
{
// new Str f00 - parser actually catches this
final Int f01
native Int f02 // ok
once Int f03
public protected Int f04
public private Int f05
public internal Int f06
protected private Int f07
protected internal Int f08
internal private Int f09
Int f10 { public protected set {} }
Int f11 { public private set {} }
Int f12 { public internal set {} }
Int f13 { protected private set {} }
Int f14 { protected internal set {} }
Int f15 { internal private set {} }
private Int f20 { public set {} }
private Int f21 { protected set {} }
private Int f22 { internal set {} }
internal Int f23 { public set {} }
internal Int f24 { protected set {} }
protected Int f25 { public set {} }
protected Int f26 { internal set {} } // ok
const abstract Int f30
//
const virtual Int f32
virtual private Int f33
native abstract Int f35
const native Int f36
native static Int f37
}
virtual class Bar
{
virtual Int f31
}
",
[
4, 3, "Cannot use 'final' modifier on field",
6, 3, "Cannot use 'once' modifier on field",
8, 3, "Invalid combination of 'public' and 'protected' modifiers",
9, 3, "Invalid combination of 'public' and 'private' modifiers",
10, 3, "Invalid combination of 'public' and 'internal' modifiers",
11, 3, "Invalid combination of 'protected' and 'private' modifiers",
12, 3, "Invalid combination of 'protected' and 'internal' modifiers",
13, 3, "Invalid combination of 'private' and 'internal' modifiers",
15, 3, "Invalid combination of 'public' and 'protected' modifiers",
16, 3, "Invalid combination of 'public' and 'private' modifiers",
17, 3, "Invalid combination of 'public' and 'internal' modifiers",
18, 3, "Invalid combination of 'protected' and 'private' modifiers",
19, 3, "Invalid combination of 'protected' and 'internal' modifiers",
20, 3, "Invalid combination of 'private' and 'internal' modifiers",
22, 3, "Setter cannot have wider visibility than the field",
23, 3, "Setter cannot have wider visibility than the field",
24, 3, "Setter cannot have wider visibility than the field",
25, 3, "Setter cannot have wider visibility than the field",
26, 3, "Setter cannot have wider visibility than the field",
27, 3, "Setter cannot have wider visibility than the field",
30, 3, "Invalid combination of 'const' and 'abstract' modifiers",
//30, 3, "Invalid combination of 'const' and 'override' modifiers", TODO
32, 3, "Invalid combination of 'const' and 'virtual' modifiers",
34, 3, "Invalid combination of 'private' and 'virtual' modifiers",
36, 3, "Invalid combination of 'native' and 'abstract' modifiers",
37, 3, "Invalid combination of 'native' and 'const' modifiers",
38, 3, "Invalid combination of 'native' and 'static' modifiers",
38, 3, "Static field 'f37' must be const",
])
}
Void testFields()
{
verifyErrors(
"mixin MixIt
{
Str a
virtual Int b
abstract Int c { get { return &c } }
abstract Int d { set { &d = it } }
abstract Int e { get { return &e } set { &e = it } }
const Int f := 3
abstract Int g := 5
}
abstract class Foo
{
abstract Int c { get { return &c } }
abstract Int d { set { &d = it } }
abstract Int e { get { return &e } set { &e = it } }
abstract Int f := 3
}
",
[
3, 3, "Mixin field 'a' must be abstract",
4, 3, "Mixin field 'b' must be abstract",
5, 3, "Abstract field 'c' cannot have getter or setter",
5, 33, "Field storage not accessible in mixin '$podName::MixIt.c'",
6, 3, "Abstract field 'd' cannot have getter or setter",
6, 26, "Field storage not accessible in mixin '$podName::MixIt.d'",
7, 3, "Abstract field 'e' cannot have getter or setter",
7, 33, "Field storage not accessible in mixin '$podName::MixIt.e'",
7, 44, "Field storage not accessible in mixin '$podName::MixIt.e'",
8, 3, "Mixin field 'f' must be abstract",
9, 21, "Abstract field 'g' cannot have initializer",
14, 3, "Abstract field 'c' cannot have getter or setter",
15, 3, "Abstract field 'd' cannot have getter or setter",
16, 3, "Abstract field 'e' cannot have getter or setter",
17, 21, "Abstract field 'f' cannot have initializer",
])
}
Void testConst()
{
// Parser step
verifyErrors(
"const class Foo
{
const static Int a { get { return 3 } }
const static Int b { set { } }
const static Int c { get { return 3 } set { } }
const Int d { get { return 3 } }
const Int e { set { } }
const Int f { get { return 3 } set { } }
}
",
[
3, 24, "Const field 'a' cannot have getter",
4, 24, "Const field 'b' cannot have setter",
5, 24, "Const field 'c' cannot have getter",
5, 41, "Const field 'c' cannot have setter",
7, 17, "Const field 'd' cannot have getter",
8, 17, "Const field 'e' cannot have setter",
9, 17, "Const field 'f' cannot have getter",
9, 34, "Const field 'f' cannot have setter",
])
// CheckErrors step
verifyErrors(
"virtual const class Foo : Bar
{
static Int a := 3
const static Int b := 3
static { b = 5 }
static Void goop() { b = 7; b += 3; b++ }
//const static Int c { get { return 3 } }
//const static Int d { set { } } // 10
//const static Int e { get { return 3 } set { } }
const Int f := 3
new make() { f = 5 }
Void wow() { f = 7; f++; }
static Void bow(Foo o) { o.f = 9; o.f += 2 }
//const Int g { get { return 3 } }
//const Int h { set { } }
//const Int i { get { return 3 } set { } } // 20
private Str? j
private const StrBuf? k
const Buf[]? l // ok
const [Str:Buf]? m // ok
const [Buf:Int]? n // ok
const [Num:Duration]? ok1 // ok
const [Num:Str[][]]? ok2 // ok
once Int p() { return 3 } // 30
}
virtual class Bar {}
class Roo : Foo {}
enum class Boo { none; private Int x }
const class Outside : Foo
{
Void something() { f = 99 }
static { b++ } // 40
}
class With
{
static Foo fooFactory() { return Foo.make }
static With withFactory() { return make }
Obj a() { return Foo { it.f = 99 } } // ok
Obj b() { return Foo.make { it.f = 99 } } // ok
Obj c() { return With { it.xxx = [1,2] } } // ok
Obj d() { return make { it.xxx = [1,2] } } // ok line 50
Obj e() { return fooFactory { it.f = 99 } } // ok it-block
Obj f() { return withFactory { it.xxx = [1,2] } } // ok it-block
Obj g() { return make { it.xxx = [1,2] } } // ok it-block
Obj h(With s) { return s { it.xxx = [1,2] } } // ok it-block
Obj i() { return this { it.xxx = [1,2] } } // ok it-block
Obj j() { return make { it.goop = 99 } }
static { Foo.b = 999 }
const Int[] xxx := Int[,]
static const Int goop := 9
}
const abstract class Ok
{
abstract Int a
native Str b
Int c { get { return 3 } set {} }
static const Obj? d
static const Obj[]? e
static const [Obj:Obj]? f
}
",
[
3, 3, "Static field 'a' must be const",
7, 24, "Cannot set const static field 'b' outside of static initializer",
7, 31, "Cannot set const static field 'b' outside of static initializer",
7, 39, "Cannot set const static field 'b' outside of static initializer",
15, 16, "Cannot set const field 'f' outside of constructor",
15, 23, "Cannot set const field 'f' outside of constructor",
16, 30, "Cannot set const field 'f' outside of constructor",
16, 39, "Cannot set const field 'f' outside of constructor",
23, 3, "Const field 'k' has non-const type 'sys::StrBuf?'",
/*
24, 3, "Const field 'l' has non-const type 'sys::Buf[]'",
25, 3, "Const field 'm' has non-const type '[sys::Str:sys::Buf]'",
26, 3, "Const field 'n' has non-const type '[sys::Buf:sys::Int]'",
*/
1, 15, "Const type 'Foo' cannot subclass non-const class 'Bar'", // further tests in testConstInheritance
22, 3, "Const type 'Foo' cannot contain non-const field 'j'",
//30, 3, "Const type 'Foo' cannot contain once method 'p'",
34, 1, "Non-const type 'Roo' cannot subclass const class 'Foo'",
35, 25, "Const type 'Boo' cannot contain non-const field 'x'",
39, 22, "Cannot set const field '$podName::Foo.f'",
40, 12, "Cannot set const field '$podName::Foo.b'",
57, 16, "Cannot set const field '$podName::Foo.b'",
// used to be prevented for with-block, before it-blocks
51, 36, "Cannot set const field '$podName::Foo.f'",
52, 37, "Cannot set const field 'xxx' outside of constructor",
54, 33, "Cannot set const field 'xxx' outside of constructor",
55, 30, "Cannot set const field 'xxx' outside of constructor",
//57, 16, "Cannot set const field '$podName::Foo.b'",
56, 30, "Cannot access static field 'goop' on instance",
56, 30, "Cannot set const static field 'goop' outside of static initializer",
])
}
Void testFieldStorage()
{
verifyErrors(
"class Foo : Root
{
Int m00() { return &r00 }
Int m01() { return this.&r00 }
Int f00 { get { return f00 } }
Int f01 { set { f01 = it } }
Int f02 { get { return f02 } set { f02 = it } }
Int f03 { get { return f02 } set { this.f02 = it } }
Int f04 { set { child.f04 = it } } // ok
override Int r01 { set { &r01 = it } }
Foo? child
}
mixin M
{
abstract Int x
Void foo() { &x = 2 }
Int bar() { &x }
}
class Root
{
Int r00
virtual Int r01
}
",
[
3, 22, "Field storage for '$podName::Root.r00' not accessible",
4, 27, "Field storage for '$podName::Root.r00' not accessible",
6, 26, "Cannot use field accessor inside accessor itself - use '&' operator",
7, 19, "Cannot use field accessor inside accessor itself - use '&' operator",
8, 26, "Cannot use field accessor inside accessor itself - use '&' operator",
8, 38, "Cannot use field accessor inside accessor itself - use '&' operator",
12, 28, "Field storage of inherited field '$podName::Root.r01' not accessible (might try super)",
19, 16, "Field storage not accessible in mixin '$podName::M.x'",
20, 15, "Field storage not accessible in mixin '$podName::M.x'",
])
}
//////////////////////////////////////////////////////////////////////////
// Methods
//////////////////////////////////////////////////////////////////////////
Void testMethodFlags()
{
// parser stage
verifyErrors(
"abstract class Foo
{
abstract internal abstract Void m01()
abstract virtual Void m02()
override virtual Void m03() {}
}
",
[
3, 21, "Repeated modifier",
4, 3, "Abstract implies virtual",
5, 3, "Override implies virtual",
])
// check errors stage
verifyErrors(
"abstract class Foo : Whatever
{
final Void m00() {}
const Void m01() {}
// readonly Void unused() {}
public protected Void m10() {}
public private Void m11() {}
public internal Void m12() {}
protected private Void m13() {}
protected internal Void m14() {}
internal private Void m15() {}
override new m22() {}
virtual new m23() {}
abstract native Void m24()
static abstract Void m25()
static override Void m26() {}
static virtual Void m27() {}
private virtual Void m28() {}
}
abstract class Bar
{
abstract new m20 ()
native new m21()
once new m30() {}
once static Int m31() { return 3 }
abstract once Int m32()
}
abstract class Whatever
{
virtual Void m22() {}
virtual Void m26() {}
}
mixin MixIt
{
once Int a() { return 3 }
}
",
[
3, 3, "Cannot use 'final' modifier on method",
4, 3, "Cannot use 'const' modifier on method",
7, 3, "Invalid combination of 'public' and 'protected' modifiers",
8, 3, "Invalid combination of 'public' and 'private' modifiers",
9, 3, "Invalid combination of 'public' and 'internal' modifiers",
10, 3, "Invalid combination of 'protected' and 'private' modifiers",
11, 3, "Invalid combination of 'protected' and 'internal' modifiers",
12, 3, "Invalid combination of 'private' and 'internal' modifiers",
14, 3, "Invalid combination of 'new' and 'override' modifiers",
15, 3, "Invalid combination of 'new' and 'virtual' modifiers",
16, 3, "Invalid combination of 'abstract' and 'native' modifiers",
17, 3, "Invalid combination of 'static' and 'abstract' modifiers",
18, 3, "Invalid combination of 'static' and 'override' modifiers",
19, 3, "Invalid combination of 'static' and 'virtual' modifiers",
21, 3, "Invalid combination of 'private' and 'virtual' modifiers",
26, 3, "Invalid combination of 'new' and 'abstract' modifiers",
27, 3, "Invalid combination of 'new' and 'native' modifiers",
29, 3, "Invalid combination of 'new' and 'once' modifiers",
30, 3, "Invalid combination of 'static' and 'once' modifiers",
31, 3, "Invalid combination of 'abstract' and 'once' modifiers",
42, 3, "Mixins cannot have once methods",
])
}
Void testMethods()
{
// errors
verifyErrors(
"virtual class A { new make(Str n) {} }
virtual class B { private new make() {} }
class C : A { }
class D : B { }
class E : A { new makeIt() {} }
class F : B { new makeIt() {} }
mixin G { new make() {} }
class H { Void f(Int a := 3, Int b) {} }
",
[
3, 1, "Must call super class constructor in 'make'",
4, 1, "Must call super class constructor in 'make'",
5, 15, "Must call super class constructor in 'makeIt'",
6, 15, "Must call super class constructor in 'makeIt'",
7, 11, "Mixins cannot have instance constructors",
8, 30, "Parameter 'b' must have default",
])
}
//////////////////////////////////////////////////////////////////////////
// Mixin Natives
//////////////////////////////////////////////////////////////////////////
Void testMixinNatives()
{
verifyErrors(
"mixin Foo {
native Int x()
static native Int y()
}",
[
2, 3, "Mixins cannot have native methods",
3, 3, "Mixins cannot have native methods",
])
}
//////////////////////////////////////////////////////////////////////////
// Statements
//////////////////////////////////////////////////////////////////////////
Void testStmt()
{
// errors
verifyErrors(
"class Foo
{
static Obj m03() { if (0) return 1; return 2; }
static Obj m04() { throw 3 }
static Str m05() { return 6 }
static Obj m06() { for (;\"x\";) m03(); return 2 }
static Obj m07() { while (\"x\") m03(); return 2 }
static Void m08() { break; continue }
static Void m09() { Str x := 4.0f }
static Void m10() { try { m03 } catch (Str x) {} }
static Void m11() { try { m03 } catch (IOErr x) {} catch (IOErr x) {} }
static Void m12() { try { m03 } catch (Err x) {} catch (IOErr x) {} }
static Void m13() { try { m03 } catch (Err x) {} catch {} }
static Void m14() { try { m03 } catch {} catch {} }
static Void m15() { switch (Weekday.sun) { case 4: return } }
static Void m16() { switch (2) { case 0: case 0: return } }
static Void m17() { switch (Weekday.sun) { case Weekday.sun: return; case Weekday.sun: return } }
static Void m19() { try { return } finally { return } }
static Int m20() { try { return 1 } finally { return 2 } }
static Obj m21() { try { try { return m03 } finally { return 8 } } finally { return 9 } }
static Obj m22() { try { try { return m03 } finally { return 8 } } finally {} }
static Obj m23() { try { try { return m03 } finally { } } finally { return 9 } }
static Void m24() { while (true) { try { echo(3) } finally { break } } }
static Void m25() { while (true) { try { echo(3) } finally { continue } } }
static Void m26() { for (;;) { try { try { m03 } finally { break } } finally { continue } } }
static Void m28() { try { } catch {} }
Void m30() { return 6 }
Obj m31() { return }
Obj m32(Bool b) { if (b) return; else return }
Obj m34(Obj? x) { x ?: throw \"x\" }
}",
[3, 26, "If condition must be Bool, not 'sys::Int'",
4, 28, "Must throw Err, not 'sys::Int'",
5, 29, "Cannot return 'sys::Int' as 'sys::Str'",
6, 28, "For condition must be Bool, not 'sys::Str'",
7, 29, "While condition must be Bool, not 'sys::Str'",
8, 23, "Break outside of loop (break is implicit in switch)",
8, 30, "Continue outside of loop",
9, 32, "'sys::Float' is not assignable to 'sys::Str'",
10, 42, "Must catch Err, not 'sys::Str'",
11, 54, "Already caught 'sys::IOErr'",
12, 52, "Already caught 'sys::IOErr'",
13, 52, "Already caught 'sys::Err'",
14, 44, "Already caught 'sys::Err'",
15, 51, "Incomparable types 'sys::Int' and '",
16, 49, "Duplicate case label",
17, 85, "Duplicate case label",
19, 48, "Cannot leave finally block",
20, 49, "Cannot leave finally block",
21, 57, "Cannot leave finally block",
21, 80, "Cannot leave finally block",
22, 57, "Cannot leave finally block",
23, 71, "Cannot leave finally block",
24, 64, "Cannot leave finally block",
25, 64, "Cannot leave finally block",
26, 62, "Cannot leave finally block",
26, 82, "Cannot leave finally block",
28, 23, "Try block cannot be empty",
30, 16, "Cannot return a value from Void method",
31, 15, "Must return a value from non-Void method",
32, 28, "Must return a value from non-Void method",
32, 41, "Must return a value from non-Void method",
34, 32, "Must throw Err, not 'sys::Str'",
])
}
//////////////////////////////////////////////////////////////////////////
// Expressions
//////////////////////////////////////////////////////////////////////////
Void testExpr()
{
// errors
verifyErrors(
"class Foo
{
new make() { return }
static Obj m00() { return 1f..2 }
static Obj m01() { return 2..[,] }
static Obj m02() { return !4 }
static Obj m03() { return 4 && true }
static Obj m04() { return 0ms || [,] }
static Void m05(Str x) { x = true }
Obj m06() { this.make }
Obj m07() { this.m00 }
static Void m08(Str x) { m07; Foo.m07() }
Void m09(Str x) { this.sf.size }
static Void m10(Str x) { f.size; Foo.f.size }
static Void m11(Str x) { this.m06; super.hash() }
static Obj m12(Str x) { return 1 ? 2 : 3 }
static Bool m14(Str x, Duration y) { return x === y }
static Bool m15(Str x, Duration y) { return x !== y }
static Bool m16(Str x) { return x == m10(\"\") }
static Bool m17(Str x) { return x != x.size }
static Bool m18(Int x) { return x < 2f }
static Bool m19(Int x) { return x <= Weekday.sun }
static Bool m20(Int x) { return x > \"\" }
static Bool m21(Int x) { return x >= m10(\"\") }
static Int m22(Int x) { return x <=> 2f }
static Obj m23(Str x) { return (Num)x }
static Obj m24(Str x) { return x is Num}
static Obj m25(Str x) { return x isnot Type }
static Obj? m26(Str x) { return x as Num }
static Obj m27() { return Bar.make }
static Obj m28() { return \"x=\$v\" }
static Obj? m29(Obj x) { return x as Foo? }
static Obj? m30(Obj x) { return x as Str[]? }
static Void v() {}
Str? f
const static Str? sf
}
abstract class Bar
{
}",
[4, 29, "Range must be Int..Int, not 'sys::Float..sys::Int'",
5, 29, "Range must be Int..Int, not '",
6, 29, "Cannot apply '!' operator to 'sys::Int'",
7, 29, "Cannot apply '&&' operator to 'sys::Int'",
8, 29, "Cannot apply '||' operator to 'std::Duration'",
8, 36, "Cannot apply '||' operator to '",
9, 32, "'sys::Bool' is not assignable to 'sys::Str'",
10, 20, "Cannot call constructor 'make' on instance",
11, 20, "Cannot call static method 'm00' on instance",
12, 28, "Cannot call instance method 'm07' in static context",
12, 37, "Cannot call instance method 'm07' in static context",
13, 26, "Cannot access static field 'sf' on instance",
14, 28, "Cannot access instance field 'f' in static context",
14, 40, "Cannot access instance field 'f' in static context",
15, 28, "Cannot access 'this' in static context",
15, 38, "Cannot access 'super' in static context",
16, 34, "Ternary condition must be Bool, not 'sys::Int'",
17, 47, "Incomparable types 'sys::Str' and 'std::Duration'",
17, 47, "Cannot use '===' operator with value types",
18, 47, "Incomparable types 'sys::Str' and 'std::Duration'",
18, 47, "Cannot use '!==' operator with value types",
19, 35, "Incomparable types 'sys::Str' and 'sys::Void'",
20, 35, "Incomparable types 'sys::Str' and 'sys::Int'",
21, 35, "Incomparable types 'sys::Int' and 'sys::Float'",
22, 35, "Incomparable types 'sys::Int' and '",
23, 35, "Incomparable types 'sys::Int' and 'sys::Str'",
24, 35, "Incomparable types 'sys::Int' and 'sys::Void'",
25, 34, "Incomparable types 'sys::Int' and 'sys::Float'",
26, 34, "Inconvertible types 'sys::Str' and 'sys::Num'",
27, 34, "Inconvertible types 'sys::Str' and 'sys::Num'",
28, 34, "Inconvertible types 'sys::Str' and 'std::Type'",
29, 35, "Inconvertible types 'sys::Str' and 'sys::Num'",
30, 33, "Calling constructor on abstract class",
31, 29, "Invalid args plus(sys::Obj?), not (sys::Void)",
32, 35, "Cannot use 'as' operator with nullable type '$podName::Foo?'",
33, 35, "Cannot use 'as' operator with nullable type '",
])
}
Void testNotAssignable()
{
// errors
verifyErrors(
"class Foo
{
Void m00(Int a) { 3 = a }
Void m01(Int a) { 3 += a }
Void m02(Int a) { i = a }
Void m03(Int a) { i += a }
Void m04(Int a) { i++ }
Void m05(Foo a) { this = a }
//Void m06(Foo a) { super = a }
Void m07(Foo a) { this += a }
Void m08(Foo a) { this++ }
Int i() { return 3 }
@Operator Foo plus(Foo a) { return this }
@Operator Int plusInt(Int x) { x }
@Operator Int increment() { 3 }
}",
[
4, 21, "Left hand side is not assignable",
5, 21, "Target is not assignable",
6, 21, "Left hand side is not assignable",
7, 21, "Target is not assignable",
8, 21, "Target is not assignable",
9, 21, "Left hand side is not assignable",
//10, 21, "Left hand side is not assignable",
11, 21, "Target is not assignable",
12, 21, "Target is not assignable",
12, 21, "'sys::Int' is not assignable to '$podName::Foo'",
])
}
Void testInvalidArgs()
{
// errors
verifyErrors(
"class Foo
{
static Obj m00() { return 3.increment(true) }
static Obj m01() { return 3.plus }
static Obj m02() { return 3.plus(3ms) }
static Obj m03() { return 3.plus(4, 5) }
static Obj m04() { return sys::Str.spaces }
static Obj m05() { return sys::Str.spaces(true) }
static Obj m06() { return sys::Str.spaces(1, 2) }
static Obj m07() { return \"abcb\".index(\"b\", true) }
static Void m08() { m := |Int a| {}; m(3ms) }
static Void m09() { m := |Str a| {}; m() }
}",
[3, 31, "Invalid args increment(), not (sys::Bool)",
4, 31, "Invalid args plus(sys::Int), not ()",
5, 31, "Invalid args plus(sys::Int), not (std::Duration)",
6, 31, "Invalid args plus(sys::Int), not (sys::Int, sys::Int)",
7, 38, "Invalid args spaces(sys::Int), not ()",
8, 38, "Invalid args spaces(sys::Int), not (sys::Bool)",
9, 38, "Invalid args spaces(sys::Int), not (sys::Int, sys::Int)",
10, 36, "Invalid args index(sys::Str, sys::Int), not (sys::Str, sys::Bool)",
11, 40, "Invalid args ",
12, 40, "Invalid args ",
])
}
Void testExprInClosure()
{
// errors
verifyErrors(
"class Foo // 1
{ // 2
Void m00a() { |->| { x := this.make }.call } // 3
Void m00b() { |->| { |->| { x := this.make }.call }.call } // 4
Void m01a() { |->| { this.m02a }.call } // 5
Void m01b() { |->| { |->| { this.m02a }.call }.call } // 6
static Void m02a() { |->| { m00a; Foo.m00a() }.call } // 7
static Void m02b() { |->| { |->| { m00a; Foo.m00a() }.call }.call } // 8
Void m03a(Str x) { |->| { this.sf.size }.call } // 9
Void m03b(Str x) { |->| { |->| { this.sf.size }.call }.call } // 10
static Void m04a(Str x) { |->| { f.size; Foo.f.size }.call }
static Void m04b(Str x) { |->| { |->| { f.size; Foo.f.size }.call }.call }
Str? f
const static Str? sf
}",
[3, 34, "Cannot call constructor 'make' on instance",
4, 41, "Cannot call constructor 'make' on instance",
5, 29, "Cannot call static method 'm02a' on instance",
6, 36, "Cannot call static method 'm02a' on instance",
7, 31, "Cannot call instance method 'm00a' in static context",
7, 41, "Cannot call instance method 'm00a' in static context",
8, 38, "Cannot call instance method 'm00a' in static context",
8, 48, "Cannot call instance method 'm00a' in static context",
9, 34, "Cannot access static field 'sf' on instance",
10, 41, "Cannot access static field 'sf' on instance",
11, 36, "Cannot access instance field 'f' in static context",
11, 48, "Cannot access instance field 'f' in static context",
12, 43, "Cannot access instance field 'f' in static context",
12, 55, "Cannot access instance field 'f' in static context",
])
}
Void testAbstractSupers()
{
verifyErrors(
"class Foo : Base, A
{
override Int x { get { return super.x } set { A.super.x = it } }
override Void n() { super.n }
override Void m() { A.super.m() }
}
abstract class Base
{
abstract Int x
abstract Void n()
}
mixin A
{
abstract Int x
abstract Void m()
}
",
[
3, 33, "Cannot use super to access abstract field '$podName::Base.x'",
3, 49, "Cannot use super to access abstract field '$podName::A.x'",
4, 23, "Cannot use super to call abstract method '$podName::Base.n'",
5, 23, "Cannot use super to call abstract method '$podName::A.m'",
])
}
Void testSupersWithDef()
{
// verify don't call super with default parameters
// otherwise you get stack overflow
verifyErrors(
"class Foo : Base, A
{
override Void b(Int a := 0, Int b:= 1) { super.b }
override Void a(Str a, Str? b := null) { A.super.a(a) }
Void c() { super.b(3) } // ok
Void d() { A.super.a(\"x\") } // ok
}
abstract class Base
{
virtual Void b(Int a := 0, Int b := 1) {}
}
mixin A
{
virtual Void a(Str a, Str? b := null) {}
}
",
[
3, 44, "Must call super method '$podName::Base.b' with exactly 2 arguments",
4, 44, "Must call super method '$podName::A.a' with exactly 2 arguments",
])
}
Void testNotStmt()
{
// Parser level errors
verifyErrors(
"class Foo
{
Void x(Int i, Str s, Obj o)
{
i + Int;
}
}",
[5, 9, "Unexpected type literal",])
// CheckErrors level errors
verifyErrors(
"class Foo
{
Void x(Int i, Str s, Obj o)
{
true; // 5
3; // 6
i + 2; // 7
f; // 8
this.f; // 9
(Int)o; // 10
o is Int; // 11
o as Int; // 12
i == 4 ? 0ms : 1ms; // 13
|->| {} // 14
i == 2; // 15
s === o; // 16
Foo() // 17
Foo() {} // 18
Foo {} // 19
}
Int f
}",
[
5, 5, "Not a statement",
6, 5, "Not a statement",
7, 5, "Not a statement",
8, 5, "Not a statement",
9, 10, "Not a statement",
10, 5, "Not a statement",
11, 5, "Not a statement",
12, 5, "Not a statement",
13, 5, "Not a statement",
14, 5, "Not a statement",
15, 5, "Not a statement",
16, 5, "Not a statement",
17, 5, "Not a statement",
18, 11, "Not a statement",
19, 9, "Not a statement",
])
}
Void testSafeNav()
{
verifyErrors(
"class Foo
{
Void func()
{
x?.i = 5
x?.x.i = 5
x?.x?.i = 5
y()?.i = 5
x?.i += 5
nn?.y
temp := nn?.i
foo1 := x ?: 5 // ok
foo2 := nn ?: 5 // not-ok
int1 := 5; int2 := int1 ?: 7
}
static Foo someFoo() { throw Err() }
Foo? y() { return this }
Foo? get(Int x) { return null }
Void set(Int x, Int y) {}
Foo? x
Foo nn := someFoo()
Int i
}",
[
5, 8, "Null-safe operator on left hand side of assignment",
6, 10, "Non-null safe field access chained after null safe call",
6, 8, "Null-safe operator on left hand side of assignment",
7, 11, "Null-safe operator on left hand side of assignment",
7, 8, "Null-safe operator on left hand side of assignment",
8, 10, "Null-safe operator on left hand side of assignment",
9, 8, "Null-safe operator on left hand side of assignment",
9, 8, "Non-null safe call chained after null safe call",
10, 5, "Cannot use null-safe call on non-nullable type '$podName::Foo'",
11, 13, "Cannot use null-safe access on non-nullable type '$podName::Foo'",
13, 13, "Cannot use '?:' operator on non-nullable type '$podName::Foo'",
14, 24, "Cannot use '?:' operator on non-nullable type 'sys::Int'",
])
}
Void testSafeNavChaining()
{
verifyErrors(
"""class Foo
{
Void func(Str? x)
{
x?.size.toHex
x?.size->toHex
x?->size.toStr
x?->size->toStr
x?.size?.toHex // ok
x?.size?.toHex.hash
x?.size?.toHex?.hash.toStr.size
y := foo?.foo.foo
foo?.foo.toStr
Uri? uri := null
echo(uri?.query["x"])
}
Foo? foo
Uri? list
}""",
[
5, 13, "Non-null safe call chained after null safe call",
6, 14, "Non-null safe call chained after null safe call",
7, 14, "Non-null safe call chained after null safe call",
8, 15, "Non-null safe call chained after null safe call",
10, 20, "Non-null safe call chained after null safe call",
11, 26, "Non-null safe call chained after null safe call",
12, 19, "Non-null safe field access chained after null safe call",
13, 14, "Non-null safe call chained after null safe call",
16, 20, "Non-null safe call chained after null safe call",
])
}
Void testAlwaysNullable()
{
// errors
verifyErrors(
"class Foo
{
Int m00() { return null }
Void m01(Obj x) { x = null }
Void m02() { Int x := null }
Void m03() { m01(null) }
Str m04(Obj? x) { x?.toStr }
Str m05(Obj? x) { x?->toStr }
Str m06(Obj? x) { x as Str }
Int m07(Foo? x) { x?.f }
Int f
}",
[
3, 22, "Cannot return 'null' as 'sys::Int'",
4, 25, "'null' is not assignable to 'sys::Obj'",
5, 25, "'null' is not assignable to 'sys::Int'",
6, 16, "Invalid args m01(sys::Obj), not (null)",
7, 24, "Cannot return 'sys::Str?' as 'sys::Str'",
8, 25, "Cannot return 'sys::Obj?' as 'sys::Str'",
9, 21, "Cannot return 'sys::Str?' as 'sys::Str'",
10, 24, "Cannot return 'sys::Int?' as 'sys::Int'",
])
}
//////////////////////////////////////////////////////////////////////////
// Collection Literals
//////////////////////////////////////////////////////////////////////////
Void testListLiterals()
{
// errors
verifyErrors(
"class Foo
{
Obj m00() { return [3] } // ok
Obj m01() { return [null] } // ok
Obj m02() { return Num[\"x\", 4ms, 6] }
Obj m03() { return Num[null] }
Obj m04() { return Int[][ [3], [3d] ] }
}",
[
5, 26, "Invalid value type 'sys::Str' for list of 'sys::Num'",
5, 31, "Invalid value type 'std::Duration' for list of 'sys::Num'",
6, 26, "Invalid value type 'null' for list of 'sys::Num'",
7, 34, "Invalid value type '",
])
}
Void testMapLiterals()
{
// errors
verifyErrors(
"class Foo
{
Obj m00() { return Int:Num[3:2ms, 2ms:5, 2ms:2ms] }
Obj m01() { return Int:Int[null:2, 3:null] }
}",
[
3, 32, "Invalid value type 'std::Duration' for map type '",
3, 37, "Invalid key type 'std::Duration' for map type '",
3, 44, "Invalid key type 'std::Duration' for map type '",
3, 48, "Invalid value type 'std::Duration' for map type '",
4, 30, "Invalid key type 'null' for map type '",
4, 40, "Invalid value type 'null' for map type '",
])
}
//////////////////////////////////////////////////////////////////////////
// Value Types
//////////////////////////////////////////////////////////////////////////
Void testValueTypes()
{
// errors
verifyErrors(
"class Foo
{
Int m00() { return (Int)(Obj)5ms } // ok - runtime failure
Float? m01() { return (Float?)(Obj)5ms } // ok - runtime failure
Bool m02() { return m00 === 0 }
Bool m03() { return 2f !== m01 }
}",
[
5, 23, "Cannot use '===' operator with value types",
6, 23, "Cannot use '!==' operator with value types",
])
}
//////////////////////////////////////////////////////////////////////////
// Func Auto Casting
//////////////////////////////////////////////////////////////////////////
Void testFuncAutoCasting()
{
// test functions which should work
compile(
"class Foo
{
static Num a(Num a, Num b, |Num a, Num b->Num| f) { return f(a, b) }
// diff return types
static Int a01() { return a(1,5) |Num a, Num b->Num?| { return a.toInt+b.toInt } }
static Int a02() { return a(1,6) |Num a, Num b->Int| { return a.toInt+b.toInt } }
static Int a03() { return a(1,7) |Num a, Num b->Obj?| { return a.toInt+b.toInt } }
// diff parameter types
static Int a04() { return a(1,9) |Int a, Num b->Int| { return a+b.toInt } }
static Int a05() { return a(1,10) |Num a, Int b->Obj| { return a.toInt+b } }
static Int a06() { return a(1,11) |Int? a, Int b->Num| { return a+b } }
static Int a07() { return a(1,12) |Obj a, Obj? b->Obj| { return (Int)a + (Int)b } }
// diff arity
static Int a08() { return a(14,1) |Num? a->Int| { return a.toInt*2 } }
static Int a09() { return a(15,1) |Int a->Int| { return a*2 } }
static Int a10() { return a(16,1) |Obj a->Int| { return (Int)a*2 } }
}")
obj := pod.types.first.make
verifyEq(obj->a01, 6)
verifyEq(obj->a02, 7)
verifyEq(obj->a03, 8)
verifyEq(obj->a04, 10)
verifyEq(obj->a05, 11)
verifyEq(obj->a06, 12)
verifyEq(obj->a07, 13)
verifyEq(obj->a08, 28)
verifyEq(obj->a09, 30)
verifyEq(obj->a10, 32)
// errors
verifyErrors(
"class Foo
{
static Num a(|Num a, Num b->Num| f) { return f(3, 4) }
// diff return types
static Int a05() { return a |Num a, Num b->Str| { return a.toStr } }
// wrong arity
static Int a07() { return a |Num a, Num b, Num c->Num| { return a.toInt } }
// wrong params
static Int a09() { return a |Str a, Num b, Num c->Num| { return a.toInt } }
static Int a10() { return a |Num a, Str? b, Num c->Num| { return a.toInt } }
static Int a11() { return a |Str a, Str b, Str c->Num| { return a.toInt } }
static Void b(| |Num[] x| y |? f) {}
// diff return types
static Void b15() { b | |Num[] x| y | {} } // ok
static Void b16() { b | |Int[] x| y | {} } // ok
static Void b17() { b | |Obj[] x| y | {} } // ok
static Void b18() { b | |Num[] x->Str| y | {} } // ok
static Void b19() { b | |Str[] x| y | {} } // wrong params
static Void b20() { b | |Num[] x| y, Obj o| {} } // wrong arity
}",
[
5, 30, "Invalid args a(sys::Func<sys::Num,sys::Num,sys::Num>), not (sys::Func<sys::Str,sys::Num,sys::Num>)",
7, 30, "Invalid args a(sys::Func<sys::Num,sys::Num,sys::Num>), not (sys::Func<sys::Num,sys::Num,sys::Num,sys::Num>)",
9, 30, "Invalid args a(sys::Func<sys::Num,sys::Num,sys::Num>), not (sys::Func<sys::Num,sys::Str,sys::Num,sys::Num>)",
10, 30, "Invalid args a(sys::Func<sys::Num,sys::Num,sys::Num>), not (sys::Func<sys::Num,sys::Num,sys::Str?,sys::Num>)",
11, 30, "Invalid args a(sys::Func<sys::Num,sys::Num,sys::Num>), not (sys::Func<sys::Num,sys::Str,sys::Str,sys::Str>)",
19, 24, "Invalid args b(sys::Func<sys::Void,sys::Func<sys::Void,sys::List<sys::Num>>>?), not (sys::Func<sys::Void,sys::Func<sys::Void,sys::List<sys::Str>>>)",
20, 24, "Invalid args b(sys::Func<sys::Void,sys::Func<sys::Void,sys::List<sys::Num>>>?), not (sys::Func<sys::Void,sys::Func<sys::Void,sys::List<sys::Num>>,sys::Obj>)",
])
}
//////////////////////////////////////////////////////////////////////////
// Self Assignment
//////////////////////////////////////////////////////////////////////////
Void testSelfAssignment()
{
verifyErrors(
"class Foo
{
Void m03() { x := 7; x = x }
Void m04() { f = f }
Void m05() { f = this.f }
Void m06() { this.f = f }
Void m07() { this.f = this.f }
Void m08() { foo.f = foo.f }
Void m09() { foo.f = this.foo.f }
Obj m10(Int f) { Foo { f = f } }
Obj m11(Int f) { Foo { it.f = it.f } }
Obj m12(Int f) { Foo { this.f = this.f } }
const static Str bar := Foo.bar
Void ok01(Foo foo) { this.foo = foo }
Void ok03(Foo x) { f = x.f }
Void ok04(Foo x) { foo.f = x.foo.f }
Void ok05() { Obj a := 1; [2].each |Obj b| { a = b } } // ok
Obj ok06(Int f) { Foo { it.f = f } }
Obj ok07(Int f) { Foo { it.f = this.f } }
Obj ok08(Int f) { Foo { this.f = it.f } }
Obj ok09(Int f) { Foo { this.f = f } }
Obj ok10(Int f) { Foo { f = it.f } }
Obj ok11(Int f) { Foo { f = this.f } }
Int f
Foo? foo
}",
[
3, 24, "Self assignment",
4, 16, "Self assignment",
5, 16, "Self assignment",
6, 21, "Self assignment",
7, 21, "Self assignment",
8, 20, "Self assignment",
9, 20, "Self assignment",
14, 3, "Self assignment",
10, 26, "Self assignment",
11, 29, "Self assignment",
12, 31, "Self assignment",
])
}
//////////////////////////////////////////////////////////////////////////
// Valid Types
//////////////////////////////////////////////////////////////////////////
Void testValidTypes()
{
// Normalize (fields check before getter/setter generated)
verifyErrors(
"class Foo
{
Void f03
This f04
Void[] f05
This[]? f06
Void:Obj f07
[Obj:This]? f08
|This|? f09
|->This| f10
|Void| f11
|Obj,Void| f12
|->This[]?| f13
}",
[
3, 3, "Cannot use Void as field type",
4, 3, "Cannot use This as field type",
5, 3, "Invalid type 'sys::List<sys::Void>'",
6, 3, "Invalid type 'sys::List<sys::This>?'",
7, 3, "Invalid type 'std::Map<sys::Void,sys::Obj>'",
8, 3, "Invalid type 'std::Map<sys::Obj,sys::This>?'",
9, 3, "Invalid type 'sys::Func<sys::Void,sys::This>?'",
10, 3, "Invalid type 'sys::Func<sys::This>'",
11, 3, "Invalid type 'sys::Func<sys::Void,sys::Void>'",
12, 3, "Invalid type 'sys::Func<sys::Void,sys::Obj,sys::Void>'",
13, 3, "Invalid type 'sys::Func<sys::List<sys::This>?>'",
])
// CheckErrors
verifyErrors(
"class Foo
{
Void[]? m03() { throw Err() }
|This| m04() { throw Err() }
Void m05(Void a) { }
Void m06(This a) { }
Void m07(Void? a) { }
Void m08(This? a) { }
Void m09(|->This|? a) {}
Str m10() { Void? x; return x.toStr }
Str m11() { This? x; return x.toStr }
Str m12() { Void[]? x; return x.toStr }
Str m13() { |This|? x; return x.toStr }
}",
[
3, 3, "Invalid type",
4, 3, "Invalid type",
5, 12, "Cannot use Void as parameter type",
6, 12, "Cannot use This as parameter type",
7, 12, "Cannot use Void as parameter type",
8, 12, "Cannot use This as parameter type",
9, 12, "Invalid type",
10, 15, "'null' is not assignable to 'sys::Void?'",
10, 15, "Cannot use Void as local variable type",
10, 33, "Cannot call method on Void",
11, 15, "Cannot use This as local variable type",
12, 15, "Invalid type",
13, 15, "Invalid type",
])
}
//////////////////////////////////////////////////////////////////////////
// Non-Null Definite Assignment
//////////////////////////////////////////////////////////////////////////
Void testDefiniteAssign()
{
verifyErrors(
"abstract class Foo : Bar
{
new make()
{
ok02 = s
}
new make2()
{
ok02 = bad01 = s
}
new make3() : this.make() {}
Str bad01
virtual Str bad02
Str ok00 := s // init
Int ok01 // value type
Str ok02 // in ctor
abstract Str ok03 // abstract
override Str ok04 // override
Str ok05 { get { s } set { } } // calculated
Str? ok06 // nullable
const static Str s := \"x\"
const static Bool b
const static Str sBad01
const static Str sBad02; static { if (b) sBad02 = s }
const static Str sOk00 := s
const static Str sOk01; static { if (b) sOk01 = s; else sOk01 = s }
}
class Bar
{
virtual Str ok04 := \"ok\"
}",
[
3, 3, "Non-nullable field 'bad01' must be assigned in constructor 'make'",
3, 3, "Non-nullable field 'bad02' must be assigned in constructor 'make'",
8, 3, "Non-nullable field 'bad02' must be assigned in constructor 'make2'",
28, 3, "Non-nullable field 'sBad01' must be assigned in static initializer",
29, 3, "Non-nullable field 'sBad02' must be assigned in static initializer",
])
}
Void testDefiniteAssignStmts()
{
verifyErrors(
"""abstract class Foo
{
new m01() // ok
{
try { x = s } catch (IOErr e) { x = s } catch (CastErr e) { x = s }
}
new m02() // not ok
{
try { x = s } catch (IOErr e) { foo } catch (CastErr e) { x = s }
}
new m03() // not ok
{
try { foo } catch (IOErr e) { foo }
}
new m04() { if (foo) x = s; else x = s } // ok
new m05() { if (foo) x = s; else foo } // not ok
new m06() { foo(x = s) } // ok
new m07() { while (foo) x = s } // not-ok
new m08() { while ((x = s).isEmpty) foo } // ok
new m09(Int i) // ok
{
switch(i) { case 0: x = s; default: x = s; }
}
new m10(Int i) // not-ok
{
switch(i) { case 0: x = s; case 1: x = s; }
}
new m11(Int i) // not-ok
{
switch(i) { case 0: x = s; default: foo; }
}
new m12(Int i) // not-ok
{
switch(i) { case 0: x = s; case 1: foo; default: x = s; }
}
new m13() // ok
{
try { x = s } catch (IOErr e) { throw e }
}
new m14(Int v) // ok
{
if (v == 0) x = ""
else throw Err()
}
static Bool foo(Str y := s) { false }
const static Str s := \"x\"
Str x
}
class Bar
{
virtual Str ok04 := \"ok\"
}""",
[
8, 3, "Non-nullable field 'x' must be assigned in constructor 'm02'",
13, 3, "Non-nullable field 'x' must be assigned in constructor 'm03'",
20, 3, "Non-nullable field 'x' must be assigned in constructor 'm05'",
24, 3, "Non-nullable field 'x' must be assigned in constructor 'm07'",
33, 3, "Non-nullable field 'x' must be assigned in constructor 'm10'",
38, 3, "Non-nullable field 'x' must be assigned in constructor 'm11'",
43, 3, "Non-nullable field 'x' must be assigned in constructor 'm12'",
])
}
Void testDefiniteAssignInClosures()
{
compile(
"""class Foo
{
new make(Bool c) { f := |->| { x = "ok" }; if (c) f(); }
Str x
}""")
t := pod.types.first
verifyEq(t.make([true])->x, "ok")
verifyErr(FieldNotSetErr#) { t.make([false]) }
}
//////////////////////////////////////////////////////////////////////////
// Operators
//////////////////////////////////////////////////////////////////////////
Void testOperators()
{
verifyErrors(
"class Foo
{
@Operator Int plu03() { 5 }
@Operator Void plusFoo(Int x) { }
@Operator Foo negate(Int x) { this }
@Operator Int plus06() { 5 }
@Operator Int minus07(Int x, Int y) { 5 }
@Operator Foo get08() { this }
@Operator Void set(Int x) { }
@Operator Void setFoo(Int x, Int y) { }
@Operator Int get11(Int x, Int y := 0) { y } // ok
@Operator Foo add(Obj x) { this }
}",
[
3, 3, "Operator method 'plu03' has invalid name",
4, 3, "Operator method 'plusFoo' cannot return Void",
5, 3, "Operator method 'negate' has wrong number of parameters",
6, 3, "Operator method 'plus06' has wrong number of parameters",
7, 3, "Operator method 'minus07' has wrong number of parameters",
8, 3, "Operator method 'get08' has wrong number of parameters",
9, 3, "Operator method 'set' has wrong number of parameters",
10, 3, "Operator method 'setFoo' has invalid name",
12, 3, "Operator method 'add' must return This",
])
}
//////////////////////////////////////////////////////////////////////////
// Null Compares
//////////////////////////////////////////////////////////////////////////
Void testNullCompares()
{
verifyErrors(
"class Foo
{
new make(Foo foo, |This| f)
{
if (s != null) return // line 5 ok
if (ni != null) return // line 6 ok
if (j != null) return // line 7 not ok
if (this.f != null) return // line 8 not ok
if (foo.s != null) return // line 9 not okay
if (Env.cur.homeDir == null) return // 10 not okay
x := s
if (x != null) return // not okay
}
Void foo()
{
if (s != null) return // not okay
}
const Str s
const Int? ni
const Int j
const Float f
}",
[
7, 9, "Comparison of non-nullable type 'sys::Int' to null",
8, 14, "Comparison of non-nullable type 'sys::Float' to null",
9, 13, "Comparison of non-nullable type 'sys::Str' to null",
10, 17, "Comparison of non-nullable type 'std::File' to null",
12, 9, "Comparison of non-nullable type 'sys::Str' to null",
17, 9, "Comparison of non-nullable type 'sys::Str' to null",
])
}
Void testStruct()
{
verifyErrors(
"""struct class Bar {
Str x := "hi"
}
struct class Bar2 {
readonly Str x := "hi"
new make(|This|? f := null) { f?.call(this) }
}
class Main {
Void main() {
b2 := Bar2 { x = "" }
echo(b2)
}
}
""",
[
2, 3, "Struct type 'Bar' cannot contain non-readonly field 'x'",
//12, 18, "Cannot set struct field '",
])
}
}
| Fantom | 5 | fanx-dev/fanx | compiler/testCompiler/fan/CheckErrorsTest.fan | [
"AFL-3.0"
] |
<!---================= Room Booking System / https://github.com/neokoenig =======================--->
<!--- Add Template--->
<cfparam name="template">
<cfoutput>
#panel(title="Edit #template.parentmodel# Template")#
#startFormTag(action="updatetemplate", id="templateForm", key=template.parentmodel, params="type=#params.type#")#
#includePartial("templateform")#
#submitTag(id="templateSubmit", value="Update Template")#
#endFormTag()#
#panelEnd()#
</cfoutput> | ColdFusion | 3 | fintecheando/RoomBooking | views/customfields/edittemplate.cfm | [
"Apache-1.1"
] |
<?xml version='1.0' encoding='UTF-8'?>
<Project Type="Project" LVVersion="19008000">
<Item Name="My Computer" Type="My Computer">
<Property Name="NI.SortType" Type="Int">3</Property>
<Property Name="server.app.propertiesEnabled" Type="Bool">true</Property>
<Property Name="server.control.propertiesEnabled" Type="Bool">true</Property>
<Property Name="server.tcp.enabled" Type="Bool">false</Property>
<Property Name="server.tcp.port" Type="Int">0</Property>
<Property Name="server.tcp.serviceName" Type="Str">My Computer/VI Server</Property>
<Property Name="server.tcp.serviceName.default" Type="Str">My Computer/VI Server</Property>
<Property Name="server.vi.callsEnabled" Type="Bool">true</Property>
<Property Name="server.vi.propertiesEnabled" Type="Bool">true</Property>
<Property Name="specify.custom.address" Type="Bool">false</Property>
<Item Name="System Definition Files" Type="Folder" URL="../System Definition Files">
<Property Name="NI.DISK" Type="Bool">true</Property>
</Item>
<Item Name="vi.lib" Type="Folder">
<Item Name="Scan Engine Scripting.lvlib" Type="Library" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scan Engine Scripting.lvlib"/>
<Item Name="Modules.lvlibp" Type="LVLibp" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp">
<Item Name="RSI Module Template" Type="Folder">
<Item Name="Module Template.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/Module Template/Module Template.lvclass"/>
</Item>
<Item Name="Specific RSI Modules" Type="Folder">
<Item Name="Specialty Digital" Type="Folder">
<Item Name="SD Module.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/SD Module/SD Module.lvclass"/>
<Item Name="9401.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9401/9401.lvclass"/>
<Item Name="9402.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9402/9402.lvclass"/>
</Item>
<Item Name="9203.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9203/9203.lvclass"/>
<Item Name="9205.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9205/9205.lvclass"/>
<Item Name="9207.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9207/9207.lvclass"/>
<Item Name="9209.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9209/9209.lvclass"/>
<Item Name="9210.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9210/9210.lvclass"/>
<Item Name="9211.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9211/9211.lvclass"/>
<Item Name="9212.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9212/9212.lvclass"/>
<Item Name="9213.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9213/9213.lvclass"/>
<Item Name="9214.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9214/9214.lvclass"/>
<Item Name="9216.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9216/9216.lvclass"/>
<Item Name="9217.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9217/9217.lvclass"/>
<Item Name="9219.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9219/9219.lvclass"/>
<Item Name="9224.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9224/9224.lvclass"/>
<Item Name="9226.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9226/9226.lvclass"/>
<Item Name="9229.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9229/9229.lvclass"/>
<Item Name="9233.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9233/9233.lvclass"/>
<Item Name="9234.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9234/9234.lvclass"/>
<Item Name="9235.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9235/9235.lvclass"/>
<Item Name="9237.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9237/9237.lvclass"/>
<Item Name="9239.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9239/9239.lvclass"/>
<Item Name="9242.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9242/9242.lvclass"/>
<Item Name="9244.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9244/9244.lvclass"/>
<Item Name="9253.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9253/9253.lvclass"/>
<Item Name="9350.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9350/9350.lvclass"/>
<Item Name="9403.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9403/9403.lvclass"/>
<Item Name="9478.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/9478/9478.lvclass"/>
</Item>
<Item Name="Specific RemIO Modules" Type="Folder">
<Item Name="11102.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/REM-11102/11102.lvclass"/>
<Item Name="11115.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/REM-11115/11115.lvclass"/>
<Item Name="11152.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/REM-11152/11152.lvclass"/>
<Item Name="11154.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/REM-11154/11154.lvclass"/>
<Item Name="11175.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/REM-11175/11175.lvclass"/>
<Item Name="11178.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/REM-11178/11178.lvclass"/>
<Item Name="11120.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/REM-11120/11120.lvclass"/>
<Item Name="11100.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/REM-11100/11100.lvclass"/>
</Item>
<Item Name="RSI Module.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/RSI Module/RSI Module.lvclass"/>
<Item Name="Init Module.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/Init Module.vi"/>
<Item Name="RemIO Module.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/RemIO Module/RemIO Module.lvclass"/>
<Item Name="Get RemIO VI Reference.vim" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/RemIO Module/Get RemIO VI Reference.vim"/>
<Item Name="Get RemIO Library Path.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/RemIO Module/Get RemIO Library Path.vi"/>
<Item Name="NI ECAT Remote IO.lvlib" Type="Library" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/indcomecat/Remote IO/NI ECAT Remote IO.lvlib"/>
<Item Name="Custom Device API.lvlib" Type="Library" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/NI VeriStand/Custom Device API/Custom Device API.lvlib"/>
<Item Name="Custom Device Utility Library.lvlib" Type="Library" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/NI VeriStand/Custom Device Tools/Custom Device Utility Library/Custom Device Utility Library.lvlib"/>
<Item Name="Error Cluster From Error Code.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Error Cluster From Error Code.vi"/>
<Item Name="Clear Errors.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Clear Errors.vi"/>
<Item Name="negative confirmation.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/indcomecat/Remote IO/typedefs/negative confirmation.ctl"/>
<Item Name="Current VIs Parents Ref__ogtk.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/user.lib/_OpenG.lib/appcontrol/appcontrol.llb/Current VIs Parents Ref__ogtk.vi"/>
<Item Name="Strip Path - Traditional__ogtk.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/user.lib/_OpenG.lib/file/file.llb/Strip Path - Traditional__ogtk.vi"/>
<Item Name="Current VIs Parent Directory__ogtk.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/user.lib/_OpenG.lib/file/file.llb/Current VIs Parent Directory__ogtk.vi"/>
<Item Name="Get Text Rect.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/picture/picture.llb/Get Text Rect.vi"/>
<Item Name="LVRowAndColumnTypeDef.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/miscctls.llb/LVRowAndColumnTypeDef.ctl"/>
<Item Name="Open File+.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/file.llb/Open File+.vi"/>
<Item Name="compatReadText.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/_oldvers/_oldvers.llb/compatReadText.vi"/>
<Item Name="Read File+ (string).vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/file.llb/Read File+ (string).vi"/>
<Item Name="Find First Error.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Find First Error.vi"/>
<Item Name="Close File+.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/file.llb/Close File+.vi"/>
<Item Name="DialogType.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/DialogType.ctl"/>
<Item Name="Write Spreadsheet String.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/file.llb/Write Spreadsheet String.vi"/>
<Item Name="LVRowAndColumnUnsignedTypeDef.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/miscctls.llb/LVRowAndColumnUnsignedTypeDef.ctl"/>
<Item Name="LVRectTypeDef.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/miscctls.llb/LVRectTypeDef.ctl"/>
<Item Name="TagReturnType.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/TagReturnType.ctl"/>
<Item Name="whitespace.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/whitespace.ctl"/>
<Item Name="Search and Replace Pattern.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Search and Replace Pattern.vi"/>
<Item Name="ErrWarn.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/ErrWarn.ctl"/>
<Item Name="eventvkey.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/event_ctls.llb/eventvkey.ctl"/>
<Item Name="Set Bold Text.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Set Bold Text.vi"/>
<Item Name="DialogTypeEnum.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/DialogTypeEnum.ctl"/>
<Item Name="Convert property node font to graphics font.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Convert property node font to graphics font.vi"/>
<Item Name="Longest Line Length in Pixels.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Longest Line Length in Pixels.vi"/>
<Item Name="LVBoundsTypeDef.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/miscctls.llb/LVBoundsTypeDef.ctl"/>
<Item Name="Get String Text Bounds.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Get String Text Bounds.vi"/>
<Item Name="Three Button Dialog CORE.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Three Button Dialog CORE.vi"/>
<Item Name="Three Button Dialog.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Three Button Dialog.vi"/>
<Item Name="GetHelpDir.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/GetHelpDir.vi"/>
<Item Name="BuildHelpPath.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/BuildHelpPath.vi"/>
<Item Name="Not Found Dialog.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Not Found Dialog.vi"/>
<Item Name="Details Display Dialog.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Details Display Dialog.vi"/>
<Item Name="Find Tag.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Find Tag.vi"/>
<Item Name="Format Message String.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Format Message String.vi"/>
<Item Name="Trim Whitespace.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Trim Whitespace.vi"/>
<Item Name="Error Code Database.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Error Code Database.vi"/>
<Item Name="GetRTHostConnectedProp.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/GetRTHostConnectedProp.vi"/>
<Item Name="Set String Value.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Set String Value.vi"/>
<Item Name="Check Special Tags.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Check Special Tags.vi"/>
<Item Name="General Error Handler Core CORE.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/General Error Handler Core CORE.vi"/>
<Item Name="General Error Handler.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/General Error Handler.vi"/>
<Item Name="Simple Error Handler.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/error.llb/Simple Error Handler.vi"/>
<Item Name="Read Lines From File.vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/file.llb/Read Lines From File.vi"/>
<Item Name="Read From Spreadsheet File (DBL).vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/file.llb/Read From Spreadsheet File (DBL).vi"/>
<Item Name="Write To Spreadsheet File (DBL).vi" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules.lvlibp/1abvi3w/vi.lib/Utility/file.llb/Write To Spreadsheet File (DBL).vi"/>
</Item>
</Item>
<Item Name="Examples" Type="Folder">
<Item Name="Configure Scan Engine and EtherCAT Custom Device.vi" Type="VI" URL="../Configure Scan Engine and EtherCAT Custom Device.vi"/>
<Item Name="Read Configuration with Known Module.vi" Type="VI" URL="../Read Configuration with Known Module.vi"/>
<Item Name="Read Configuration with Unknown Modules.vi" Type="VI" URL="../Read Configuration with Unknown Modules.vi"/>
</Item>
<Item Name="SubVIs" Type="Folder">
<Item Name="Scan Engine Example Support.lvlib" Type="Library" URL="../SubVIs/Scan Engine Example Support.lvlib"/>
</Item>
<Item Name="Types" Type="Folder">
<Item Name="Module Channel Settings.ctl" Type="VI" URL="../Types/Module Channel Settings.ctl"/>
</Item>
<Item Name="Post-Build Action.vi" Type="VI" URL="../Post-Build Action.vi"/>
<Item Name="Dependencies" Type="Dependencies">
<Item Name="vi.lib" Type="Folder">
<Item Name="BuildHelpPath.vi" Type="VI" URL="/<vilib>/Utility/error.llb/BuildHelpPath.vi"/>
<Item Name="Check Special Tags.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Check Special Tags.vi"/>
<Item Name="Clear Errors.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Clear Errors.vi"/>
<Item Name="Convert property node font to graphics font.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Convert property node font to graphics font.vi"/>
<Item Name="Custom Device API.lvlib" Type="Library" URL="/<vilib>/NI VeriStand/Custom Device API/Custom Device API.lvlib"/>
<Item Name="Details Display Dialog.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Details Display Dialog.vi"/>
<Item Name="DialogType.ctl" Type="VI" URL="/<vilib>/Utility/error.llb/DialogType.ctl"/>
<Item Name="DialogTypeEnum.ctl" Type="VI" URL="/<vilib>/Utility/error.llb/DialogTypeEnum.ctl"/>
<Item Name="Error Cluster From Error Code.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Error Cluster From Error Code.vi"/>
<Item Name="Error Code Database.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Error Code Database.vi"/>
<Item Name="ErrWarn.ctl" Type="VI" URL="/<vilib>/Utility/error.llb/ErrWarn.ctl"/>
<Item Name="eventvkey.ctl" Type="VI" URL="/<vilib>/event_ctls.llb/eventvkey.ctl"/>
<Item Name="Find Tag.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Find Tag.vi"/>
<Item Name="Format Message String.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Format Message String.vi"/>
<Item Name="General Error Handler Core CORE.vi" Type="VI" URL="/<vilib>/Utility/error.llb/General Error Handler Core CORE.vi"/>
<Item Name="General Error Handler.vi" Type="VI" URL="/<vilib>/Utility/error.llb/General Error Handler.vi"/>
<Item Name="Get String Text Bounds.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Get String Text Bounds.vi"/>
<Item Name="Get Text Rect.vi" Type="VI" URL="/<vilib>/picture/picture.llb/Get Text Rect.vi"/>
<Item Name="GetHelpDir.vi" Type="VI" URL="/<vilib>/Utility/error.llb/GetHelpDir.vi"/>
<Item Name="GetRTHostConnectedProp.vi" Type="VI" URL="/<vilib>/Utility/error.llb/GetRTHostConnectedProp.vi"/>
<Item Name="Longest Line Length in Pixels.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Longest Line Length in Pixels.vi"/>
<Item Name="LVBoundsTypeDef.ctl" Type="VI" URL="/<vilib>/Utility/miscctls.llb/LVBoundsTypeDef.ctl"/>
<Item Name="LVRectTypeDef.ctl" Type="VI" URL="/<vilib>/Utility/miscctls.llb/LVRectTypeDef.ctl"/>
<Item Name="Not Found Dialog.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Not Found Dialog.vi"/>
<Item Name="Search and Replace Pattern.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Search and Replace Pattern.vi"/>
<Item Name="Set Bold Text.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Set Bold Text.vi"/>
<Item Name="Set String Value.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Set String Value.vi"/>
<Item Name="Simple Error Handler.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Simple Error Handler.vi"/>
<Item Name="TagReturnType.ctl" Type="VI" URL="/<vilib>/Utility/error.llb/TagReturnType.ctl"/>
<Item Name="Three Button Dialog CORE.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Three Button Dialog CORE.vi"/>
<Item Name="Three Button Dialog.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Three Button Dialog.vi"/>
<Item Name="Trim Whitespace.vi" Type="VI" URL="/<vilib>/Utility/error.llb/Trim Whitespace.vi"/>
<Item Name="whitespace.ctl" Type="VI" URL="/<vilib>/Utility/error.llb/whitespace.ctl"/>
<Item Name="Custom Device Utility Library.lvlib" Type="Library" URL="/<vilib>/NI VeriStand/Custom Device Tools/Custom Device Utility Library/Custom Device Utility Library.lvlib"/>
<Item Name="Recursive File List.vi" Type="VI" URL="/<vilib>/Utility/libraryn.llb/Recursive File List.vi"/>
<Item Name="List Directory and LLBs.vi" Type="VI" URL="/<vilib>/Utility/libraryn.llb/List Directory and LLBs.vi"/>
<Item Name="Custom Device Offline API.lvlib" Type="Library" URL="/<vilib>/NI VeriStand/Custom Device Offline API/Custom Device Offline API.lvlib"/>
<Item Name="Get LV Class Name.vi" Type="VI" URL="/<vilib>/Utility/LVClass/Get LV Class Name.vi"/>
<Item Name="Space Constant.vi" Type="VI" URL="/<vilib>/dlg_ctls.llb/Space Constant.vi"/>
<Item Name="Get LV Class Default Value By Name.vi" Type="VI" URL="/<vilib>/Utility/LVClass/Get LV Class Default Value By Name.vi"/>
<Item Name="Get LV Class Path.vi" Type="VI" URL="/<vilib>/Utility/LVClass/Get LV Class Path.vi"/>
<Item Name="Get File Extension.vi" Type="VI" URL="/<vilib>/Utility/libraryn.llb/Get File Extension.vi"/>
<Item Name="SEECD Shared.lvlib" Type="Library" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scan Engine Dependencies.llb/SEECD Shared.lvlib"/>
<Item Name="SEECD System Explorer.lvlib" Type="Library" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scan Engine Dependencies.llb/SEECD System Explorer.lvlib"/>
<Item Name="Scan Engine Scripting.lvlib" Type="Library" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Scan Engine Scripting.lvlib"/>
<Item Name="NI 9224.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9224/NI 9224.lvclass"/>
<Item Name="Module.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Module/Module.lvclass"/>
<Item Name="Analog Input Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Analog Input Mode/Analog Input Vrms Mode/Analog Input Mode.lvclass"/>
<Item Name="Analog Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/Analog Channel/Analog Channel.lvclass"/>
<Item Name="AI Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/AI Channel/AI Channel.lvclass"/>
<Item Name="Analog Input Vrms Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Analog Input Vrms Mode/Analog Input Vrms Mode.lvclass"/>
<Item Name="AI Vrms Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/AI Vrms Channel/AI Vrms Channel.lvclass"/>
<Item Name="Counter Driven Output Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/Counter Driven Output Channel/Counter Driven Output Channel.lvclass"/>
<Item Name="Digital Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/Digital Channel/Digital Channel.lvclass"/>
<Item Name="DO Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/DO Channel/DO Channel.lvclass"/>
<Item Name="Counter Driven Output Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Counter Driven Output Mode/Counter Driven Output Mode.lvclass"/>
<Item Name="PWM Input Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/PWM Input Channel/PWM Input Channel.lvclass"/>
<Item Name="Counter Input PWM Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Counter Input PWM Mode/Counter Input PWM Mode.lvclass"/>
<Item Name="Digital Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Digital Mode/Digital Mode.lvclass"/>
<Item Name="PWM Output Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/PWM Output Channel/PWM Output Channel.lvclass"/>
<Item Name="PWM Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/PWM Mode/PWM Mode.lvclass"/>
<Item Name="Thermocouple Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/Thermocouple Channel/Thermocouple Channel.lvclass"/>
<Item Name="Thermocouple Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Thermocouple Mode/Thermocouple Mode.lvclass"/>
<Item Name="Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Mode/Mode.lvclass"/>
<Item Name="NI 9225.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9225/NI 9225.lvclass"/>
<Item Name="NI 9228.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9228/NI 9228.lvclass"/>
<Item Name="NI 9227.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9227/NI 9227.lvclass"/>
<Item Name="Analog Input Arms Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Analog Input Arms Mode/Analog Input Arms Mode.lvclass"/>
<Item Name="AI Arms Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/AI Arms Channel/AI Arms Channel.lvclass"/>
<Item Name="NI 9213.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9213/NI 9213.lvclass"/>
<Item Name="NI 9212.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9212/NI 9212.lvclass"/>
<Item Name="NI 9474.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9474/NI 9474.lvclass"/>
<Item Name="Digital Output Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Digital Output Mode/Digital Output Mode.lvclass"/>
<Item Name="NI 9475.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9475/NI 9475.lvclass"/>
<Item Name="NI 9423.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9423/NI 9423.lvclass"/>
<Item Name="NI 9437.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9437/NI 9437.lvclass"/>
<Item Name="NI 9375.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9375/NI 9375.lvclass"/>
<Item Name="Digital Input and Output Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Digital Input and Output Mode/Digital Input and Output Mode.lvclass"/>
<Item Name="Digital Input Mode.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Digital Input Mode/Digital Input Mode.lvclass"/>
<Item Name="DI Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/DI Channel/DI Channel.lvclass"/>
<Item Name="NI 9422.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9422/NI 9422.lvclass"/>
<Item Name="NI 9482.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9482/NI 9482.lvclass"/>
<Item Name="PWM Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules/Channel Classes/PWM Channel/PWM Channel.lvclass"/>
<Item Name="Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Modules/Channel Classes/Channel/Channel.lvclass"/>
<Item Name="Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/Channel/Channel.lvclass"/>
<Item Name="Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/Channel/Channel.lvclass/Channel.ctl"/>
<Item Name="Analog Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/Analog Channel/Analog Channel.lvclass/Analog Channel.ctl"/>
<Item Name="AI Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/AI Channel/AI Channel.lvclass/AI Channel.ctl"/>
<Item Name="AI Arms Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/AI Arms Channel/AI Arms Channel.lvclass/AI Arms Channel.ctl"/>
<Item Name="AI Vrms Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/AI Vrms Channel/AI Vrms Channel.lvclass/AI Vrms Channel.ctl"/>
<Item Name="Thermocouple Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/Thermocouple Channel/Thermocouple Channel.lvclass/Thermocouple Channel.ctl"/>
<Item Name="Digital Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/Digital Channel/Digital Channel.lvclass/Digital Channel.ctl"/>
<Item Name="DO Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/DO Channel/DO Channel.lvclass/DO Channel.ctl"/>
<Item Name="Counter Driven Output Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/Counter Driven Output Channel/Counter Driven Output Channel.lvclass/Counter Driven Output Channel.ctl"/>
<Item Name="DI Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/DI Channel/DI Channel.lvclass/DI Channel.ctl"/>
<Item Name="PWM Channel.lvclass" Type="LVClass" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/PWM Channel/PWM Channel.lvclass"/>
<Item Name="PWM Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/PWM Channel/PWM Channel.lvclass/PWM Channel.ctl"/>
<Item Name="PWM Input Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/PWM Input Channel/PWM Input Channel.lvclass/PWM Input Channel.ctl"/>
<Item Name="PWM Output Channel.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Channel Classes/PWM Output Channel/PWM Output Channel.lvclass/PWM Output Channel.ctl"/>
<Item Name="Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Mode/Mode.lvclass/Mode.ctl"/>
<Item Name="Analog Input Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Analog Input Mode/Analog Input Vrms Mode/Analog Input Mode.lvclass/Analog Input Mode.ctl"/>
<Item Name="Analog Input Arms Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Analog Input Arms Mode/Analog Input Arms Mode.lvclass/Analog Input Arms Mode.ctl"/>
<Item Name="Analog Input Vrms Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Analog Input Vrms Mode/Analog Input Vrms Mode.lvclass/Analog Input Vrms Mode.ctl"/>
<Item Name="Counter Driven Output Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Counter Driven Output Mode/Counter Driven Output Mode.lvclass/Counter Driven Output Mode.ctl"/>
<Item Name="Digital Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Digital Mode/Digital Mode.lvclass/Digital Mode.ctl"/>
<Item Name="Digital Input Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Digital Input Mode/Digital Input Mode.lvclass/Digital Input Mode.ctl"/>
<Item Name="Digital Input and Output Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Digital Input and Output Mode/Digital Input and Output Mode.lvclass/Digital Input and Output Mode.ctl"/>
<Item Name="Digital Output Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Digital Output Mode/Digital Output Mode.lvclass/Digital Output Mode.ctl"/>
<Item Name="PWM Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/PWM Mode/PWM Mode.lvclass/PWM Mode.ctl"/>
<Item Name="Counter Input PWM Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Counter Input PWM Mode/Counter Input PWM Mode.lvclass/Counter Input PWM Mode.ctl"/>
<Item Name="Thermocouple Mode.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Mode Classes/Thermocouple Mode/Thermocouple Mode.lvclass/Thermocouple Mode.ctl"/>
<Item Name="Module.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/Module/Module.lvclass/Module.ctl"/>
<Item Name="NI 9212.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9212/NI 9212.lvclass/NI 9212.ctl"/>
<Item Name="NI 9213.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9213/NI 9213.lvclass/NI 9213.ctl"/>
<Item Name="NI 9224.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9224/NI 9224.lvclass/NI 9224.ctl"/>
<Item Name="NI 9225.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9225/NI 9225.lvclass/NI 9225.ctl"/>
<Item Name="NI 9227.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9227/NI 9227.lvclass/NI 9227.ctl"/>
<Item Name="NI 9228.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9228/NI 9228.lvclass/NI 9228.ctl"/>
<Item Name="NI 9375.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9375/NI 9375.lvclass/NI 9375.ctl"/>
<Item Name="NI 9422.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9422/NI 9422.lvclass/NI 9422.ctl"/>
<Item Name="NI 9423.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9423/NI 9423.lvclass/NI 9423.ctl"/>
<Item Name="NI 9437.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9437/NI 9437.lvclass/NI 9437.ctl"/>
<Item Name="NI 9474.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9474/NI 9474.lvclass/NI 9474.ctl"/>
<Item Name="NI 9475.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9475/NI 9475.lvclass/NI 9475.ctl"/>
<Item Name="NI 9482.ctl" Type="VI" URL="/<vilib>/addons/VeriStand Custom Device Scripting APIs/Scan Engine/Scripting/Modules/NI 9482/NI 9482.lvclass/NI 9482.ctl"/>
</Item>
<Item Name="NationalInstruments.VeriStand.SystemDefinitionAPI" Type="Document" URL="NationalInstruments.VeriStand.SystemDefinitionAPI">
<Property Name="NI.PreserveRelativePath" Type="Bool">true</Property>
</Item>
<Item Name="NationalInstruments.VeriStand.SystemStorage" Type="Document" URL="NationalInstruments.VeriStand.SystemStorage">
<Property Name="NI.PreserveRelativePath" Type="Bool">true</Property>
</Item>
<Item Name="nNIBlueBus_nCrioFixed_nRefnum.dll" Type="Document" URL="nNIBlueBus_nCrioFixed_nRefnum.dll">
<Property Name="NI.PreserveRelativePath" Type="Bool">true</Property>
</Item>
</Item>
<Item Name="Build Specifications" Type="Build">
<Item Name="Examples" Type="Source Distribution">
<Property Name="Bld_buildCacheID" Type="Str">{07DBED3A-8AEB-44BF-BDB0-F30907E088FE}</Property>
<Property Name="Bld_buildSpecDescription" Type="Str">This build spec. copies the examples to an output location, and as a post build step copies this project file to the output location and cleans up the copy to make it release ready. This includes removing the build spec. and any non-shipping items, such as the Auto-Remove virtual folder.</Property>
<Property Name="Bld_buildSpecName" Type="Str">Examples</Property>
<Property Name="Bld_excludedDirectory[0]" Type="Path">vi.lib</Property>
<Property Name="Bld_excludedDirectory[0].pathType" Type="Str">relativeToAppDir</Property>
<Property Name="Bld_excludedDirectory[1]" Type="Path">resource/objmgr</Property>
<Property Name="Bld_excludedDirectory[1].pathType" Type="Str">relativeToAppDir</Property>
<Property Name="Bld_excludedDirectory[2]" Type="Path">/C/ProgramData/National Instruments/InstCache/17.0</Property>
<Property Name="Bld_excludedDirectory[3]" Type="Path">/C/Users/nitest/Documents/LabVIEW Data/2017(32-bit)/ExtraVILib</Property>
<Property Name="Bld_excludedDirectory[4]" Type="Path">instr.lib</Property>
<Property Name="Bld_excludedDirectory[4].pathType" Type="Str">relativeToAppDir</Property>
<Property Name="Bld_excludedDirectory[5]" Type="Path">user.lib</Property>
<Property Name="Bld_excludedDirectory[5].pathType" Type="Str">relativeToAppDir</Property>
<Property Name="Bld_excludedDirectoryCount" Type="Int">6</Property>
<Property Name="Bld_excludeDependentPPLs" Type="Bool">true</Property>
<Property Name="Bld_excludeLibraryItems" Type="Bool">true</Property>
<Property Name="Bld_localDestDir" Type="Path">../Built/Examples/Scan Engine</Property>
<Property Name="Bld_localDestDirType" Type="Str">relativeToCommon</Property>
<Property Name="Bld_postActionVIID" Type="Ref">/My Computer/Post-Build Action.vi</Property>
<Property Name="Bld_previewCacheID" Type="Str">{4B95A8D8-21CF-4F69-81CE-AA2D2E2F99A8}</Property>
<Property Name="Bld_removeVIObj" Type="Int">1</Property>
<Property Name="Bld_version.major" Type="Int">1</Property>
<Property Name="Destination[0].destName" Type="Str">Destination Directory</Property>
<Property Name="Destination[0].path" Type="Path">../Built/Examples/Scan Engine</Property>
<Property Name="Destination[0].preserveHierarchy" Type="Bool">true</Property>
<Property Name="Destination[1].destName" Type="Str">Support Directory</Property>
<Property Name="Destination[1].path" Type="Path">../Built/Examples/Scan Engine/data</Property>
<Property Name="Destination[2].destName" Type="Str">Destination Directory (top level)</Property>
<Property Name="Destination[2].path" Type="Path">../Built/Examples/Scan Engine</Property>
<Property Name="DestinationCount" Type="Int">3</Property>
<Property Name="Source[0].itemID" Type="Str">{63773C64-7DB6-4F69-836C-293FBF8EC8D1}</Property>
<Property Name="Source[0].type" Type="Str">Container</Property>
<Property Name="Source[1].destinationIndex" Type="Int">0</Property>
<Property Name="Source[1].itemID" Type="Ref">/My Computer/Post-Build Action.vi</Property>
<Property Name="Source[1].sourceInclusion" Type="Str">Exclude</Property>
<Property Name="Source[1].type" Type="Str">VI</Property>
<Property Name="Source[2].Container.applyInclusion" Type="Bool">true</Property>
<Property Name="Source[2].Container.depDestIndex" Type="Int">0</Property>
<Property Name="Source[2].destinationIndex" Type="Int">0</Property>
<Property Name="Source[2].itemID" Type="Ref">/My Computer/System Definition Files</Property>
<Property Name="Source[2].sourceInclusion" Type="Str">Include</Property>
<Property Name="Source[2].type" Type="Str">Container</Property>
<Property Name="Source[3].destinationIndex" Type="Int">0</Property>
<Property Name="Source[3].itemID" Type="Ref">/My Computer/Examples/Configure Scan Engine and EtherCAT Custom Device.vi</Property>
<Property Name="Source[3].type" Type="Str">VI</Property>
<Property Name="Source[4].destinationIndex" Type="Int">0</Property>
<Property Name="Source[4].itemID" Type="Ref">/My Computer/SubVIs/Scan Engine Example Support.lvlib</Property>
<Property Name="Source[4].Library.allowMissingMembers" Type="Bool">true</Property>
<Property Name="Source[4].type" Type="Str">Library</Property>
<Property Name="Source[5].Container.applyDestination" Type="Bool">true</Property>
<Property Name="Source[5].Container.applyInclusion" Type="Bool">true</Property>
<Property Name="Source[5].Container.depDestIndex" Type="Int">0</Property>
<Property Name="Source[5].destinationIndex" Type="Int">2</Property>
<Property Name="Source[5].itemID" Type="Ref">/My Computer/Examples</Property>
<Property Name="Source[5].sourceInclusion" Type="Str">Include</Property>
<Property Name="Source[5].type" Type="Str">Container</Property>
<Property Name="Source[6].Container.applyDestination" Type="Bool">true</Property>
<Property Name="Source[6].Container.depDestIndex" Type="Int">0</Property>
<Property Name="Source[6].destinationIndex" Type="Int">0</Property>
<Property Name="Source[6].itemID" Type="Ref">/My Computer/SubVIs</Property>
<Property Name="Source[6].type" Type="Str">Container</Property>
<Property Name="SourceCount" Type="Int">7</Property>
</Item>
</Item>
</Item>
</Project>
| LabVIEW | 2 | NPowl/niveristand-scan-engine-ethercat-custom-device | Scripting Examples/Scan Engine Scripting Examples.lvproj | [
"MIT"
] |
#ifndef OFFSETS_H
#define OFFSETS_H
#define IP_OBJECT_io_bits 0
#define IP_OBJECT_io_references 4
#define IP_OBJECT_io_lock_data_lock 8
#define IP_OBJECT_io_lock_data_type 0x10
#define IPC_PORT_ip_messages_imq_wait_queue 0x18
#define IPC_PORT_ip_messages_imq_next 0x1c
#define IPC_PORT_ip_messages_imq_prev 0x20
#define IPC_PORT_ip_messages_imq_msgcount 0x28
#define IPC_PORT_ip_messages_imq_qlimit 0x2c
#define IPC_PORT_kobject 0x44
#define IPC_PORT_receiver 0x40
#define IPC_PORT_ip_requests 0x50
#define IPC_PORT_ip_srights 0x5c
#define IPC_PORT_flags 0x64
#define IPC_PORT_ip_context2 0x6c
#define IPC_PORT_ip_context 0x68
#define TASK_bsd_proc 0x1e8
#define TASK_itk_space 0x1a0
#define TASK_itk_self 0xa0
#define PROC_ucred 0x8c
#define SPACE_is_table_size 0x10
#define SPACE_is_table 0x14
// mount_common
#define VNODE_v_mount 0x84
#define MOUNT_mnt_flags 0x3c
#endif
| C | 3 | OsmanDere/metasploit-framework | external/source/exploits/CVE-2016-4669/offsets.h | [
"BSD-2-Clause",
"BSD-3-Clause"
] |
/*
* Copyright 2002-2021 the original author or authors.
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
package org.springframework.core.log;
import java.util.function.Function;
import org.apache.commons.logging.Log;
import org.springframework.lang.Nullable;
/**
* Utility methods for formatting and logging messages.
*
* <p>Mainly for internal use within the framework with Apache Commons Logging,
* typically in the form of the {@code spring-jcl} bridge but also compatible
* with other Commons Logging bridges.
*
* @author Rossen Stoyanchev
* @author Juergen Hoeller
* @since 5.1
*/
public abstract class LogFormatUtils {
/**
* Variant of {@link #formatValue(Object, int, boolean)} and a convenience
* method that truncates at 100 characters when {@code limitLength} is set.
* @param value the value to format
* @param limitLength whether to truncate the value at a length of 100
* @return the formatted value
*/
public static String formatValue(@Nullable Object value, boolean limitLength) {
return formatValue(value, (limitLength ? 100 : -1), limitLength);
}
/**
* Format the given value via {@code toString()}, quoting it if it is a
* {@link CharSequence}, truncating at the specified {@code maxLength}, and
* compacting it into a single line when {@code replaceNewLines} is set.
* @param value the value to be formatted
* @param maxLength the max length, after which to truncate, or -1 for unlimited
* @param replaceNewlines whether to replace newline characters with placeholders
* @return the formatted value
*/
public static String formatValue(@Nullable Object value, int maxLength, boolean replaceNewlines) {
if (value == null) {
return "";
}
String result;
try {
result = value.toString();
}
catch (Throwable ex) {
result = ex.toString();
}
if (maxLength != -1) {
result = (result.length() > maxLength ? result.substring(0, maxLength) + " (truncated)..." : result);
}
if (replaceNewlines) {
result = result.replace("\n", "<LF>").replace("\r", "<CR>");
}
if (value instanceof CharSequence) {
result = "\"" + result + "\"";
}
return result;
}
/**
* Use this to log a message with different levels of detail (or different
* messages) at TRACE vs DEBUG log levels. Effectively, a substitute for:
* <pre class="code">
* if (logger.isDebugEnabled()) {
* String str = logger.isTraceEnabled() ? "..." : "...";
* if (logger.isTraceEnabled()) {
* logger.trace(str);
* }
* else {
* logger.debug(str);
* }
* }
* </pre>
* @param logger the logger to use to log the message
* @param messageFactory function that accepts a boolean set to the value
* of {@link Log#isTraceEnabled()}
*/
public static void traceDebug(Log logger, Function<Boolean, String> messageFactory) {
if (logger.isDebugEnabled()) {
boolean traceEnabled = logger.isTraceEnabled();
String logMessage = messageFactory.apply(traceEnabled);
if (traceEnabled) {
logger.trace(logMessage);
}
else {
logger.debug(logMessage);
}
}
}
}
| Java | 4 | spreoW/spring-framework | spring-core/src/main/java/org/springframework/core/log/LogFormatUtils.java | [
"Apache-2.0"
] |
"""Tests for telegram component."""
| Python | 0 | tbarbette/core | tests/components/telegram/__init__.py | [
"Apache-2.0"
] |
const signalExit = require(`signal-exit`)
const cleanupTasks = new Set()
exports.registerCleanupTask = taskFn => {
cleanupTasks.add(taskFn)
return () => {
const result = taskFn()
cleanupTasks.delete(taskFn)
return result
}
}
signalExit(() => {
if (cleanupTasks.size) {
console.log(`Process exitted in middle of publishing - cleaning up`)
cleanupTasks.forEach(taskFn => taskFn())
}
})
| JavaScript | 4 | JQuinnie/gatsby | packages/gatsby-dev-cli/src/local-npm-registry/cleanup-tasks.js | [
"MIT"
] |
import { ComponentFixture, TestBed } from '@angular/core/testing';
import { LocalStorage } from 'app/shared/storage.service';
import { CookiesPopupComponent, storageKey } from './cookies-popup.component';
describe('CookiesPopupComponent', () => {
let mockLocalStorage: MockLocalStorage;
let fixture: ComponentFixture<CookiesPopupComponent>;
beforeEach(() => {
mockLocalStorage = new MockLocalStorage();
TestBed.configureTestingModule({
declarations: [
CookiesPopupComponent,
],
providers: [
{ provide: LocalStorage, useValue: mockLocalStorage },
],
});
fixture = TestBed.createComponent(CookiesPopupComponent);
});
it('should make the popup visible by default', () => {
fixture.detectChanges();
expect(getCookiesPopup()).not.toBeNull();
});
it('should include the correct content in the popup', () => {
fixture.detectChanges();
const popup = getCookiesPopup() as Element;
const infoBtn = popup.querySelector<HTMLAnchorElement>('a[mat-button]:nth-child(1)');
const okBtn = popup.querySelector<HTMLButtonElement>('button[mat-button]:nth-child(2)');
expect(popup.textContent).toContain(
'This site uses cookies from Google to deliver its services and to analyze traffic.');
expect(infoBtn).toBeInstanceOf(HTMLElement);
expect(infoBtn?.href).toBe('https://policies.google.com/technologies/cookies');
expect(infoBtn?.textContent).toMatch(/learn more/i);
expect(okBtn).toBeInstanceOf(HTMLElement);
expect(okBtn?.textContent).toMatch(/ok, got it/i);
});
it('should hide the cookies popup if the user has already accepted cookies', () => {
mockLocalStorage.setItem(storageKey, 'true');
fixture = TestBed.createComponent(CookiesPopupComponent);
fixture.detectChanges();
expect(getCookiesPopup()).toBeNull();
});
describe('acceptCookies()', () => {
it('should hide the cookies popup', () => {
fixture.detectChanges();
expect(getCookiesPopup()).not.toBeNull();
fixture.componentInstance.acceptCookies();
fixture.detectChanges();
expect(getCookiesPopup()).toBeNull();
});
it('should store the user\'s confirmation', () => {
fixture.detectChanges();
expect(mockLocalStorage.getItem(storageKey)).toBeNull();
fixture.componentInstance.acceptCookies();
expect(mockLocalStorage.getItem(storageKey)).toBe('true');
});
});
// Helpers
function getCookiesPopup() {
return (fixture.nativeElement as HTMLElement).querySelector('.cookies-popup');
}
class MockLocalStorage implements Pick<Storage, 'getItem' | 'setItem'> {
private items = new Map<string, string>();
getItem(key: string): string | null {
return this.items.get(key) ?? null;
}
setItem(key: string, val: string): void {
this.items.set(key, val);
}
}
});
| TypeScript | 5 | John-Cassidy/angular | aio/src/app/layout/cookies-popup/cookies-popup.component.spec.ts | [
"MIT"
] |
--TEST--
Bug #32296 (get_class_methods output has changed between 5.0.2 and 5.0.3)
--FILE--
<?php
abstract class space{
function __construct(){}
abstract protected function unfold();
}
abstract class shape extends space{
private function x1() {}
protected final function unfold(){}
}
abstract class quad extends shape{
private function x2() {}
function buggy(){
$c = get_class($this);
$a = get_class_methods(get_class($this));
$b = get_class_methods($this);
print($c."\n".'a:');
print_r($a);
print('b:');
print_r($b);
}
}
class square extends quad{}
$a = new square();
$a->buggy();
print_r(get_class_methods("square"));
print_r(get_class_methods($a));
?>
--EXPECT--
square
a:Array
(
[0] => x2
[1] => buggy
[2] => unfold
[3] => __construct
)
b:Array
(
[0] => x2
[1] => buggy
[2] => unfold
[3] => __construct
)
Array
(
[0] => buggy
[1] => __construct
)
Array
(
[0] => buggy
[1] => __construct
)
| PHP | 3 | thiagooak/php-src | Zend/tests/bug32296.phpt | [
"PHP-3.01"
] |
#%RAML 0.8
---
title: Starhackit
baseUri: http://localhost
version: v1
protocols: [ HTTP, HTTPS ]
documentation:
- title: Summary
content: !include api.md
| RAML | 3 | surumen/msoma.org | server/src/plugins/api.raml | [
"Unlicense"
] |
/Page null def
/Page# 0 def
/PDFSave null def
/DSCPageCount 0 def
/DoPDFPage {dup /Page# exch store pdfgetpage pdfshowpage } def
/pdfshowpage_mysetpage { % <pagedict> pdfshowpage_mysetpage <pagedict>
dup /CropBox pget {
boxrect
2 array astore /PageSize exch 4 2 roll
4 index /Rotate pget {
dup 0 lt {360 add} if 90 idiv {exch neg} repeat
} if
exch neg exch 2 array astore /PageOffset exch
<< 5 1 roll >> setpagedevice
} if
} bind def
runpdfbegin
| PostScript | 4 | newluhux/plan9port | src/cmd/page/pdfprolog.ps | [
"MIT"
] |
module BTree
public export
data BTree a = Leaf
| Node (BTree a) a (BTree a)
export
insert : Ord a => a -> BTree a -> BTree a
insert x Leaf = Node Leaf x Leaf
insert x (Node l v r) = if (x < v) then (Node (insert x l) v r)
else (Node l v (insert x r))
export
toList : BTree a -> List a
toList Leaf = []
toList (Node l v r) = BTree.toList l ++ (v :: BTree.toList r)
export
toTree : Ord a => List a -> BTree a
toTree [] = Leaf
toTree (x :: xs) = insert x (toTree xs)
| Idris | 4 | boxfire/rapid | samples/BTree.idr | [
"BSD-3-Clause"
] |
@0x84249be5c3bff005;
interface Foo {
foo @0 () -> (val :UInt32);
}
struct Bar {
foo @0 :Foo;
}
interface Baz {
grault @0 () -> (bar: Bar);
}
| Cap'n Proto | 3 | p4l1ly/pycapnp | test/test_response.capnp | [
"BSD-2-Clause"
] |
#version 310 es
layout (local_size_x = 2, local_size_y = 4) in;
layout (binding = 0) readonly buffer InBufU0 {
uint data[];
} in_buf_u0;
layout (binding = 1) readonly buffer InBufV0 {
uint data[];
} in_buf_v0;
layout (binding = 2) readonly buffer CoordX0 {
vec4 data[];
} coordx0;
layout (binding = 3) readonly buffer CoordY0 {
vec4 data[];
} coordy0;
layout (binding = 4) readonly buffer InBufU1 {
uint data[];
} in_buf_u1;
layout (binding = 5) readonly buffer InBufV1 {
uint data[];
} in_buf_v1;
layout (binding = 6) readonly buffer CoordX1 {
vec4 data[];
} coordx1;
layout (binding = 7) readonly buffer CoordY1 {
vec4 data[];
} coordy1;
layout (binding = 8) writeonly buffer OutBufU {
uint data[];
} out_buf_u;
layout (binding = 9) writeonly buffer OutBufV {
uint data[];
} out_buf_v;
layout (binding = 10) readonly buffer MaskBuf {
uint data[];
} mask_buf;
uniform uint in_img_width0;
uniform uint in_img_width1;
uniform uint out_img_width;
uniform uint out_offset_x;
uniform uint coords_width0;
uniform uint coords_width1;
void geomap_uv0 (uint coord_pos, out vec4 out_u, out vec4 out_v);
void geomap_uv1 (uint coord_pos, out vec4 out_u, out vec4 out_v);
void main ()
{
uvec2 coord_pos = gl_GlobalInvocationID.y * uvec2 (coords_width0, coords_width1) + gl_GlobalInvocationID.x;
vec4 out_u0, out_v0;
geomap_uv0 (coord_pos.x, out_u0, out_v0);
vec4 out_u1, out_v1;
geomap_uv1 (coord_pos.y, out_u1, out_v1);
vec4 mask = unpackUnorm4x8 (mask_buf.data[gl_GlobalInvocationID.x]);
vec4 out_u = (out_u0 - out_u1) * mask + out_u1;
uint out_pos = gl_GlobalInvocationID.y * out_img_width + out_offset_x + gl_GlobalInvocationID.x;
out_buf_u.data[out_pos] = packUnorm4x8 (out_u);
vec4 out_v = (out_v0 - out_v1) * mask + out_v1;
out_buf_v.data[out_pos] = packUnorm4x8 (out_v);
}
#define UNIT_SIZE 4u
#define unpack_unorm(buf, index) \
{ \
vec4 value = unpackUnorm4x8 (buf.data[index00[index]]); \
out00[index] = value[x00_fract[index]]; \
value = (index01[index] == index00[index]) ? value : unpackUnorm4x8 (buf.data[index01[index]]); \
out01[index] = value[x01_fract[index]]; \
value = unpackUnorm4x8 (buf.data[index10[index]]); \
out10[index] = value[x10_fract[index]]; \
value = (index11[index] == index10[index]) ? value : unpackUnorm4x8 (buf.data[index11[index]]); \
out11[index] = value[x11_fract[index]]; \
}
void geomap_uv0 (uint coord_pos, out vec4 out_u, out vec4 out_v)
{
vec4 in_img_x = coordx0.data[coord_pos];
vec4 in_img_y = coordy0.data[coord_pos];
uvec4 x00 = uvec4 (in_img_x);
uvec4 y00 = uvec4 (in_img_y);
uvec4 x01 = x00 + 1u;
uvec4 y01 = y00;
uvec4 x10 = x00;
uvec4 y10 = y00 + 1u;
uvec4 x11 = x01;
uvec4 y11 = y10;
vec4 fract_x = fract (in_img_x);
vec4 fract_y = fract (in_img_y);
vec4 weight00 = (1.0f - fract_x) * (1.0f - fract_y);
vec4 weight01 = fract_x * (1.0f - fract_y);
vec4 weight10 = (1.0f - fract_x) * fract_y;
vec4 weight11 = fract_x * fract_y;
uvec4 x00_floor = x00 >> 2u; // divided by UNIT_SIZE
uvec4 x01_floor = x01 >> 2u;
uvec4 x10_floor = x10 >> 2u;
uvec4 x11_floor = x11 >> 2u;
uvec4 x00_fract = x00 % UNIT_SIZE;
uvec4 x01_fract = x01 % UNIT_SIZE;
uvec4 x10_fract = x10 % UNIT_SIZE;
uvec4 x11_fract = x11 % UNIT_SIZE;
uvec4 index00 = y00 * in_img_width0 + x00_floor;
uvec4 index01 = y01 * in_img_width0 + x01_floor;
uvec4 index10 = y10 * in_img_width0 + x10_floor;
uvec4 index11 = y11 * in_img_width0 + x11_floor;
// pixel U-value
vec4 out00, out01, out10, out11;
unpack_unorm (in_buf_u0, 0);
unpack_unorm (in_buf_u0, 1);
unpack_unorm (in_buf_u0, 2);
unpack_unorm (in_buf_u0, 3);
out_u = out00 * weight00 + out01 * weight01 + out10 * weight10 + out11 * weight11;
// pixel V-value
unpack_unorm (in_buf_v0, 0);
unpack_unorm (in_buf_v0, 1);
unpack_unorm (in_buf_v0, 2);
unpack_unorm (in_buf_v0, 3);
out_v = out00 * weight00 + out01 * weight01 + out10 * weight10 + out11 * weight11;
}
void geomap_uv1 (uint coord_pos, out vec4 out_u, out vec4 out_v)
{
vec4 in_img_x = coordx1.data[coord_pos];
vec4 in_img_y = coordy1.data[coord_pos];
uvec4 x00 = uvec4 (in_img_x);
uvec4 y00 = uvec4 (in_img_y);
uvec4 x01 = x00 + 1u;
uvec4 y01 = y00;
uvec4 x10 = x00;
uvec4 y10 = y00 + 1u;
uvec4 x11 = x01;
uvec4 y11 = y10;
vec4 fract_x = fract (in_img_x);
vec4 fract_y = fract (in_img_y);
vec4 weight00 = (1.0f - fract_x) * (1.0f - fract_y);
vec4 weight01 = fract_x * (1.0f - fract_y);
vec4 weight10 = (1.0f - fract_x) * fract_y;
vec4 weight11 = fract_x * fract_y;
uvec4 x00_floor = x00 >> 2u; // divided by UNIT_SIZE
uvec4 x01_floor = x01 >> 2u;
uvec4 x10_floor = x10 >> 2u;
uvec4 x11_floor = x11 >> 2u;
uvec4 x00_fract = x00 % UNIT_SIZE;
uvec4 x01_fract = x01 % UNIT_SIZE;
uvec4 x10_fract = x10 % UNIT_SIZE;
uvec4 x11_fract = x11 % UNIT_SIZE;
uvec4 index00 = y00 * in_img_width1 + x00_floor;
uvec4 index01 = y01 * in_img_width1 + x01_floor;
uvec4 index10 = y10 * in_img_width1 + x10_floor;
uvec4 index11 = y11 * in_img_width1 + x11_floor;
// pixel U-value
vec4 out00, out01, out10, out11;
unpack_unorm (in_buf_u1, 0);
unpack_unorm (in_buf_u1, 1);
unpack_unorm (in_buf_u1, 2);
unpack_unorm (in_buf_u1, 3);
out_u = out00 * weight00 + out01 * weight01 + out10 * weight10 + out11 * weight11;
// pixel V-value
unpack_unorm (in_buf_v1, 0);
unpack_unorm (in_buf_v1, 1);
unpack_unorm (in_buf_v1, 2);
unpack_unorm (in_buf_v1, 3);
out_v = out00 * weight00 + out01 * weight01 + out10 * weight10 + out11 * weight11;
}
| Slash | 4 | zongwave/libxcam | shaders/glsl/shader_fastmap_blend_uv_yuv420.comp.sl | [
"Apache-2.0"
] |
# debuild
> 从源代码构建 `Debian` 软件包的工具。
> 更多信息:<https://manpages.debian.org/debuild>.
- 在当前目录中生成软件包:
`debuild`
- 仅构建二进制包:
`debuild -b`
- 生成软件包后,不运行 `lintian`(检查常见打包错误):
`debuild --no-lintian`
| Markdown | 3 | derNiklaas/tldr | pages.zh/linux/debuild.md | [
"CC-BY-4.0"
] |
--TEST--
class name as scalar from ::class keyword error using parent in non class context
--FILE--
<?php
$x = parent::class;
?>
--EXPECTF--
Fatal error: Uncaught Error: Cannot use "parent" in the global scope in %s:%d
Stack trace:
#0 {main}
thrown in %s on line 3
| PHP | 2 | NathanFreeman/php-src | Zend/tests/class_name_as_scalar_error_006.phpt | [
"PHP-3.01"
] |
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
%
% This file is part of Logtalk <https://logtalk.org/>
% Copyright 2020-2021 Paulo Moura <[email protected]>
% SPDX-License-Identifier: GPL-2.0-or-later
%
% This program is free software; you can redistribute it and/or modify
% it under the terms of the GNU General Public License as published by
% the Free Software Foundation; either version 2 of the License, or
% (at your option) any later version.
%
% This program is distributed in the hope that it will be useful,
% but WITHOUT ANY WARRANTY; without even the implied warranty of
% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
% GNU General Public License for more details.
%
% You should have received a copy of the GNU General Public License
% along with this program; if not, write to the Free Software
% Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
%
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
:- object(tests,
extends(lgtunit)).
:- info([
version is 1:1:0,
author is 'Paulo Moura',
date is 2021-01-30,
comment is 'Unit tests for the "toychr" port.'
]).
cover(toychrdb).
test(dom_01, true(N == 3)) :-
dom::chr_is(_, (dom(N, [1,2,3]), dom(N, [3,4,5]))).
test(gcd_01, true(GCD == gcd(3))) :-
gcd::chr_is(GCD, (gcd(9), gcd(6))).
test(leq_01, variant(Result, (leq(X,Z), leq(Y,Z), leq(X,Y)))) :-
leq::chr_is(Result, (leq(X,Y), leq(Y,Z))).
test(fib_01, true(N == 8)) :-
fib::chr_is(_, fib(5,N)).
test(primes_01, true(Result == (prime(2), prime(3), prime(5), prime(7), prime(11)))) :-
primes::chr_is(Result, candidate(11)).
:- end_object.
| Logtalk | 5 | PaulBrownMagic/logtalk3 | ports/toychr/tests.lgt | [
"Apache-2.0"
] |
[Global=x]
interface Global {
unsigned long global_no_args();
DOMString global_with_args(DOMString a, DOMString b);
attribute DOMString global_attribute;
};
| WebIDL | 4 | tlively/wasm-bindgen | crates/webidl-tests/webidls/enabled/global.webidl | [
"Apache-2.0",
"MIT"
] |
set testmodule [file normalize tests/modules/getkeys.so]
start_server {tags {"modules"}} {
r module load $testmodule
test {COMMAND INFO correctly reports a movable keys module command} {
set info [lindex [r command info getkeys.command] 0]
assert_equal {module movablekeys} [lindex $info 2]
assert_equal {0} [lindex $info 3]
assert_equal {0} [lindex $info 4]
assert_equal {0} [lindex $info 5]
}
test {COMMAND GETKEYS correctly reports a movable keys module command} {
r command getkeys getkeys.command arg1 arg2 key key1 arg3 key key2 key key3
} {key1 key2 key3}
test {COMMAND GETKEYS correctly reports a movable keys module command using flags} {
r command getkeys getkeys.command_with_flags arg1 arg2 key key1 arg3 key key2 key key3
} {key1 key2 key3}
test {COMMAND GETKEYSANDFLAGS correctly reports a movable keys module command not using flags} {
r command getkeysandflags getkeys.command arg1 arg2 key key1 arg3 key key2
} {{key1 {RW access update}} {key2 {RW access update}}}
test {COMMAND GETKEYSANDFLAGS correctly reports a movable keys module command using flags} {
r command getkeysandflags getkeys.command_with_flags arg1 arg2 key key1 arg3 key key2 key key3
} {{key1 {RO access}} {key2 {RO access}} {key3 {RO access}}}
test {RM_GetCommandKeys on non-existing command} {
catch {r getkeys.introspect 0 non-command key1 key2} e
set _ $e
} {*ENOENT*}
test {RM_GetCommandKeys on built-in fixed keys command} {
r getkeys.introspect 0 set key1 value1
} {key1}
test {RM_GetCommandKeys on built-in fixed keys command with flags} {
r getkeys.introspect 1 set key1 value1
} {{key1 OW}}
test {RM_GetCommandKeys on EVAL} {
r getkeys.introspect 0 eval "" 4 key1 key2 key3 key4 arg1 arg2
} {key1 key2 key3 key4}
test {RM_GetCommandKeys on a movable keys module command} {
r getkeys.introspect 0 getkeys.command arg1 arg2 key key1 arg3 key key2 key key3
} {key1 key2 key3}
test {RM_GetCommandKeys on a non-movable module command} {
r getkeys.introspect 0 getkeys.fixed arg1 key1 key2 key3 arg2
} {key1 key2 key3}
test {RM_GetCommandKeys with bad arity} {
catch {r getkeys.introspect 0 set key} e
set _ $e
} {*EINVAL*}
# user that can only read from "read" keys, write to "write" keys, and read+write to "RW" keys
r ACL setuser testuser +@all %R~read* %W~write* %RW~rw*
test "module getkeys-api - ACL" {
# legacy triple didn't provide flags, so they require both read and write
assert_equal "OK" [r ACL DRYRUN testuser getkeys.command key rw]
assert_equal "This user has no permissions to access the 'read' key" [r ACL DRYRUN testuser getkeys.command key read]
assert_equal "This user has no permissions to access the 'write' key" [r ACL DRYRUN testuser getkeys.command key write]
}
test "module getkeys-api with flags - ACL" {
assert_equal "OK" [r ACL DRYRUN testuser getkeys.command_with_flags key rw]
assert_equal "OK" [r ACL DRYRUN testuser getkeys.command_with_flags key read]
assert_equal "This user has no permissions to access the 'write' key" [r ACL DRYRUN testuser getkeys.command_with_flags key write]
}
test "Unload the module - getkeys" {
assert_equal {OK} [r module unload getkeys]
}
}
| Tcl | 4 | dawnwalk/redis | tests/unit/moduleapi/getkeys.tcl | [
"BSD-3-Clause"
] |
it("should compile", function(done) {
done();
});
| JavaScript | 3 | 1shenxi/webpack | test/configCases/finish-modules/simple/index.js | [
"MIT"
] |
import zhTW from '../../date-picker/locale/zh_TW';
export default zhTW;
| TypeScript | 1 | vazhalomidze/ant-design | components/calendar/locale/zh_TW.tsx | [
"MIT"
] |
In literate Agda everything that is not inside a code block
is considered a comment.
\begin{code}
module Literate where
\end{code}
We can define the natural numbers as follows. First the type
\begin{code}
data Nat : Set where
\end{code}
and then the constructors
\begin{code}
zero : Nat
suc : Nat -> Nat
\end{code}
| Literate Agda | 4 | shlevy/agda | test/Succeed/Literate.lagda | [
"BSD-3-Clause"
] |
extern m#exit#i
; A Simple procedure that exists the program
; Arguments 1:
; RDI: error code
m#exit#i:
mov rax, 0x3c ; EXIT syscall
syscall
| Parrot Assembly | 3 | giag3/peng-utils | peng-lib/std-lib/unix/asm/exit.pasm | [
"MIT"
] |
-@ val title: String
-@ val headline: String = title
-@ val body: String
!!!
%html
%head
%title= title
%body
#content
%h1= headline
!= body
| Scaml | 4 | grimcoder/ProduceMarketRESTScalatra | src/main/webapp/WEB-INF/layouts/default.scaml | [
"MIT"
] |
/*
Arduboy.ino
Arduboy Test Example with U8x8
Universal 8bit Graphics Library (https://github.com/olikraus/u8g2/)
Copyright (c) 2016, [email protected]
All rights reserved.
Redistribution and use in source and binary forms, with or without modification,
are permitted provided that the following conditions are met:
* Redistributions of source code must retain the above copyright notice, this list
of conditions and the following disclaimer.
* Redistributions in binary form must reproduce the above copyright notice, this
list of conditions and the following disclaimer in the documentation and/or other
materials provided with the distribution.
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND
CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT
NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
#include <Arduino.h>
#include <Arduboy.h>
#include <U8x8lib.h>
#ifdef U8X8_HAVE_HW_SPI
#include <SPI.h>
#endif
// Arduboy 10 (Production, Kickstarter Edition)
U8X8_SSD1306_128X64_NONAME_4W_HW_SPI u8x8(/* cs=*/ 12, /* dc=*/ 4, /* reset=*/ 6);
ArduboyCore arduboyCore;
ArduboyAudio arduboyAudio;
ArduboyTunes arduboyTunes;
void setup(void)
{
//u8x8.begin(/*Select=*/ A0, /*Right/Next=*/ 5, /*Left/Prev=*/ 9, /*Up=*/ 8, /*Down=*/ 10, /*Home/Cancel=*/ A1); // Arduboy DevKit
u8x8.begin(/*Select=*/ 7, /*Right/Next=*/ A1, /*Left/Prev=*/ A2, /*Up=*/ A0, /*Down=*/ A3, /*Home/Cancel=*/ 8); // Arduboy 10 (Production)
arduboyTunes.initChannel(PIN_SPEAKER_1);
arduboyTunes.initChannel(PIN_SPEAKER_2);
arduboyAudio.begin();
}
void msg(const char *txt)
{
u8x8.clear();
u8x8.print(txt);
}
void loop(void)
{
static uint8_t c = 1;
static uint8_t b = 0;
u8x8.setFont(u8x8_font_chroma48medium8_r);
c = u8x8.userInterfaceSelectionList("Arduboy Test\n", c, "LED Test\nButton Test\nSpeaker Test");
if ( c == 1 )
{
msg("LED Test");
arduboyCore.setRGBled(255, 0, 0);
delay(500);
arduboyCore.setRGBled(0, 255, 0); // green is not there ???
delay(500);
arduboyCore.setRGBled(0, 0, 255);
delay(500);
arduboyCore.setRGBled(0, 0, 0);
}
else if ( c == 2 )
{
u8x8.clear();
for(;;)
{
u8x8.drawUTF8(0, 0, "Button Test");
b = arduboyCore.buttonsState();
u8x8.noInverse();
if ( b & A_BUTTON )
u8x8.inverse();
u8x8.drawUTF8(0, 1, "A Button");
u8x8.noInverse();
if ( b & B_BUTTON )
u8x8.inverse();
u8x8.drawUTF8(0, 2, "B Button");
u8x8.noInverse();
if ( b & UP_BUTTON )
u8x8.inverse();
u8x8.drawUTF8(0, 3, "UP Button");
u8x8.noInverse();
if ( b & DOWN_BUTTON )
u8x8.inverse();
u8x8.drawUTF8(0, 4, "DOWN Button");
u8x8.noInverse();
if ( b & LEFT_BUTTON )
u8x8.inverse();
u8x8.drawUTF8(0, 5, "LEFT Button");
u8x8.noInverse();
if ( b & RIGHT_BUTTON )
u8x8.inverse();
u8x8.drawUTF8(0, 6, "RIGHT Button");
u8x8.noInverse();
u8x8.drawUTF8(0, 7, "Quit: A&B Button");
if ( (b & A_BUTTON) && (b & B_BUTTON) )
break;
}
}
else if ( c == 3 )
{
u8x8.clear();
u8x8.drawUTF8(0, 0, "Speaker Test");
arduboyTunes.tone(1000, 1000);
delay(1000);
arduboyTunes.tone(2000, 1000);
delay(1000);
}
}
| Arduino | 4 | sei-kiu/Grove-Beginner-Kit-for-Arduino-Grove-OLED-Display-0.96-SSD1315- | lib/U8g2_Arduino-2.31.2/examples/u8x8/ArduboyTest/ArduboyTest.ino | [
"MIT"
] |
/*
* Copyright (c) 2020, the SerenityOS developers.
*
* SPDX-License-Identifier: BSD-2-Clause
*/
#pragma once
#include "Filter.h"
#include <LibGfx/Matrix.h>
#include <LibGfx/Matrix4x4.h>
namespace Gfx {
template<size_t N, typename T>
static constexpr void normalize(Matrix<N, T>& matrix)
{
auto sum = 0.0f;
for (size_t i = 0; i < matrix.Size; ++i) {
for (size_t j = 0; j < matrix.Size; ++j) {
sum += matrix.elements()[i][j];
}
}
for (size_t i = 0; i < matrix.Size; ++i) {
for (size_t j = 0; j < matrix.Size; ++j) {
matrix.elements()[i][j] /= sum;
}
}
}
template<size_t N>
class GenericConvolutionFilter : public Filter {
public:
class Parameters : public Filter::Parameters {
public:
Parameters(const Gfx::Matrix<N, float>& kernel, bool should_wrap = false)
: m_kernel(kernel)
, m_should_wrap(should_wrap)
{
}
const Gfx::Matrix<N, float>& kernel() const { return m_kernel; }
Gfx::Matrix<N, float>& kernel() { return m_kernel; }
bool should_wrap() const { return m_should_wrap; }
private:
virtual bool is_generic_convolution_filter() const override { return true; }
Gfx::Matrix<N, float> m_kernel;
bool m_should_wrap { false };
};
class ApplyCache {
template<size_t>
friend class GenericConvolutionFilter;
private:
RefPtr<Gfx::Bitmap> m_target;
};
GenericConvolutionFilter() { }
virtual ~GenericConvolutionFilter() { }
virtual const char* class_name() const override { return "GenericConvolutionFilter"; }
virtual void apply(Bitmap& target_bitmap, const IntRect& target_rect, const Bitmap& source_bitmap, const IntRect& source_rect, const Filter::Parameters& parameters) override
{
VERIFY(parameters.is_generic_convolution_filter());
auto& gcf_params = static_cast<const GenericConvolutionFilter::Parameters&>(parameters);
ApplyCache apply_cache;
apply(target_bitmap, target_rect, source_bitmap, source_rect, gcf_params, apply_cache);
}
void apply(Bitmap& target, IntRect target_rect, const Bitmap& source, const IntRect& source_rect, const GenericConvolutionFilter::Parameters& parameters, ApplyCache& apply_cache)
{
// The target area (where the filter is applied) must be entirely
// contained by the source area. source_rect should be describing
// the pixels that can be accessed to apply this filter, while
// target_rect should describe the area where to apply the filter on.
VERIFY(source_rect.contains(target_rect));
VERIFY(source.size().contains(target.size()));
VERIFY(target.rect().contains(target_rect));
VERIFY(source.rect().contains(source_rect));
// If source is different from target, it should still be describing
// essentially the same bitmap. But it allows us to modify target
// without a temporary bitmap. This is important if this filter
// is applied on multiple areas of the same bitmap, at which point
// we would need to be able to access unmodified pixels if the
// areas are (almost) adjacent.
int source_delta_x = target_rect.x() - source_rect.x();
int source_delta_y = target_rect.y() - source_rect.y();
if (&target == &source && (!apply_cache.m_target || !apply_cache.m_target->size().contains(source_rect.size()))) {
// TODO: We probably don't need the entire source_rect, we could inflate
// the target_rect appropriately
apply_cache.m_target = Gfx::Bitmap::try_create(source.format(), source_rect.size()).release_value_but_fixme_should_propagate_errors();
target_rect.translate_by(-target_rect.location());
}
Bitmap* render_target_bitmap = (&target != &source) ? &target : apply_cache.m_target.ptr();
// FIXME: Help! I am naive!
constexpr static ssize_t offset = N / 2;
for (auto i_ = 0; i_ < target_rect.width(); ++i_) {
ssize_t i = i_ + target_rect.x();
for (auto j_ = 0; j_ < target_rect.height(); ++j_) {
ssize_t j = j_ + target_rect.y();
FloatVector3 value(0, 0, 0);
for (auto k = 0l; k < (ssize_t)N; ++k) {
auto ki = i + k - offset;
if (ki < source_rect.x() || ki > source_rect.right()) {
if (parameters.should_wrap())
ki = (ki + source.size().width()) % source.size().width(); // TODO: fix up using source_rect
else
continue;
}
for (auto l = 0l; l < (ssize_t)N; ++l) {
auto lj = j + l - offset;
if (lj < source_rect.y() || lj > source_rect.bottom()) {
if (parameters.should_wrap())
lj = (lj + source.size().height()) % source.size().height(); // TODO: fix up using source_rect
else
continue;
}
auto pixel = source.get_pixel(ki, lj);
FloatVector3 pixel_value(pixel.red(), pixel.green(), pixel.blue());
value = value + pixel_value * parameters.kernel().elements()[k][l];
}
}
value.clamp(0, 255);
render_target_bitmap->set_pixel(i, j, Color(value.x(), value.y(), value.z(), source.get_pixel(i + source_delta_x, j + source_delta_y).alpha()));
}
}
if (render_target_bitmap != &target) {
// FIXME: Substitute for some sort of faster "blit" method.
for (auto i_ = 0; i_ < target_rect.width(); ++i_) {
auto i = i_ + target_rect.x();
for (auto j_ = 0; j_ < target_rect.height(); ++j_) {
auto j = j_ + target_rect.y();
target.set_pixel(i, j, render_target_bitmap->get_pixel(i_, j_));
}
}
}
}
};
}
| C | 5 | r00ster91/serenity | Userland/Libraries/LibGfx/Filters/GenericConvolutionFilter.h | [
"BSD-2-Clause"
] |
apiVersion: release-notes/v2
kind: bug-fix
area: installation
issue:
- 27139
releaseNotes:
- |
**Improved** Generated operator manifests for use with kustomize are available in the directory `manifests/charts/istio-operator/files/gen-operator.yaml`.
| YAML | 0 | rveerama1/istio | releasenotes/notes/generate-operator-manifest.yaml | [
"Apache-2.0"
] |
insert into dup values
(1, 'old'),
(2, 'old');
| SQL | 1 | WizardXiao/tidb | br/tests/lightning_tidb_duplicate_data/data/dup.dup.sql | [
"Apache-2.0"
] |
(defproject baeldung-ring "0.1.0-SNAPSHOT"
:dependencies [[org.clojure/clojure "1.10.0"]
[ring/ring-core "1.7.1"]
[ring/ring-jetty-adapter "1.7.1"]
[ring/ring-devel "1.7.1"]]
:plugins [[lein-ring "0.12.5"]]
:ring {:handler ring.core/simple-handler}
:repl-options {:init-ns ring.core})
| Clojure | 4 | DBatOWL/tutorials | clojure/ring/project.clj | [
"MIT"
] |
/* C code supplied at the beginning of the file. */
%{
#include <stdio.h>
#include <string.h>
extern int yylexlinenum; /* these are in YYlex */
extern char *yytext; /* current token */
%}
/* Keywords and reserved words begin here. */
%union{ /* this is the data union */
char name[128]; /* names */
}
/*-------------------- the reserved words -----------------------------*/
%token PERIOD
%token NEWLINE
%token POSITIONAL
%token VERB
%token ADVERB
%token PROPER_NOUN
%token NOUN
%token DECLARATIVE
%token CONDITIONAL
%type <name> declarative
%type <name> verb_phrase
%type <name> noun_phrase
%type <name> position_phrase
%type <name> adverb
%type <name> POSITIONAL VERB ADVERB PROPER_NOUN
%type <name> NOUN DECLARATIVE CONDITIONAL
%%
sentence_list : sentence
| sentence_list NEWLINE sentence
;
sentence : verb_phrase noun_phrase position_phrase adverb period
{
printf("I understand that sentence.\n");
printf("VP = %s \n",$1);
printf("NP = %s \n",$2);
printf("PP = %s \n",$3);
printf("AD = %s \n",$4);
}
| { yyerror("That's a strange sentence !!"); }
;
position_phrase : POSITIONAL declarative PROPER_NOUN
{
sprintf($$,"%s %s %s",$1,$2,$3);
}
| /* empty */ { strcpy($$,""); }
;
verb_phrase : VERB { strcpy($$,$1); strcat($$," "); }
| adverb VERB
{
sprintf($$,"%s %s",$1,$2);
}
;
adverb : ADVERB { strcpy($$,$1); }
| /* empty */ { strcpy($$,""); }
;
noun_phrase : DECLARATIVE NOUN
{
sprintf($$,"%s %s",$1,$2);
}
| CONDITIONAL declarative NOUN
{
sprintf($$,"%s %s %s",$1,$2,$3);
}
| NOUN { strcpy($$,$1); strcat($$," "); }
;
declarative : DECLARATIVE { strcpy($$,$1); }
| /* empty */ { strcpy($$,""); }
;
period : /* empty */
| PERIOD
;
%%
/* Supplied main() and yyerror() functions. */
int main(int argc, char *argv[])
{
yyparse(); /* parse the file */
return(0);
}
int yyerror(char *message)
{
extern FILE *yyout;
fprintf(yyout,"\nError at line %5d. (%s) \n",
yylexlinenum,message);
}
| Yacc | 5 | DemiMarie/flex | examples/manual/front.y | [
"BSD-4-Clause-UC"
] |
//
// Copyright (c) 2010, Brian Frank and Andy Frank
// Licensed under the Academic Free License version 3.0
//
// History:
// 9 Nov 10 Brian Frank Creation
//
**
** COperators is used to manage methods annoated with the
** Operator facet for efficient operator method resolution.
**
class COperators
{
** Construct for given parent type
new make(CTypeDef parent)
{
this.parent = parent
parent.slots.each |slot|
{
if (slot is CMethod && slot.hasFacet("sys::Operator"))
{
prefix := toPrefix(slot.name)
if (prefix == null) echo("WARN: operator method has invalid perfix: $slot.qname")
else
{
acc := byPrefix[prefix]
if (acc == null) byPrefix[prefix] = acc = CMethod[,]
acc.add(slot)
}
}
}
}
**
** Given a method name get the operator prefix:
** "plus" => "plus"
** "plusInt" => "plus"
** "fooBar" => null
**
static Str? toPrefix(Str methodName)
{
exacts[methodName] ?: prefixes.find |p| { methodName.startsWith(p) }
}
**
** Get operators defined for prefix. For example:
** find("plus") => [plus, plusFloat, plusDecimal]
**
CMethod[] find(Str prefix)
{
byPrefix[prefix] ?: CMethod#.emptyList
}
private static const Str[] prefixes := ["get", "plus", "minus", "mult", "div", "mod"]
private static const [Str:Str] exacts := [Str:Str][:].setList(prefixes).setList(["set", "negate", "increment", "decrement", "add"])
CTypeDef parent { private set }
private Str:CMethod[] byPrefix := [:]
} | Fantom | 4 | fanx-dev/fanx | compiler/compilerx/fan/namespace/COperators.fan | [
"AFL-3.0"
] |
/*
* Copyright 2012-2021 the original author or authors.
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
package org.springframework.boot.actuate.autoconfigure.availability;
import org.springframework.boot.actuate.health.HealthEndpointGroups;
import org.springframework.boot.actuate.health.HealthEndpointGroupsPostProcessor;
import org.springframework.core.Ordered;
import org.springframework.core.annotation.Order;
import org.springframework.core.env.Environment;
/**
* {@link HealthEndpointGroupsPostProcessor} to add
* {@link AvailabilityProbesHealthEndpointGroups}.
*
* @author Phillip Webb
* @author Madhura Bhave
*/
@Order(Ordered.LOWEST_PRECEDENCE)
class AvailabilityProbesHealthEndpointGroupsPostProcessor implements HealthEndpointGroupsPostProcessor {
private final boolean addAdditionalPaths;
AvailabilityProbesHealthEndpointGroupsPostProcessor(Environment environment) {
this.addAdditionalPaths = "true"
.equalsIgnoreCase(environment.getProperty("management.endpoint.health.probes.add-additional-paths"));
}
@Override
public HealthEndpointGroups postProcessHealthEndpointGroups(HealthEndpointGroups groups) {
if (AvailabilityProbesHealthEndpointGroups.containsAllProbeGroups(groups)) {
return groups;
}
return new AvailabilityProbesHealthEndpointGroups(groups, this.addAdditionalPaths);
}
}
| Java | 4 | techAi007/spring-boot | spring-boot-project/spring-boot-actuator-autoconfigure/src/main/java/org/springframework/boot/actuate/autoconfigure/availability/AvailabilityProbesHealthEndpointGroupsPostProcessor.java | [
"Apache-2.0"
] |
module com.networknt.security {
exports com.networknt.security;
requires com.networknt.config;
requires com.networknt.handler;
requires com.networknt.utility;
requires com.networknt.common;
requires com.networknt.exception;
requires com.networknt.client;
requires com.networknt.status;
requires undertow.core;
requires org.slf4j;
requires jose4j;
requires com.github.benmanes.caffeine;
} | Jasmin | 3 | KellyShao/light-4j | security/src/main/java/module-info.j | [
"Apache-2.0"
] |
@STATIC;1.0;p;27;Resources/Aristo.keyedthemet;120654;280NPLIST;1.0;D;K;4;$topD;K;4;rootD;K;6;CP$UIDd;1;2E;E;K;8;$objectsA;S;5;$nullD;K;10;$classnameS;7;CPThemeK;8;$classesA;S;7;CPThemeS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;1;1E;K;14;CPThemeNameKeyD;K;6;CP$UIDd;1;3E;K;20;CPThemeAttributesKeyD;K;6;CP$UIDd;1;5E;E;S;6;AristoD;K;10;$classnameS;19;CPMutableDictionaryK;8;$classesA;S;19;CPMutableDictionaryS;12;CPDictionaryS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;buttonD;K;6;CP$UIDd;1;6E;K;12;popup-buttonD;K;6;CP$UIDd;1;7E;K;8;scrollerD;K;6;CP$UIDd;1;8E;K;9;textfieldD;K;6;CP$UIDd;1;9E;K;5;radioD;K;6;CP$UIDd;2;10E;K;9;check-boxD;K;6;CP$UIDd;2;11E;K;17;segmented-controlD;K;6;CP$UIDd;2;12E;K;6;sliderD;K;6;CP$UIDd;2;13E;K;10;button-barD;K;6;CP$UIDd;2;14E;K;12;columnHeaderD;K;6;CP$UIDd;2;15E;K;14;tableHeaderRowD;K;6;CP$UIDd;2;16E;K;10;cornerviewD;K;6;CP$UIDd;2;17E;K;9;tableviewD;K;6;CP$UIDd;2;18E;K;5;alertD;K;6;CP$UIDd;2;19E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;max-sizeD;K;6;CP$UIDd;2;21E;K;8;min-sizeD;K;6;CP$UIDd;2;22E;K;13;image-scalingD;K;6;CP$UIDd;2;23E;K;14;image-positionD;K;6;CP$UIDd;2;24E;K;18;text-shadow-offsetD;K;6;CP$UIDd;2;25E;K;17;text-shadow-colorD;K;6;CP$UIDd;2;26E;K;4;fontD;K;6;CP$UIDd;2;27E;K;10;text-colorD;K;6;CP$UIDd;2;28E;K;15;line-break-modeD;K;6;CP$UIDd;2;29E;K;18;vertical-alignmentD;K;6;CP$UIDd;2;30E;K;9;alignmentD;K;6;CP$UIDd;2;31E;K;11;bezel-colorD;K;6;CP$UIDd;2;32E;K;13;content-insetD;K;6;CP$UIDd;2;33E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;max-sizeD;K;6;CP$UIDd;2;34E;K;8;min-sizeD;K;6;CP$UIDd;2;35E;K;13;image-scalingD;K;6;CP$UIDd;2;36E;K;14;image-positionD;K;6;CP$UIDd;2;37E;K;17;text-shadow-colorD;K;6;CP$UIDd;2;38E;K;4;fontD;K;6;CP$UIDd;2;39E;K;10;text-colorD;K;6;CP$UIDd;2;40E;K;15;line-break-modeD;K;6;CP$UIDd;2;41E;K;18;vertical-alignmentD;K;6;CP$UIDd;2;42E;K;9;alignmentD;K;6;CP$UIDd;2;43E;K;11;bezel-colorD;K;6;CP$UIDd;2;44E;K;13;content-insetD;K;6;CP$UIDd;2;45E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;19;minimum-knob-lengthD;K;6;CP$UIDd;2;46E;K;10;knob-insetD;K;6;CP$UIDd;2;47E;K;11;track-insetD;K;6;CP$UIDd;2;48E;K;19;increment-line-sizeD;K;6;CP$UIDd;2;49E;K;19;decrement-line-sizeD;K;6;CP$UIDd;2;50E;K;10;knob-colorD;K;6;CP$UIDd;2;51E;K;20;increment-line-colorD;K;6;CP$UIDd;2;52E;K;20;decrement-line-colorD;K;6;CP$UIDd;2;53E;K;15;knob-slot-colorD;K;6;CP$UIDd;2;54E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;fontD;K;6;CP$UIDd;2;55E;K;10;text-colorD;K;6;CP$UIDd;2;56E;K;15;line-break-modeD;K;6;CP$UIDd;2;57E;K;18;vertical-alignmentD;K;6;CP$UIDd;2;58E;K;9;alignmentD;K;6;CP$UIDd;2;59E;K;11;bezel-colorD;K;6;CP$UIDd;2;60E;K;13;content-insetD;K;6;CP$UIDd;2;61E;K;11;bezel-insetD;K;6;CP$UIDd;2;62E;K;8;max-sizeD;K;6;CP$UIDd;2;63E;K;8;min-sizeD;K;6;CP$UIDd;2;64E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;min-sizeD;K;6;CP$UIDd;2;65E;K;13;image-scalingD;K;6;CP$UIDd;2;66E;K;14;image-positionD;K;6;CP$UIDd;2;67E;K;4;fontD;K;6;CP$UIDd;2;68E;K;10;text-colorD;K;6;CP$UIDd;2;69E;K;18;vertical-alignmentD;K;6;CP$UIDd;2;70E;K;9;alignmentD;K;6;CP$UIDd;2;71E;K;11;bezel-colorD;K;6;CP$UIDd;2;72E;K;13;content-insetD;K;6;CP$UIDd;2;73E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;min-sizeD;K;6;CP$UIDd;2;74E;K;13;image-scalingD;K;6;CP$UIDd;2;75E;K;14;image-positionD;K;6;CP$UIDd;2;76E;K;4;fontD;K;6;CP$UIDd;2;77E;K;10;text-colorD;K;6;CP$UIDd;2;78E;K;18;vertical-alignmentD;K;6;CP$UIDd;2;79E;K;9;alignmentD;K;6;CP$UIDd;2;80E;K;11;bezel-colorD;K;6;CP$UIDd;2;81E;K;13;content-insetD;K;6;CP$UIDd;2;82E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;18;text-shadow-offsetD;K;6;CP$UIDd;2;83E;K;17;text-shadow-colorD;K;6;CP$UIDd;2;84E;K;4;fontD;K;6;CP$UIDd;2;85E;K;10;text-colorD;K;6;CP$UIDd;2;86E;K;15;line-break-modeD;K;6;CP$UIDd;2;87E;K;14;default-heightD;K;6;CP$UIDd;2;88E;K;17;divider-thicknessD;K;6;CP$UIDd;2;89E;K;19;divider-bezel-colorD;K;6;CP$UIDd;2;90E;K;26;center-segment-bezel-colorD;K;6;CP$UIDd;2;91E;K;25;right-segment-bezel-colorD;K;6;CP$UIDd;2;92E;K;24;left-segment-bezel-colorD;K;6;CP$UIDd;2;93E;K;13;content-insetD;K;6;CP$UIDd;2;94E;K;11;bezel-insetD;K;6;CP$UIDd;2;95E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;11;track-colorD;K;6;CP$UIDd;2;96E;K;11;track-widthD;K;6;CP$UIDd;2;97E;K;9;knob-sizeD;K;6;CP$UIDd;2;98E;K;10;knob-colorD;K;6;CP$UIDd;2;99E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;17;button-text-colorD;K;6;CP$UIDd;3;100E;K;18;button-bezel-colorD;K;6;CP$UIDd;3;101E;K;11;bezel-colorD;K;6;CP$UIDd;3;102E;K;20;resize-control-colorD;K;6;CP$UIDd;3;103E;K;19;resize-control-sizeD;K;6;CP$UIDd;3;104E;K;20;resize-control-insetD;K;6;CP$UIDd;3;105E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;18;text-shadow-offsetD;K;6;CP$UIDd;3;106E;K;17;text-shadow-colorD;K;6;CP$UIDd;3;107E;K;9;text-fontD;K;6;CP$UIDd;3;108E;K;10;text-colorD;K;6;CP$UIDd;3;109E;K;10;text-insetD;K;6;CP$UIDd;3;110E;K;14;text-alignmentD;K;6;CP$UIDd;3;111E;K;16;background-colorD;K;6;CP$UIDd;3;112E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;16;background-colorD;K;6;CP$UIDd;3;113E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;16;background-colorD;K;6;CP$UIDd;3;114E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;19;sort-image-reversedD;K;6;CP$UIDd;3;115E;K;10;sort-imageD;K;6;CP$UIDd;3;116E;K;26;sourcelist-selection-colorD;K;6;CP$UIDd;3;117E;K;15;selection-colorD;K;6;CP$UIDd;3;118E;K;22;highlighted-grid-colorD;K;6;CP$UIDd;3;119E;K;10;grid-colorD;K;6;CP$UIDd;3;120E;K;22;alternating-row-colorsD;K;6;CP$UIDd;3;121E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;11;error-imageD;K;6;CP$UIDd;3;122E;K;13;warning-imageD;K;6;CP$UIDd;3;123E;K;17;information-imageD;K;6;CP$UIDd;3;124E;K;12;image-offsetD;K;6;CP$UIDd;3;125E;K;21;informative-text-fontD;K;6;CP$UIDd;3;126E;K;22;informative-text-colorD;K;6;CP$UIDd;3;127E;K;26;informative-text-alignmentD;K;6;CP$UIDd;3;128E;K;17;message-text-fontD;K;6;CP$UIDd;3;129E;K;18;message-text-colorD;K;6;CP$UIDd;3;130E;K;22;message-text-alignmentD;K;6;CP$UIDd;3;131E;K;13;content-insetD;K;6;CP$UIDd;3;132E;K;4;sizeD;K;6;CP$UIDd;3;133E;E;E;D;K;10;$classnameS;17;_CPThemeAttributeK;8;$classesA;S;17;_CPThemeAttributeS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;134E;K;5;valueD;K;6;CP$UIDd;3;136E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;137E;K;5;valueD;K;6;CP$UIDd;3;138E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;139E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;141E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;142E;K;5;stateD;K;6;CP$UIDd;3;143E;K;5;valueD;K;6;CP$UIDd;3;144E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;145E;K;6;valuesD;K;6;CP$UIDd;3;146E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;147E;K;5;stateD;K;6;CP$UIDd;3;143E;K;5;valueD;K;6;CP$UIDd;3;149E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;150E;K;6;valuesD;K;6;CP$UIDd;3;151E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;152E;K;5;valueD;K;6;CP$UIDd;3;153E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;154E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;155E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;156E;K;6;valuesD;K;6;CP$UIDd;3;157E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;158E;K;5;stateD;K;6;CP$UIDd;3;143E;K;5;valueD;K;6;CP$UIDd;3;159E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;134E;K;5;valueD;K;6;CP$UIDd;3;160E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;137E;K;5;valueD;K;6;CP$UIDd;3;161E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;139E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;141E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;145E;K;6;valuesD;K;6;CP$UIDd;3;162E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;147E;K;5;valueD;K;6;CP$UIDd;3;149E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;150E;K;6;valuesD;K;6;CP$UIDd;3;163E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;152E;K;5;valueD;K;6;CP$UIDd;3;153E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;154E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;155E;K;5;valueD;K;6;CP$UIDd;3;164E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;156E;K;6;valuesD;K;6;CP$UIDd;3;165E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;158E;K;5;stateD;K;6;CP$UIDd;3;143E;K;5;valueD;K;6;CP$UIDd;3;166E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;167E;K;6;valuesD;K;6;CP$UIDd;3;168E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;169E;K;6;valuesD;K;6;CP$UIDd;3;170E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;171E;K;6;valuesD;K;6;CP$UIDd;3;172E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;173E;K;6;valuesD;K;6;CP$UIDd;3;174E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;175E;K;6;valuesD;K;6;CP$UIDd;3;176E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;177E;K;6;valuesD;K;6;CP$UIDd;3;178E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;179E;K;6;valuesD;K;6;CP$UIDd;3;180E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;181E;K;6;valuesD;K;6;CP$UIDd;3;182E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;183E;K;6;valuesD;K;6;CP$UIDd;3;184E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;147E;K;6;valuesD;K;6;CP$UIDd;3;185E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;150E;K;6;valuesD;K;6;CP$UIDd;3;186E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;152E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;154E;K;5;stateD;K;6;CP$UIDd;3;187E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;155E;K;5;valueD;K;6;CP$UIDd;3;164E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;156E;K;6;valuesD;K;6;CP$UIDd;3;188E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;158E;K;6;valuesD;K;6;CP$UIDd;3;189E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;190E;K;6;valuesD;K;6;CP$UIDd;3;191E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;134E;K;5;stateD;K;6;CP$UIDd;3;192E;K;5;valueD;K;6;CP$UIDd;3;193E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;137E;K;5;stateD;K;6;CP$UIDd;3;192E;K;5;valueD;K;6;CP$UIDd;3;194E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;137E;K;5;valueD;K;6;CP$UIDd;3;195E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;139E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;141E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;147E;K;5;stateD;K;6;CP$UIDd;3;143E;K;5;valueD;K;6;CP$UIDd;3;196E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;150E;K;5;stateD;K;6;CP$UIDd;3;197E;K;5;valueD;K;6;CP$UIDd;3;199E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;154E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;155E;K;6;valuesD;K;6;CP$UIDd;3;200E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;156E;K;6;valuesD;K;6;CP$UIDd;3;201E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;158E;K;5;stateD;K;6;CP$UIDd;3;143E;K;5;valueD;K;6;CP$UIDd;3;202E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;137E;K;5;valueD;K;6;CP$UIDd;3;203E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;139E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;141E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;147E;K;5;stateD;K;6;CP$UIDd;3;143E;K;5;valueD;K;6;CP$UIDd;3;196E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;150E;K;5;stateD;K;6;CP$UIDd;3;197E;K;5;valueD;K;6;CP$UIDd;3;204E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;154E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;155E;K;6;valuesD;K;6;CP$UIDd;3;205E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;156E;K;6;valuesD;K;6;CP$UIDd;3;206E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;158E;K;5;stateD;K;6;CP$UIDd;3;143E;K;5;valueD;K;6;CP$UIDd;3;207E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;142E;K;5;valueD;K;6;CP$UIDd;3;208E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;145E;K;6;valuesD;K;6;CP$UIDd;3;209E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;147E;K;5;valueD;K;6;CP$UIDd;3;149E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;150E;K;6;valuesD;K;6;CP$UIDd;3;210E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;152E;K;5;valueD;K;6;CP$UIDd;3;153E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;211E;K;5;valueD;K;6;CP$UIDd;3;212E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;213E;K;5;valueD;K;6;CP$UIDd;3;214E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;215E;K;6;valuesD;K;6;CP$UIDd;3;216E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;217E;K;6;valuesD;K;6;CP$UIDd;3;218E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;219E;K;6;valuesD;K;6;CP$UIDd;3;220E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;221E;K;6;valuesD;K;6;CP$UIDd;3;222E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;158E;K;5;valueD;K;6;CP$UIDd;3;223E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;190E;K;5;valueD;K;6;CP$UIDd;3;224E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;225E;K;6;valuesD;K;6;CP$UIDd;3;226E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;227E;K;5;valueD;K;6;CP$UIDd;3;228E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;229E;K;6;valuesD;K;6;CP$UIDd;3;230E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;177E;K;6;valuesD;K;6;CP$UIDd;3;231E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;232E;K;5;valueD;K;6;CP$UIDd;3;233E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;234E;K;6;valuesD;K;6;CP$UIDd;3;235E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;156E;K;5;valueD;K;6;CP$UIDd;3;236E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;237E;K;5;valueD;K;6;CP$UIDd;3;238E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;239E;K;5;valueD;K;6;CP$UIDd;3;240E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;241E;K;5;valueD;K;6;CP$UIDd;3;242E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;142E;K;5;valueD;K;6;CP$UIDd;3;243E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;145E;K;5;valueD;K;6;CP$UIDd;3;244E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;245E;K;5;valueD;K;6;CP$UIDd;3;149E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;150E;K;5;valueD;K;6;CP$UIDd;3;246E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;247E;K;5;valueD;K;6;CP$UIDd;3;248E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;249E;K;5;valueD;K;6;CP$UIDd;3;164E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;250E;K;6;valuesD;K;6;CP$UIDd;3;251E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;250E;K;5;valueD;K;6;CP$UIDd;3;252E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;250E;K;5;valueD;K;6;CP$UIDd;3;253E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;254E;K;5;valueD;K;6;CP$UIDd;3;256E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;257E;K;5;valueD;K;6;CP$UIDd;3;258E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;259E;K;5;valueD;K;6;CP$UIDd;3;260E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;261E;K;5;valueD;K;6;CP$UIDd;3;262E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;263E;K;5;valueD;K;6;CP$UIDd;3;244E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;264E;K;5;valueD;K;6;CP$UIDd;3;265E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;266E;K;5;valueD;K;6;CP$UIDd;3;268E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;269E;K;5;valueD;K;6;CP$UIDd;3;270E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;271E;K;5;valueD;K;6;CP$UIDd;3;272E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;273E;K;5;valueD;K;6;CP$UIDd;3;274E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;275E;K;5;valueD;K;6;CP$UIDd;3;276E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;277E;K;5;valueD;K;6;CP$UIDd;3;196E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;278E;K;5;valueD;K;6;CP$UIDd;3;233E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;279E;K;5;valueD;K;6;CP$UIDd;3;280E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;281E;K;5;valueD;K;6;CP$UIDd;3;282E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;283E;K;5;valueD;K;6;CP$UIDd;3;233E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;284E;K;5;valueD;K;6;CP$UIDd;3;280E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;158E;K;5;valueD;K;6;CP$UIDd;3;285E;E;D;K;6;$classD;K;6;CP$UIDd;2;20E;K;4;nameD;K;6;CP$UIDd;3;286E;K;5;valueD;K;6;CP$UIDd;3;287E;E;S;8;max-sizeD;K;10;$classnameS;21;_CPKeyedArchiverValueK;8;$classesA;S;21;_CPKeyedArchiverValueS;7;CPValueS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;288E;E;S;8;min-sizeD;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;289E;E;S;13;image-scalingd;1;2S;14;image-positionS;18;text-shadow-offsetS;8;borderedD;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;290E;E;S;17;text-shadow-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;17;disabled+borderedD;K;6;CP$UIDd;3;291E;K;8;borderedD;K;6;CP$UIDd;3;292E;E;E;S;4;fontD;K;10;$classnameS;6;CPFontK;8;$classesA;S;6;CPFontS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;148E;K;13;CPFontNameKeyD;K;6;CP$UIDd;3;293E;K;13;CPFontSizeKeyD;K;6;CP$UIDd;3;294E;K;15;CPFontIsBoldKeyD;K;6;CP$UIDd;3;295E;E;S;10;text-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;16;disabled+defaultD;K;6;CP$UIDd;3;296E;K;7;defaultD;K;6;CP$UIDd;3;297E;K;8;disabledD;K;6;CP$UIDd;3;298E;K;6;normalD;K;6;CP$UIDd;3;299E;E;E;S;15;line-break-moded;1;4S;18;vertical-alignmentS;9;alignmentS;11;bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;28;highlighted+bordered+defaultD;K;6;CP$UIDd;3;300E;K;16;bordered+defaultD;K;6;CP$UIDd;3;301E;K;25;disabled+bordered+defaultD;K;6;CP$UIDd;3;302E;K;17;disabled+borderedD;K;6;CP$UIDd;3;303E;K;20;highlighted+borderedD;K;6;CP$UIDd;3;304E;K;8;borderedD;K;6;CP$UIDd;3;305E;E;E;S;13;content-insetD;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;306E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;288E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;307E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;17;disabled+borderedD;K;6;CP$UIDd;3;308E;K;6;normalD;K;6;CP$UIDd;3;309E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;17;disabled+borderedD;K;6;CP$UIDd;3;310E;K;6;normalD;K;6;CP$UIDd;3;311E;E;E;d;1;0D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;19;bordered+pulls-downD;K;6;CP$UIDd;3;312E;K;28;disabled+bordered+pulls-downD;K;6;CP$UIDd;3;313E;K;17;disabled+borderedD;K;6;CP$UIDd;3;314E;K;8;borderedD;K;6;CP$UIDd;3;315E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;316E;E;S;19;minimum-knob-lengthD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;317E;K;8;verticalD;K;6;CP$UIDd;3;317E;E;E;S;10;knob-insetD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;318E;K;8;verticalD;K;6;CP$UIDd;3;319E;E;E;S;11;track-insetD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;320E;K;8;verticalD;K;6;CP$UIDd;3;321E;E;E;S;19;increment-line-sizeD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;322E;K;8;verticalD;K;6;CP$UIDd;3;323E;E;E;S;19;decrement-line-sizeD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;324E;K;8;verticalD;K;6;CP$UIDd;3;325E;E;E;S;10;knob-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;326E;K;8;disabledD;K;6;CP$UIDd;3;327E;K;17;disabled+verticalD;K;6;CP$UIDd;3;328E;K;8;verticalD;K;6;CP$UIDd;3;329E;E;E;S;20;increment-line-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;330E;K;11;highlightedD;K;6;CP$UIDd;3;331E;K;8;disabledD;K;6;CP$UIDd;3;332E;K;17;disabled+verticalD;K;6;CP$UIDd;3;333E;K;20;highlighted+verticalD;K;6;CP$UIDd;3;334E;K;8;verticalD;K;6;CP$UIDd;3;335E;E;E;S;20;decrement-line-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;336E;K;11;highlightedD;K;6;CP$UIDd;3;337E;K;8;disabledD;K;6;CP$UIDd;3;338E;K;17;disabled+verticalD;K;6;CP$UIDd;3;339E;K;20;highlighted+verticalD;K;6;CP$UIDd;3;340E;K;8;verticalD;K;6;CP$UIDd;3;341E;E;E;S;15;knob-slot-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;342E;K;8;disabledD;K;6;CP$UIDd;3;343E;K;17;disabled+verticalD;K;6;CP$UIDd;3;344E;K;8;verticalD;K;6;CP$UIDd;3;345E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;196E;K;35;tableDataView+selectedTableDataViewD;K;6;CP$UIDd;3;149E;K;7;bezeledD;K;6;CP$UIDd;3;196E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;19;rounded+placeholderD;K;6;CP$UIDd;3;346E;K;35;tableDataView+selectedTableDataViewD;K;6;CP$UIDd;3;244E;K;13;tableDataViewD;K;6;CP$UIDd;3;347E;K;11;placeholderD;K;6;CP$UIDd;3;348E;E;E;S;13;tableDataViewD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;15;bezeled+roundedD;K;6;CP$UIDd;3;349E;K;23;bezeled+editing+roundedD;K;6;CP$UIDd;3;350E;K;15;bezeled+editingD;K;6;CP$UIDd;3;351E;K;7;bezeledD;K;6;CP$UIDd;3;352E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;15;bezeled+roundedD;K;6;CP$UIDd;3;353E;K;13;tableDataViewD;K;6;CP$UIDd;3;354E;K;7;bezeledD;K;6;CP$UIDd;3;355E;E;E;S;11;bezel-insetD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;15;bezeled+roundedD;K;6;CP$UIDd;3;356E;K;23;bezeled+editing+roundedD;K;6;CP$UIDd;3;357E;K;15;bezeled+editingD;K;6;CP$UIDd;3;358E;K;7;bezeledD;K;6;CP$UIDd;3;359E;E;E;S;15;bezeled+roundedD;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;360E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;361E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;362E;E;D;K;6;$classD;K;6;CP$UIDd;3;148E;K;13;CPFontNameKeyD;K;6;CP$UIDd;3;293E;K;13;CPFontSizeKeyD;K;6;CP$UIDd;3;294E;K;15;CPFontIsBoldKeyD;K;6;CP$UIDd;3;363E;E;S;8;disabledD;K;10;$classnameS;7;CPColorK;8;$classesA;S;7;CPColorS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;364E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;borderedD;K;6;CP$UIDd;3;164E;K;6;normalD;K;6;CP$UIDd;3;164E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;26;disabled+selected+borderedD;K;6;CP$UIDd;3;365E;K;17;disabled+borderedD;K;6;CP$UIDd;3;366E;K;20;highlighted+borderedD;K;6;CP$UIDd;3;367E;K;29;highlighted+selected+borderedD;K;6;CP$UIDd;3;368E;K;17;selected+borderedD;K;6;CP$UIDd;3;369E;K;8;borderedD;K;6;CP$UIDd;3;370E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;371E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;362E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;372E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;borderedD;K;6;CP$UIDd;3;164E;K;6;normalD;K;6;CP$UIDd;3;164E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;14;bordered+mixedD;K;6;CP$UIDd;3;373E;K;23;selected+bordered+mixedD;K;6;CP$UIDd;3;374E;K;23;disabled+bordered+mixedD;K;6;CP$UIDd;3;375E;K;26;disabled+selected+borderedD;K;6;CP$UIDd;3;376E;K;17;disabled+borderedD;K;6;CP$UIDd;3;377E;K;20;highlighted+borderedD;K;6;CP$UIDd;3;378E;K;29;highlighted+selected+borderedD;K;6;CP$UIDd;3;379E;K;17;selected+borderedD;K;6;CP$UIDd;3;380E;K;8;borderedD;K;6;CP$UIDd;3;381E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;371E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;290E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;382E;K;6;normalD;K;6;CP$UIDd;3;383E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;384E;K;6;normalD;K;6;CP$UIDd;3;385E;E;E;S;14;default-heightd;2;24S;17;divider-thicknessd;1;1S;19;divider-bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;selectedD;K;6;CP$UIDd;3;386E;K;17;disabled+selectedD;K;6;CP$UIDd;3;387E;K;8;disabledD;K;6;CP$UIDd;3;388E;K;6;normalD;K;6;CP$UIDd;3;389E;E;E;S;26;center-segment-bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;20;highlighted+selectedD;K;6;CP$UIDd;3;390E;K;11;highlightedD;K;6;CP$UIDd;3;391E;K;8;selectedD;K;6;CP$UIDd;3;392E;K;17;disabled+selectedD;K;6;CP$UIDd;3;393E;K;8;disabledD;K;6;CP$UIDd;3;394E;K;6;normalD;K;6;CP$UIDd;3;395E;E;E;S;25;right-segment-bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;20;highlighted+selectedD;K;6;CP$UIDd;3;396E;K;11;highlightedD;K;6;CP$UIDd;3;397E;K;8;selectedD;K;6;CP$UIDd;3;398E;K;17;disabled+selectedD;K;6;CP$UIDd;3;399E;K;8;disabledD;K;6;CP$UIDd;3;400E;K;6;normalD;K;6;CP$UIDd;3;401E;E;E;S;24;left-segment-bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;20;highlighted+selectedD;K;6;CP$UIDd;3;402E;K;11;highlightedD;K;6;CP$UIDd;3;403E;K;8;selectedD;K;6;CP$UIDd;3;404E;K;17;disabled+selectedD;K;6;CP$UIDd;3;405E;K;8;disabledD;K;6;CP$UIDd;3;406E;K;6;normalD;K;6;CP$UIDd;3;407E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;408E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;409E;E;S;11;track-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;circularD;K;6;CP$UIDd;3;410E;K;17;disabled+circularD;K;6;CP$UIDd;3;411E;K;8;verticalD;K;6;CP$UIDd;3;412E;K;8;disabledD;K;6;CP$UIDd;3;413E;K;6;normalD;K;6;CP$UIDd;3;414E;E;E;S;11;track-widthd;1;5S;9;knob-sizeD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;circularD;K;6;CP$UIDd;3;415E;K;6;normalD;K;6;CP$UIDd;3;416E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;circularD;K;6;CP$UIDd;3;417E;K;20;highlighted+circularD;K;6;CP$UIDd;3;417E;K;17;disabled+circularD;K;6;CP$UIDd;3;418E;K;8;disabledD;K;6;CP$UIDd;3;419E;K;11;highlightedD;K;6;CP$UIDd;3;420E;K;6;normalD;K;6;CP$UIDd;3;421E;E;E;S;17;button-text-colorD;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;422E;E;S;18;button-bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;423E;K;11;highlightedD;K;6;CP$UIDd;3;424E;K;6;normalD;K;6;CP$UIDd;3;425E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;426E;E;S;20;resize-control-colorD;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;427E;E;S;19;resize-control-sizeD;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;428E;E;S;20;resize-control-insetD;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;429E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;290E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;430E;E;S;9;text-fontD;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;431E;E;S;10;text-insetD;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;306E;E;S;14;text-alignmentS;16;background-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;20;highlighted+selectedD;K;6;CP$UIDd;3;432E;K;8;selectedD;K;6;CP$UIDd;3;433E;K;11;highlightedD;K;6;CP$UIDd;3;434E;K;6;normalD;K;6;CP$UIDd;3;435E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;436E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;437E;E;S;19;sort-image-reversedD;K;10;$classnameS;20;_CPCibCustomResourceK;8;$classesA;S;20;_CPCibCustomResourceS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;439E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;440E;E;S;10;sort-imageD;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;441E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;442E;E;S;26;sourcelist-selection-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;27;CPSourceListBottomLineColorD;K;6;CP$UIDd;3;443E;K;24;CPSourceListTopLineColorD;K;6;CP$UIDd;3;444E;K;20;CPSourceListGradientD;K;6;CP$UIDd;3;445E;E;E;S;15;selection-colorD;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;446E;E;S;22;highlighted-grid-colorS;10;grid-colorD;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;447E;E;S;22;alternating-row-colorsD;K;10;$classnameS;7;CPArrayK;8;$classesA;S;7;CPArrayS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;244E;D;K;6;CP$UIDd;3;448E;E;E;S;11;error-imageD;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;449E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;450E;E;S;13;warning-imageD;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;451E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;452E;E;S;17;information-imageD;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;453E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;454E;E;S;12;image-offsetD;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;455E;E;S;21;informative-text-fontS;22;informative-text-colorS;26;informative-text-alignmentd;1;3S;17;message-text-fontD;K;6;$classD;K;6;CP$UIDd;3;148E;K;13;CPFontNameKeyD;K;6;CP$UIDd;3;293E;K;13;CPFontSizeKeyD;K;6;CP$UIDd;3;456E;K;15;CPFontIsBoldKeyD;K;6;CP$UIDd;3;295E;E;S;18;message-text-colorS;22;message-text-alignmentD;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;457E;E;S;4;sizeD;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;458E;E;S;24;{"width":-1,"height":24}S;23;{"width":0,"height":24}S;22;{"width":0,"height":1}D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;459E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;460E;E;S;17;Arial, sans-serifd;2;12T;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;461E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;462E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;463E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;464E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;466E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;467E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;468E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;469E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;470E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;471E;E;S;39;{"top":0,"right":5,"bottom":0,"left":5}S;24;{"width":32,"height":24}D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;472E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;473E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;474E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;475E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;476E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;477E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;478E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;479E;E;S;40;{"top":0,"right":32,"bottom":0,"left":5}d;2;21D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;409E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;409E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;480E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;481E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;482E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;483E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;482E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;483E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;484E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;485E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;486E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;487E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;488E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;489E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;490E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;491E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;492E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;493E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;494E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;495E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;496E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;497E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;498E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;499E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;500E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;501E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;502E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;503E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;504E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;505E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;506E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;507E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;508E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;510E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;511E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;512E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;513E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;514E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;515E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;409E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;409E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;516E;E;S;24;{"width":-1,"height":30}S;23;{"width":0,"height":30}S;23;{"width":0,"height":17}F;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;518E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;521E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;522E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;523E;E;S;40;{"top":0,"right":0,"bottom":0,"left":20}D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;524E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;525E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;526E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;527E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;528E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;529E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;530E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;531E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;532E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;533E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;534E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;535E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;536E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;537E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;538E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;539E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;540E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;541E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;542E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;543E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;544E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;545E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;546E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;547E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;548E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;549E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;550E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;551E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;552E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;553E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;554E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;555E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;556E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;557E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;558E;E;S;39;{"top":0,"right":4,"bottom":0,"left":4}S;39;{"top":0,"right":0,"bottom":0,"left":0}D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;559E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;560E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;561E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;562E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;563E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;564E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;565E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;566E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;567E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;568E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;569E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;570E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;164E;D;K;6;CP$UIDd;3;164E;D;K;6;CP$UIDd;3;164E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;571E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;572E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;573E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;574E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;575E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;576E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;577E;E;S;23;{"width":5,"height":10}S;39;{"top":9,"right":4,"bottom":7,"left":4}D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;214E;D;K;6;CP$UIDd;3;214E;D;K;6;CP$UIDd;3;214E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;578E;D;K;6;CP$UIDd;3;578E;D;K;6;CP$UIDd;3;578E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;579E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;580E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;581E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;582E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;583E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;584E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;583E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;585E;E;S;7;CPImageS;35;tableview-headerview-descending.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;586E;E;E;S;34;tableview-headerview-ascending.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;587E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;588E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;589E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;590E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;591E;D;K;6;CP$UIDd;3;592E;D;K;6;CP$UIDd;3;593E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;594E;D;K;6;CP$UIDd;3;595E;D;K;6;CP$UIDd;3;596E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;198E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;597E;E;S;15;alert-error.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;598E;E;E;S;17;alert-warning.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;599E;E;E;S;14;alert-info.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;600E;E;E;S;15;{"x":15,"y":18}d;2;13S;43;{"top":15,"right":15,"bottom":15,"left":80}S;26;{"width":400,"height":110}D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;602E;D;K;6;CP$UIDd;3;578E;D;K;6;CP$UIDd;3;603E;D;K;6;CP$UIDd;3;604E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;602E;D;K;6;CP$UIDd;3;578E;D;K;6;CP$UIDd;3;603E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;604E;D;K;6;CP$UIDd;3;604E;D;K;6;CP$UIDd;3;604E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;214E;E;E;D;K;10;$classnameS;16;CPThreePartImageK;8;$classesA;S;16;CPThreePartImageS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;605E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;606E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;607E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;608E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;609E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;610E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;604E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;604E;D;K;6;CP$UIDd;3;604E;D;K;6;CP$UIDd;3;604E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;611E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;612E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;613E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;614E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;S;43;{"top":0,"right":-10,"bottom":0,"left":-11}S;43;{"top":-10,"right":0,"bottom":-10,"left":0}S;24;{"width":24,"height":15}S;24;{"width":15,"height":24}D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;615E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;616E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;617E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;295E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;618E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;295E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;619E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;620E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;621E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;622E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;623E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;624E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;625E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;626E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;627E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;628E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;629E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;630E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;631E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;632E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;633E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;634E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;635E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;636E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;637E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;638E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;639E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;640E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;641E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;642E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;643E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;644E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;645E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;646E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;647E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;648E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;649E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;650E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;651E;D;K;6;CP$UIDd;3;652E;D;K;6;CP$UIDd;3;653E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;578E;D;K;6;CP$UIDd;3;578E;D;K;6;CP$UIDd;3;578E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;651E;D;K;6;CP$UIDd;3;652E;D;K;6;CP$UIDd;3;653E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;654E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;655E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;10;$classnameS;15;CPNinePartImageK;8;$classesA;S;15;CPNinePartImageS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;509E;K;29;CPNinePartImageImageSlicesKeyD;K;6;CP$UIDd;3;656E;E;D;K;6;$classD;K;6;CP$UIDd;3;509E;K;29;CPNinePartImageImageSlicesKeyD;K;6;CP$UIDd;3;657E;E;S;41;{"top":9,"right":14,"bottom":6,"left":14}S;39;{"top":0,"right":0,"bottom":0,"left":5}S;39;{"top":9,"right":7,"bottom":5,"left":8}S;39;{"top":4,"right":4,"bottom":4,"left":4}S;39;{"top":4,"right":4,"bottom":3,"left":4}f;19;0.30980392156862746D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;658E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;659E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;660E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;661E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;662E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;663E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;664E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;665E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;666E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;667E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;668E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;669E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;670E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;671E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;672E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;601E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;604E;D;K;6;CP$UIDd;3;604E;D;K;6;CP$UIDd;3;604E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;517E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;673E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;674E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;675E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;676E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;677E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;678E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;679E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;680E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;681E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;682E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;683E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;684E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;685E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;686E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;687E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;688E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;689E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;690E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;691E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;692E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;693E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;694E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;695E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;696E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;697E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;698E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;699E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;700E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;701E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;702E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;703E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;704E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;705E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;706E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;707E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;708E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;709E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;710E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;711E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;712E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;713E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;714E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;715E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;716E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;717E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;718E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;719E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;720E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;721E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;295E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;722E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;295E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;723E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;S;22;{"width":5,"height":5}S;24;{"width":23,"height":24}D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;724E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;725E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;726E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;727E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;728E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;729E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;730E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;731E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;732E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;733E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;734E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;735E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;D;K;6;$classD;K;6;CP$UIDd;3;465E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;736E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;363E;E;S;19;buttonbar-bezel.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;737E;E;E;S;28;buttonbar-resize-control.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;738E;E;E;f;3;0.2D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;739E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;740E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;741E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;742E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;743E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;744E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;583E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;745E;E;S;24;tableview-headerview.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;746E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;747E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;748E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;748E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;749E;D;K;6;CP$UIDd;3;750E;D;K;6;CP$UIDd;3;751E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;752E;D;K;6;CP$UIDd;3;753E;D;K;6;CP$UIDd;3;754E;D;K;6;CP$UIDd;3;214E;E;E;S;367;{"colorspace":{"model":1,"count":3,"base":null,"hash":1},"colors":[{"colorspace":{"model":1,"count":3,"base":null,"hash":1},"pattern":null,"components":[0.34901960784313724,0.6,0.8196078431372549,1]},{"colorspace":{"model":1,"count":3,"base":null,"hash":1},"pattern":null,"components":[0.12941176470588237,0.3686274509803922,0.8156862745098039,1]}],"locations":[0,1]}f;19;0.37254901960784315f;18;0.5137254901960784f;18;0.7254901960784313f;18;0.8627450980392157f;18;0.8784313725490196f;18;0.8862745098039215D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;755E;D;K;6;CP$UIDd;3;756E;D;K;6;CP$UIDd;3;757E;D;K;6;CP$UIDd;3;214E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;758E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;758E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;758E;E;f;18;0.9411764705882353f;20;0.050980392156862744f;19;0.27450980392156865f;3;0.6D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;759E;D;K;6;CP$UIDd;3;760E;D;K;6;CP$UIDd;3;761E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;762E;D;K;6;CP$UIDd;3;763E;D;K;6;CP$UIDd;3;764E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;765E;D;K;6;CP$UIDd;3;766E;D;K;6;CP$UIDd;3;767E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;768E;D;K;6;CP$UIDd;3;769E;D;K;6;CP$UIDd;3;770E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;771E;D;K;6;CP$UIDd;3;772E;D;K;6;CP$UIDd;3;773E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;774E;D;K;6;CP$UIDd;3;775E;D;K;6;CP$UIDd;3;776E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;777E;D;K;6;CP$UIDd;3;778E;D;K;6;CP$UIDd;3;779E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;780E;D;K;6;CP$UIDd;3;781E;D;K;6;CP$UIDd;3;782E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;783E;D;K;6;CP$UIDd;3;784E;D;K;6;CP$UIDd;3;785E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;786E;D;K;6;CP$UIDd;3;787E;D;K;6;CP$UIDd;3;788E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;789E;D;K;6;CP$UIDd;3;790E;D;K;6;CP$UIDd;3;791E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;792E;D;K;6;CP$UIDd;3;793E;D;K;6;CP$UIDd;3;794E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;795E;D;K;6;CP$UIDd;3;796E;D;K;6;CP$UIDd;3;797E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;798E;D;K;6;CP$UIDd;3;799E;D;K;6;CP$UIDd;3;800E;E;E;S;24;scroller-right-arrow.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;801E;E;E;S;36;scroller-right-arrow-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;802E;E;E;S;33;scroller-right-arrow-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;803E;E;E;S;32;scroller-down-arrow-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;804E;E;E;S;35;scroller-down-arrow-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;805E;E;E;S;23;scroller-down-arrow.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;806E;E;E;S;23;scroller-left-arrow.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;807E;E;E;S;35;scroller-left-arrow-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;808E;E;E;S;32;scroller-left-arrow-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;809E;E;E;S;30;scroller-up-arrow-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;810E;E;E;S;33;scroller-up-arrow-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;811E;E;E;S;21;scroller-up-arrow.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;812E;E;E;S;29;scroller-horizontal-track.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;813E;E;E;S;38;scroller-horizontal-track-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;814E;E;E;S;36;scroller-vertical-track-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;815E;E;E;S;27;scroller-vertical-track.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;816E;E;E;f;18;0.7411764705882353f;18;0.7803921568627451f;18;0.8274509803921568D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;817E;D;K;6;CP$UIDd;3;818E;D;K;6;CP$UIDd;3;819E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;820E;D;K;6;CP$UIDd;3;821E;D;K;6;CP$UIDd;3;822E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;823E;D;K;6;CP$UIDd;3;824E;D;K;6;CP$UIDd;3;825E;D;K;6;CP$UIDd;3;826E;D;K;6;CP$UIDd;3;827E;D;K;6;CP$UIDd;3;828E;D;K;6;CP$UIDd;3;829E;D;K;6;CP$UIDd;3;830E;D;K;6;CP$UIDd;3;831E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;832E;D;K;6;CP$UIDd;3;833E;D;K;6;CP$UIDd;3;834E;D;K;6;CP$UIDd;3;835E;D;K;6;CP$UIDd;3;836E;D;K;6;CP$UIDd;3;837E;D;K;6;CP$UIDd;3;838E;D;K;6;CP$UIDd;3;839E;D;K;6;CP$UIDd;3;840E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;841E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;842E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;843E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;844E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;845E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;846E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;847E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;848E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;849E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;850E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;851E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;852E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;853E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;854E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;855E;D;K;6;CP$UIDd;1;0E;D;K;6;CP$UIDd;1;0E;E;E;S;47;segmented-control-bezel-highlighted-divider.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;856E;E;E;S;56;segmented-control-bezel-highlighted-disabled-divider.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;857E;E;E;S;44;segmented-control-bezel-disabled-divider.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;858E;E;E;S;35;segmented-control-bezel-divider.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;859E;E;E;S;53;segmented-control-bezel-pushed-highlighted-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;860E;E;E;S;41;segmented-control-bezel-pushed-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;861E;E;E;S;46;segmented-control-bezel-highlighted-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;862E;E;E;S;55;segmented-control-bezel-highlighted-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;863E;E;E;S;43;segmented-control-bezel-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;864E;E;E;S;34;segmented-control-bezel-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;865E;E;E;S;52;segmented-control-bezel-pushed-highlighted-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;866E;E;E;S;40;segmented-control-bezel-pushed-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;867E;E;E;S;45;segmented-control-bezel-highlighted-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;868E;E;E;S;54;segmented-control-bezel-highlighted-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;869E;E;E;S;42;segmented-control-bezel-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;870E;E;E;S;33;segmented-control-bezel-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;871E;E;E;S;51;segmented-control-bezel-pushed-highlighted-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;872E;E;E;S;39;segmented-control-bezel-pushed-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;873E;E;E;S;44;segmented-control-bezel-highlighted-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;874E;E;E;S;53;segmented-control-bezel-highlighted-disabled-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;875E;E;E;S;41;segmented-control-bezel-disabled-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;876E;E;E;S;32;segmented-control-bezel-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;877E;E;E;S;25;slider-circular-bezel.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;878E;E;E;S;34;slider-circular-disabled-bezel.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;879E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;880E;D;K;6;CP$UIDd;3;881E;D;K;6;CP$UIDd;3;882E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;883E;D;K;6;CP$UIDd;3;884E;D;K;6;CP$UIDd;3;885E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;886E;D;K;6;CP$UIDd;3;887E;D;K;6;CP$UIDd;3;888E;E;E;S;24;slider-circular-knob.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;889E;E;E;S;33;slider-circular-disabled-knob.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;890E;E;E;S;17;knob-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;891E;E;E;S;20;knob-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;892E;E;E;S;8;knob.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;893E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;894E;D;K;6;CP$UIDd;3;895E;D;K;6;CP$UIDd;3;896E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;897E;D;K;6;CP$UIDd;3;898E;D;K;6;CP$UIDd;3;899E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;267E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;900E;D;K;6;CP$UIDd;3;901E;D;K;6;CP$UIDd;3;902E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;903E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;428E;E;S;44;tableview-headerview-highlighted-pressed.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;904E;E;E;S;36;tableview-headerview-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;905E;E;E;S;32;tableview-headerview-pressed.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;906E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;907E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;908E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;908E;E;S;22;{"width":9,"height":8}f;19;0.12156862745098039f;18;0.3607843137254902f;18;0.8117647058823529f;19;0.23921568627450981f;18;0.4823529411764706f;18;0.8549019607843137f;18;0.9607843137254902f;18;0.9764705882352941f;18;0.9882352941176471S;24;{"width":53,"height":46}D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;909E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;910E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;911E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;912E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;913E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;914E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;915E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;916E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;917E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;918E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;919E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;920E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;921E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;922E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;923E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;924E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;925E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;926E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;927E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;928E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;929E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;930E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;931E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;932E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;933E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;934E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;935E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;936E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;937E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;938E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;939E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;940E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;941E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;942E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;943E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;944E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;939E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;945E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;941E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;946E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;947E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;948E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;927E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;949E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;929E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;950E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;951E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;952E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;927E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;953E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;929E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;954E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;955E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;956E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;939E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;957E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;941E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;958E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;959E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;960E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;961E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;962E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;963E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;964E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;965E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;966E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;967E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;968E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;969E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;970E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;971E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;972E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;973E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;974E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;975E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;976E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;977E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;978E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;979E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;980E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;981E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;982E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;983E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;984E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;482E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;482E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;482E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;483E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;483E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;483E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;482E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;482E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;482E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;483E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;483E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;483E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;985E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;985E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;986E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;986E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;987E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;988E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;989E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;990E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;991E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;992E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;993E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;994E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;995E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;996E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;997E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;998E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;999E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1000E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1001E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1002E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1003E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1004E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1005E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1006E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1007E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1008E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1009E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1010E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1011E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1012E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1013E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1014E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1015E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1016E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1017E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1018E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1019E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1020E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1021E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1022E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1023E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1024E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1025E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1026E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1027E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1028E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1029E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1030E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1031E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1032E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1033E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1034E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1035E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1036E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1037E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1038E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1039E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1040E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1041E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1042E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1043E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1044E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1045E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1046E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1047E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1048E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1049E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1050E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1051E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1052E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1053E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1054E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1055E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1056E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1057E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1058E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1059E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1060E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1061E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1062E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1063E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1064E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1067E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1067E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1068E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1069E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1070E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1071E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1072E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1073E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1074E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1075E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1076E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1077E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1078E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1079E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1080E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1081E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1082E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1083E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1084E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1085E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;564E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;564E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;565E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;565E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;565E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1086E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1087E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1088E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1089E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1090E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1091E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1092E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1093E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1094E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1095E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1096E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1097E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1098E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1099E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1100E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1101E;E;D;K;6;$classD;K;6;CP$UIDd;3;255E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;438E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;4;1102E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;4;1103E;E;S;23;{"width":1,"height":26}D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;908E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;908E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;908E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;908E;E;S;23;{"width":1,"height":23}S;41;default-button-bezel-highlighted-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1104E;E;E;S;43;default-button-bezel-highlighted-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1105E;E;E;S;42;default-button-bezel-highlighted-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1106E;E;E;S;29;default-button-bezel-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1107E;E;E;S;31;default-button-bezel-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1108E;E;E;S;30;default-button-bezel-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1109E;E;E;S;38;default-button-bezel-disabled-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1110E;E;E;S;40;default-button-bezel-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1111E;E;E;S;39;default-button-bezel-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1112E;E;E;S;30;button-bezel-disabled-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1113E;E;E;S;32;button-bezel-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1114E;E;E;S;31;button-bezel-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1115E;E;E;S;33;button-bezel-highlighted-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1116E;E;E;S;35;button-bezel-highlighted-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1117E;E;E;S;34;button-bezel-highlighted-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1118E;E;E;S;21;button-bezel-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1119E;E;E;S;23;button-bezel-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1120E;E;E;S;22;button-bezel-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1121E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1122E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1123E;E;E;S;31;popup-bezel-right-pullsdown.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1124E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1125E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1126E;E;E;S;40;popup-bezel-disabled-right-pullsdown.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1127E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1128E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1129E;E;E;S;30;popup-bezel-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1130E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1131E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1132E;E;E;S;21;popup-bezel-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1133E;E;E;S;33;scroller-horizontal-knob-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1134E;E;E;S;35;scroller-horizontal-knob-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1135E;E;E;S;34;scroller-horizontal-knob-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1136E;E;E;S;42;scroller-horizontal-knob-disabled-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1137E;E;E;S;44;scroller-horizontal-knob-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1138E;E;E;S;43;scroller-horizontal-knob-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1139E;E;E;S;39;scroller-vertical-knob-disabled-top.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1140E;E;E;S;42;scroller-vertical-knob-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1141E;E;E;S;42;scroller-vertical-knob-disabled-bottom.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1142E;E;E;S;30;scroller-vertical-knob-top.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1143E;E;E;S;33;scroller-vertical-knob-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1144E;E;E;S;33;scroller-vertical-knob-bottom.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1145E;E;E;S;23;{"width":1,"height":15}S;23;{"width":15,"height":1}S;32;textfield-bezel-rounded-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1146E;E;E;S;34;textfield-bezel-rounded-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1147E;E;E;S;33;textfield-bezel-rounded-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1148E;E;E;S;40;textfield-bezel-rounded-focused-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1149E;E;E;S;42;textfield-bezel-rounded-focused-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1150E;E;E;S;41;textfield-bezel-rounded-focused-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1151E;E;E;S;36;textfield-bezel-square-focused-0.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1152E;E;E;S;36;textfield-bezel-square-focused-1.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1153E;E;E;S;36;textfield-bezel-square-focused-2.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1154E;E;E;S;36;textfield-bezel-square-focused-3.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1155E;E;E;S;36;textfield-bezel-square-focused-4.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1156E;E;E;S;36;textfield-bezel-square-focused-5.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1157E;E;E;S;36;textfield-bezel-square-focused-6.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1158E;E;E;S;36;textfield-bezel-square-focused-7.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1159E;E;E;S;36;textfield-bezel-square-focused-8.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1160E;E;E;S;28;textfield-bezel-square-0.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1161E;E;E;S;28;textfield-bezel-square-1.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1162E;E;E;S;28;textfield-bezel-square-2.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1163E;E;E;S;28;textfield-bezel-square-3.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1164E;E;E;S;28;textfield-bezel-square-4.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1165E;E;E;S;28;textfield-bezel-square-5.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1166E;E;E;S;28;textfield-bezel-square-6.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1167E;E;E;S;28;textfield-bezel-square-7.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1168E;E;E;S;28;textfield-bezel-square-8.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1169E;E;E;S;33;radio-bezel-selected-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1170E;E;E;S;24;radio-bezel-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1171E;E;E;S;27;radio-bezel-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1172E;E;E;S;36;radio-bezel-selected-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1173E;E;E;S;24;radio-bezel-selected.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1174E;E;E;S;15;radio-bezel.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1175E;E;E;S;25;check-box-bezel-mixed.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1176E;E;E;S;37;check-box-bezel-mixed-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1177E;E;E;S;34;check-box-bezel-mixed-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1178E;E;E;S;37;check-box-bezel-selected-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1179E;E;E;S;28;check-box-bezel-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1180E;E;E;S;31;check-box-bezel-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1181E;E;E;S;40;check-box-bezel-selected-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1182E;E;E;S;28;check-box-bezel-selected.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1183E;E;E;S;19;check-box-bezel.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1184E;E;E;S;23;{"width":1,"height":24}S;23;{"width":4,"height":24}S;24;{"width":34,"height":34}S;22;vertical-track-top.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1185E;E;E;S;25;vertical-track-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1186E;E;E;S;25;vertical-track-bottom.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1187E;E;E;S;31;vertical-track-disabled-top.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1188E;E;E;S;34;vertical-track-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1189E;E;E;S;34;vertical-track-disabled-bottom.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1190E;E;E;S;25;horizontal-track-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1191E;E;E;S;27;horizontal-track-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1192E;E;E;S;26;horizontal-track-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1193E;E;E;S;40;buttonbar-button-bezel-disabled-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1194E;E;E;S;42;buttonbar-button-bezel-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1195E;E;E;S;41;buttonbar-button-bezel-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1196E;E;E;S;43;buttonbar-button-bezel-highlighted-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1197E;E;E;S;45;buttonbar-button-bezel-highlighted-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1198E;E;E;S;44;buttonbar-button-bezel-highlighted-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1199E;E;E;S;31;buttonbar-button-bezel-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1200E;E;E;S;33;buttonbar-button-bezel-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1201E;E;E;S;32;buttonbar-button-bezel-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;4;1202E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1203E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1203E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1203E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1066E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1065E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1203E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1204E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;985E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1204E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1204E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;985E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1204E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1205E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;986E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1205E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1205E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;986E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1205E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1206E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1207E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1206E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1208E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1209E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1208E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1210E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1211E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1210E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1212E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1213E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1212E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1214E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1215E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1214E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1216E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1217E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1216E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1218E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1213E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1218E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1219E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1220E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1219E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1221E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1221E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1221E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1221E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1221E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1221E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1222E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1222E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1222E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1222E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1222E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1222E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1222E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1222E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1222E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1223E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1224E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1225E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1223E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1224E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1225E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1226E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1215E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1226E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1227E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1228E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1227E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1227E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1228E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1227E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1227E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1228E;E;D;K;6;$classD;K;6;CP$UIDd;3;135E;K;15;CPValueValueKeyD;K;6;CP$UIDd;4;1227E;E;S;24;{"width":27,"height":24}S;24;{"width":10,"height":15}S;24;{"width":15,"height":10}S;24;{"width":13,"height":22}S;23;{"width":1,"height":22}S;24;{"width":17,"height":30}S;23;{"width":1,"height":30}S;22;{"width":6,"height":7}S;22;{"width":1,"height":7}S;22;{"width":6,"height":1}S;22;{"width":1,"height":1}S;22;{"width":6,"height":5}S;22;{"width":1,"height":5}S;22;{"width":2,"height":3}S;22;{"width":1,"height":3}S;22;{"width":2,"height":1}S;22;{"width":2,"height":2}S;22;{"width":1,"height":2}S;24;{"width":17,"height":17}S;24;{"width":15,"height":16}S;22;{"width":5,"height":6}S;22;{"width":5,"height":1}S;22;{"width":5,"height":4}S;22;{"width":4,"height":5}S;23;{"width":2,"height":25}S;23;{"width":1,"height":25}E;K;9;$archiverS;15;CPKeyedArchiverK;8;$versionS;6;100000E;p;31;Resources/Aristo-HUD.keyedthemet;60012;280NPLIST;1.0;D;K;4;$topD;K;4;rootD;K;6;CP$UIDd;1;2E;E;K;8;$objectsA;S;5;$nullD;K;10;$classnameS;7;CPThemeK;8;$classesA;S;7;CPThemeS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;1;1E;K;14;CPThemeNameKeyD;K;6;CP$UIDd;1;3E;K;20;CPThemeAttributesKeyD;K;6;CP$UIDd;1;5E;E;S;10;Aristo-HUDD;K;10;$classnameS;19;CPMutableDictionaryK;8;$classesA;S;19;CPMutableDictionaryS;12;CPDictionaryS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;17;segmented-controlD;K;6;CP$UIDd;1;6E;K;6;buttonD;K;6;CP$UIDd;1;7E;K;8;scrollerD;K;6;CP$UIDd;1;8E;K;6;sliderD;K;6;CP$UIDd;1;9E;K;5;alertD;K;6;CP$UIDd;2;10E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;18;text-shadow-offsetD;K;6;CP$UIDd;2;12E;K;17;text-shadow-colorD;K;6;CP$UIDd;2;13E;K;4;fontD;K;6;CP$UIDd;2;14E;K;10;text-colorD;K;6;CP$UIDd;2;15E;K;15;line-break-modeD;K;6;CP$UIDd;2;16E;K;14;default-heightD;K;6;CP$UIDd;2;17E;K;17;divider-thicknessD;K;6;CP$UIDd;2;18E;K;19;divider-bezel-colorD;K;6;CP$UIDd;2;19E;K;26;center-segment-bezel-colorD;K;6;CP$UIDd;2;20E;K;25;right-segment-bezel-colorD;K;6;CP$UIDd;2;21E;K;24;left-segment-bezel-colorD;K;6;CP$UIDd;2;22E;K;13;content-insetD;K;6;CP$UIDd;2;23E;K;11;bezel-insetD;K;6;CP$UIDd;2;24E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;max-sizeD;K;6;CP$UIDd;2;25E;K;8;min-sizeD;K;6;CP$UIDd;2;26E;K;13;image-scalingD;K;6;CP$UIDd;2;27E;K;14;image-positionD;K;6;CP$UIDd;2;28E;K;18;text-shadow-offsetD;K;6;CP$UIDd;2;29E;K;17;text-shadow-colorD;K;6;CP$UIDd;2;30E;K;4;fontD;K;6;CP$UIDd;2;31E;K;10;text-colorD;K;6;CP$UIDd;2;32E;K;15;line-break-modeD;K;6;CP$UIDd;2;33E;K;18;vertical-alignmentD;K;6;CP$UIDd;2;34E;K;9;alignmentD;K;6;CP$UIDd;2;35E;K;11;bezel-colorD;K;6;CP$UIDd;2;36E;K;13;content-insetD;K;6;CP$UIDd;2;37E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;18;text-shadow-offsetD;K;6;CP$UIDd;2;38E;K;17;text-shadow-colorD;K;6;CP$UIDd;2;39E;K;10;text-colorD;K;6;CP$UIDd;2;40E;K;19;minimum-knob-lengthD;K;6;CP$UIDd;2;41E;K;10;knob-insetD;K;6;CP$UIDd;2;42E;K;11;track-insetD;K;6;CP$UIDd;2;43E;K;19;increment-line-sizeD;K;6;CP$UIDd;2;44E;K;19;decrement-line-sizeD;K;6;CP$UIDd;2;45E;K;10;knob-colorD;K;6;CP$UIDd;2;46E;K;20;increment-line-colorD;K;6;CP$UIDd;2;47E;K;20;decrement-line-colorD;K;6;CP$UIDd;2;48E;K;15;knob-slot-colorD;K;6;CP$UIDd;2;49E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;18;text-shadow-offsetD;K;6;CP$UIDd;2;50E;K;17;text-shadow-colorD;K;6;CP$UIDd;2;51E;K;10;text-colorD;K;6;CP$UIDd;2;52E;K;11;track-colorD;K;6;CP$UIDd;2;53E;K;11;track-widthD;K;6;CP$UIDd;2;54E;K;9;knob-sizeD;K;6;CP$UIDd;2;55E;K;10;knob-colorD;K;6;CP$UIDd;2;56E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;11;error-imageD;K;6;CP$UIDd;2;57E;K;13;warning-imageD;K;6;CP$UIDd;2;58E;K;17;information-imageD;K;6;CP$UIDd;2;59E;K;12;image-offsetD;K;6;CP$UIDd;2;60E;K;21;informative-text-fontD;K;6;CP$UIDd;2;61E;K;22;informative-text-colorD;K;6;CP$UIDd;2;62E;K;26;informative-text-alignmentD;K;6;CP$UIDd;2;63E;K;17;message-text-fontD;K;6;CP$UIDd;2;64E;K;18;message-text-colorD;K;6;CP$UIDd;2;65E;K;22;message-text-alignmentD;K;6;CP$UIDd;2;66E;K;13;content-insetD;K;6;CP$UIDd;2;67E;K;4;sizeD;K;6;CP$UIDd;2;68E;E;E;D;K;10;$classnameS;17;_CPThemeAttributeK;8;$classesA;S;17;_CPThemeAttributeS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;69E;K;5;valueD;K;6;CP$UIDd;2;71E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;72E;K;6;valuesD;K;6;CP$UIDd;2;73E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;74E;K;5;valueD;K;6;CP$UIDd;2;76E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;77E;K;6;valuesD;K;6;CP$UIDd;2;78E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;79E;K;5;valueD;K;6;CP$UIDd;2;80E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;81E;K;5;valueD;K;6;CP$UIDd;2;82E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;83E;K;5;valueD;K;6;CP$UIDd;2;84E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;85E;K;6;valuesD;K;6;CP$UIDd;2;86E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;87E;K;6;valuesD;K;6;CP$UIDd;2;88E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;89E;K;6;valuesD;K;6;CP$UIDd;2;90E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;91E;K;6;valuesD;K;6;CP$UIDd;2;92E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;93E;K;5;valueD;K;6;CP$UIDd;2;94E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;95E;K;5;valueD;K;6;CP$UIDd;2;96E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;97E;K;5;valueD;K;6;CP$UIDd;2;98E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;99E;K;5;valueD;K;6;CP$UIDd;3;100E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;101E;K;5;valueD;K;6;CP$UIDd;3;102E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;103E;K;5;valueD;K;6;CP$UIDd;3;102E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;69E;K;5;valueD;K;6;CP$UIDd;3;104E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;72E;K;6;valuesD;K;6;CP$UIDd;3;105E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;74E;K;5;stateD;K;6;CP$UIDd;3;106E;K;5;valueD;K;6;CP$UIDd;2;76E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;77E;K;6;valuesD;K;6;CP$UIDd;3;107E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;79E;K;5;valueD;K;6;CP$UIDd;2;80E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;108E;K;5;valueD;K;6;CP$UIDd;3;102E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;109E;K;5;valueD;K;6;CP$UIDd;3;102E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;110E;K;6;valuesD;K;6;CP$UIDd;3;111E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;93E;K;5;stateD;K;6;CP$UIDd;3;106E;K;5;valueD;K;6;CP$UIDd;3;112E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;69E;K;5;valueD;K;6;CP$UIDd;3;113E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;72E;K;6;valuesD;K;6;CP$UIDd;3;114E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;77E;K;6;valuesD;K;6;CP$UIDd;3;115E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;116E;K;6;valuesD;K;6;CP$UIDd;3;117E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;118E;K;6;valuesD;K;6;CP$UIDd;3;119E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;120E;K;6;valuesD;K;6;CP$UIDd;3;121E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;122E;K;6;valuesD;K;6;CP$UIDd;3;123E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;124E;K;6;valuesD;K;6;CP$UIDd;3;125E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;126E;K;6;valuesD;K;6;CP$UIDd;3;127E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;128E;K;6;valuesD;K;6;CP$UIDd;3;129E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;130E;K;6;valuesD;K;6;CP$UIDd;3;131E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;132E;K;6;valuesD;K;6;CP$UIDd;3;133E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;69E;K;5;valueD;K;6;CP$UIDd;3;134E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;72E;K;6;valuesD;K;6;CP$UIDd;3;135E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;77E;K;6;valuesD;K;6;CP$UIDd;3;136E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;137E;K;6;valuesD;K;6;CP$UIDd;3;138E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;139E;K;5;valueD;K;6;CP$UIDd;3;140E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;141E;K;6;valuesD;K;6;CP$UIDd;3;142E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;126E;K;6;valuesD;K;6;CP$UIDd;3;143E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;144E;K;5;valueD;K;6;CP$UIDd;3;146E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;147E;K;5;valueD;K;6;CP$UIDd;3;148E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;149E;K;5;valueD;K;6;CP$UIDd;3;150E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;151E;K;5;valueD;K;6;CP$UIDd;3;152E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;153E;K;5;valueD;K;6;CP$UIDd;3;154E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;155E;K;5;valueD;K;6;CP$UIDd;3;157E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;158E;K;5;valueD;K;6;CP$UIDd;3;159E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;160E;K;5;valueD;K;6;CP$UIDd;3;161E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;162E;K;5;valueD;K;6;CP$UIDd;3;157E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;163E;K;5;valueD;K;6;CP$UIDd;3;159E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;2;93E;K;5;valueD;K;6;CP$UIDd;3;164E;E;D;K;6;$classD;K;6;CP$UIDd;2;11E;K;4;nameD;K;6;CP$UIDd;3;165E;K;5;valueD;K;6;CP$UIDd;3;166E;E;S;18;text-shadow-offsetD;K;10;$classnameS;21;_CPKeyedArchiverValueK;8;$classesA;S;21;_CPKeyedArchiverValueS;7;CPValueS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;167E;E;S;17;text-shadow-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;168E;K;6;normalD;K;6;CP$UIDd;3;168E;E;E;S;4;fontD;K;10;$classnameS;6;CPFontK;8;$classesA;S;6;CPFontS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;2;75E;K;13;CPFontNameKeyD;K;6;CP$UIDd;3;169E;K;13;CPFontSizeKeyD;K;6;CP$UIDd;3;170E;K;15;CPFontIsBoldKeyD;K;6;CP$UIDd;3;171E;E;S;10;text-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;172E;K;6;normalD;K;6;CP$UIDd;3;157E;E;E;S;15;line-break-moded;1;4S;14;default-heightd;2;24S;17;divider-thicknessd;1;1S;19;divider-bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;selectedD;K;6;CP$UIDd;3;173E;K;17;disabled+selectedD;K;6;CP$UIDd;3;174E;K;8;disabledD;K;6;CP$UIDd;3;175E;K;6;normalD;K;6;CP$UIDd;3;176E;E;E;S;26;center-segment-bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;20;highlighted+selectedD;K;6;CP$UIDd;3;177E;K;11;highlightedD;K;6;CP$UIDd;3;178E;K;8;selectedD;K;6;CP$UIDd;3;179E;K;17;disabled+selectedD;K;6;CP$UIDd;3;180E;K;8;disabledD;K;6;CP$UIDd;3;181E;K;6;normalD;K;6;CP$UIDd;3;182E;E;E;S;25;right-segment-bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;20;highlighted+selectedD;K;6;CP$UIDd;3;183E;K;11;highlightedD;K;6;CP$UIDd;3;184E;K;8;selectedD;K;6;CP$UIDd;3;185E;K;17;disabled+selectedD;K;6;CP$UIDd;3;186E;K;8;disabledD;K;6;CP$UIDd;3;187E;K;6;normalD;K;6;CP$UIDd;3;188E;E;E;S;24;left-segment-bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;20;highlighted+selectedD;K;6;CP$UIDd;3;189E;K;11;highlightedD;K;6;CP$UIDd;3;190E;K;8;selectedD;K;6;CP$UIDd;3;191E;K;17;disabled+selectedD;K;6;CP$UIDd;3;192E;K;8;disabledD;K;6;CP$UIDd;3;193E;K;6;normalD;K;6;CP$UIDd;3;194E;E;E;S;13;content-insetD;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;195E;E;S;11;bezel-insetD;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;196E;E;S;8;max-sizeD;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;197E;E;S;8;min-sizeD;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;198E;E;S;13;image-scalingd;1;2S;14;image-positionD;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;167E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;168E;K;6;normalD;K;6;CP$UIDd;3;168E;E;E;S;8;borderedD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;199E;K;6;normalD;K;6;CP$UIDd;3;157E;E;E;S;18;vertical-alignmentS;9;alignmentS;11;bezel-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;28;highlighted+bordered+defaultD;K;6;CP$UIDd;3;200E;K;16;bordered+defaultD;K;6;CP$UIDd;3;201E;K;25;disabled+bordered+defaultD;K;6;CP$UIDd;3;202E;K;17;disabled+borderedD;K;6;CP$UIDd;3;203E;K;20;highlighted+borderedD;K;6;CP$UIDd;3;204E;K;8;borderedD;K;6;CP$UIDd;3;205E;E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;206E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;167E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;168E;K;6;normalD;K;6;CP$UIDd;3;168E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;207E;K;6;normalD;K;6;CP$UIDd;3;157E;E;E;S;19;minimum-knob-lengthD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;208E;K;8;verticalD;K;6;CP$UIDd;3;208E;E;E;S;10;knob-insetD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;209E;K;8;verticalD;K;6;CP$UIDd;3;210E;E;E;S;11;track-insetD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;211E;K;8;verticalD;K;6;CP$UIDd;3;212E;E;E;S;19;increment-line-sizeD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;213E;K;8;verticalD;K;6;CP$UIDd;3;214E;E;E;S;19;decrement-line-sizeD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;215E;K;8;verticalD;K;6;CP$UIDd;3;216E;E;E;S;10;knob-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;217E;K;8;verticalD;K;6;CP$UIDd;3;218E;E;E;S;20;increment-line-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;219E;K;11;highlightedD;K;6;CP$UIDd;3;220E;K;8;disabledD;K;6;CP$UIDd;3;221E;K;17;disabled+verticalD;K;6;CP$UIDd;3;222E;K;20;highlighted+verticalD;K;6;CP$UIDd;3;223E;K;8;verticalD;K;6;CP$UIDd;3;224E;E;E;S;20;decrement-line-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;225E;K;11;highlightedD;K;6;CP$UIDd;3;226E;K;8;disabledD;K;6;CP$UIDd;3;227E;K;17;disabled+verticalD;K;6;CP$UIDd;3;228E;K;20;highlighted+verticalD;K;6;CP$UIDd;3;229E;K;8;verticalD;K;6;CP$UIDd;3;230E;E;E;S;15;knob-slot-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;6;normalD;K;6;CP$UIDd;3;231E;K;8;disabledD;K;6;CP$UIDd;3;232E;K;17;disabled+verticalD;K;6;CP$UIDd;3;233E;K;8;verticalD;K;6;CP$UIDd;3;234E;E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;167E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;168E;K;6;normalD;K;6;CP$UIDd;3;168E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;disabledD;K;6;CP$UIDd;3;235E;K;6;normalD;K;6;CP$UIDd;3;157E;E;E;S;11;track-colorD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;circularD;K;6;CP$UIDd;3;236E;K;17;disabled+circularD;K;6;CP$UIDd;3;237E;K;8;verticalD;K;6;CP$UIDd;3;238E;K;8;disabledD;K;6;CP$UIDd;3;239E;K;6;normalD;K;6;CP$UIDd;3;240E;E;E;S;11;track-widthd;1;5S;9;knob-sizeD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;circularD;K;6;CP$UIDd;3;241E;K;6;normalD;K;6;CP$UIDd;3;242E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;8;circularD;K;6;CP$UIDd;3;243E;K;20;highlighted+circularD;K;6;CP$UIDd;3;244E;K;17;disabled+circularD;K;6;CP$UIDd;3;245E;K;8;disabledD;K;6;CP$UIDd;3;246E;K;11;highlightedD;K;6;CP$UIDd;3;247E;K;6;normalD;K;6;CP$UIDd;3;248E;E;E;S;11;error-imageD;K;10;$classnameS;20;_CPCibCustomResourceK;8;$classesA;S;20;_CPCibCustomResourceS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;250E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;251E;E;S;13;warning-imageD;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;252E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;253E;E;S;17;information-imageD;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;254E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;255E;E;S;12;image-offsetD;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;256E;E;S;21;informative-text-fontD;K;6;$classD;K;6;CP$UIDd;2;75E;K;13;CPFontNameKeyD;K;6;CP$UIDd;3;169E;K;13;CPFontSizeKeyD;K;6;CP$UIDd;3;170E;K;15;CPFontIsBoldKeyD;K;6;CP$UIDd;3;257E;E;S;22;informative-text-colorD;K;10;$classnameS;7;CPColorK;8;$classesA;S;7;CPColorS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;259E;E;S;26;informative-text-alignmentd;1;3S;17;message-text-fontD;K;6;$classD;K;6;CP$UIDd;2;75E;K;13;CPFontNameKeyD;K;6;CP$UIDd;3;169E;K;13;CPFontSizeKeyD;K;6;CP$UIDd;3;260E;K;15;CPFontIsBoldKeyD;K;6;CP$UIDd;3;171E;E;S;18;message-text-colorS;22;message-text-alignmentD;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;261E;E;S;4;sizeD;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;262E;E;S;24;{"width":-1,"height":-1}D;K;6;$classD;K;6;CP$UIDd;3;156E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;263E;E;S;17;Arial, sans-serifd;2;12T;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;264E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;265E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;266E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;267E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;268E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;269E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;270E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;271E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;272E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;273E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;274E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;275E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;276E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;277E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;278E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;279E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;280E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;281E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;282E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;283E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;284E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;285E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;286E;E;S;39;{"top":0,"right":4,"bottom":0,"left":4}S;39;{"top":0,"right":0,"bottom":0,"left":0}S;24;{"width":-1,"height":24}S;23;{"width":0,"height":24}D;K;6;$classD;K;6;CP$UIDd;3;156E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;287E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;289E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;290E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;291E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;292E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;293E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;294E;E;S;39;{"top":0,"right":5,"bottom":0,"left":5}D;K;6;$classD;K;6;CP$UIDd;3;156E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;295E;E;d;2;21D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;196E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;196E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;296E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;297E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;298E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;299E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;298E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;299E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;300E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;301E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;302E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;303E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;304E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;305E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;306E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;307E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;308E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;309E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;310E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;311E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;312E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;313E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;314E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;315E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;316E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;317E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;20;CPColorComponentsKeyD;K;6;CP$UIDd;3;318E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;319E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;320E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;321E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;322E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;323E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;324E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;325E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;326E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;327E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;328E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;329E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;330E;E;D;K;6;$classD;K;6;CP$UIDd;3;156E;K;22;CPColorPatternImageKeyD;K;6;CP$UIDd;3;331E;E;S;7;CPImageS;15;alert-error.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;332E;E;E;S;17;alert-warning.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;333E;E;E;S;14;alert-info.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;334E;E;E;S;15;{"x":15,"y":18}F;D;K;10;$classnameS;7;CPArrayK;8;$classesA;S;7;CPArrayS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;E;E;d;2;13S;43;{"top":15,"right":15,"bottom":15,"left":80}S;26;{"width":400,"height":110}D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;335E;D;K;6;CP$UIDd;3;335E;D;K;6;CP$UIDd;3;335E;D;K;6;CP$UIDd;2;84E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;3;336E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;337E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;338E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;339E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;340E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;341E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;342E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;343E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;344E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;345E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;346E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;347E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;348E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;349E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;350E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;351E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;352E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;353E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;354E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;355E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;356E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;357E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;358E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;359E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;360E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;361E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;362E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;363E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;364E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;365E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;366E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;367E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;368E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;369E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;370E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;371E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;372E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;373E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;374E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;375E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;376E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;377E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;378E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;379E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;380E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;3;336E;E;E;D;K;10;$classnameS;16;CPThreePartImageK;8;$classesA;S;16;CPThreePartImageS;8;CPObjectE;E;D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;381E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;257E;E;D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;382E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;257E;E;D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;383E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;257E;E;D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;384E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;257E;E;D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;385E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;257E;E;D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;386E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;257E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;3;336E;E;E;S;43;{"top":0,"right":-10,"bottom":0,"left":-11}S;43;{"top":-10,"right":0,"bottom":-10,"left":0}S;24;{"width":24,"height":15}S;24;{"width":15,"height":24}D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;387E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;257E;E;D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;388E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;171E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;389E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;390E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;391E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;392E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;393E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;394E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;395E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;396E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;397E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;398E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;399E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;400E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;401E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;402E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;403E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;404E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;405E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;406E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;407E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;408E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;409E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;410E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;411E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;412E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;413E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;414E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;415E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;416E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;417E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;418E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;419E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;420E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;2;84E;D;K;6;CP$UIDd;3;336E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;421E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;422E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;423E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;424E;E;D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;425E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;171E;E;D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;426E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;171E;E;D;K;6;$classD;K;6;CP$UIDd;3;288E;K;30;CPThreePartImageImageSlicesKeyD;K;6;CP$UIDd;3;427E;K;29;CPThreePartImageIsVerticalKeyD;K;6;CP$UIDd;3;257E;E;S;22;{"width":5,"height":5}S;24;{"width":23,"height":24}D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;428E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;429E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;428E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;430E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;431E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;432E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;433E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;434E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;435E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;436E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;437E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;438E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;439E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;439E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;439E;E;d;1;0f;3;0.6S;51;HUD/segmented-control-bezel-highlighted-divider.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;440E;E;E;S;60;HUD/segmented-control-bezel-highlighted-disabled-divider.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;441E;E;E;S;48;HUD/segmented-control-bezel-disabled-divider.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;442E;E;E;S;39;HUD/segmented-control-bezel-divider.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;443E;E;E;S;57;HUD/segmented-control-bezel-pushed-highlighted-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;444E;E;E;S;45;HUD/segmented-control-bezel-pushed-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;445E;E;E;S;50;HUD/segmented-control-bezel-highlighted-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;446E;E;E;S;59;HUD/segmented-control-bezel-highlighted-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;447E;E;E;S;47;HUD/segmented-control-bezel-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;448E;E;E;S;38;HUD/segmented-control-bezel-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;449E;E;E;S;56;HUD/segmented-control-bezel-pushed-highlighted-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;450E;E;E;S;44;HUD/segmented-control-bezel-pushed-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;451E;E;E;S;49;HUD/segmented-control-bezel-highlighted-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;452E;E;E;S;58;HUD/segmented-control-bezel-highlighted-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;453E;E;E;S;46;HUD/segmented-control-bezel-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;454E;E;E;S;37;HUD/segmented-control-bezel-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;455E;E;E;S;55;HUD/segmented-control-bezel-pushed-highlighted-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;456E;E;E;S;43;HUD/segmented-control-bezel-pushed-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;457E;E;E;S;48;HUD/segmented-control-bezel-highlighted-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;458E;E;E;S;57;HUD/segmented-control-bezel-highlighted-disabled-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;459E;E;E;S;45;HUD/segmented-control-bezel-disabled-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;460E;E;E;S;36;HUD/segmented-control-bezel-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;461E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;462E;D;K;6;CP$UIDd;3;463E;D;K;6;CP$UIDd;3;464E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;465E;D;K;6;CP$UIDd;3;466E;D;K;6;CP$UIDd;3;467E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;468E;D;K;6;CP$UIDd;3;469E;D;K;6;CP$UIDd;3;470E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;471E;D;K;6;CP$UIDd;3;472E;D;K;6;CP$UIDd;3;473E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;474E;D;K;6;CP$UIDd;3;475E;D;K;6;CP$UIDd;3;476E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;477E;D;K;6;CP$UIDd;3;478E;D;K;6;CP$UIDd;3;479E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;480E;D;K;6;CP$UIDd;3;481E;D;K;6;CP$UIDd;3;482E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;483E;D;K;6;CP$UIDd;3;484E;D;K;6;CP$UIDd;3;485E;E;E;S;28;HUD/scroller-right-arrow.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;486E;E;E;S;40;HUD/scroller-right-arrow-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;487E;E;E;S;37;HUD/scroller-right-arrow-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;488E;E;E;S;36;HUD/scroller-down-arrow-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;489E;E;E;S;39;HUD/scroller-down-arrow-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;490E;E;E;S;27;HUD/scroller-down-arrow.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;491E;E;E;S;27;HUD/scroller-left-arrow.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;492E;E;E;S;39;HUD/scroller-left-arrow-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;493E;E;E;S;36;HUD/scroller-left-arrow-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;494E;E;E;S;34;HUD/scroller-up-arrow-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;495E;E;E;S;37;HUD/scroller-up-arrow-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;496E;E;E;S;25;HUD/scroller-up-arrow.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;497E;E;E;S;33;HUD/scroller-horizontal-track.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;498E;E;E;S;42;HUD/scroller-horizontal-track-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;499E;E;E;S;40;HUD/scroller-vertical-track-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;500E;E;E;S;31;HUD/scroller-vertical-track.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;501E;E;E;S;29;HUD/slider-circular-bezel.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;502E;E;E;S;38;HUD/slider-circular-disabled-bezel.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;503E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;504E;D;K;6;CP$UIDd;3;505E;D;K;6;CP$UIDd;3;506E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;507E;D;K;6;CP$UIDd;3;508E;D;K;6;CP$UIDd;3;509E;E;E;D;K;6;$classD;K;6;CP$UIDd;3;258E;K;10;CP.objectsA;D;K;6;CP$UIDd;3;510E;D;K;6;CP$UIDd;3;511E;D;K;6;CP$UIDd;3;512E;E;E;S;28;HUD/slider-circular-knob.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;513E;E;E;D;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;514E;E;E;S;37;HUD/slider-circular-disabled-knob.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;515E;E;E;S;21;HUD/knob-disabled.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;516E;E;E;S;24;HUD/knob-highlighted.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;517E;E;E;S;12;HUD/knob.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;518E;E;E;S;24;{"width":53,"height":46}D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;521E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;522E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;523E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;524E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;525E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;526E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;527E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;528E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;529E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;530E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;531E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;532E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;533E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;534E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;535E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;536E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;537E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;538E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;539E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;540E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;541E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;542E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;543E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;544E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;545E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;546E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;547E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;548E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;549E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;550E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;551E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;552E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;553E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;554E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;555E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;556E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;557E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;558E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;559E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;560E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;561E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;562E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;563E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;564E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;565E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;566E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;567E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;568E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;298E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;298E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;298E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;299E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;299E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;299E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;298E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;298E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;298E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;299E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;299E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;299E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;569E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;569E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;570E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;570E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;571E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;571E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;572E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;573E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;574E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;575E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;576E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;577E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;578E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;579E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;580E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;581E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;582E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;583E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;584E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;585E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;586E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;587E;E;D;K;6;$classD;K;6;CP$UIDd;3;145E;K;32;_CPCibCustomResourceClassNameKeyD;K;6;CP$UIDd;3;249E;K;35;_CPCibCustomResourceResourceNameKeyD;K;6;CP$UIDd;3;588E;K;33;_CPCibCustomResourcePropertiesKeyD;K;6;CP$UIDd;3;589E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;324E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;324E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;324E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;325E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;325E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;325E;E;S;23;{"width":1,"height":24}S;23;{"width":4,"height":24}S;45;HUD/default-button-bezel-highlighted-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;590E;E;E;S;47;HUD/default-button-bezel-highlighted-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;591E;E;E;S;46;HUD/default-button-bezel-highlighted-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;592E;E;E;S;33;HUD/default-button-bezel-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;593E;E;E;S;35;HUD/default-button-bezel-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;594E;E;E;S;34;HUD/default-button-bezel-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;595E;E;E;S;42;HUD/default-button-bezel-disabled-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;596E;E;E;S;44;HUD/default-button-bezel-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;597E;E;E;S;43;HUD/default-button-bezel-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;598E;E;E;S;34;HUD/button-bezel-disabled-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;599E;E;E;S;36;HUD/button-bezel-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;600E;E;E;S;35;HUD/button-bezel-disabled-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;601E;E;E;S;37;HUD/button-bezel-highlighted-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;602E;E;E;S;39;HUD/button-bezel-highlighted-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;603E;E;E;S;38;HUD/button-bezel-highlighted-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;604E;E;E;S;25;HUD/button-bezel-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;605E;E;E;S;27;HUD/button-bezel-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;606E;E;E;S;26;HUD/button-bezel-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;607E;E;E;S;37;HUD/scroller-horizontal-knob-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;608E;E;E;S;39;HUD/scroller-horizontal-knob-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;609E;E;E;S;38;HUD/scroller-horizontal-knob-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;610E;E;E;S;34;HUD/scroller-vertical-knob-top.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;611E;E;E;S;37;HUD/scroller-vertical-knob-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;612E;E;E;S;37;HUD/scroller-vertical-knob-bottom.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;613E;E;E;S;23;{"width":1,"height":15}S;23;{"width":15,"height":1}S;24;{"width":34,"height":34}S;26;HUD/vertical-track-top.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;614E;E;E;S;29;HUD/vertical-track-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;615E;E;E;S;29;HUD/vertical-track-bottom.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;616E;E;E;S;35;HUD/vertical-track-disabled-top.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;617E;E;E;S;38;HUD/vertical-track-disabled-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;618E;E;E;S;38;HUD/vertical-track-disabled-bottom.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;619E;E;E;S;29;HUD/horizontal-track-left.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;620E;E;E;S;31;HUD/horizontal-track-center.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;621E;E;E;S;30;HUD/horizontal-track-right.pngD;K;6;$classD;K;6;CP$UIDd;1;4E;K;10;CP.objectsD;K;4;sizeD;K;6;CP$UIDd;3;622E;E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;519E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;520E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;623E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;569E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;623E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;624E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;570E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;624E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;625E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;626E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;627E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;625E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;626E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;627E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;628E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;629E;E;D;K;6;$classD;K;6;CP$UIDd;2;70E;K;15;CPValueValueKeyD;K;6;CP$UIDd;3;628E;E;S;24;{"width":10,"height":15}S;24;{"width":15,"height":10}S;22;{"width":5,"height":6}S;22;{"width":5,"height":1}S;22;{"width":5,"height":4}S;22;{"width":4,"height":5}S;22;{"width":1,"height":5}E;K;9;$archiverS;15;CPKeyedArchiverK;8;$versionS;6;100000E;e; | Objective-J | 0 | Me1000/CappInventory | Build/Deployment/Iguana/Frameworks/Debug/AppKit/Resources/Aristo.blend/Browser.environment/Aristo.blend.sj | [
"MIT"
] |
(ns wisp.test.compiler
(:require [wisp.src.ast :refer [symbol]]
[wisp.src.sequence :refer [list]]
[wisp.src.runtime :refer [str =]]
[wisp.src.compiler :refer [self-evaluating? compile macroexpand
compile-program]]
[wisp.src.reader :refer [read-from-string]]))
| wisp | 2 | NhanHo/wisp | test/compiler.wisp | [
"BSD-3-Clause"
] |
#!/usr/bin/env bash
#
# Copyright (c) 2016-present, Facebook, Inc.
# All rights reserved.
#
# This source code is licensed under the MIT license found in the
# LICENSE file in the root directory of this source tree.
#
# This script illustrates how to run the build tests locally
# This requires docker
tail -n 15 .circleci/config.yml | sed s/.\\+\"\\\(\.\\+\\\)\"/\\1/g | xargs -P 4 -o -I {} bash -c "circleci build --job {} && (>&2 echo "{}")" > /dev/null
| Shell | 3 | KatyaKos/fastText | .circleci/run_locally.sh | [
"MIT"
] |
=pod
=head1 NAME
EC_KEY_get_enc_flags, EC_KEY_set_enc_flags
- Get and set flags for encoding EC_KEY structures
=head1 SYNOPSIS
#include <openssl/ec.h>
unsigned int EC_KEY_get_enc_flags(const EC_KEY *key);
void EC_KEY_set_enc_flags(EC_KEY *eckey, unsigned int flags);
=head1 DESCRIPTION
The format of the external representation of the public key written by
i2d_ECPrivateKey() (such as whether it is stored in a compressed form or not) is
described by the point_conversion_form. See L<EC_GROUP_copy(3)>
for a description of point_conversion_form.
When reading a private key encoded without an associated public key (e.g. if
EC_PKEY_NO_PUBKEY has been used - see below), then d2i_ECPrivateKey() generates
the missing public key automatically. Private keys encoded without parameters
(e.g. if EC_PKEY_NO_PARAMETERS has been used - see below) cannot be loaded using
d2i_ECPrivateKey().
The functions EC_KEY_get_enc_flags() and EC_KEY_set_enc_flags() get and set the
value of the encoding flags for the B<key>. There are two encoding flags
currently defined - EC_PKEY_NO_PARAMETERS and EC_PKEY_NO_PUBKEY. These flags
define the behaviour of how the B<key> is converted into ASN1 in a call to
i2d_ECPrivateKey(). If EC_PKEY_NO_PARAMETERS is set then the public parameters for
the curve are not encoded along with the private key. If EC_PKEY_NO_PUBKEY is
set then the public key is not encoded along with the private key.
=head1 RETURN VALUES
EC_KEY_get_enc_flags() returns the value of the current encoding flags for the
EC_KEY.
=head1 SEE ALSO
L<crypto(7)>, L<EC_GROUP_new(3)>,
L<EC_GROUP_copy(3)>, L<EC_POINT_new(3)>,
L<EC_POINT_add(3)>,
L<EC_GFp_simple_method(3)>,
L<d2i_ECPKParameters(3)>,
L<d2i_ECPrivateKey(3)>
=head1 COPYRIGHT
Copyright 2015-2017 The OpenSSL Project Authors. All Rights Reserved.
Licensed under the Apache License 2.0 (the "License"). You may not use
this file except in compliance with the License. You can obtain a copy
in the file LICENSE in the source distribution or at
L<https://www.openssl.org/source/license.html>.
=cut
| Pod | 4 | pmesnier/openssl | doc/man3/EC_KEY_get_enc_flags.pod | [
"Apache-2.0"
] |
sig Value {}
sig Buffer {
succ : one Buffer,
var content : lone Value
}
var one sig read, write in Buffer {}
fact circular {
all b : Buffer | Buffer in b.^succ
}
fact init {
read = write
no content
}
pred send [v : Value] {
no write.content
content' = content + write -> v
write' = write.succ
read' = read
}
pred receive [v : Value] {
some read.content
v = read.content
content' = content - read -> v
write' = write
read' = read.succ
}
pred stutter {
content' = content
write' = write
read' = read
}
fact transitions {
always (stutter or some v : Value | send[v] or receive[v])
}
run {}
assert everyReceivedValueWasSent {
all v : Value | always (receive[v] implies once send[v])
}
check everyReceivedValueWasSent for 4
assert orderIsPreserved {
all x, y : Value | always ((receive[x] and once receive[y]) implies once (send[x] and once send[y]))
}
check orderIsPreserved for 3
pred receiveEnabled[v : Value] {
some read.content
read.content = v
}
pred receiveWeakFairness { all v : Value |
(eventually always receiveEnabled[v]) implies
(always eventually receive[v])
}
run receiveWeakFairness
assert everySentValueWillBeReceived {
receiveWeakFairness implies
all v : Value | always (send[v] implies eventually receive[v])
}
check everySentValueWillBeReceived for 3
| Alloy | 4 | Kaixi26/org.alloytools.alloy | org.alloytools.alloy.extra/extra/models/examples/temporal/buffer.als | [
"Apache-2.0"
] |
<%@ LANGUAGE = "VBScript.Encode"%>
<%#@~^IQAAAA==3X+^!YMVK4msPM+5E/OcrSl [MM+Xrb+AsAAA==^#~@%> | ASP | 0 | laotun-s/webshell | asp/vbencode-bypass.asp | [
"MIT"
] |
DROP TABLE "public"."table28";
| SQL | 0 | eazyfin/graphql-engine | cli/commands/testdata/migrate-squash-test/migrations/1588172669593_create_table_public_table28/down.sql | [
"Apache-2.0",
"MIT"
] |
# Copyright 2014 Google Inc. All rights reserved.
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# $Header: $
EAPI=4
inherit cmake-utils
DESCRIPTION="Dependency Injection Framework For C++"
HOMEPAGE="https://github.com/google/fruit"
SRC_URI="https://github.com/google/fruit/archive/v${PV}.tar.gz"
LICENSE="Apache-2.0"
SLOT="0"
KEYWORDS="amd64 ~x86"
IUSE=""
| Gentoo Ebuild | 2 | TinkerBoard-Android/external-google-fruit | extras/fruit-2.0.0.ebuild | [
"Apache-2.0"
] |
@keyframes identifier {
0% {
top: 0;
left: 0;
}
30% {
top: 50px;
}
68%,
72% {
left: 50px;
}
100% {
top: 100px;
left: 100%;
}
}
@keyframes identifier {
0%{top:0;left:0;}
30%{top: 50px;}
68%,72%{left: 50px;}
100%{top: 100px; left: 100%;}
}
@keyframes identifier{
0% {
top:0;
left:0;
}
30% {
top: 50px;
}
68%, 72% {
left: 50px;
}
100% {
top: 100px;
left: 100%;
}
}
@keyframes identifier {
0% {
top:0;
left:0;
}
30% {
top: 50px;
}
68%, 72% {
left: 50px;
}
100% {
top: 100px;
left: 100%;
}
}
@keyframes
identifier
{
0%
{
top
:
0;
left
:
0
;
}
30%
{
top
:
50px
;
}
68%
,
72%
{
left
:
50px
;
}
100%
{
top
:
100px
;
left
:
100%
;
}
}
@keyframes
identifier
{
0%
{
top
:
0
;
left
:
0
;
}
30%
{
top
:
50px
;
}
68%
,
72%
{
left
:
50px
;
}
100%
{
top
:
100px
;
left
:
100%
;
}
}
@keyframes identifier {
from {
margin-top: 50px;
}
to {
margin-top: 100px;
}
}
@keyframes
identifier
{
from
{
margin-top: 50px;
}
to
{
margin-top: 100px;
}
}
@keyframes
identifier
{
from
{
margin-top: 50px;
}
to
{
margin-top: 100px;
}
}
@-webkit-keyframes identifier {
0% { opacity: 0; top: 4rem; }
100% { opacity: 1; top: 0; }
}
| CSS | 3 | fuelingtheweb/prettier | tests/css_atrule/keyframes.css | [
"MIT"
] |
TDObjectGatewayLeafNode{#name:'GLASS1',#contents:'| repoSpec gitCheckout |
gitCheckout := GsFile _expandEnvVariable: \'GS_SHARED_GIT_CHECKOUT_GLASS1\' isClient: false.
repoSpec := GsFile _expandEnvVariable: \'GS_SHARED_REPO_GLASS1\' isClient: false.
^TDProjectSpecEntryDefinition new
baseline: \'GLASS1\'
repository: repoSpec
loads: #(\'default\');
gitCheckout: gitCheckout;
status: #(#\'inactive\');
locked: true;
yourself'}
| STON | 3 | ahdach/GsDevKit_home | sys/default/server/projects/GLASS1.ston | [
"MIT"
] |
SmalltalkCISpec {
#loading : [
SCIMetacelloLoadSpec {
#baseline : 'Exercism',
#directory : 'dev/src',
#load : [ 'dev' ],
#platforms : [ #pharo ]
}
],
#testing : {
#include : {
#packages : [ 'Exercism' ]
},
#exclude : {
#classes : [ #AllExercismTests, #ExercismTest, #ExercismHttpClientTest ],
#packages : [ 'ExercismWIP', 'ExercismTools', 'ExercismDev', 'ExercismSystemTests']
}
}
}
| STON | 3 | gypsydave5/pharo-smalltalk | .smalltalk.ston | [
"MIT"
] |
(include-file "include/flavors.lfe")
;; Define the shape flavor.
(defflavor shape (x y)
()
;; Settables are also gettable and inittable.
(settable-instance-variables x y))
(defmethod (move-to) (new-x new-y)
(set 'x new-x)
(set 'y new-y)
'ok)
(defmethod (r-move-to) (delta-x delta-y)
(let ((x (get 'x))
(y (get 'y)))
(set 'x (+ x delta-x))
(set 'y (+ y delta-y))
'ok))
(defmethod (draw) ()
(lfe_io:format "Drawing shape at (~p,~p)~n"
(list (get 'x) (get 'y))))
(endflavor shape)
| LFE | 4 | rvirding/flavors | examples/shapes/shape.lfe | [
"Apache-2.0"
] |
# *****************************************************************************
# BASICS
# *****************************************************************************
mysqldump -h hostname -u username -p database_name -P port > file.sql # Export database
mysql -u username -p database_name < file.sql # Import database
SHOW PROCESSLIST; # Show you any queries that are currently running or in the queue to run
show status where `variable_name` = 'Threads_connected'; # Show all connected threads
show variables like 'max_connections'; # Show maximum number of allowed connections
SET GLOBAL max_connections = 150; ## Set new value for maximum connections (no restart needed but for permanent change update my.cnf)
GRANT ALL PRIVILEGES ON prospectwith.* TO 'power'@'localhost' WITH GRANT OPTION; # Grant all privileges on database
CREATE USER 'newuser'@'localhost' IDENTIFIED BY 'password'; # Create user
mysql -u root -pmypassword -e "MY SQL QUERY" &>> query.log & disown # Run SQL query in the background
| Shell | 4 | imdex1009/awesome-cheatsheets | databases/mysql.sh | [
"MIT"
] |
from collections import namedtuple
import cv2
NativeMethodPatchedResult = namedtuple("NativeMethodPatchedResult",
("py", "native"))
def testOverwriteNativeMethod(arg):
return NativeMethodPatchedResult(
arg + 1,
cv2.utils._native.testOverwriteNativeMethod(arg)
)
| Python | 3 | xipingyan/opencv | modules/core/misc/python/package/utils/__init__.py | [
"Apache-2.0"
] |
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and OpenCL
-- Version: 2020.2
-- Copyright (C) 1986-2020 Xilinx, Inc. All Rights Reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity process_row is
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_row_idx_val_0_read : IN STD_LOGIC_VECTOR (7 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_row_idx_val_1_read : IN STD_LOGIC_VECTOR (7 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_row_idx_val_2_read : IN STD_LOGIC_VECTOR (7 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_row_idx_val_3_read : IN STD_LOGIC_VECTOR (7 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_row_idx_val_4_read : IN STD_LOGIC_VECTOR (7 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_ce0 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_q0 : IN STD_LOGIC_VECTOR (39 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_ce1 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_we1 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_d1 : OUT STD_LOGIC_VECTOR (39 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_ce0 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_q0 : IN STD_LOGIC_VECTOR (39 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_ce1 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_we1 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_d1 : OUT STD_LOGIC_VECTOR (39 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_ce0 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_q0 : IN STD_LOGIC_VECTOR (39 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_ce1 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_we1 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_d1 : OUT STD_LOGIC_VECTOR (39 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_ce0 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_q0 : IN STD_LOGIC_VECTOR (39 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_ce1 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_we1 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_d1 : OUT STD_LOGIC_VECTOR (39 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_ce0 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_q0 : IN STD_LOGIC_VECTOR (39 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_ce1 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_we1 : OUT STD_LOGIC;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_d1 : OUT STD_LOGIC_VECTOR (39 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_num_clks_per_row_read : IN STD_LOGIC_VECTOR (14 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_rd_ptr_read : IN STD_LOGIC_VECTOR (31 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_wr_ptr_read : IN STD_LOGIC_VECTOR (31 downto 0);
r : IN STD_LOGIC_VECTOR (15 downto 0);
p_src_rows_read : IN STD_LOGIC_VECTOR (15 downto 0);
p_src_data_V_V_dout : IN STD_LOGIC_VECTOR (39 downto 0);
p_src_data_V_V_empty_n : IN STD_LOGIC;
p_src_data_V_V_read : OUT STD_LOGIC;
p_dst_data_V_V_din : OUT STD_LOGIC_VECTOR (39 downto 0);
p_dst_data_V_V_full_n : IN STD_LOGIC;
p_dst_data_V_V_write : OUT STD_LOGIC;
ap_return_0 : OUT STD_LOGIC_VECTOR (31 downto 0);
ap_return_1 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end;
architecture behav of process_row is
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (2 downto 0) := "001";
constant ap_ST_fsm_pp0_stage0 : STD_LOGIC_VECTOR (2 downto 0) := "010";
constant ap_ST_fsm_state9 : STD_LOGIC_VECTOR (2 downto 0) := "100";
constant ap_const_boolean_1 : BOOLEAN := true;
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_boolean_0 : BOOLEAN := false;
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant ap_const_lv10_0 : STD_LOGIC_VECTOR (9 downto 0) := "0000000000";
constant ap_const_lv15_0 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000000";
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv3_3 : STD_LOGIC_VECTOR (2 downto 0) := "011";
constant ap_const_lv3_2 : STD_LOGIC_VECTOR (2 downto 0) := "010";
constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001";
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
constant ap_const_lv15_1 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001";
constant ap_const_lv32_14 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010100";
constant ap_const_lv32_27 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100111";
signal ap_CS_fsm : STD_LOGIC_VECTOR (2 downto 0) := "001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_CS_fsm_state1 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none";
signal p_src_data_V_V_blk_n : STD_LOGIC;
signal ap_CS_fsm_pp0_stage0 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_pp0_stage0 : signal is "none";
signal ap_enable_reg_pp0_iter1 : STD_LOGIC := '0';
signal ap_block_pp0_stage0 : BOOLEAN;
signal icmp_ln169_reg_1837 : STD_LOGIC_VECTOR (0 downto 0);
signal and_ln176_reg_1846 : STD_LOGIC_VECTOR (0 downto 0);
signal p_dst_data_V_V_blk_n : STD_LOGIC;
signal ap_enable_reg_pp0_iter6 : STD_LOGIC := '0';
signal icmp_ln232_reg_1875 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln232_reg_1875_pp0_iter5_reg : STD_LOGIC_VECTOR (0 downto 0);
signal GenericBPC_src_blk_v_reg_327 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_1_reg_339 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_2_reg_351 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_3_reg_363 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_4_reg_375 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_5_reg_387 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_6_reg_399 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_7_reg_411 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_8_reg_423 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_9_reg_435 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_10_reg_447 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_11_reg_459 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_12_reg_471 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_13_reg_483 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_14_reg_495 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_15_reg_507 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_16_reg_519 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_17_reg_531 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_18_reg_543 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_19_reg_555 : STD_LOGIC_VECTOR (9 downto 0);
signal c_0_reg_567 : STD_LOGIC_VECTOR (14 downto 0);
signal c_0_reg_567_pp0_iter1_reg : STD_LOGIC_VECTOR (14 downto 0);
signal ap_block_state2_pp0_stage0_iter0 : BOOLEAN;
signal ap_predicate_op76_read_state3 : BOOLEAN;
signal ap_block_state3_pp0_stage0_iter1 : BOOLEAN;
signal ap_block_state4_pp0_stage0_iter2 : BOOLEAN;
signal ap_block_state5_pp0_stage0_iter3 : BOOLEAN;
signal ap_block_state6_pp0_stage0_iter4 : BOOLEAN;
signal ap_block_state7_pp0_stage0_iter5 : BOOLEAN;
signal ap_block_state8_pp0_stage0_iter6 : BOOLEAN;
signal ap_block_pp0_stage0_11001 : BOOLEAN;
signal add_ln169_fu_650_p2 : STD_LOGIC_VECTOR (14 downto 0);
signal add_ln169_reg_1802 : STD_LOGIC_VECTOR (14 downto 0);
signal icmp_ln176_fu_656_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln176_reg_1807 : STD_LOGIC_VECTOR (0 downto 0);
signal trunc_ln321_fu_662_p1 : STD_LOGIC_VECTOR (2 downto 0);
signal trunc_ln321_reg_1812 : STD_LOGIC_VECTOR (2 downto 0);
signal trunc_ln321_1_fu_666_p1 : STD_LOGIC_VECTOR (2 downto 0);
signal trunc_ln321_1_reg_1817 : STD_LOGIC_VECTOR (2 downto 0);
signal trunc_ln321_2_fu_670_p1 : STD_LOGIC_VECTOR (2 downto 0);
signal trunc_ln321_2_reg_1822 : STD_LOGIC_VECTOR (2 downto 0);
signal trunc_ln321_3_fu_674_p1 : STD_LOGIC_VECTOR (2 downto 0);
signal trunc_ln321_3_reg_1827 : STD_LOGIC_VECTOR (2 downto 0);
signal trunc_ln321_4_fu_678_p1 : STD_LOGIC_VECTOR (2 downto 0);
signal trunc_ln321_4_reg_1832 : STD_LOGIC_VECTOR (2 downto 0);
signal icmp_ln169_fu_692_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln169_reg_1837_pp0_iter1_reg : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln169_reg_1837_pp0_iter2_reg : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln169_reg_1837_pp0_iter3_reg : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln169_reg_1837_pp0_iter4_reg : STD_LOGIC_VECTOR (0 downto 0);
signal c_fu_697_p2 : STD_LOGIC_VECTOR (14 downto 0);
signal c_reg_1841 : STD_LOGIC_VECTOR (14 downto 0);
signal ap_enable_reg_pp0_iter0 : STD_LOGIC := '0';
signal and_ln176_fu_708_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln232_fu_742_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln232_reg_1875_pp0_iter3_reg : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln232_reg_1875_pp0_iter4_reg : STD_LOGIC_VECTOR (0 downto 0);
signal GenericBPC_src_blk_v_23_reg_1879 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_enable_reg_pp0_iter3 : STD_LOGIC := '0';
signal GenericBPC_src_blk_v_24_reg_1886 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_25_reg_1893 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_26_reg_1902 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_27_reg_1911 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_28_reg_1916 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_29_reg_1921 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_30_reg_1926 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_31_reg_1931 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_32_reg_1939 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_33_reg_1947 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_33_reg_1947_pp0_iter4_reg : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_34_reg_1958 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_34_reg_1958_pp0_iter4_reg : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_35_reg_1969 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_35_reg_1969_pp0_iter4_reg : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_36_reg_1981 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_36_reg_1981_pp0_iter4_reg : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_37_reg_1993 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_38_reg_2002 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_39_reg_2011 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_40_reg_2016 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_41_reg_2021 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_42_reg_2026 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_43_reg_2031 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_44_reg_2039 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_45_reg_2047 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_45_reg_2047_pp0_iter4_reg : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_46_reg_2059 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_46_reg_2059_pp0_iter4_reg : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_47_reg_2071 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_47_reg_2071_pp0_iter4_reg : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_48_reg_2084 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_48_reg_2084_pp0_iter4_reg : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_49_reg_2097 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_49_reg_2097_pp0_iter4_reg : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_50_reg_2106 : STD_LOGIC_VECTOR (9 downto 0);
signal GenericBPC_src_blk_v_50_reg_2106_pp0_iter4_reg : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_fu_962_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_reg_2115 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_fu_976_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_reg_2120 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_1_fu_984_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_1_reg_2125 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_4_fu_990_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_4_reg_2130 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_7_fu_1002_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_7_reg_2135 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_7_fu_1016_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_7_reg_2140 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_9_fu_1024_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_9_reg_2145 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_12_fu_1030_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_12_reg_2150 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_14_fu_1042_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_14_reg_2155 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_14_fu_1056_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_14_reg_2161 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_21_fu_1070_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_21_reg_2167 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_21_fu_1084_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_21_reg_2173 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_4_fu_1155_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_4_reg_2179 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_4_fu_1167_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_4_reg_2185 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_11_fu_1237_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_11_reg_2191 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_11_fu_1249_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_11_reg_2197 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_17_fu_1305_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_17_reg_2203 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_17_fu_1317_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_17_reg_2208 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_20_fu_1324_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_20_reg_2213 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_22_fu_1329_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_22_reg_2218 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_24_fu_1383_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_24_reg_2223 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_24_fu_1395_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln393_24_reg_2228 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_28_fu_1402_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_28_reg_2233 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_29_fu_1407_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_29_reg_2238 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln887_fu_1476_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln887_reg_2243 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln887_1_fu_1545_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln887_1_reg_2248 : STD_LOGIC_VECTOR (9 downto 0);
signal p_Result_s_reg_2253 : STD_LOGIC_VECTOR (19 downto 0);
signal ap_block_pp0_stage0_subdone : BOOLEAN;
signal ap_condition_pp0_exit_iter0_state2 : STD_LOGIC;
signal ap_enable_reg_pp0_iter2 : STD_LOGIC := '0';
signal ap_enable_reg_pp0_iter4 : STD_LOGIC := '0';
signal ap_enable_reg_pp0_iter5 : STD_LOGIC := '0';
signal call_ret1_xfExtractPixels_fu_579_ap_ready : STD_LOGIC;
signal call_ret1_xfExtractPixels_fu_579_ap_return_0 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret1_xfExtractPixels_fu_579_ap_return_1 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret1_xfExtractPixels_fu_579_ap_return_2 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret1_xfExtractPixels_fu_579_ap_return_3 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret1_xfExtractPixels_fu_579_ap_return_4 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret1_xfExtractPixels_fu_579_ap_return_5 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret1_xfExtractPixels_fu_579_ap_return_6 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret1_xfExtractPixels_fu_579_ap_return_7 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret2_xfExtractPixels_fu_592_ap_ready : STD_LOGIC;
signal call_ret2_xfExtractPixels_fu_592_ap_return_0 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret2_xfExtractPixels_fu_592_ap_return_1 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret2_xfExtractPixels_fu_592_ap_return_2 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret2_xfExtractPixels_fu_592_ap_return_3 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret2_xfExtractPixels_fu_592_ap_return_4 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret2_xfExtractPixels_fu_592_ap_return_5 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret2_xfExtractPixels_fu_592_ap_return_6 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret2_xfExtractPixels_fu_592_ap_return_7 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret3_xfExtractPixels_fu_605_ap_ready : STD_LOGIC;
signal call_ret3_xfExtractPixels_fu_605_ap_return_0 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret3_xfExtractPixels_fu_605_ap_return_1 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret3_xfExtractPixels_fu_605_ap_return_2 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret3_xfExtractPixels_fu_605_ap_return_3 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret3_xfExtractPixels_fu_605_ap_return_4 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret3_xfExtractPixels_fu_605_ap_return_5 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret3_xfExtractPixels_fu_605_ap_return_6 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret3_xfExtractPixels_fu_605_ap_return_7 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret4_xfExtractPixels_fu_618_ap_ready : STD_LOGIC;
signal call_ret4_xfExtractPixels_fu_618_ap_return_0 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret4_xfExtractPixels_fu_618_ap_return_1 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret4_xfExtractPixels_fu_618_ap_return_2 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret4_xfExtractPixels_fu_618_ap_return_3 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret4_xfExtractPixels_fu_618_ap_return_4 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret4_xfExtractPixels_fu_618_ap_return_5 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret4_xfExtractPixels_fu_618_ap_return_6 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret4_xfExtractPixels_fu_618_ap_return_7 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret_xfExtractPixels_fu_631_ap_ready : STD_LOGIC;
signal call_ret_xfExtractPixels_fu_631_ap_return_0 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret_xfExtractPixels_fu_631_ap_return_1 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret_xfExtractPixels_fu_631_ap_return_2 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret_xfExtractPixels_fu_631_ap_return_3 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret_xfExtractPixels_fu_631_ap_return_4 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret_xfExtractPixels_fu_631_ap_return_5 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret_xfExtractPixels_fu_631_ap_return_6 : STD_LOGIC_VECTOR (9 downto 0);
signal call_ret_xfExtractPixels_fu_631_ap_return_7 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_phi_fu_331_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_1_phi_fu_343_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_2_phi_fu_355_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_3_phi_fu_367_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_4_phi_fu_379_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_5_phi_fu_391_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_6_phi_fu_403_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_7_phi_fu_415_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_8_phi_fu_427_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_9_phi_fu_439_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_10_phi_fu_451_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_11_phi_fu_463_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_12_phi_fu_475_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_13_phi_fu_487_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_14_phi_fu_499_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_15_phi_fu_511_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_16_phi_fu_523_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_17_phi_fu_535_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_18_phi_fu_547_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_GenericBPC_src_blk_v_19_phi_fu_559_p4 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_phi_mux_c_0_phi_fu_571_p4 : STD_LOGIC_VECTOR (14 downto 0);
signal tmp_s_fu_748_p7 : STD_LOGIC_VECTOR (39 downto 0);
signal tmp_62_fu_796_p7 : STD_LOGIC_VECTOR (39 downto 0);
signal tmp_63_fu_828_p7 : STD_LOGIC_VECTOR (39 downto 0);
signal tmp_64_fu_876_p7 : STD_LOGIC_VECTOR (39 downto 0);
signal tmp_65_fu_908_p7 : STD_LOGIC_VECTOR (39 downto 0);
signal zext_ln177_fu_713_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal zext_ln188_fu_733_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal p_Val2_s_fu_112 : STD_LOGIC_VECTOR (39 downto 0);
signal p_Result_3_fu_1719_p5 : STD_LOGIC_VECTOR (39 downto 0);
signal GenericBPC_wr_ptr_0_fu_116 : STD_LOGIC_VECTOR (31 downto 0);
signal add_ln243_fu_1754_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_CS_fsm_state9 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state9 : signal is "none";
signal GenericBPC_rd_ptr_0_fu_120 : STD_LOGIC_VECTOR (31 downto 0);
signal add_ln177_fu_722_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_block_pp0_stage0_01001 : BOOLEAN;
signal icmp_ln176_1_fu_703_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_fu_956_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_fu_970_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_8_fu_996_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_11_fu_1010_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_16_fu_1036_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_18_fu_1050_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_24_fu_1064_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_25_fu_1078_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_1_fu_1092_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_2_fu_1102_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_1_fu_1097_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_5_fu_1114_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_2_fu_1107_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_3_fu_1126_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_2_fu_1119_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_6_fu_1138_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_3_fu_1131_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_4_fu_1150_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_3_fu_1143_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_7_fu_1162_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_8_fu_1174_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_10_fu_1184_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_8_fu_1179_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_13_fu_1196_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_9_fu_1189_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_11_fu_1208_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_9_fu_1201_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_14_fu_1220_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_10_fu_1213_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_12_fu_1232_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_10_fu_1225_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_15_fu_1244_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_17_fu_1256_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_19_fu_1266_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_15_fu_1260_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_18_fu_1276_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_15_fu_1270_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_20_fu_1288_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_16_fu_1281_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_19_fu_1300_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_16_fu_1293_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_21_fu_1312_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_25_fu_1334_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_26_fu_1344_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_22_fu_1338_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_26_fu_1354_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_22_fu_1348_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_27_fu_1366_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_23_fu_1359_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_27_fu_1378_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_23_fu_1371_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_28_fu_1390_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln895_5_fu_1415_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_8_fu_1425_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_5_fu_1419_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_6_fu_1435_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_5_fu_1429_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_9_fu_1447_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_6_fu_1452_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_6_fu_1440_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_7_fu_1464_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_10_fu_1459_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln401_fu_1469_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_13_fu_1484_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_16_fu_1494_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_12_fu_1488_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_14_fu_1504_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_12_fu_1498_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_17_fu_1516_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_13_fu_1521_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_13_fu_1509_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_15_fu_1533_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_1_fu_1528_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln401_1_fu_1538_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_18_fu_1553_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_21_fu_1563_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_18_fu_1558_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_23_fu_1575_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_19_fu_1568_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_22_fu_1587_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_19_fu_1580_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_24_fu_1599_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_20_fu_1604_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_20_fu_1592_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_23_fu_1616_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_2_fu_1611_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln401_2_fu_1621_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_25_fu_1636_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_29_fu_1646_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_25_fu_1641_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_30_fu_1658_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln390_26_fu_1651_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_30_fu_1670_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_26_fu_1663_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln887_31_fu_1682_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln393_27_fu_1687_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln390_27_fu_1675_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal icmp_ln895_31_fu_1699_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal icmp_ln887_3_fu_1694_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal select_ln401_3_fu_1704_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln887_3_fu_1711_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal select_ln887_2_fu_1628_p3 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_NS_fsm : STD_LOGIC_VECTOR (2 downto 0);
signal ap_idle_pp0 : STD_LOGIC;
signal ap_enable_pp0 : STD_LOGIC;
component xfExtractPixels IS
port (
ap_ready : OUT STD_LOGIC;
tmp_buf_0_V_read : IN STD_LOGIC_VECTOR (9 downto 0);
tmp_buf_1_V_read : IN STD_LOGIC_VECTOR (9 downto 0);
tmp_buf_2_V_read : IN STD_LOGIC_VECTOR (9 downto 0);
tmp_buf_3_V_read : IN STD_LOGIC_VECTOR (9 downto 0);
val1_V_read : IN STD_LOGIC_VECTOR (39 downto 0);
ap_return_0 : OUT STD_LOGIC_VECTOR (9 downto 0);
ap_return_1 : OUT STD_LOGIC_VECTOR (9 downto 0);
ap_return_2 : OUT STD_LOGIC_VECTOR (9 downto 0);
ap_return_3 : OUT STD_LOGIC_VECTOR (9 downto 0);
ap_return_4 : OUT STD_LOGIC_VECTOR (9 downto 0);
ap_return_5 : OUT STD_LOGIC_VECTOR (9 downto 0);
ap_return_6 : OUT STD_LOGIC_VECTOR (9 downto 0);
ap_return_7 : OUT STD_LOGIC_VECTOR (9 downto 0) );
end component;
component ISPPipeline_acceldEe IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
din2_WIDTH : INTEGER;
din3_WIDTH : INTEGER;
din4_WIDTH : INTEGER;
din5_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (39 downto 0);
din1 : IN STD_LOGIC_VECTOR (39 downto 0);
din2 : IN STD_LOGIC_VECTOR (39 downto 0);
din3 : IN STD_LOGIC_VECTOR (39 downto 0);
din4 : IN STD_LOGIC_VECTOR (39 downto 0);
din5 : IN STD_LOGIC_VECTOR (2 downto 0);
dout : OUT STD_LOGIC_VECTOR (39 downto 0) );
end component;
begin
call_ret1_xfExtractPixels_fu_579 : component xfExtractPixels
port map (
ap_ready => call_ret1_xfExtractPixels_fu_579_ap_ready,
tmp_buf_0_V_read => ap_phi_mux_GenericBPC_src_blk_v_phi_fu_331_p4,
tmp_buf_1_V_read => ap_phi_mux_GenericBPC_src_blk_v_1_phi_fu_343_p4,
tmp_buf_2_V_read => ap_phi_mux_GenericBPC_src_blk_v_2_phi_fu_355_p4,
tmp_buf_3_V_read => ap_phi_mux_GenericBPC_src_blk_v_3_phi_fu_367_p4,
val1_V_read => tmp_s_fu_748_p7,
ap_return_0 => call_ret1_xfExtractPixels_fu_579_ap_return_0,
ap_return_1 => call_ret1_xfExtractPixels_fu_579_ap_return_1,
ap_return_2 => call_ret1_xfExtractPixels_fu_579_ap_return_2,
ap_return_3 => call_ret1_xfExtractPixels_fu_579_ap_return_3,
ap_return_4 => call_ret1_xfExtractPixels_fu_579_ap_return_4,
ap_return_5 => call_ret1_xfExtractPixels_fu_579_ap_return_5,
ap_return_6 => call_ret1_xfExtractPixels_fu_579_ap_return_6,
ap_return_7 => call_ret1_xfExtractPixels_fu_579_ap_return_7);
call_ret2_xfExtractPixels_fu_592 : component xfExtractPixels
port map (
ap_ready => call_ret2_xfExtractPixels_fu_592_ap_ready,
tmp_buf_0_V_read => ap_phi_mux_GenericBPC_src_blk_v_4_phi_fu_379_p4,
tmp_buf_1_V_read => ap_phi_mux_GenericBPC_src_blk_v_5_phi_fu_391_p4,
tmp_buf_2_V_read => ap_phi_mux_GenericBPC_src_blk_v_6_phi_fu_403_p4,
tmp_buf_3_V_read => ap_phi_mux_GenericBPC_src_blk_v_7_phi_fu_415_p4,
val1_V_read => tmp_62_fu_796_p7,
ap_return_0 => call_ret2_xfExtractPixels_fu_592_ap_return_0,
ap_return_1 => call_ret2_xfExtractPixels_fu_592_ap_return_1,
ap_return_2 => call_ret2_xfExtractPixels_fu_592_ap_return_2,
ap_return_3 => call_ret2_xfExtractPixels_fu_592_ap_return_3,
ap_return_4 => call_ret2_xfExtractPixels_fu_592_ap_return_4,
ap_return_5 => call_ret2_xfExtractPixels_fu_592_ap_return_5,
ap_return_6 => call_ret2_xfExtractPixels_fu_592_ap_return_6,
ap_return_7 => call_ret2_xfExtractPixels_fu_592_ap_return_7);
call_ret3_xfExtractPixels_fu_605 : component xfExtractPixels
port map (
ap_ready => call_ret3_xfExtractPixels_fu_605_ap_ready,
tmp_buf_0_V_read => ap_phi_mux_GenericBPC_src_blk_v_8_phi_fu_427_p4,
tmp_buf_1_V_read => ap_phi_mux_GenericBPC_src_blk_v_9_phi_fu_439_p4,
tmp_buf_2_V_read => ap_phi_mux_GenericBPC_src_blk_v_10_phi_fu_451_p4,
tmp_buf_3_V_read => ap_phi_mux_GenericBPC_src_blk_v_11_phi_fu_463_p4,
val1_V_read => tmp_63_fu_828_p7,
ap_return_0 => call_ret3_xfExtractPixels_fu_605_ap_return_0,
ap_return_1 => call_ret3_xfExtractPixels_fu_605_ap_return_1,
ap_return_2 => call_ret3_xfExtractPixels_fu_605_ap_return_2,
ap_return_3 => call_ret3_xfExtractPixels_fu_605_ap_return_3,
ap_return_4 => call_ret3_xfExtractPixels_fu_605_ap_return_4,
ap_return_5 => call_ret3_xfExtractPixels_fu_605_ap_return_5,
ap_return_6 => call_ret3_xfExtractPixels_fu_605_ap_return_6,
ap_return_7 => call_ret3_xfExtractPixels_fu_605_ap_return_7);
call_ret4_xfExtractPixels_fu_618 : component xfExtractPixels
port map (
ap_ready => call_ret4_xfExtractPixels_fu_618_ap_ready,
tmp_buf_0_V_read => ap_phi_mux_GenericBPC_src_blk_v_12_phi_fu_475_p4,
tmp_buf_1_V_read => ap_phi_mux_GenericBPC_src_blk_v_13_phi_fu_487_p4,
tmp_buf_2_V_read => ap_phi_mux_GenericBPC_src_blk_v_14_phi_fu_499_p4,
tmp_buf_3_V_read => ap_phi_mux_GenericBPC_src_blk_v_15_phi_fu_511_p4,
val1_V_read => tmp_64_fu_876_p7,
ap_return_0 => call_ret4_xfExtractPixels_fu_618_ap_return_0,
ap_return_1 => call_ret4_xfExtractPixels_fu_618_ap_return_1,
ap_return_2 => call_ret4_xfExtractPixels_fu_618_ap_return_2,
ap_return_3 => call_ret4_xfExtractPixels_fu_618_ap_return_3,
ap_return_4 => call_ret4_xfExtractPixels_fu_618_ap_return_4,
ap_return_5 => call_ret4_xfExtractPixels_fu_618_ap_return_5,
ap_return_6 => call_ret4_xfExtractPixels_fu_618_ap_return_6,
ap_return_7 => call_ret4_xfExtractPixels_fu_618_ap_return_7);
call_ret_xfExtractPixels_fu_631 : component xfExtractPixels
port map (
ap_ready => call_ret_xfExtractPixels_fu_631_ap_ready,
tmp_buf_0_V_read => ap_phi_mux_GenericBPC_src_blk_v_16_phi_fu_523_p4,
tmp_buf_1_V_read => ap_phi_mux_GenericBPC_src_blk_v_17_phi_fu_535_p4,
tmp_buf_2_V_read => ap_phi_mux_GenericBPC_src_blk_v_18_phi_fu_547_p4,
tmp_buf_3_V_read => ap_phi_mux_GenericBPC_src_blk_v_19_phi_fu_559_p4,
val1_V_read => tmp_65_fu_908_p7,
ap_return_0 => call_ret_xfExtractPixels_fu_631_ap_return_0,
ap_return_1 => call_ret_xfExtractPixels_fu_631_ap_return_1,
ap_return_2 => call_ret_xfExtractPixels_fu_631_ap_return_2,
ap_return_3 => call_ret_xfExtractPixels_fu_631_ap_return_3,
ap_return_4 => call_ret_xfExtractPixels_fu_631_ap_return_4,
ap_return_5 => call_ret_xfExtractPixels_fu_631_ap_return_5,
ap_return_6 => call_ret_xfExtractPixels_fu_631_ap_return_6,
ap_return_7 => call_ret_xfExtractPixels_fu_631_ap_return_7);
ISPPipeline_acceldEe_U47 : component ISPPipeline_acceldEe
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 40,
din1_WIDTH => 40,
din2_WIDTH => 40,
din3_WIDTH => 40,
din4_WIDTH => 40,
din5_WIDTH => 3,
dout_WIDTH => 40)
port map (
din0 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_q0,
din1 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_q0,
din2 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_q0,
din3 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_q0,
din4 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_q0,
din5 => trunc_ln321_1_reg_1817,
dout => tmp_s_fu_748_p7);
ISPPipeline_acceldEe_U48 : component ISPPipeline_acceldEe
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 40,
din1_WIDTH => 40,
din2_WIDTH => 40,
din3_WIDTH => 40,
din4_WIDTH => 40,
din5_WIDTH => 3,
dout_WIDTH => 40)
port map (
din0 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_q0,
din1 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_q0,
din2 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_q0,
din3 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_q0,
din4 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_q0,
din5 => trunc_ln321_2_reg_1822,
dout => tmp_62_fu_796_p7);
ISPPipeline_acceldEe_U49 : component ISPPipeline_acceldEe
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 40,
din1_WIDTH => 40,
din2_WIDTH => 40,
din3_WIDTH => 40,
din4_WIDTH => 40,
din5_WIDTH => 3,
dout_WIDTH => 40)
port map (
din0 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_q0,
din1 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_q0,
din2 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_q0,
din3 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_q0,
din4 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_q0,
din5 => trunc_ln321_3_reg_1827,
dout => tmp_63_fu_828_p7);
ISPPipeline_acceldEe_U50 : component ISPPipeline_acceldEe
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 40,
din1_WIDTH => 40,
din2_WIDTH => 40,
din3_WIDTH => 40,
din4_WIDTH => 40,
din5_WIDTH => 3,
dout_WIDTH => 40)
port map (
din0 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_q0,
din1 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_q0,
din2 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_q0,
din3 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_q0,
din4 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_q0,
din5 => trunc_ln321_4_reg_1832,
dout => tmp_64_fu_876_p7);
ISPPipeline_acceldEe_U51 : component ISPPipeline_acceldEe
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 40,
din1_WIDTH => 40,
din2_WIDTH => 40,
din3_WIDTH => 40,
din4_WIDTH => 40,
din5_WIDTH => 3,
dout_WIDTH => 40)
port map (
din0 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_q0,
din1 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_q0,
din2 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_q0,
din3 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_q0,
din4 => GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_q0,
din5 => trunc_ln321_reg_1812,
dout => tmp_65_fu_908_p7);
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_CS_fsm <= ap_ST_fsm_state1;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
ap_enable_reg_pp0_iter0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_enable_reg_pp0_iter0 <= ap_const_logic_0;
else
if (((ap_const_boolean_0 = ap_block_pp0_stage0_subdone) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_condition_pp0_exit_iter0_state2))) then
ap_enable_reg_pp0_iter0 <= ap_const_logic_0;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_enable_reg_pp0_iter0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
ap_enable_reg_pp0_iter1_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_enable_reg_pp0_iter1 <= ap_const_logic_0;
else
if ((ap_const_boolean_0 = ap_block_pp0_stage0_subdone)) then
if ((ap_const_logic_1 = ap_condition_pp0_exit_iter0_state2)) then
ap_enable_reg_pp0_iter1 <= (ap_const_logic_1 xor ap_condition_pp0_exit_iter0_state2);
elsif ((ap_const_boolean_1 = ap_const_boolean_1)) then
ap_enable_reg_pp0_iter1 <= ap_enable_reg_pp0_iter0;
end if;
end if;
end if;
end if;
end process;
ap_enable_reg_pp0_iter2_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_enable_reg_pp0_iter2 <= ap_const_logic_0;
else
if ((ap_const_boolean_0 = ap_block_pp0_stage0_subdone)) then
ap_enable_reg_pp0_iter2 <= ap_enable_reg_pp0_iter1;
end if;
end if;
end if;
end process;
ap_enable_reg_pp0_iter3_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_enable_reg_pp0_iter3 <= ap_const_logic_0;
else
if ((ap_const_boolean_0 = ap_block_pp0_stage0_subdone)) then
ap_enable_reg_pp0_iter3 <= ap_enable_reg_pp0_iter2;
end if;
end if;
end if;
end process;
ap_enable_reg_pp0_iter4_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_enable_reg_pp0_iter4 <= ap_const_logic_0;
else
if ((ap_const_boolean_0 = ap_block_pp0_stage0_subdone)) then
ap_enable_reg_pp0_iter4 <= ap_enable_reg_pp0_iter3;
end if;
end if;
end if;
end process;
ap_enable_reg_pp0_iter5_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_enable_reg_pp0_iter5 <= ap_const_logic_0;
else
if ((ap_const_boolean_0 = ap_block_pp0_stage0_subdone)) then
ap_enable_reg_pp0_iter5 <= ap_enable_reg_pp0_iter4;
end if;
end if;
end if;
end process;
ap_enable_reg_pp0_iter6_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_enable_reg_pp0_iter6 <= ap_const_logic_0;
else
if ((ap_const_boolean_0 = ap_block_pp0_stage0_subdone)) then
ap_enable_reg_pp0_iter6 <= ap_enable_reg_pp0_iter5;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_enable_reg_pp0_iter6 <= ap_const_logic_0;
end if;
end if;
end if;
end process;
GenericBPC_rd_ptr_0_fu_120_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (ap_const_lv1_1 = and_ln176_reg_1846))) then
GenericBPC_rd_ptr_0_fu_120 <= add_ln177_fu_722_p2;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_rd_ptr_0_fu_120 <= GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_rd_ptr_read;
end if;
end if;
end process;
GenericBPC_src_blk_v_10_reg_447_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_10_reg_447 <= GenericBPC_src_blk_v_37_reg_1993;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_10_reg_447 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_11_reg_459_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_11_reg_459 <= GenericBPC_src_blk_v_38_reg_2002;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_11_reg_459 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_12_reg_471_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_12_reg_471 <= GenericBPC_src_blk_v_39_reg_2011;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_12_reg_471 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_13_reg_483_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_13_reg_483 <= GenericBPC_src_blk_v_40_reg_2016;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_13_reg_483 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_14_reg_495_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_14_reg_495 <= GenericBPC_src_blk_v_41_reg_2021;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_14_reg_495 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_15_reg_507_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_15_reg_507 <= GenericBPC_src_blk_v_42_reg_2026;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_15_reg_507 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_16_reg_519_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_16_reg_519 <= GenericBPC_src_blk_v_47_reg_2071;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_16_reg_519 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_17_reg_531_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_17_reg_531 <= GenericBPC_src_blk_v_48_reg_2084;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_17_reg_531 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_18_reg_543_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_18_reg_543 <= GenericBPC_src_blk_v_49_reg_2097;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_18_reg_543 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_19_reg_555_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_19_reg_555 <= GenericBPC_src_blk_v_50_reg_2106;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_19_reg_555 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_1_reg_339_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_1_reg_339 <= GenericBPC_src_blk_v_24_reg_1886;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_1_reg_339 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_2_reg_351_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_2_reg_351 <= GenericBPC_src_blk_v_25_reg_1893;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_2_reg_351 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_3_reg_363_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_3_reg_363 <= GenericBPC_src_blk_v_26_reg_1902;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_3_reg_363 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_4_reg_375_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_4_reg_375 <= GenericBPC_src_blk_v_27_reg_1911;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_4_reg_375 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_5_reg_387_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_5_reg_387 <= GenericBPC_src_blk_v_28_reg_1916;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_5_reg_387 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_6_reg_399_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_6_reg_399 <= GenericBPC_src_blk_v_29_reg_1921;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_6_reg_399 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_7_reg_411_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_7_reg_411 <= GenericBPC_src_blk_v_30_reg_1926;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_7_reg_411 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_8_reg_423_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_8_reg_423 <= GenericBPC_src_blk_v_35_reg_1969;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_8_reg_423 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_9_reg_435_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_9_reg_435 <= GenericBPC_src_blk_v_36_reg_1981;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_9_reg_435 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_src_blk_v_reg_327_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_reg_327 <= GenericBPC_src_blk_v_23_reg_1879;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_src_blk_v_reg_327 <= ap_const_lv10_0;
end if;
end if;
end process;
GenericBPC_wr_ptr_0_fu_116_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter6 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln232_reg_1875_pp0_iter5_reg = ap_const_lv1_0))) then
GenericBPC_wr_ptr_0_fu_116 <= add_ln243_fu_1754_p2;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
GenericBPC_wr_ptr_0_fu_116 <= GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_wr_ptr_read;
end if;
end if;
end process;
c_0_reg_567_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837 = ap_const_lv1_0))) then
c_0_reg_567 <= c_reg_1841;
elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
c_0_reg_567 <= ap_const_lv15_0;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter3 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter2_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_23_reg_1879 <= call_ret1_xfExtractPixels_fu_579_ap_return_4;
GenericBPC_src_blk_v_24_reg_1886 <= call_ret1_xfExtractPixels_fu_579_ap_return_5;
GenericBPC_src_blk_v_25_reg_1893 <= call_ret1_xfExtractPixels_fu_579_ap_return_6;
GenericBPC_src_blk_v_26_reg_1902 <= call_ret1_xfExtractPixels_fu_579_ap_return_7;
GenericBPC_src_blk_v_27_reg_1911 <= call_ret2_xfExtractPixels_fu_592_ap_return_4;
GenericBPC_src_blk_v_28_reg_1916 <= call_ret2_xfExtractPixels_fu_592_ap_return_5;
GenericBPC_src_blk_v_29_reg_1921 <= call_ret2_xfExtractPixels_fu_592_ap_return_6;
GenericBPC_src_blk_v_30_reg_1926 <= call_ret2_xfExtractPixels_fu_592_ap_return_7;
GenericBPC_src_blk_v_35_reg_1969 <= call_ret3_xfExtractPixels_fu_605_ap_return_4;
GenericBPC_src_blk_v_36_reg_1981 <= call_ret3_xfExtractPixels_fu_605_ap_return_5;
GenericBPC_src_blk_v_37_reg_1993 <= call_ret3_xfExtractPixels_fu_605_ap_return_6;
GenericBPC_src_blk_v_38_reg_2002 <= call_ret3_xfExtractPixels_fu_605_ap_return_7;
GenericBPC_src_blk_v_39_reg_2011 <= call_ret4_xfExtractPixels_fu_618_ap_return_4;
GenericBPC_src_blk_v_40_reg_2016 <= call_ret4_xfExtractPixels_fu_618_ap_return_5;
GenericBPC_src_blk_v_41_reg_2021 <= call_ret4_xfExtractPixels_fu_618_ap_return_6;
GenericBPC_src_blk_v_42_reg_2026 <= call_ret4_xfExtractPixels_fu_618_ap_return_7;
GenericBPC_src_blk_v_47_reg_2071 <= call_ret_xfExtractPixels_fu_631_ap_return_4;
GenericBPC_src_blk_v_48_reg_2084 <= call_ret_xfExtractPixels_fu_631_ap_return_5;
GenericBPC_src_blk_v_49_reg_2097 <= call_ret_xfExtractPixels_fu_631_ap_return_6;
GenericBPC_src_blk_v_50_reg_2106 <= call_ret_xfExtractPixels_fu_631_ap_return_7;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter2_reg = ap_const_lv1_0))) then
GenericBPC_src_blk_v_31_reg_1931 <= call_ret3_xfExtractPixels_fu_605_ap_return_0;
GenericBPC_src_blk_v_32_reg_1939 <= call_ret3_xfExtractPixels_fu_605_ap_return_1;
GenericBPC_src_blk_v_33_reg_1947 <= call_ret3_xfExtractPixels_fu_605_ap_return_2;
GenericBPC_src_blk_v_34_reg_1958 <= call_ret3_xfExtractPixels_fu_605_ap_return_3;
GenericBPC_src_blk_v_43_reg_2031 <= call_ret_xfExtractPixels_fu_631_ap_return_0;
GenericBPC_src_blk_v_44_reg_2039 <= call_ret_xfExtractPixels_fu_631_ap_return_1;
GenericBPC_src_blk_v_45_reg_2047 <= call_ret_xfExtractPixels_fu_631_ap_return_2;
GenericBPC_src_blk_v_46_reg_2059 <= call_ret_xfExtractPixels_fu_631_ap_return_3;
icmp_ln887_12_reg_2150 <= icmp_ln887_12_fu_1030_p2;
icmp_ln887_4_reg_2130 <= icmp_ln887_4_fu_990_p2;
icmp_ln895_1_reg_2125 <= icmp_ln895_1_fu_984_p2;
icmp_ln895_9_reg_2145 <= icmp_ln895_9_fu_1024_p2;
select_ln390_14_reg_2155 <= select_ln390_14_fu_1042_p3;
select_ln390_21_reg_2167 <= select_ln390_21_fu_1070_p3;
select_ln390_7_reg_2135 <= select_ln390_7_fu_1002_p3;
select_ln390_reg_2115 <= select_ln390_fu_962_p3;
select_ln393_14_reg_2161 <= select_ln393_14_fu_1056_p3;
select_ln393_21_reg_2173 <= select_ln393_21_fu_1084_p3;
select_ln393_7_reg_2140 <= select_ln393_7_fu_1016_p3;
select_ln393_reg_2120 <= select_ln393_fu_976_p3;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_boolean_0 = ap_block_pp0_stage0_11001)) then
GenericBPC_src_blk_v_33_reg_1947_pp0_iter4_reg <= GenericBPC_src_blk_v_33_reg_1947;
GenericBPC_src_blk_v_34_reg_1958_pp0_iter4_reg <= GenericBPC_src_blk_v_34_reg_1958;
GenericBPC_src_blk_v_35_reg_1969_pp0_iter4_reg <= GenericBPC_src_blk_v_35_reg_1969;
GenericBPC_src_blk_v_36_reg_1981_pp0_iter4_reg <= GenericBPC_src_blk_v_36_reg_1981;
GenericBPC_src_blk_v_45_reg_2047_pp0_iter4_reg <= GenericBPC_src_blk_v_45_reg_2047;
GenericBPC_src_blk_v_46_reg_2059_pp0_iter4_reg <= GenericBPC_src_blk_v_46_reg_2059;
GenericBPC_src_blk_v_47_reg_2071_pp0_iter4_reg <= GenericBPC_src_blk_v_47_reg_2071;
GenericBPC_src_blk_v_48_reg_2084_pp0_iter4_reg <= GenericBPC_src_blk_v_48_reg_2084;
GenericBPC_src_blk_v_49_reg_2097_pp0_iter4_reg <= GenericBPC_src_blk_v_49_reg_2097;
GenericBPC_src_blk_v_50_reg_2106_pp0_iter4_reg <= GenericBPC_src_blk_v_50_reg_2106;
icmp_ln169_reg_1837_pp0_iter2_reg <= icmp_ln169_reg_1837_pp0_iter1_reg;
icmp_ln169_reg_1837_pp0_iter3_reg <= icmp_ln169_reg_1837_pp0_iter2_reg;
icmp_ln169_reg_1837_pp0_iter4_reg <= icmp_ln169_reg_1837_pp0_iter3_reg;
icmp_ln232_reg_1875_pp0_iter3_reg <= icmp_ln232_reg_1875;
icmp_ln232_reg_1875_pp0_iter4_reg <= icmp_ln232_reg_1875_pp0_iter3_reg;
icmp_ln232_reg_1875_pp0_iter5_reg <= icmp_ln232_reg_1875_pp0_iter4_reg;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
add_ln169_reg_1802 <= add_ln169_fu_650_p2;
icmp_ln176_reg_1807 <= icmp_ln176_fu_656_p2;
trunc_ln321_1_reg_1817 <= trunc_ln321_1_fu_666_p1;
trunc_ln321_2_reg_1822 <= trunc_ln321_2_fu_670_p1;
trunc_ln321_3_reg_1827 <= trunc_ln321_3_fu_674_p1;
trunc_ln321_4_reg_1832 <= trunc_ln321_4_fu_678_p1;
trunc_ln321_reg_1812 <= trunc_ln321_fu_662_p1;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_fu_692_p2 = ap_const_lv1_0))) then
and_ln176_reg_1846 <= and_ln176_fu_708_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
c_0_reg_567_pp0_iter1_reg <= c_0_reg_567;
icmp_ln169_reg_1837 <= icmp_ln169_fu_692_p2;
icmp_ln169_reg_1837_pp0_iter1_reg <= icmp_ln169_reg_1837;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter0 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
c_reg_1841 <= c_fu_697_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter1_reg = ap_const_lv1_0))) then
icmp_ln232_reg_1875 <= icmp_ln232_fu_742_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0))) then
icmp_ln887_22_reg_2218 <= icmp_ln887_22_fu_1329_p2;
icmp_ln887_29_reg_2238 <= icmp_ln887_29_fu_1407_p2;
icmp_ln895_20_reg_2213 <= icmp_ln895_20_fu_1324_p2;
icmp_ln895_28_reg_2233 <= icmp_ln895_28_fu_1402_p2;
select_ln390_11_reg_2191 <= select_ln390_11_fu_1237_p3;
select_ln390_17_reg_2203 <= select_ln390_17_fu_1305_p3;
select_ln390_24_reg_2223 <= select_ln390_24_fu_1383_p3;
select_ln390_4_reg_2179 <= select_ln390_4_fu_1155_p3;
select_ln393_11_reg_2197 <= select_ln393_11_fu_1249_p3;
select_ln393_17_reg_2208 <= select_ln393_17_fu_1317_p3;
select_ln393_24_reg_2228 <= select_ln393_24_fu_1395_p3;
select_ln393_4_reg_2185 <= select_ln393_4_fu_1167_p3;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln232_reg_1875_pp0_iter4_reg = ap_const_lv1_0))) then
p_Result_s_reg_2253 <= p_Val2_s_fu_112(39 downto 20);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_enable_reg_pp0_iter5 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter4_reg = ap_const_lv1_0))) then
p_Val2_s_fu_112 <= p_Result_3_fu_1719_p5;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln169_reg_1837_pp0_iter4_reg = ap_const_lv1_0))) then
select_ln887_1_reg_2248 <= select_ln887_1_fu_1545_p3;
select_ln887_reg_2243 <= select_ln887_fu_1476_p3;
end if;
end if;
end process;
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter6, icmp_ln169_fu_692_p2, ap_enable_reg_pp0_iter0, ap_block_pp0_stage0_subdone, ap_enable_reg_pp0_iter5)
begin
case ap_CS_fsm is
when ap_ST_fsm_state1 =>
if (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage0;
else
ap_NS_fsm <= ap_ST_fsm_state1;
end if;
when ap_ST_fsm_pp0_stage0 =>
if ((not(((ap_const_boolean_0 = ap_block_pp0_stage0_subdone) and (ap_enable_reg_pp0_iter0 = ap_const_logic_1) and (icmp_ln169_fu_692_p2 = ap_const_lv1_1) and (ap_enable_reg_pp0_iter1 = ap_const_logic_0))) and not(((ap_enable_reg_pp0_iter5 = ap_const_logic_0) and (ap_const_boolean_0 = ap_block_pp0_stage0_subdone) and (ap_enable_reg_pp0_iter6 = ap_const_logic_1))))) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage0;
elsif ((((ap_const_boolean_0 = ap_block_pp0_stage0_subdone) and (ap_enable_reg_pp0_iter0 = ap_const_logic_1) and (icmp_ln169_fu_692_p2 = ap_const_lv1_1) and (ap_enable_reg_pp0_iter1 = ap_const_logic_0)) or ((ap_enable_reg_pp0_iter5 = ap_const_logic_0) and (ap_const_boolean_0 = ap_block_pp0_stage0_subdone) and (ap_enable_reg_pp0_iter6 = ap_const_logic_1)))) then
ap_NS_fsm <= ap_ST_fsm_state9;
else
ap_NS_fsm <= ap_ST_fsm_pp0_stage0;
end if;
when ap_ST_fsm_state9 =>
ap_NS_fsm <= ap_ST_fsm_state1;
when others =>
ap_NS_fsm <= "XXX";
end case;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_address0 <= zext_ln188_fu_733_p1(10 - 1 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_address1 <= zext_ln177_fu_713_p1(10 - 1 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_ce0_assign_proc : process(ap_block_pp0_stage0_11001, ap_enable_reg_pp0_iter2)
begin
if (((ap_enable_reg_pp0_iter2 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_ce0 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_ce0 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_ce1_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_11001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_ce1 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_ce1 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_d1 <= p_src_data_V_V_dout;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_we1_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, icmp_ln169_reg_1837, and_ln176_reg_1846, ap_block_pp0_stage0_11001, trunc_ln321_reg_1812)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (trunc_ln321_reg_1812 = ap_const_lv3_0) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (ap_const_lv1_1 = and_ln176_reg_1846) and (icmp_ln169_reg_1837 = ap_const_lv1_0))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_we1 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_0_V_we1 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_address0 <= zext_ln188_fu_733_p1(10 - 1 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_address1 <= zext_ln177_fu_713_p1(10 - 1 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_ce0_assign_proc : process(ap_block_pp0_stage0_11001, ap_enable_reg_pp0_iter2)
begin
if (((ap_enable_reg_pp0_iter2 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_ce0 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_ce0 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_ce1_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_11001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_ce1 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_ce1 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_d1 <= p_src_data_V_V_dout;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_we1_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, icmp_ln169_reg_1837, and_ln176_reg_1846, ap_block_pp0_stage0_11001, trunc_ln321_reg_1812)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (trunc_ln321_reg_1812 = ap_const_lv3_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (ap_const_lv1_1 = and_ln176_reg_1846) and (icmp_ln169_reg_1837 = ap_const_lv1_0))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_we1 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_1_V_we1 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_address0 <= zext_ln188_fu_733_p1(10 - 1 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_address1 <= zext_ln177_fu_713_p1(10 - 1 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_ce0_assign_proc : process(ap_block_pp0_stage0_11001, ap_enable_reg_pp0_iter2)
begin
if (((ap_enable_reg_pp0_iter2 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_ce0 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_ce0 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_ce1_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_11001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_ce1 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_ce1 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_d1 <= p_src_data_V_V_dout;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_we1_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, icmp_ln169_reg_1837, and_ln176_reg_1846, ap_block_pp0_stage0_11001, trunc_ln321_reg_1812)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (trunc_ln321_reg_1812 = ap_const_lv3_2) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (ap_const_lv1_1 = and_ln176_reg_1846) and (icmp_ln169_reg_1837 = ap_const_lv1_0))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_we1 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_2_V_we1 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_address0 <= zext_ln188_fu_733_p1(10 - 1 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_address1 <= zext_ln177_fu_713_p1(10 - 1 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_ce0_assign_proc : process(ap_block_pp0_stage0_11001, ap_enable_reg_pp0_iter2)
begin
if (((ap_enable_reg_pp0_iter2 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_ce0 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_ce0 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_ce1_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_11001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_ce1 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_ce1 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_d1 <= p_src_data_V_V_dout;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_we1_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, icmp_ln169_reg_1837, and_ln176_reg_1846, ap_block_pp0_stage0_11001, trunc_ln321_reg_1812)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (trunc_ln321_reg_1812 = ap_const_lv3_3) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (ap_const_lv1_1 = and_ln176_reg_1846) and (icmp_ln169_reg_1837 = ap_const_lv1_0))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_we1 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_3_V_we1 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_address0 <= zext_ln188_fu_733_p1(10 - 1 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_address1 <= zext_ln177_fu_713_p1(10 - 1 downto 0);
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_ce0_assign_proc : process(ap_block_pp0_stage0_11001, ap_enable_reg_pp0_iter2)
begin
if (((ap_enable_reg_pp0_iter2 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_ce0 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_ce0 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_ce1_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_11001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_ce1 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_ce1 <= ap_const_logic_0;
end if;
end process;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_d1 <= p_src_data_V_V_dout;
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_we1_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, icmp_ln169_reg_1837, and_ln176_reg_1846, ap_block_pp0_stage0_11001, trunc_ln321_reg_1812)
begin
if ((not((trunc_ln321_reg_1812 = ap_const_lv3_0)) and not((trunc_ln321_reg_1812 = ap_const_lv3_1)) and not((trunc_ln321_reg_1812 = ap_const_lv3_2)) and not((trunc_ln321_reg_1812 = ap_const_lv3_3)) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (ap_const_lv1_1 = and_ln176_reg_1846) and (icmp_ln169_reg_1837 = ap_const_lv1_0))) then
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_we1 <= ap_const_logic_1;
else
GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_buff_val_4_V_we1 <= ap_const_logic_0;
end if;
end process;
add_ln169_fu_650_p2 <= std_logic_vector(unsigned(ap_const_lv15_1) + unsigned(GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_num_clks_per_row_read));
add_ln177_fu_722_p2 <= std_logic_vector(unsigned(GenericBPC_rd_ptr_0_fu_120) + unsigned(ap_const_lv32_1));
add_ln243_fu_1754_p2 <= std_logic_vector(unsigned(GenericBPC_wr_ptr_0_fu_116) + unsigned(ap_const_lv32_1));
and_ln176_fu_708_p2 <= (icmp_ln176_reg_1807 and icmp_ln176_1_fu_703_p2);
ap_CS_fsm_pp0_stage0 <= ap_CS_fsm(1);
ap_CS_fsm_state1 <= ap_CS_fsm(0);
ap_CS_fsm_state9 <= ap_CS_fsm(2);
ap_block_pp0_stage0 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_pp0_stage0_01001_assign_proc : process(p_src_data_V_V_empty_n, p_dst_data_V_V_full_n, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter6, icmp_ln232_reg_1875_pp0_iter5_reg, ap_predicate_op76_read_state3)
begin
ap_block_pp0_stage0_01001 <= (((p_dst_data_V_V_full_n = ap_const_logic_0) and (ap_enable_reg_pp0_iter6 = ap_const_logic_1) and (icmp_ln232_reg_1875_pp0_iter5_reg = ap_const_lv1_0)) or ((p_src_data_V_V_empty_n = ap_const_logic_0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_predicate_op76_read_state3 = ap_const_boolean_1)));
end process;
ap_block_pp0_stage0_11001_assign_proc : process(p_src_data_V_V_empty_n, p_dst_data_V_V_full_n, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter6, icmp_ln232_reg_1875_pp0_iter5_reg, ap_predicate_op76_read_state3)
begin
ap_block_pp0_stage0_11001 <= (((p_dst_data_V_V_full_n = ap_const_logic_0) and (ap_enable_reg_pp0_iter6 = ap_const_logic_1) and (icmp_ln232_reg_1875_pp0_iter5_reg = ap_const_lv1_0)) or ((p_src_data_V_V_empty_n = ap_const_logic_0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_predicate_op76_read_state3 = ap_const_boolean_1)));
end process;
ap_block_pp0_stage0_subdone_assign_proc : process(p_src_data_V_V_empty_n, p_dst_data_V_V_full_n, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter6, icmp_ln232_reg_1875_pp0_iter5_reg, ap_predicate_op76_read_state3)
begin
ap_block_pp0_stage0_subdone <= (((p_dst_data_V_V_full_n = ap_const_logic_0) and (ap_enable_reg_pp0_iter6 = ap_const_logic_1) and (icmp_ln232_reg_1875_pp0_iter5_reg = ap_const_lv1_0)) or ((p_src_data_V_V_empty_n = ap_const_logic_0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_predicate_op76_read_state3 = ap_const_boolean_1)));
end process;
ap_block_state2_pp0_stage0_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state3_pp0_stage0_iter1_assign_proc : process(p_src_data_V_V_empty_n, ap_predicate_op76_read_state3)
begin
ap_block_state3_pp0_stage0_iter1 <= ((p_src_data_V_V_empty_n = ap_const_logic_0) and (ap_predicate_op76_read_state3 = ap_const_boolean_1));
end process;
ap_block_state4_pp0_stage0_iter2 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state5_pp0_stage0_iter3 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state6_pp0_stage0_iter4 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state7_pp0_stage0_iter5 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state8_pp0_stage0_iter6_assign_proc : process(p_dst_data_V_V_full_n, icmp_ln232_reg_1875_pp0_iter5_reg)
begin
ap_block_state8_pp0_stage0_iter6 <= ((p_dst_data_V_V_full_n = ap_const_logic_0) and (icmp_ln232_reg_1875_pp0_iter5_reg = ap_const_lv1_0));
end process;
ap_condition_pp0_exit_iter0_state2_assign_proc : process(icmp_ln169_fu_692_p2)
begin
if ((icmp_ln169_fu_692_p2 = ap_const_lv1_1)) then
ap_condition_pp0_exit_iter0_state2 <= ap_const_logic_1;
else
ap_condition_pp0_exit_iter0_state2 <= ap_const_logic_0;
end if;
end process;
ap_done_assign_proc : process(ap_start, ap_CS_fsm_state1, ap_CS_fsm_state9)
begin
if (((ap_const_logic_1 = ap_CS_fsm_state9) or ((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1)))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
ap_enable_pp0 <= (ap_idle_pp0 xor ap_const_logic_1);
ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1)
begin
if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_idle_pp0_assign_proc : process(ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter6, ap_enable_reg_pp0_iter0, ap_enable_reg_pp0_iter3, ap_enable_reg_pp0_iter2, ap_enable_reg_pp0_iter4, ap_enable_reg_pp0_iter5)
begin
if (((ap_enable_reg_pp0_iter5 = ap_const_logic_0) and (ap_enable_reg_pp0_iter4 = ap_const_logic_0) and (ap_enable_reg_pp0_iter2 = ap_const_logic_0) and (ap_enable_reg_pp0_iter3 = ap_const_logic_0) and (ap_enable_reg_pp0_iter0 = ap_const_logic_0) and (ap_enable_reg_pp0_iter6 = ap_const_logic_0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_0))) then
ap_idle_pp0 <= ap_const_logic_1;
else
ap_idle_pp0 <= ap_const_logic_0;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_10_phi_fu_451_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_10_reg_447, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_37_reg_1993, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_10_phi_fu_451_p4 <= GenericBPC_src_blk_v_37_reg_1993;
else
ap_phi_mux_GenericBPC_src_blk_v_10_phi_fu_451_p4 <= GenericBPC_src_blk_v_10_reg_447;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_11_phi_fu_463_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_11_reg_459, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_38_reg_2002, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_11_phi_fu_463_p4 <= GenericBPC_src_blk_v_38_reg_2002;
else
ap_phi_mux_GenericBPC_src_blk_v_11_phi_fu_463_p4 <= GenericBPC_src_blk_v_11_reg_459;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_12_phi_fu_475_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_12_reg_471, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_39_reg_2011, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_12_phi_fu_475_p4 <= GenericBPC_src_blk_v_39_reg_2011;
else
ap_phi_mux_GenericBPC_src_blk_v_12_phi_fu_475_p4 <= GenericBPC_src_blk_v_12_reg_471;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_13_phi_fu_487_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_13_reg_483, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_40_reg_2016, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_13_phi_fu_487_p4 <= GenericBPC_src_blk_v_40_reg_2016;
else
ap_phi_mux_GenericBPC_src_blk_v_13_phi_fu_487_p4 <= GenericBPC_src_blk_v_13_reg_483;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_14_phi_fu_499_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_14_reg_495, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_41_reg_2021, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_14_phi_fu_499_p4 <= GenericBPC_src_blk_v_41_reg_2021;
else
ap_phi_mux_GenericBPC_src_blk_v_14_phi_fu_499_p4 <= GenericBPC_src_blk_v_14_reg_495;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_15_phi_fu_511_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_15_reg_507, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_42_reg_2026, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_15_phi_fu_511_p4 <= GenericBPC_src_blk_v_42_reg_2026;
else
ap_phi_mux_GenericBPC_src_blk_v_15_phi_fu_511_p4 <= GenericBPC_src_blk_v_15_reg_507;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_16_phi_fu_523_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_16_reg_519, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_47_reg_2071, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_16_phi_fu_523_p4 <= GenericBPC_src_blk_v_47_reg_2071;
else
ap_phi_mux_GenericBPC_src_blk_v_16_phi_fu_523_p4 <= GenericBPC_src_blk_v_16_reg_519;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_17_phi_fu_535_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_17_reg_531, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_48_reg_2084, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_17_phi_fu_535_p4 <= GenericBPC_src_blk_v_48_reg_2084;
else
ap_phi_mux_GenericBPC_src_blk_v_17_phi_fu_535_p4 <= GenericBPC_src_blk_v_17_reg_531;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_18_phi_fu_547_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_18_reg_543, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_49_reg_2097, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_18_phi_fu_547_p4 <= GenericBPC_src_blk_v_49_reg_2097;
else
ap_phi_mux_GenericBPC_src_blk_v_18_phi_fu_547_p4 <= GenericBPC_src_blk_v_18_reg_543;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_19_phi_fu_559_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_19_reg_555, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_50_reg_2106, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_19_phi_fu_559_p4 <= GenericBPC_src_blk_v_50_reg_2106;
else
ap_phi_mux_GenericBPC_src_blk_v_19_phi_fu_559_p4 <= GenericBPC_src_blk_v_19_reg_555;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_1_phi_fu_343_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_1_reg_339, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_24_reg_1886, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_1_phi_fu_343_p4 <= GenericBPC_src_blk_v_24_reg_1886;
else
ap_phi_mux_GenericBPC_src_blk_v_1_phi_fu_343_p4 <= GenericBPC_src_blk_v_1_reg_339;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_2_phi_fu_355_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_2_reg_351, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_25_reg_1893, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_2_phi_fu_355_p4 <= GenericBPC_src_blk_v_25_reg_1893;
else
ap_phi_mux_GenericBPC_src_blk_v_2_phi_fu_355_p4 <= GenericBPC_src_blk_v_2_reg_351;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_3_phi_fu_367_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_3_reg_363, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_26_reg_1902, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_3_phi_fu_367_p4 <= GenericBPC_src_blk_v_26_reg_1902;
else
ap_phi_mux_GenericBPC_src_blk_v_3_phi_fu_367_p4 <= GenericBPC_src_blk_v_3_reg_363;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_4_phi_fu_379_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_4_reg_375, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_27_reg_1911, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_4_phi_fu_379_p4 <= GenericBPC_src_blk_v_27_reg_1911;
else
ap_phi_mux_GenericBPC_src_blk_v_4_phi_fu_379_p4 <= GenericBPC_src_blk_v_4_reg_375;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_5_phi_fu_391_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_5_reg_387, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_28_reg_1916, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_5_phi_fu_391_p4 <= GenericBPC_src_blk_v_28_reg_1916;
else
ap_phi_mux_GenericBPC_src_blk_v_5_phi_fu_391_p4 <= GenericBPC_src_blk_v_5_reg_387;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_6_phi_fu_403_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_6_reg_399, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_29_reg_1921, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_6_phi_fu_403_p4 <= GenericBPC_src_blk_v_29_reg_1921;
else
ap_phi_mux_GenericBPC_src_blk_v_6_phi_fu_403_p4 <= GenericBPC_src_blk_v_6_reg_399;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_7_phi_fu_415_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_7_reg_411, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_30_reg_1926, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_7_phi_fu_415_p4 <= GenericBPC_src_blk_v_30_reg_1926;
else
ap_phi_mux_GenericBPC_src_blk_v_7_phi_fu_415_p4 <= GenericBPC_src_blk_v_7_reg_411;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_8_phi_fu_427_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_8_reg_423, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_35_reg_1969, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_8_phi_fu_427_p4 <= GenericBPC_src_blk_v_35_reg_1969;
else
ap_phi_mux_GenericBPC_src_blk_v_8_phi_fu_427_p4 <= GenericBPC_src_blk_v_8_reg_423;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_9_phi_fu_439_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_9_reg_435, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_36_reg_1981, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_9_phi_fu_439_p4 <= GenericBPC_src_blk_v_36_reg_1981;
else
ap_phi_mux_GenericBPC_src_blk_v_9_phi_fu_439_p4 <= GenericBPC_src_blk_v_9_reg_435;
end if;
end process;
ap_phi_mux_GenericBPC_src_blk_v_phi_fu_331_p4_assign_proc : process(ap_block_pp0_stage0, GenericBPC_src_blk_v_reg_327, icmp_ln169_reg_1837_pp0_iter3_reg, GenericBPC_src_blk_v_23_reg_1879, ap_enable_reg_pp0_iter4)
begin
if (((ap_enable_reg_pp0_iter4 = ap_const_logic_1) and (icmp_ln169_reg_1837_pp0_iter3_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_GenericBPC_src_blk_v_phi_fu_331_p4 <= GenericBPC_src_blk_v_23_reg_1879;
else
ap_phi_mux_GenericBPC_src_blk_v_phi_fu_331_p4 <= GenericBPC_src_blk_v_reg_327;
end if;
end process;
ap_phi_mux_c_0_phi_fu_571_p4_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0, icmp_ln169_reg_1837, c_0_reg_567, c_reg_1841)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (icmp_ln169_reg_1837 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
ap_phi_mux_c_0_phi_fu_571_p4 <= c_reg_1841;
else
ap_phi_mux_c_0_phi_fu_571_p4 <= c_0_reg_567;
end if;
end process;
ap_predicate_op76_read_state3_assign_proc : process(icmp_ln169_reg_1837, and_ln176_reg_1846)
begin
ap_predicate_op76_read_state3 <= ((ap_const_lv1_1 = and_ln176_reg_1846) and (icmp_ln169_reg_1837 = ap_const_lv1_0));
end process;
ap_ready_assign_proc : process(ap_CS_fsm_state9)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state9)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return_0 <= GenericBPC_rd_ptr_0_fu_120;
ap_return_1 <= GenericBPC_wr_ptr_0_fu_116;
c_fu_697_p2 <= std_logic_vector(unsigned(ap_phi_mux_c_0_phi_fu_571_p4) + unsigned(ap_const_lv15_1));
icmp_ln169_fu_692_p2 <= "1" when (ap_phi_mux_c_0_phi_fu_571_p4 = add_ln169_reg_1802) else "0";
icmp_ln176_1_fu_703_p2 <= "1" when (unsigned(ap_phi_mux_c_0_phi_fu_571_p4) < unsigned(GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_num_clks_per_row_read)) else "0";
icmp_ln176_fu_656_p2 <= "1" when (unsigned(r) < unsigned(p_src_rows_read)) else "0";
icmp_ln232_fu_742_p2 <= "1" when (c_0_reg_567_pp0_iter1_reg = ap_const_lv15_0) else "0";
icmp_ln887_10_fu_1459_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_33_reg_1947_pp0_iter4_reg) < unsigned(select_ln393_6_fu_1452_p3)) else "0";
icmp_ln887_11_fu_1010_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_3) < unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_1)) else "0";
icmp_ln887_12_fu_1030_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_5) < unsigned(select_ln393_7_fu_1016_p3)) else "0";
icmp_ln887_13_fu_1196_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_32_reg_1939) < unsigned(select_ln393_8_fu_1179_p3)) else "0";
icmp_ln887_14_fu_1220_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_36_reg_1981) < unsigned(select_ln393_9_fu_1201_p3)) else "0";
icmp_ln887_15_fu_1244_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_44_reg_2039) < unsigned(select_ln393_10_fu_1225_p3)) else "0";
icmp_ln887_16_fu_1494_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_46_reg_2059_pp0_iter4_reg) < unsigned(select_ln393_11_reg_2197)) else "0";
icmp_ln887_17_fu_1516_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_48_reg_2084_pp0_iter4_reg) < unsigned(select_ln393_12_fu_1498_p3)) else "0";
icmp_ln887_18_fu_1050_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_4) < unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_2)) else "0";
icmp_ln887_19_fu_1266_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_25_reg_1893) < unsigned(select_ln393_14_reg_2161)) else "0";
icmp_ln887_1_fu_1528_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_34_reg_1958_pp0_iter4_reg) < unsigned(select_ln393_13_fu_1521_p3)) else "0";
icmp_ln887_20_fu_1288_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_33_reg_1947) < unsigned(select_ln393_15_fu_1270_p3)) else "0";
icmp_ln887_21_fu_1312_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_37_reg_1993) < unsigned(select_ln393_16_fu_1293_p3)) else "0";
icmp_ln887_22_fu_1329_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_45_reg_2047) < unsigned(select_ln393_17_fu_1317_p3)) else "0";
icmp_ln887_23_fu_1575_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_47_reg_2071_pp0_iter4_reg) < unsigned(select_ln393_18_fu_1558_p3)) else "0";
icmp_ln887_24_fu_1599_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_49_reg_2097_pp0_iter4_reg) < unsigned(select_ln393_19_fu_1580_p3)) else "0";
icmp_ln887_25_fu_1078_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_5) < unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_3)) else "0";
icmp_ln887_26_fu_1344_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_26_reg_1902) < unsigned(select_ln393_21_reg_2173)) else "0";
icmp_ln887_27_fu_1366_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_34_reg_1958) < unsigned(select_ln393_22_fu_1348_p3)) else "0";
icmp_ln887_28_fu_1390_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_38_reg_2002) < unsigned(select_ln393_23_fu_1371_p3)) else "0";
icmp_ln887_29_fu_1407_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_46_reg_2059) < unsigned(select_ln393_24_fu_1395_p3)) else "0";
icmp_ln887_2_fu_1611_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_35_reg_1969_pp0_iter4_reg) < unsigned(select_ln393_20_fu_1604_p3)) else "0";
icmp_ln887_30_fu_1658_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_48_reg_2084_pp0_iter4_reg) < unsigned(select_ln393_25_fu_1641_p3)) else "0";
icmp_ln887_31_fu_1682_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_50_reg_2106_pp0_iter4_reg) < unsigned(select_ln393_26_fu_1663_p3)) else "0";
icmp_ln887_3_fu_1694_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_36_reg_1981_pp0_iter4_reg) < unsigned(select_ln393_27_fu_1687_p3)) else "0";
icmp_ln887_4_fu_990_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_4) < unsigned(select_ln393_fu_976_p3)) else "0";
icmp_ln887_5_fu_1114_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_31_reg_1931) < unsigned(select_ln393_1_fu_1097_p3)) else "0";
icmp_ln887_6_fu_1138_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_35_reg_1969) < unsigned(select_ln393_2_fu_1119_p3)) else "0";
icmp_ln887_7_fu_1162_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_43_reg_2031) < unsigned(select_ln393_3_fu_1143_p3)) else "0";
icmp_ln887_8_fu_1425_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_45_reg_2047_pp0_iter4_reg) < unsigned(select_ln393_4_reg_2185)) else "0";
icmp_ln887_9_fu_1447_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_47_reg_2071_pp0_iter4_reg) < unsigned(select_ln393_5_fu_1429_p3)) else "0";
icmp_ln887_fu_970_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_2) < unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_0)) else "0";
icmp_ln895_10_fu_1184_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_32_reg_1939) > unsigned(select_ln390_8_fu_1174_p3)) else "0";
icmp_ln895_11_fu_1208_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_36_reg_1981) > unsigned(select_ln390_9_fu_1189_p3)) else "0";
icmp_ln895_12_fu_1232_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_44_reg_2039) > unsigned(select_ln390_10_fu_1213_p3)) else "0";
icmp_ln895_13_fu_1484_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_46_reg_2059_pp0_iter4_reg) > unsigned(select_ln390_11_reg_2191)) else "0";
icmp_ln895_14_fu_1504_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_48_reg_2084_pp0_iter4_reg) > unsigned(select_ln390_12_fu_1488_p3)) else "0";
icmp_ln895_15_fu_1533_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_34_reg_1958_pp0_iter4_reg) > unsigned(select_ln390_13_fu_1509_p3)) else "0";
icmp_ln895_16_fu_1036_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_4) > unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_2)) else "0";
icmp_ln895_17_fu_1256_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_25_reg_1893) > unsigned(select_ln390_14_reg_2155)) else "0";
icmp_ln895_18_fu_1276_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_33_reg_1947) > unsigned(select_ln390_15_fu_1260_p3)) else "0";
icmp_ln895_19_fu_1300_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_37_reg_1993) > unsigned(select_ln390_16_fu_1281_p3)) else "0";
icmp_ln895_1_fu_984_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_4) > unsigned(select_ln390_fu_962_p3)) else "0";
icmp_ln895_20_fu_1324_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_45_reg_2047) > unsigned(select_ln390_17_fu_1305_p3)) else "0";
icmp_ln895_21_fu_1563_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_47_reg_2071_pp0_iter4_reg) > unsigned(select_ln390_18_fu_1553_p3)) else "0";
icmp_ln895_22_fu_1587_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_49_reg_2097_pp0_iter4_reg) > unsigned(select_ln390_19_fu_1568_p3)) else "0";
icmp_ln895_23_fu_1616_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_35_reg_1969_pp0_iter4_reg) > unsigned(select_ln390_20_fu_1592_p3)) else "0";
icmp_ln895_24_fu_1064_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_5) > unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_3)) else "0";
icmp_ln895_25_fu_1334_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_26_reg_1902) > unsigned(select_ln390_21_reg_2167)) else "0";
icmp_ln895_26_fu_1354_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_34_reg_1958) > unsigned(select_ln390_22_fu_1338_p3)) else "0";
icmp_ln895_27_fu_1378_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_38_reg_2002) > unsigned(select_ln390_23_fu_1359_p3)) else "0";
icmp_ln895_28_fu_1402_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_46_reg_2059) > unsigned(select_ln390_24_fu_1383_p3)) else "0";
icmp_ln895_29_fu_1646_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_48_reg_2084_pp0_iter4_reg) > unsigned(select_ln390_25_fu_1636_p3)) else "0";
icmp_ln895_2_fu_1102_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_31_reg_1931) > unsigned(select_ln390_1_fu_1092_p3)) else "0";
icmp_ln895_30_fu_1670_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_50_reg_2106_pp0_iter4_reg) > unsigned(select_ln390_26_fu_1651_p3)) else "0";
icmp_ln895_31_fu_1699_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_36_reg_1981_pp0_iter4_reg) > unsigned(select_ln390_27_fu_1675_p3)) else "0";
icmp_ln895_3_fu_1126_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_35_reg_1969) > unsigned(select_ln390_2_fu_1107_p3)) else "0";
icmp_ln895_4_fu_1150_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_43_reg_2031) > unsigned(select_ln390_3_fu_1131_p3)) else "0";
icmp_ln895_5_fu_1415_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_45_reg_2047_pp0_iter4_reg) > unsigned(select_ln390_4_reg_2179)) else "0";
icmp_ln895_6_fu_1435_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_47_reg_2071_pp0_iter4_reg) > unsigned(select_ln390_5_fu_1419_p3)) else "0";
icmp_ln895_7_fu_1464_p2 <= "1" when (unsigned(GenericBPC_src_blk_v_33_reg_1947_pp0_iter4_reg) > unsigned(select_ln390_6_fu_1440_p3)) else "0";
icmp_ln895_8_fu_996_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_3) > unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_1)) else "0";
icmp_ln895_9_fu_1024_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_5) > unsigned(select_ln390_7_fu_1002_p3)) else "0";
icmp_ln895_fu_956_p2 <= "1" when (unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_2) > unsigned(call_ret1_xfExtractPixels_fu_579_ap_return_0)) else "0";
p_Result_3_fu_1719_p5 <= (((select_ln887_3_fu_1711_p3 & select_ln887_2_fu_1628_p3) & select_ln887_1_fu_1545_p3) & select_ln887_fu_1476_p3);
p_dst_data_V_V_blk_n_assign_proc : process(p_dst_data_V_V_full_n, ap_block_pp0_stage0, ap_enable_reg_pp0_iter6, icmp_ln232_reg_1875_pp0_iter5_reg)
begin
if (((ap_enable_reg_pp0_iter6 = ap_const_logic_1) and (icmp_ln232_reg_1875_pp0_iter5_reg = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
p_dst_data_V_V_blk_n <= p_dst_data_V_V_full_n;
else
p_dst_data_V_V_blk_n <= ap_const_logic_1;
end if;
end process;
p_dst_data_V_V_din <= ((select_ln887_1_reg_2248 & select_ln887_reg_2243) & p_Result_s_reg_2253);
p_dst_data_V_V_write_assign_proc : process(ap_enable_reg_pp0_iter6, icmp_ln232_reg_1875_pp0_iter5_reg, ap_block_pp0_stage0_11001)
begin
if (((ap_enable_reg_pp0_iter6 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (icmp_ln232_reg_1875_pp0_iter5_reg = ap_const_lv1_0))) then
p_dst_data_V_V_write <= ap_const_logic_1;
else
p_dst_data_V_V_write <= ap_const_logic_0;
end if;
end process;
p_src_data_V_V_blk_n_assign_proc : process(p_src_data_V_V_empty_n, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0, icmp_ln169_reg_1837, and_ln176_reg_1846)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_lv1_1 = and_ln176_reg_1846) and (icmp_ln169_reg_1837 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0))) then
p_src_data_V_V_blk_n <= p_src_data_V_V_empty_n;
else
p_src_data_V_V_blk_n <= ap_const_logic_1;
end if;
end process;
p_src_data_V_V_read_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_predicate_op76_read_state3, ap_block_pp0_stage0_11001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001) and (ap_predicate_op76_read_state3 = ap_const_boolean_1))) then
p_src_data_V_V_read <= ap_const_logic_1;
else
p_src_data_V_V_read <= ap_const_logic_0;
end if;
end process;
select_ln390_10_fu_1213_p3 <=
GenericBPC_src_blk_v_36_reg_1981 when (icmp_ln895_11_fu_1208_p2(0) = '1') else
select_ln390_9_fu_1189_p3;
select_ln390_11_fu_1237_p3 <=
GenericBPC_src_blk_v_44_reg_2039 when (icmp_ln895_12_fu_1232_p2(0) = '1') else
select_ln390_10_fu_1213_p3;
select_ln390_12_fu_1488_p3 <=
GenericBPC_src_blk_v_46_reg_2059_pp0_iter4_reg when (icmp_ln895_13_fu_1484_p2(0) = '1') else
select_ln390_11_reg_2191;
select_ln390_13_fu_1509_p3 <=
GenericBPC_src_blk_v_48_reg_2084_pp0_iter4_reg when (icmp_ln895_14_fu_1504_p2(0) = '1') else
select_ln390_12_fu_1488_p3;
select_ln390_14_fu_1042_p3 <=
call_ret1_xfExtractPixels_fu_579_ap_return_4 when (icmp_ln895_16_fu_1036_p2(0) = '1') else
call_ret1_xfExtractPixels_fu_579_ap_return_2;
select_ln390_15_fu_1260_p3 <=
GenericBPC_src_blk_v_25_reg_1893 when (icmp_ln895_17_fu_1256_p2(0) = '1') else
select_ln390_14_reg_2155;
select_ln390_16_fu_1281_p3 <=
GenericBPC_src_blk_v_33_reg_1947 when (icmp_ln895_18_fu_1276_p2(0) = '1') else
select_ln390_15_fu_1260_p3;
select_ln390_17_fu_1305_p3 <=
GenericBPC_src_blk_v_37_reg_1993 when (icmp_ln895_19_fu_1300_p2(0) = '1') else
select_ln390_16_fu_1281_p3;
select_ln390_18_fu_1553_p3 <=
GenericBPC_src_blk_v_45_reg_2047_pp0_iter4_reg when (icmp_ln895_20_reg_2213(0) = '1') else
select_ln390_17_reg_2203;
select_ln390_19_fu_1568_p3 <=
GenericBPC_src_blk_v_47_reg_2071_pp0_iter4_reg when (icmp_ln895_21_fu_1563_p2(0) = '1') else
select_ln390_18_fu_1553_p3;
select_ln390_1_fu_1092_p3 <=
GenericBPC_src_blk_v_23_reg_1879 when (icmp_ln895_1_reg_2125(0) = '1') else
select_ln390_reg_2115;
select_ln390_20_fu_1592_p3 <=
GenericBPC_src_blk_v_49_reg_2097_pp0_iter4_reg when (icmp_ln895_22_fu_1587_p2(0) = '1') else
select_ln390_19_fu_1568_p3;
select_ln390_21_fu_1070_p3 <=
call_ret1_xfExtractPixels_fu_579_ap_return_5 when (icmp_ln895_24_fu_1064_p2(0) = '1') else
call_ret1_xfExtractPixels_fu_579_ap_return_3;
select_ln390_22_fu_1338_p3 <=
GenericBPC_src_blk_v_26_reg_1902 when (icmp_ln895_25_fu_1334_p2(0) = '1') else
select_ln390_21_reg_2167;
select_ln390_23_fu_1359_p3 <=
GenericBPC_src_blk_v_34_reg_1958 when (icmp_ln895_26_fu_1354_p2(0) = '1') else
select_ln390_22_fu_1338_p3;
select_ln390_24_fu_1383_p3 <=
GenericBPC_src_blk_v_38_reg_2002 when (icmp_ln895_27_fu_1378_p2(0) = '1') else
select_ln390_23_fu_1359_p3;
select_ln390_25_fu_1636_p3 <=
GenericBPC_src_blk_v_46_reg_2059_pp0_iter4_reg when (icmp_ln895_28_reg_2233(0) = '1') else
select_ln390_24_reg_2223;
select_ln390_26_fu_1651_p3 <=
GenericBPC_src_blk_v_48_reg_2084_pp0_iter4_reg when (icmp_ln895_29_fu_1646_p2(0) = '1') else
select_ln390_25_fu_1636_p3;
select_ln390_27_fu_1675_p3 <=
GenericBPC_src_blk_v_50_reg_2106_pp0_iter4_reg when (icmp_ln895_30_fu_1670_p2(0) = '1') else
select_ln390_26_fu_1651_p3;
select_ln390_2_fu_1107_p3 <=
GenericBPC_src_blk_v_31_reg_1931 when (icmp_ln895_2_fu_1102_p2(0) = '1') else
select_ln390_1_fu_1092_p3;
select_ln390_3_fu_1131_p3 <=
GenericBPC_src_blk_v_35_reg_1969 when (icmp_ln895_3_fu_1126_p2(0) = '1') else
select_ln390_2_fu_1107_p3;
select_ln390_4_fu_1155_p3 <=
GenericBPC_src_blk_v_43_reg_2031 when (icmp_ln895_4_fu_1150_p2(0) = '1') else
select_ln390_3_fu_1131_p3;
select_ln390_5_fu_1419_p3 <=
GenericBPC_src_blk_v_45_reg_2047_pp0_iter4_reg when (icmp_ln895_5_fu_1415_p2(0) = '1') else
select_ln390_4_reg_2179;
select_ln390_6_fu_1440_p3 <=
GenericBPC_src_blk_v_47_reg_2071_pp0_iter4_reg when (icmp_ln895_6_fu_1435_p2(0) = '1') else
select_ln390_5_fu_1419_p3;
select_ln390_7_fu_1002_p3 <=
call_ret1_xfExtractPixels_fu_579_ap_return_3 when (icmp_ln895_8_fu_996_p2(0) = '1') else
call_ret1_xfExtractPixels_fu_579_ap_return_1;
select_ln390_8_fu_1174_p3 <=
GenericBPC_src_blk_v_24_reg_1886 when (icmp_ln895_9_reg_2145(0) = '1') else
select_ln390_7_reg_2135;
select_ln390_9_fu_1189_p3 <=
GenericBPC_src_blk_v_32_reg_1939 when (icmp_ln895_10_fu_1184_p2(0) = '1') else
select_ln390_8_fu_1174_p3;
select_ln390_fu_962_p3 <=
call_ret1_xfExtractPixels_fu_579_ap_return_2 when (icmp_ln895_fu_956_p2(0) = '1') else
call_ret1_xfExtractPixels_fu_579_ap_return_0;
select_ln393_10_fu_1225_p3 <=
GenericBPC_src_blk_v_36_reg_1981 when (icmp_ln887_14_fu_1220_p2(0) = '1') else
select_ln393_9_fu_1201_p3;
select_ln393_11_fu_1249_p3 <=
GenericBPC_src_blk_v_44_reg_2039 when (icmp_ln887_15_fu_1244_p2(0) = '1') else
select_ln393_10_fu_1225_p3;
select_ln393_12_fu_1498_p3 <=
GenericBPC_src_blk_v_46_reg_2059_pp0_iter4_reg when (icmp_ln887_16_fu_1494_p2(0) = '1') else
select_ln393_11_reg_2197;
select_ln393_13_fu_1521_p3 <=
GenericBPC_src_blk_v_48_reg_2084_pp0_iter4_reg when (icmp_ln887_17_fu_1516_p2(0) = '1') else
select_ln393_12_fu_1498_p3;
select_ln393_14_fu_1056_p3 <=
call_ret1_xfExtractPixels_fu_579_ap_return_4 when (icmp_ln887_18_fu_1050_p2(0) = '1') else
call_ret1_xfExtractPixels_fu_579_ap_return_2;
select_ln393_15_fu_1270_p3 <=
GenericBPC_src_blk_v_25_reg_1893 when (icmp_ln887_19_fu_1266_p2(0) = '1') else
select_ln393_14_reg_2161;
select_ln393_16_fu_1293_p3 <=
GenericBPC_src_blk_v_33_reg_1947 when (icmp_ln887_20_fu_1288_p2(0) = '1') else
select_ln393_15_fu_1270_p3;
select_ln393_17_fu_1317_p3 <=
GenericBPC_src_blk_v_37_reg_1993 when (icmp_ln887_21_fu_1312_p2(0) = '1') else
select_ln393_16_fu_1293_p3;
select_ln393_18_fu_1558_p3 <=
GenericBPC_src_blk_v_45_reg_2047_pp0_iter4_reg when (icmp_ln887_22_reg_2218(0) = '1') else
select_ln393_17_reg_2208;
select_ln393_19_fu_1580_p3 <=
GenericBPC_src_blk_v_47_reg_2071_pp0_iter4_reg when (icmp_ln887_23_fu_1575_p2(0) = '1') else
select_ln393_18_fu_1558_p3;
select_ln393_1_fu_1097_p3 <=
GenericBPC_src_blk_v_23_reg_1879 when (icmp_ln887_4_reg_2130(0) = '1') else
select_ln393_reg_2120;
select_ln393_20_fu_1604_p3 <=
GenericBPC_src_blk_v_49_reg_2097_pp0_iter4_reg when (icmp_ln887_24_fu_1599_p2(0) = '1') else
select_ln393_19_fu_1580_p3;
select_ln393_21_fu_1084_p3 <=
call_ret1_xfExtractPixels_fu_579_ap_return_5 when (icmp_ln887_25_fu_1078_p2(0) = '1') else
call_ret1_xfExtractPixels_fu_579_ap_return_3;
select_ln393_22_fu_1348_p3 <=
GenericBPC_src_blk_v_26_reg_1902 when (icmp_ln887_26_fu_1344_p2(0) = '1') else
select_ln393_21_reg_2173;
select_ln393_23_fu_1371_p3 <=
GenericBPC_src_blk_v_34_reg_1958 when (icmp_ln887_27_fu_1366_p2(0) = '1') else
select_ln393_22_fu_1348_p3;
select_ln393_24_fu_1395_p3 <=
GenericBPC_src_blk_v_38_reg_2002 when (icmp_ln887_28_fu_1390_p2(0) = '1') else
select_ln393_23_fu_1371_p3;
select_ln393_25_fu_1641_p3 <=
GenericBPC_src_blk_v_46_reg_2059_pp0_iter4_reg when (icmp_ln887_29_reg_2238(0) = '1') else
select_ln393_24_reg_2228;
select_ln393_26_fu_1663_p3 <=
GenericBPC_src_blk_v_48_reg_2084_pp0_iter4_reg when (icmp_ln887_30_fu_1658_p2(0) = '1') else
select_ln393_25_fu_1641_p3;
select_ln393_27_fu_1687_p3 <=
GenericBPC_src_blk_v_50_reg_2106_pp0_iter4_reg when (icmp_ln887_31_fu_1682_p2(0) = '1') else
select_ln393_26_fu_1663_p3;
select_ln393_2_fu_1119_p3 <=
GenericBPC_src_blk_v_31_reg_1931 when (icmp_ln887_5_fu_1114_p2(0) = '1') else
select_ln393_1_fu_1097_p3;
select_ln393_3_fu_1143_p3 <=
GenericBPC_src_blk_v_35_reg_1969 when (icmp_ln887_6_fu_1138_p2(0) = '1') else
select_ln393_2_fu_1119_p3;
select_ln393_4_fu_1167_p3 <=
GenericBPC_src_blk_v_43_reg_2031 when (icmp_ln887_7_fu_1162_p2(0) = '1') else
select_ln393_3_fu_1143_p3;
select_ln393_5_fu_1429_p3 <=
GenericBPC_src_blk_v_45_reg_2047_pp0_iter4_reg when (icmp_ln887_8_fu_1425_p2(0) = '1') else
select_ln393_4_reg_2185;
select_ln393_6_fu_1452_p3 <=
GenericBPC_src_blk_v_47_reg_2071_pp0_iter4_reg when (icmp_ln887_9_fu_1447_p2(0) = '1') else
select_ln393_5_fu_1429_p3;
select_ln393_7_fu_1016_p3 <=
call_ret1_xfExtractPixels_fu_579_ap_return_3 when (icmp_ln887_11_fu_1010_p2(0) = '1') else
call_ret1_xfExtractPixels_fu_579_ap_return_1;
select_ln393_8_fu_1179_p3 <=
GenericBPC_src_blk_v_24_reg_1886 when (icmp_ln887_12_reg_2150(0) = '1') else
select_ln393_7_reg_2140;
select_ln393_9_fu_1201_p3 <=
GenericBPC_src_blk_v_32_reg_1939 when (icmp_ln887_13_fu_1196_p2(0) = '1') else
select_ln393_8_fu_1179_p3;
select_ln393_fu_976_p3 <=
call_ret1_xfExtractPixels_fu_579_ap_return_2 when (icmp_ln887_fu_970_p2(0) = '1') else
call_ret1_xfExtractPixels_fu_579_ap_return_0;
select_ln401_1_fu_1538_p3 <=
select_ln390_13_fu_1509_p3 when (icmp_ln895_15_fu_1533_p2(0) = '1') else
GenericBPC_src_blk_v_34_reg_1958_pp0_iter4_reg;
select_ln401_2_fu_1621_p3 <=
select_ln390_20_fu_1592_p3 when (icmp_ln895_23_fu_1616_p2(0) = '1') else
GenericBPC_src_blk_v_35_reg_1969_pp0_iter4_reg;
select_ln401_3_fu_1704_p3 <=
select_ln390_27_fu_1675_p3 when (icmp_ln895_31_fu_1699_p2(0) = '1') else
GenericBPC_src_blk_v_36_reg_1981_pp0_iter4_reg;
select_ln401_fu_1469_p3 <=
select_ln390_6_fu_1440_p3 when (icmp_ln895_7_fu_1464_p2(0) = '1') else
GenericBPC_src_blk_v_33_reg_1947_pp0_iter4_reg;
select_ln887_1_fu_1545_p3 <=
select_ln393_13_fu_1521_p3 when (icmp_ln887_1_fu_1528_p2(0) = '1') else
select_ln401_1_fu_1538_p3;
select_ln887_2_fu_1628_p3 <=
select_ln393_20_fu_1604_p3 when (icmp_ln887_2_fu_1611_p2(0) = '1') else
select_ln401_2_fu_1621_p3;
select_ln887_3_fu_1711_p3 <=
select_ln393_27_fu_1687_p3 when (icmp_ln887_3_fu_1694_p2(0) = '1') else
select_ln401_3_fu_1704_p3;
select_ln887_fu_1476_p3 <=
select_ln393_6_fu_1452_p3 when (icmp_ln887_10_fu_1459_p2(0) = '1') else
select_ln401_fu_1469_p3;
trunc_ln321_1_fu_666_p1 <= GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_row_idx_val_0_read(3 - 1 downto 0);
trunc_ln321_2_fu_670_p1 <= GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_row_idx_val_1_read(3 - 1 downto 0);
trunc_ln321_3_fu_674_p1 <= GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_row_idx_val_2_read(3 - 1 downto 0);
trunc_ln321_4_fu_678_p1 <= GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_row_idx_val_3_read(3 - 1 downto 0);
trunc_ln321_fu_662_p1 <= GenericBPC_xf_cv_BPC_13_4_13_2160_3840_5_5_4_0_0_row_idx_val_4_read(3 - 1 downto 0);
zext_ln177_fu_713_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(c_0_reg_567),64));
zext_ln188_fu_733_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(c_0_reg_567_pp0_iter1_reg),64));
end behav;
| VHDL | 3 | hito0512/Vitis-AI | Whole-App-Acceleration/apps/resnet50/build_flow/DPUCVDX8G_vck190/vck190_platform/hw/source/ip/isppipeline_accel/hdl/vhdl/process_row.vhd | [
"Apache-2.0"
] |
#include "script_component.hpp"
/*
Name: TFAR_fnc_instanciateRadios
Author: Dedmen, Dorbedo
Takes Radio classnames and returns instanciated classnames (With _ID appended)
Arguments:
0: List of classnames of prototype radios <ARRAY>
1: Unit that is requesting the Radios <OBJECT>
Return Value:
classnames of instanciated radios <ARRAY>
Example:
[["TFAR_anprc_152"], TFAR_currentUnit] call TFAR_fnc_instanciateRadios;
Public: No
*/
params [["_radio_request", [], [[]]], ["_requestingUnit", objNull]];
//Note: This WILL be executed in unscheduled and freeze Server until done
private _response = [];
{
_x params ["_radioBaseClass"];
if (_radioBaseClass == "ItemRadio") then {
_radioBaseClass = (_requestingUnit call TFAR_fnc_getDefaultRadioClasses) param [[2, 1] select ((TFAR_givePersonalRadioToRegularSoldier) or {leader _requestingUnit == _requestingUnit} or {rankId _requestingUnit >= 2}), ""];
} else {
//get Radio baseclass without ID
_radioBaseClass = [_radioBaseClass, "tf_parent", ""] call DFUNC(getWeaponConfigProperty);
};
if (_radioBaseClass isEqualTo "") then {
_response pushBack 0;
} else {
private _nextRadioIndex = (TFAR_RadioCountNamespace getVariable [_radioBaseClass, 0]) + 1;
//If too big go to 1 again. Could cause duplicate Radios if you really have >MAX_RADIO_COUNT active radios
if (_nextRadioIndex > MAX_RADIO_COUNT) then {_nextRadioIndex = 1;};
TFAR_RadioCountNamespace setVariable [_radioBaseClass, _nextRadioIndex];
_response pushBack _nextRadioIndex;
};
} forEach _radio_request;
_response
| SQF | 4 | MrDj200/task-force-arma-3-radio | addons/core/functions/server/fnc_instanciateRadios.sqf | [
"RSA-MD"
] |
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and OpenCL
-- Version: 2020.2
-- Copyright (C) 1986-2020 Xilinx, Inc. All Rights Reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ISPpipeline is
port (
s_axis_video_TDATA : IN STD_LOGIC_VECTOR (39 downto 0);
s_axis_video_TKEEP : IN STD_LOGIC_VECTOR (4 downto 0);
s_axis_video_TSTRB : IN STD_LOGIC_VECTOR (4 downto 0);
s_axis_video_TUSER : IN STD_LOGIC_VECTOR (0 downto 0);
s_axis_video_TLAST : IN STD_LOGIC_VECTOR (0 downto 0);
s_axis_video_TID : IN STD_LOGIC_VECTOR (0 downto 0);
s_axis_video_TDEST : IN STD_LOGIC_VECTOR (0 downto 0);
m_axis_video_TDATA : OUT STD_LOGIC_VECTOR (95 downto 0);
m_axis_video_TKEEP : OUT STD_LOGIC_VECTOR (11 downto 0);
m_axis_video_TSTRB : OUT STD_LOGIC_VECTOR (11 downto 0);
m_axis_video_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axis_video_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axis_video_TID : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axis_video_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0);
height : IN STD_LOGIC_VECTOR (15 downto 0);
width : IN STD_LOGIC_VECTOR (15 downto 0);
hist0_0_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist0_0_ce0 : OUT STD_LOGIC;
hist0_0_d0 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist0_0_q0 : IN STD_LOGIC_VECTOR (31 downto 0);
hist0_0_we0 : OUT STD_LOGIC;
hist0_0_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist0_0_ce1 : OUT STD_LOGIC;
hist0_0_d1 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist0_0_q1 : IN STD_LOGIC_VECTOR (31 downto 0);
hist0_0_we1 : OUT STD_LOGIC;
hist0_1_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist0_1_ce0 : OUT STD_LOGIC;
hist0_1_d0 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist0_1_q0 : IN STD_LOGIC_VECTOR (31 downto 0);
hist0_1_we0 : OUT STD_LOGIC;
hist0_1_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist0_1_ce1 : OUT STD_LOGIC;
hist0_1_d1 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist0_1_q1 : IN STD_LOGIC_VECTOR (31 downto 0);
hist0_1_we1 : OUT STD_LOGIC;
hist0_2_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist0_2_ce0 : OUT STD_LOGIC;
hist0_2_d0 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist0_2_q0 : IN STD_LOGIC_VECTOR (31 downto 0);
hist0_2_we0 : OUT STD_LOGIC;
hist0_2_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist0_2_ce1 : OUT STD_LOGIC;
hist0_2_d1 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist0_2_q1 : IN STD_LOGIC_VECTOR (31 downto 0);
hist0_2_we1 : OUT STD_LOGIC;
hist1_0_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist1_0_ce0 : OUT STD_LOGIC;
hist1_0_d0 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist1_0_q0 : IN STD_LOGIC_VECTOR (31 downto 0);
hist1_0_we0 : OUT STD_LOGIC;
hist1_0_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist1_0_ce1 : OUT STD_LOGIC;
hist1_0_d1 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist1_0_q1 : IN STD_LOGIC_VECTOR (31 downto 0);
hist1_0_we1 : OUT STD_LOGIC;
hist1_1_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist1_1_ce0 : OUT STD_LOGIC;
hist1_1_d0 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist1_1_q0 : IN STD_LOGIC_VECTOR (31 downto 0);
hist1_1_we0 : OUT STD_LOGIC;
hist1_1_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist1_1_ce1 : OUT STD_LOGIC;
hist1_1_d1 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist1_1_q1 : IN STD_LOGIC_VECTOR (31 downto 0);
hist1_1_we1 : OUT STD_LOGIC;
hist1_2_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist1_2_ce0 : OUT STD_LOGIC;
hist1_2_d0 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist1_2_q0 : IN STD_LOGIC_VECTOR (31 downto 0);
hist1_2_we0 : OUT STD_LOGIC;
hist1_2_address1 : OUT STD_LOGIC_VECTOR (9 downto 0);
hist1_2_ce1 : OUT STD_LOGIC;
hist1_2_d1 : OUT STD_LOGIC_VECTOR (31 downto 0);
hist1_2_q1 : IN STD_LOGIC_VECTOR (31 downto 0);
hist1_2_we1 : OUT STD_LOGIC;
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
height_ap_vld : IN STD_LOGIC;
width_ap_vld : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
s_axis_video_TVALID : IN STD_LOGIC;
s_axis_video_TREADY : OUT STD_LOGIC;
ap_done : OUT STD_LOGIC;
m_axis_video_TVALID : OUT STD_LOGIC;
m_axis_video_TREADY : IN STD_LOGIC;
ap_ready : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC );
end;
architecture behav of ISPpipeline is
constant ap_const_lv96_0 : STD_LOGIC_VECTOR (95 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_const_lv12_0 : STD_LOGIC_VECTOR (11 downto 0) := "000000000000";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv10_0 : STD_LOGIC_VECTOR (9 downto 0) := "0000000000";
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_const_boolean_1 : BOOLEAN := true;
signal ISPpipeline_Block_Ma_U0_ap_start : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_start_full_n : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_ap_done : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_ap_continue : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_ap_idle : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_ap_ready : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_start_out : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_start_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_imgInput1_rows_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_imgInput1_rows_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_imgInput1_cols_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_imgInput1_cols_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_imgInput2_rows_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_imgInput2_rows_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_imgInput2_cols_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_imgInput2_cols_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_bpc_out_rows_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_bpc_out_rows_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_bpc_out_cols_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_bpc_out_cols_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_gain_out_rows_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_gain_out_rows_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_gain_out_cols_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_gain_out_cols_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_demosaic_out_rows_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_demosaic_out_rows_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_demosaic_out_cols_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_demosaic_out_cols_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_ltm_in_rows_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_ltm_in_rows_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_ltm_in_cols_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_ltm_in_cols_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_lsc_out_rows_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_lsc_out_rows_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_lsc_out_cols_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_lsc_out_cols_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_aecin_rows_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_aecin_rows_out_write : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_aecin_cols_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal ISPpipeline_Block_Ma_U0_aecin_cols_out_write : STD_LOGIC;
signal AXIVideo2BayerMat_U0_ap_start : STD_LOGIC;
signal AXIVideo2BayerMat_U0_ap_done : STD_LOGIC;
signal AXIVideo2BayerMat_U0_ap_continue : STD_LOGIC;
signal AXIVideo2BayerMat_U0_ap_idle : STD_LOGIC;
signal AXIVideo2BayerMat_U0_ap_ready : STD_LOGIC;
signal AXIVideo2BayerMat_U0_start_out : STD_LOGIC;
signal AXIVideo2BayerMat_U0_start_write : STD_LOGIC;
signal AXIVideo2BayerMat_U0_s_axis_video_TREADY : STD_LOGIC;
signal AXIVideo2BayerMat_U0_bayer_mat_rows_read : STD_LOGIC;
signal AXIVideo2BayerMat_U0_bayer_mat_cols_read : STD_LOGIC;
signal AXIVideo2BayerMat_U0_bayer_mat_data_V_V_din : STD_LOGIC_VECTOR (39 downto 0);
signal AXIVideo2BayerMat_U0_bayer_mat_data_V_V_write : STD_LOGIC;
signal AXIVideo2BayerMat_U0_bayer_mat_rows_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal AXIVideo2BayerMat_U0_bayer_mat_rows_out_write : STD_LOGIC;
signal AXIVideo2BayerMat_U0_bayer_mat_cols_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal AXIVideo2BayerMat_U0_bayer_mat_cols_out_write : STD_LOGIC;
signal blackLevelCorrection_U0_ap_start : STD_LOGIC;
signal blackLevelCorrection_U0_ap_done : STD_LOGIC;
signal blackLevelCorrection_U0_ap_continue : STD_LOGIC;
signal blackLevelCorrection_U0_ap_idle : STD_LOGIC;
signal blackLevelCorrection_U0_ap_ready : STD_LOGIC;
signal blackLevelCorrection_U0_p_Src_rows_read : STD_LOGIC;
signal blackLevelCorrection_U0_p_Src_cols_read : STD_LOGIC;
signal blackLevelCorrection_U0_p_Src_data_V_V_read : STD_LOGIC;
signal blackLevelCorrection_U0_p_Dst_data_V_V_din : STD_LOGIC_VECTOR (39 downto 0);
signal blackLevelCorrection_U0_p_Dst_data_V_V_write : STD_LOGIC;
signal badpixelcorrection_U0_ap_start : STD_LOGIC;
signal badpixelcorrection_U0_ap_done : STD_LOGIC;
signal badpixelcorrection_U0_ap_continue : STD_LOGIC;
signal badpixelcorrection_U0_ap_idle : STD_LOGIC;
signal badpixelcorrection_U0_ap_ready : STD_LOGIC;
signal badpixelcorrection_U0_p_src_rows_read : STD_LOGIC;
signal badpixelcorrection_U0_p_src_cols_read : STD_LOGIC;
signal badpixelcorrection_U0_p_src_data_V_V_read : STD_LOGIC;
signal badpixelcorrection_U0_p_dst_data_V_V_din : STD_LOGIC_VECTOR (39 downto 0);
signal badpixelcorrection_U0_p_dst_data_V_V_write : STD_LOGIC;
signal gaincontrol_U0_ap_start : STD_LOGIC;
signal gaincontrol_U0_ap_done : STD_LOGIC;
signal gaincontrol_U0_ap_continue : STD_LOGIC;
signal gaincontrol_U0_ap_idle : STD_LOGIC;
signal gaincontrol_U0_ap_ready : STD_LOGIC;
signal gaincontrol_U0_src1_rows_read : STD_LOGIC;
signal gaincontrol_U0_src1_cols_read : STD_LOGIC;
signal gaincontrol_U0_src1_data_V_V_read : STD_LOGIC;
signal gaincontrol_U0_dst_data_V_V_din : STD_LOGIC_VECTOR (39 downto 0);
signal gaincontrol_U0_dst_data_V_V_write : STD_LOGIC;
signal demosaicing_U0_ap_start : STD_LOGIC;
signal demosaicing_U0_ap_done : STD_LOGIC;
signal demosaicing_U0_ap_continue : STD_LOGIC;
signal demosaicing_U0_ap_idle : STD_LOGIC;
signal demosaicing_U0_ap_ready : STD_LOGIC;
signal demosaicing_U0_src_mat_rows_read : STD_LOGIC;
signal demosaicing_U0_src_mat_cols_read : STD_LOGIC;
signal demosaicing_U0_src_mat_data_V_V_read : STD_LOGIC;
signal demosaicing_U0_dst_mat_data_V_V_din : STD_LOGIC_VECTOR (119 downto 0);
signal demosaicing_U0_dst_mat_data_V_V_write : STD_LOGIC;
signal AWBhistogram_U0_ap_start : STD_LOGIC;
signal AWBhistogram_U0_ap_done : STD_LOGIC;
signal AWBhistogram_U0_ap_continue : STD_LOGIC;
signal AWBhistogram_U0_ap_idle : STD_LOGIC;
signal AWBhistogram_U0_ap_ready : STD_LOGIC;
signal AWBhistogram_U0_src1_rows_read : STD_LOGIC;
signal AWBhistogram_U0_src1_cols_read : STD_LOGIC;
signal AWBhistogram_U0_src1_data_V_V_read : STD_LOGIC;
signal AWBhistogram_U0_src2_data_V_V_din : STD_LOGIC_VECTOR (119 downto 0);
signal AWBhistogram_U0_src2_data_V_V_write : STD_LOGIC;
signal AWBhistogram_U0_histogram_0_address0 : STD_LOGIC_VECTOR (9 downto 0);
signal AWBhistogram_U0_histogram_0_ce0 : STD_LOGIC;
signal AWBhistogram_U0_histogram_0_we0 : STD_LOGIC;
signal AWBhistogram_U0_histogram_0_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal AWBhistogram_U0_histogram_1_address0 : STD_LOGIC_VECTOR (9 downto 0);
signal AWBhistogram_U0_histogram_1_ce0 : STD_LOGIC;
signal AWBhistogram_U0_histogram_1_we0 : STD_LOGIC;
signal AWBhistogram_U0_histogram_1_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal AWBhistogram_U0_histogram_2_address0 : STD_LOGIC_VECTOR (9 downto 0);
signal AWBhistogram_U0_histogram_2_ce0 : STD_LOGIC;
signal AWBhistogram_U0_histogram_2_we0 : STD_LOGIC;
signal AWBhistogram_U0_histogram_2_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sync_continue : STD_LOGIC;
signal AWBNormalization_U0_ap_start : STD_LOGIC;
signal AWBNormalization_U0_ap_done : STD_LOGIC;
signal AWBNormalization_U0_ap_continue : STD_LOGIC;
signal AWBNormalization_U0_ap_idle : STD_LOGIC;
signal AWBNormalization_U0_ap_ready : STD_LOGIC;
signal AWBNormalization_U0_start_out : STD_LOGIC;
signal AWBNormalization_U0_start_write : STD_LOGIC;
signal AWBNormalization_U0_src_data_V_V_read : STD_LOGIC;
signal AWBNormalization_U0_dst_rows_read : STD_LOGIC;
signal AWBNormalization_U0_dst_cols_read : STD_LOGIC;
signal AWBNormalization_U0_dst_data_V_V_din : STD_LOGIC_VECTOR (119 downto 0);
signal AWBNormalization_U0_dst_data_V_V_write : STD_LOGIC;
signal AWBNormalization_U0_histogram_0_address0 : STD_LOGIC_VECTOR (9 downto 0);
signal AWBNormalization_U0_histogram_0_ce0 : STD_LOGIC;
signal AWBNormalization_U0_histogram_1_address0 : STD_LOGIC_VECTOR (9 downto 0);
signal AWBNormalization_U0_histogram_1_ce0 : STD_LOGIC;
signal AWBNormalization_U0_histogram_2_address0 : STD_LOGIC_VECTOR (9 downto 0);
signal AWBNormalization_U0_histogram_2_ce0 : STD_LOGIC;
signal AWBNormalization_U0_dst_rows_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal AWBNormalization_U0_dst_rows_out_write : STD_LOGIC;
signal AWBNormalization_U0_dst_cols_out_din : STD_LOGIC_VECTOR (15 downto 0);
signal AWBNormalization_U0_dst_cols_out_write : STD_LOGIC;
signal colorcorrectionmatri_U0_ap_start : STD_LOGIC;
signal colorcorrectionmatri_U0_ap_done : STD_LOGIC;
signal colorcorrectionmatri_U0_ap_continue : STD_LOGIC;
signal colorcorrectionmatri_U0_ap_idle : STD_LOGIC;
signal colorcorrectionmatri_U0_ap_ready : STD_LOGIC;
signal colorcorrectionmatri_U0_p_src_mat_rows_read : STD_LOGIC;
signal colorcorrectionmatri_U0_p_src_mat_cols_read : STD_LOGIC;
signal colorcorrectionmatri_U0_p_src_mat_data_V_V_read : STD_LOGIC;
signal colorcorrectionmatri_U0_p_dst_mat_data_V_V_din : STD_LOGIC_VECTOR (119 downto 0);
signal colorcorrectionmatri_U0_p_dst_mat_data_V_V_write : STD_LOGIC;
signal xf_QuatizationDither_U0_ap_start : STD_LOGIC;
signal xf_QuatizationDither_U0_ap_done : STD_LOGIC;
signal xf_QuatizationDither_U0_ap_continue : STD_LOGIC;
signal xf_QuatizationDither_U0_ap_idle : STD_LOGIC;
signal xf_QuatizationDither_U0_ap_ready : STD_LOGIC;
signal xf_QuatizationDither_U0_stream_in_rows_read : STD_LOGIC;
signal xf_QuatizationDither_U0_stream_in_cols_read : STD_LOGIC;
signal xf_QuatizationDither_U0_stream_in_data_V_V_read : STD_LOGIC;
signal xf_QuatizationDither_U0_stream_out_data_V_V_din : STD_LOGIC_VECTOR (95 downto 0);
signal xf_QuatizationDither_U0_stream_out_data_V_V_write : STD_LOGIC;
signal ColorMat2AXIvideo_U0_ap_start : STD_LOGIC;
signal ColorMat2AXIvideo_U0_ap_done : STD_LOGIC;
signal ColorMat2AXIvideo_U0_ap_continue : STD_LOGIC;
signal ColorMat2AXIvideo_U0_ap_idle : STD_LOGIC;
signal ColorMat2AXIvideo_U0_ap_ready : STD_LOGIC;
signal ColorMat2AXIvideo_U0_color_mat_rows_read : STD_LOGIC;
signal ColorMat2AXIvideo_U0_color_mat_cols_read : STD_LOGIC;
signal ColorMat2AXIvideo_U0_color_mat_data_V_V_read : STD_LOGIC;
signal ColorMat2AXIvideo_U0_m_axis_video_TDATA : STD_LOGIC_VECTOR (95 downto 0);
signal ColorMat2AXIvideo_U0_m_axis_video_TVALID : STD_LOGIC;
signal ColorMat2AXIvideo_U0_m_axis_video_TKEEP : STD_LOGIC_VECTOR (11 downto 0);
signal ColorMat2AXIvideo_U0_m_axis_video_TSTRB : STD_LOGIC_VECTOR (11 downto 0);
signal ColorMat2AXIvideo_U0_m_axis_video_TUSER : STD_LOGIC_VECTOR (0 downto 0);
signal ColorMat2AXIvideo_U0_m_axis_video_TLAST : STD_LOGIC_VECTOR (0 downto 0);
signal ColorMat2AXIvideo_U0_m_axis_video_TID : STD_LOGIC_VECTOR (0 downto 0);
signal ColorMat2AXIvideo_U0_m_axis_video_TDEST : STD_LOGIC_VECTOR (0 downto 0);
signal imgInput1_rows_c_full_n : STD_LOGIC;
signal imgInput1_rows_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal imgInput1_rows_c_empty_n : STD_LOGIC;
signal imgInput1_cols_c_full_n : STD_LOGIC;
signal imgInput1_cols_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal imgInput1_cols_c_empty_n : STD_LOGIC;
signal imgInput2_rows_c_full_n : STD_LOGIC;
signal imgInput2_rows_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal imgInput2_rows_c_empty_n : STD_LOGIC;
signal imgInput2_cols_c_full_n : STD_LOGIC;
signal imgInput2_cols_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal imgInput2_cols_c_empty_n : STD_LOGIC;
signal bpc_out_rows_c_full_n : STD_LOGIC;
signal bpc_out_rows_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal bpc_out_rows_c_empty_n : STD_LOGIC;
signal bpc_out_cols_c_full_n : STD_LOGIC;
signal bpc_out_cols_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal bpc_out_cols_c_empty_n : STD_LOGIC;
signal gain_out_rows_c_full_n : STD_LOGIC;
signal gain_out_rows_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal gain_out_rows_c_empty_n : STD_LOGIC;
signal gain_out_cols_c_full_n : STD_LOGIC;
signal gain_out_cols_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal gain_out_cols_c_empty_n : STD_LOGIC;
signal demosaic_out_rows_c_full_n : STD_LOGIC;
signal demosaic_out_rows_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal demosaic_out_rows_c_empty_n : STD_LOGIC;
signal demosaic_out_cols_c_full_n : STD_LOGIC;
signal demosaic_out_cols_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal demosaic_out_cols_c_empty_n : STD_LOGIC;
signal ltm_in_rows_c_full_n : STD_LOGIC;
signal ltm_in_rows_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal ltm_in_rows_c_empty_n : STD_LOGIC;
signal ltm_in_cols_c_full_n : STD_LOGIC;
signal ltm_in_cols_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal ltm_in_cols_c_empty_n : STD_LOGIC;
signal lsc_out_rows_c_full_n : STD_LOGIC;
signal lsc_out_rows_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal lsc_out_rows_c_empty_n : STD_LOGIC;
signal lsc_out_cols_c_full_n : STD_LOGIC;
signal lsc_out_cols_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal lsc_out_cols_c_empty_n : STD_LOGIC;
signal aecin_rows_c_full_n : STD_LOGIC;
signal aecin_rows_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal aecin_rows_c_empty_n : STD_LOGIC;
signal aecin_cols_c_full_n : STD_LOGIC;
signal aecin_cols_c_dout : STD_LOGIC_VECTOR (15 downto 0);
signal aecin_cols_c_empty_n : STD_LOGIC;
signal imgInput1_data_V_V_full_n : STD_LOGIC;
signal imgInput1_data_V_V_dout : STD_LOGIC_VECTOR (39 downto 0);
signal imgInput1_data_V_V_empty_n : STD_LOGIC;
signal imgInput1_rows_c41_full_n : STD_LOGIC;
signal imgInput1_rows_c41_dout : STD_LOGIC_VECTOR (15 downto 0);
signal imgInput1_rows_c41_empty_n : STD_LOGIC;
signal imgInput1_cols_c42_full_n : STD_LOGIC;
signal imgInput1_cols_c42_dout : STD_LOGIC_VECTOR (15 downto 0);
signal imgInput1_cols_c42_empty_n : STD_LOGIC;
signal imgInput2_data_V_V_full_n : STD_LOGIC;
signal imgInput2_data_V_V_dout : STD_LOGIC_VECTOR (39 downto 0);
signal imgInput2_data_V_V_empty_n : STD_LOGIC;
signal bpc_out_data_V_V_full_n : STD_LOGIC;
signal bpc_out_data_V_V_dout : STD_LOGIC_VECTOR (39 downto 0);
signal bpc_out_data_V_V_empty_n : STD_LOGIC;
signal gain_out_data_V_V_full_n : STD_LOGIC;
signal gain_out_data_V_V_dout : STD_LOGIC_VECTOR (39 downto 0);
signal gain_out_data_V_V_empty_n : STD_LOGIC;
signal demosaic_out_data_V_s_full_n : STD_LOGIC;
signal demosaic_out_data_V_s_dout : STD_LOGIC_VECTOR (119 downto 0);
signal demosaic_out_data_V_s_empty_n : STD_LOGIC;
signal impop_data_V_V_full_n : STD_LOGIC;
signal impop_data_V_V_dout : STD_LOGIC_VECTOR (119 downto 0);
signal impop_data_V_V_empty_n : STD_LOGIC;
signal ltm_in_data_V_V_full_n : STD_LOGIC;
signal ltm_in_data_V_V_dout : STD_LOGIC_VECTOR (119 downto 0);
signal ltm_in_data_V_V_empty_n : STD_LOGIC;
signal ltm_in_rows_c43_full_n : STD_LOGIC;
signal ltm_in_rows_c43_dout : STD_LOGIC_VECTOR (15 downto 0);
signal ltm_in_rows_c43_empty_n : STD_LOGIC;
signal ltm_in_cols_c44_full_n : STD_LOGIC;
signal ltm_in_cols_c44_dout : STD_LOGIC_VECTOR (15 downto 0);
signal ltm_in_cols_c44_empty_n : STD_LOGIC;
signal lsc_out_data_V_V_full_n : STD_LOGIC;
signal lsc_out_data_V_V_dout : STD_LOGIC_VECTOR (119 downto 0);
signal lsc_out_data_V_V_empty_n : STD_LOGIC;
signal aecin_data_V_V_full_n : STD_LOGIC;
signal aecin_data_V_V_dout : STD_LOGIC_VECTOR (95 downto 0);
signal aecin_data_V_V_empty_n : STD_LOGIC;
signal ap_sync_done : STD_LOGIC;
signal ap_sync_ready : STD_LOGIC;
signal ap_sync_reg_ISPpipeline_Block_Ma_U0_ap_ready : STD_LOGIC := '0';
signal ap_sync_ISPpipeline_Block_Ma_U0_ap_ready : STD_LOGIC;
signal ISPpipeline_Block_Ma_U0_ap_ready_count : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal ap_sync_reg_AXIVideo2BayerMat_U0_ap_ready : STD_LOGIC := '0';
signal ap_sync_AXIVideo2BayerMat_U0_ap_ready : STD_LOGIC;
signal AXIVideo2BayerMat_U0_ap_ready_count : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal ap_sync_reg_AWBNormalization_U0_ap_ready : STD_LOGIC := '0';
signal ap_sync_AWBNormalization_U0_ap_ready : STD_LOGIC;
signal AWBNormalization_U0_ap_ready_count : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal start_for_badpixelcorrection_U0_din : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_badpixelcorrection_U0_full_n : STD_LOGIC;
signal start_for_badpixelcorrection_U0_dout : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_badpixelcorrection_U0_empty_n : STD_LOGIC;
signal start_for_gaincontrol_U0_din : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_gaincontrol_U0_full_n : STD_LOGIC;
signal start_for_gaincontrol_U0_dout : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_gaincontrol_U0_empty_n : STD_LOGIC;
signal start_for_demosaicing_U0_din : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_demosaicing_U0_full_n : STD_LOGIC;
signal start_for_demosaicing_U0_dout : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_demosaicing_U0_empty_n : STD_LOGIC;
signal start_for_AWBhistogram_U0_din : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_AWBhistogram_U0_full_n : STD_LOGIC;
signal start_for_AWBhistogram_U0_dout : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_AWBhistogram_U0_empty_n : STD_LOGIC;
signal start_for_xf_QuatizationDither_U0_din : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_xf_QuatizationDither_U0_full_n : STD_LOGIC;
signal start_for_xf_QuatizationDither_U0_dout : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_xf_QuatizationDither_U0_empty_n : STD_LOGIC;
signal start_for_ColorMat2AXIvideo_U0_din : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_ColorMat2AXIvideo_U0_full_n : STD_LOGIC;
signal start_for_ColorMat2AXIvideo_U0_dout : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_ColorMat2AXIvideo_U0_empty_n : STD_LOGIC;
signal start_for_blackLevelCorrection_U0_din : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_blackLevelCorrection_U0_full_n : STD_LOGIC;
signal start_for_blackLevelCorrection_U0_dout : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_blackLevelCorrection_U0_empty_n : STD_LOGIC;
signal blackLevelCorrection_U0_start_full_n : STD_LOGIC;
signal blackLevelCorrection_U0_start_write : STD_LOGIC;
signal badpixelcorrection_U0_start_full_n : STD_LOGIC;
signal badpixelcorrection_U0_start_write : STD_LOGIC;
signal gaincontrol_U0_start_full_n : STD_LOGIC;
signal gaincontrol_U0_start_write : STD_LOGIC;
signal demosaicing_U0_start_full_n : STD_LOGIC;
signal demosaicing_U0_start_write : STD_LOGIC;
signal AWBhistogram_U0_start_full_n : STD_LOGIC;
signal AWBhistogram_U0_start_write : STD_LOGIC;
signal start_for_colorcorrectionmatri_U0_din : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_colorcorrectionmatri_U0_full_n : STD_LOGIC;
signal start_for_colorcorrectionmatri_U0_dout : STD_LOGIC_VECTOR (0 downto 0);
signal start_for_colorcorrectionmatri_U0_empty_n : STD_LOGIC;
signal colorcorrectionmatri_U0_start_full_n : STD_LOGIC;
signal colorcorrectionmatri_U0_start_write : STD_LOGIC;
signal xf_QuatizationDither_U0_start_full_n : STD_LOGIC;
signal xf_QuatizationDither_U0_start_write : STD_LOGIC;
signal ColorMat2AXIvideo_U0_start_full_n : STD_LOGIC;
signal ColorMat2AXIvideo_U0_start_write : STD_LOGIC;
component ISPpipeline_Block_Ma IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
start_full_n : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
start_out : OUT STD_LOGIC;
start_write : OUT STD_LOGIC;
height : IN STD_LOGIC_VECTOR (15 downto 0);
width : IN STD_LOGIC_VECTOR (15 downto 0);
imgInput1_rows_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
imgInput1_rows_out_full_n : IN STD_LOGIC;
imgInput1_rows_out_write : OUT STD_LOGIC;
imgInput1_cols_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
imgInput1_cols_out_full_n : IN STD_LOGIC;
imgInput1_cols_out_write : OUT STD_LOGIC;
imgInput2_rows_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
imgInput2_rows_out_full_n : IN STD_LOGIC;
imgInput2_rows_out_write : OUT STD_LOGIC;
imgInput2_cols_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
imgInput2_cols_out_full_n : IN STD_LOGIC;
imgInput2_cols_out_write : OUT STD_LOGIC;
bpc_out_rows_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
bpc_out_rows_out_full_n : IN STD_LOGIC;
bpc_out_rows_out_write : OUT STD_LOGIC;
bpc_out_cols_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
bpc_out_cols_out_full_n : IN STD_LOGIC;
bpc_out_cols_out_write : OUT STD_LOGIC;
gain_out_rows_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
gain_out_rows_out_full_n : IN STD_LOGIC;
gain_out_rows_out_write : OUT STD_LOGIC;
gain_out_cols_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
gain_out_cols_out_full_n : IN STD_LOGIC;
gain_out_cols_out_write : OUT STD_LOGIC;
demosaic_out_rows_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
demosaic_out_rows_out_full_n : IN STD_LOGIC;
demosaic_out_rows_out_write : OUT STD_LOGIC;
demosaic_out_cols_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
demosaic_out_cols_out_full_n : IN STD_LOGIC;
demosaic_out_cols_out_write : OUT STD_LOGIC;
ltm_in_rows_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
ltm_in_rows_out_full_n : IN STD_LOGIC;
ltm_in_rows_out_write : OUT STD_LOGIC;
ltm_in_cols_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
ltm_in_cols_out_full_n : IN STD_LOGIC;
ltm_in_cols_out_write : OUT STD_LOGIC;
lsc_out_rows_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
lsc_out_rows_out_full_n : IN STD_LOGIC;
lsc_out_rows_out_write : OUT STD_LOGIC;
lsc_out_cols_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
lsc_out_cols_out_full_n : IN STD_LOGIC;
lsc_out_cols_out_write : OUT STD_LOGIC;
aecin_rows_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
aecin_rows_out_full_n : IN STD_LOGIC;
aecin_rows_out_write : OUT STD_LOGIC;
aecin_cols_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
aecin_cols_out_full_n : IN STD_LOGIC;
aecin_cols_out_write : OUT STD_LOGIC );
end component;
component AXIVideo2BayerMat IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
start_full_n : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
start_out : OUT STD_LOGIC;
start_write : OUT STD_LOGIC;
s_axis_video_TDATA : IN STD_LOGIC_VECTOR (39 downto 0);
s_axis_video_TVALID : IN STD_LOGIC;
s_axis_video_TREADY : OUT STD_LOGIC;
s_axis_video_TKEEP : IN STD_LOGIC_VECTOR (4 downto 0);
s_axis_video_TSTRB : IN STD_LOGIC_VECTOR (4 downto 0);
s_axis_video_TUSER : IN STD_LOGIC_VECTOR (0 downto 0);
s_axis_video_TLAST : IN STD_LOGIC_VECTOR (0 downto 0);
s_axis_video_TID : IN STD_LOGIC_VECTOR (0 downto 0);
s_axis_video_TDEST : IN STD_LOGIC_VECTOR (0 downto 0);
bayer_mat_rows_dout : IN STD_LOGIC_VECTOR (15 downto 0);
bayer_mat_rows_empty_n : IN STD_LOGIC;
bayer_mat_rows_read : OUT STD_LOGIC;
bayer_mat_cols_dout : IN STD_LOGIC_VECTOR (15 downto 0);
bayer_mat_cols_empty_n : IN STD_LOGIC;
bayer_mat_cols_read : OUT STD_LOGIC;
bayer_mat_data_V_V_din : OUT STD_LOGIC_VECTOR (39 downto 0);
bayer_mat_data_V_V_full_n : IN STD_LOGIC;
bayer_mat_data_V_V_write : OUT STD_LOGIC;
bayer_mat_rows_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
bayer_mat_rows_out_full_n : IN STD_LOGIC;
bayer_mat_rows_out_write : OUT STD_LOGIC;
bayer_mat_cols_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
bayer_mat_cols_out_full_n : IN STD_LOGIC;
bayer_mat_cols_out_write : OUT STD_LOGIC );
end component;
component blackLevelCorrection IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
p_Src_rows_dout : IN STD_LOGIC_VECTOR (15 downto 0);
p_Src_rows_empty_n : IN STD_LOGIC;
p_Src_rows_read : OUT STD_LOGIC;
p_Src_cols_dout : IN STD_LOGIC_VECTOR (15 downto 0);
p_Src_cols_empty_n : IN STD_LOGIC;
p_Src_cols_read : OUT STD_LOGIC;
p_Src_data_V_V_dout : IN STD_LOGIC_VECTOR (39 downto 0);
p_Src_data_V_V_empty_n : IN STD_LOGIC;
p_Src_data_V_V_read : OUT STD_LOGIC;
p_Dst_data_V_V_din : OUT STD_LOGIC_VECTOR (39 downto 0);
p_Dst_data_V_V_full_n : IN STD_LOGIC;
p_Dst_data_V_V_write : OUT STD_LOGIC );
end component;
component badpixelcorrection IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
p_src_rows_dout : IN STD_LOGIC_VECTOR (15 downto 0);
p_src_rows_empty_n : IN STD_LOGIC;
p_src_rows_read : OUT STD_LOGIC;
p_src_cols_dout : IN STD_LOGIC_VECTOR (15 downto 0);
p_src_cols_empty_n : IN STD_LOGIC;
p_src_cols_read : OUT STD_LOGIC;
p_src_data_V_V_dout : IN STD_LOGIC_VECTOR (39 downto 0);
p_src_data_V_V_empty_n : IN STD_LOGIC;
p_src_data_V_V_read : OUT STD_LOGIC;
p_dst_data_V_V_din : OUT STD_LOGIC_VECTOR (39 downto 0);
p_dst_data_V_V_full_n : IN STD_LOGIC;
p_dst_data_V_V_write : OUT STD_LOGIC );
end component;
component gaincontrol IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
src1_rows_dout : IN STD_LOGIC_VECTOR (15 downto 0);
src1_rows_empty_n : IN STD_LOGIC;
src1_rows_read : OUT STD_LOGIC;
src1_cols_dout : IN STD_LOGIC_VECTOR (15 downto 0);
src1_cols_empty_n : IN STD_LOGIC;
src1_cols_read : OUT STD_LOGIC;
src1_data_V_V_dout : IN STD_LOGIC_VECTOR (39 downto 0);
src1_data_V_V_empty_n : IN STD_LOGIC;
src1_data_V_V_read : OUT STD_LOGIC;
dst_data_V_V_din : OUT STD_LOGIC_VECTOR (39 downto 0);
dst_data_V_V_full_n : IN STD_LOGIC;
dst_data_V_V_write : OUT STD_LOGIC );
end component;
component demosaicing IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
src_mat_rows_dout : IN STD_LOGIC_VECTOR (15 downto 0);
src_mat_rows_empty_n : IN STD_LOGIC;
src_mat_rows_read : OUT STD_LOGIC;
src_mat_cols_dout : IN STD_LOGIC_VECTOR (15 downto 0);
src_mat_cols_empty_n : IN STD_LOGIC;
src_mat_cols_read : OUT STD_LOGIC;
src_mat_data_V_V_dout : IN STD_LOGIC_VECTOR (39 downto 0);
src_mat_data_V_V_empty_n : IN STD_LOGIC;
src_mat_data_V_V_read : OUT STD_LOGIC;
dst_mat_data_V_V_din : OUT STD_LOGIC_VECTOR (119 downto 0);
dst_mat_data_V_V_full_n : IN STD_LOGIC;
dst_mat_data_V_V_write : OUT STD_LOGIC );
end component;
component AWBhistogram IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
src1_rows_dout : IN STD_LOGIC_VECTOR (15 downto 0);
src1_rows_empty_n : IN STD_LOGIC;
src1_rows_read : OUT STD_LOGIC;
src1_cols_dout : IN STD_LOGIC_VECTOR (15 downto 0);
src1_cols_empty_n : IN STD_LOGIC;
src1_cols_read : OUT STD_LOGIC;
src1_data_V_V_dout : IN STD_LOGIC_VECTOR (119 downto 0);
src1_data_V_V_empty_n : IN STD_LOGIC;
src1_data_V_V_read : OUT STD_LOGIC;
src2_data_V_V_din : OUT STD_LOGIC_VECTOR (119 downto 0);
src2_data_V_V_full_n : IN STD_LOGIC;
src2_data_V_V_write : OUT STD_LOGIC;
histogram_0_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
histogram_0_ce0 : OUT STD_LOGIC;
histogram_0_we0 : OUT STD_LOGIC;
histogram_0_d0 : OUT STD_LOGIC_VECTOR (31 downto 0);
histogram_1_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
histogram_1_ce0 : OUT STD_LOGIC;
histogram_1_we0 : OUT STD_LOGIC;
histogram_1_d0 : OUT STD_LOGIC_VECTOR (31 downto 0);
histogram_2_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
histogram_2_ce0 : OUT STD_LOGIC;
histogram_2_we0 : OUT STD_LOGIC;
histogram_2_d0 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component AWBNormalization IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
start_full_n : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
start_out : OUT STD_LOGIC;
start_write : OUT STD_LOGIC;
src_data_V_V_dout : IN STD_LOGIC_VECTOR (119 downto 0);
src_data_V_V_empty_n : IN STD_LOGIC;
src_data_V_V_read : OUT STD_LOGIC;
dst_rows_dout : IN STD_LOGIC_VECTOR (15 downto 0);
dst_rows_empty_n : IN STD_LOGIC;
dst_rows_read : OUT STD_LOGIC;
dst_cols_dout : IN STD_LOGIC_VECTOR (15 downto 0);
dst_cols_empty_n : IN STD_LOGIC;
dst_cols_read : OUT STD_LOGIC;
dst_data_V_V_din : OUT STD_LOGIC_VECTOR (119 downto 0);
dst_data_V_V_full_n : IN STD_LOGIC;
dst_data_V_V_write : OUT STD_LOGIC;
histogram_0_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
histogram_0_ce0 : OUT STD_LOGIC;
histogram_0_q0 : IN STD_LOGIC_VECTOR (31 downto 0);
histogram_1_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
histogram_1_ce0 : OUT STD_LOGIC;
histogram_1_q0 : IN STD_LOGIC_VECTOR (31 downto 0);
histogram_2_address0 : OUT STD_LOGIC_VECTOR (9 downto 0);
histogram_2_ce0 : OUT STD_LOGIC;
histogram_2_q0 : IN STD_LOGIC_VECTOR (31 downto 0);
dst_rows_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
dst_rows_out_full_n : IN STD_LOGIC;
dst_rows_out_write : OUT STD_LOGIC;
dst_cols_out_din : OUT STD_LOGIC_VECTOR (15 downto 0);
dst_cols_out_full_n : IN STD_LOGIC;
dst_cols_out_write : OUT STD_LOGIC );
end component;
component colorcorrectionmatri IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
p_src_mat_rows_dout : IN STD_LOGIC_VECTOR (15 downto 0);
p_src_mat_rows_empty_n : IN STD_LOGIC;
p_src_mat_rows_read : OUT STD_LOGIC;
p_src_mat_cols_dout : IN STD_LOGIC_VECTOR (15 downto 0);
p_src_mat_cols_empty_n : IN STD_LOGIC;
p_src_mat_cols_read : OUT STD_LOGIC;
p_src_mat_data_V_V_dout : IN STD_LOGIC_VECTOR (119 downto 0);
p_src_mat_data_V_V_empty_n : IN STD_LOGIC;
p_src_mat_data_V_V_read : OUT STD_LOGIC;
p_dst_mat_data_V_V_din : OUT STD_LOGIC_VECTOR (119 downto 0);
p_dst_mat_data_V_V_full_n : IN STD_LOGIC;
p_dst_mat_data_V_V_write : OUT STD_LOGIC );
end component;
component xf_QuatizationDither IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
stream_in_rows_dout : IN STD_LOGIC_VECTOR (15 downto 0);
stream_in_rows_empty_n : IN STD_LOGIC;
stream_in_rows_read : OUT STD_LOGIC;
stream_in_cols_dout : IN STD_LOGIC_VECTOR (15 downto 0);
stream_in_cols_empty_n : IN STD_LOGIC;
stream_in_cols_read : OUT STD_LOGIC;
stream_in_data_V_V_dout : IN STD_LOGIC_VECTOR (119 downto 0);
stream_in_data_V_V_empty_n : IN STD_LOGIC;
stream_in_data_V_V_read : OUT STD_LOGIC;
stream_out_data_V_V_din : OUT STD_LOGIC_VECTOR (95 downto 0);
stream_out_data_V_V_full_n : IN STD_LOGIC;
stream_out_data_V_V_write : OUT STD_LOGIC );
end component;
component ColorMat2AXIvideo IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_continue : IN STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
color_mat_rows_dout : IN STD_LOGIC_VECTOR (15 downto 0);
color_mat_rows_empty_n : IN STD_LOGIC;
color_mat_rows_read : OUT STD_LOGIC;
color_mat_cols_dout : IN STD_LOGIC_VECTOR (15 downto 0);
color_mat_cols_empty_n : IN STD_LOGIC;
color_mat_cols_read : OUT STD_LOGIC;
color_mat_data_V_V_dout : IN STD_LOGIC_VECTOR (95 downto 0);
color_mat_data_V_V_empty_n : IN STD_LOGIC;
color_mat_data_V_V_read : OUT STD_LOGIC;
m_axis_video_TDATA : OUT STD_LOGIC_VECTOR (95 downto 0);
m_axis_video_TVALID : OUT STD_LOGIC;
m_axis_video_TREADY : IN STD_LOGIC;
m_axis_video_TKEEP : OUT STD_LOGIC_VECTOR (11 downto 0);
m_axis_video_TSTRB : OUT STD_LOGIC_VECTOR (11 downto 0);
m_axis_video_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axis_video_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axis_video_TID : OUT STD_LOGIC_VECTOR (0 downto 0);
m_axis_video_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
component fifo_w16_d2_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (15 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (15 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w16_d4_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (15 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (15 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w16_d5_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (15 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (15 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w16_d6_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (15 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (15 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w16_d7_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (15 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (15 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w16_d8_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (15 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (15 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w16_d10_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (15 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (15 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w16_d11_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (15 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (15 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w40_d2_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (39 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (39 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w120_d2_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (119 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (119 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w120_d4096_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (119 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (119 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component fifo_w96_d2_A IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (95 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (95 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component start_for_badpixebek IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (0 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (0 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component start_for_gainconbfk IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (0 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (0 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component start_for_demosaibgk IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (0 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (0 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component start_for_AWBhistbhl IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (0 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (0 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component start_for_xf_Quatbil IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (0 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (0 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component start_for_ColorMabjl IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (0 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (0 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component start_for_blackLebkl IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (0 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (0 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
component start_for_colorcobll IS
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR (0 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR (0 downto 0);
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC );
end component;
begin
ISPpipeline_Block_Ma_U0 : component ISPpipeline_Block_Ma
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => ISPpipeline_Block_Ma_U0_ap_start,
start_full_n => ISPpipeline_Block_Ma_U0_start_full_n,
ap_done => ISPpipeline_Block_Ma_U0_ap_done,
ap_continue => ISPpipeline_Block_Ma_U0_ap_continue,
ap_idle => ISPpipeline_Block_Ma_U0_ap_idle,
ap_ready => ISPpipeline_Block_Ma_U0_ap_ready,
start_out => ISPpipeline_Block_Ma_U0_start_out,
start_write => ISPpipeline_Block_Ma_U0_start_write,
height => height,
width => width,
imgInput1_rows_out_din => ISPpipeline_Block_Ma_U0_imgInput1_rows_out_din,
imgInput1_rows_out_full_n => imgInput1_rows_c_full_n,
imgInput1_rows_out_write => ISPpipeline_Block_Ma_U0_imgInput1_rows_out_write,
imgInput1_cols_out_din => ISPpipeline_Block_Ma_U0_imgInput1_cols_out_din,
imgInput1_cols_out_full_n => imgInput1_cols_c_full_n,
imgInput1_cols_out_write => ISPpipeline_Block_Ma_U0_imgInput1_cols_out_write,
imgInput2_rows_out_din => ISPpipeline_Block_Ma_U0_imgInput2_rows_out_din,
imgInput2_rows_out_full_n => imgInput2_rows_c_full_n,
imgInput2_rows_out_write => ISPpipeline_Block_Ma_U0_imgInput2_rows_out_write,
imgInput2_cols_out_din => ISPpipeline_Block_Ma_U0_imgInput2_cols_out_din,
imgInput2_cols_out_full_n => imgInput2_cols_c_full_n,
imgInput2_cols_out_write => ISPpipeline_Block_Ma_U0_imgInput2_cols_out_write,
bpc_out_rows_out_din => ISPpipeline_Block_Ma_U0_bpc_out_rows_out_din,
bpc_out_rows_out_full_n => bpc_out_rows_c_full_n,
bpc_out_rows_out_write => ISPpipeline_Block_Ma_U0_bpc_out_rows_out_write,
bpc_out_cols_out_din => ISPpipeline_Block_Ma_U0_bpc_out_cols_out_din,
bpc_out_cols_out_full_n => bpc_out_cols_c_full_n,
bpc_out_cols_out_write => ISPpipeline_Block_Ma_U0_bpc_out_cols_out_write,
gain_out_rows_out_din => ISPpipeline_Block_Ma_U0_gain_out_rows_out_din,
gain_out_rows_out_full_n => gain_out_rows_c_full_n,
gain_out_rows_out_write => ISPpipeline_Block_Ma_U0_gain_out_rows_out_write,
gain_out_cols_out_din => ISPpipeline_Block_Ma_U0_gain_out_cols_out_din,
gain_out_cols_out_full_n => gain_out_cols_c_full_n,
gain_out_cols_out_write => ISPpipeline_Block_Ma_U0_gain_out_cols_out_write,
demosaic_out_rows_out_din => ISPpipeline_Block_Ma_U0_demosaic_out_rows_out_din,
demosaic_out_rows_out_full_n => demosaic_out_rows_c_full_n,
demosaic_out_rows_out_write => ISPpipeline_Block_Ma_U0_demosaic_out_rows_out_write,
demosaic_out_cols_out_din => ISPpipeline_Block_Ma_U0_demosaic_out_cols_out_din,
demosaic_out_cols_out_full_n => demosaic_out_cols_c_full_n,
demosaic_out_cols_out_write => ISPpipeline_Block_Ma_U0_demosaic_out_cols_out_write,
ltm_in_rows_out_din => ISPpipeline_Block_Ma_U0_ltm_in_rows_out_din,
ltm_in_rows_out_full_n => ltm_in_rows_c_full_n,
ltm_in_rows_out_write => ISPpipeline_Block_Ma_U0_ltm_in_rows_out_write,
ltm_in_cols_out_din => ISPpipeline_Block_Ma_U0_ltm_in_cols_out_din,
ltm_in_cols_out_full_n => ltm_in_cols_c_full_n,
ltm_in_cols_out_write => ISPpipeline_Block_Ma_U0_ltm_in_cols_out_write,
lsc_out_rows_out_din => ISPpipeline_Block_Ma_U0_lsc_out_rows_out_din,
lsc_out_rows_out_full_n => lsc_out_rows_c_full_n,
lsc_out_rows_out_write => ISPpipeline_Block_Ma_U0_lsc_out_rows_out_write,
lsc_out_cols_out_din => ISPpipeline_Block_Ma_U0_lsc_out_cols_out_din,
lsc_out_cols_out_full_n => lsc_out_cols_c_full_n,
lsc_out_cols_out_write => ISPpipeline_Block_Ma_U0_lsc_out_cols_out_write,
aecin_rows_out_din => ISPpipeline_Block_Ma_U0_aecin_rows_out_din,
aecin_rows_out_full_n => aecin_rows_c_full_n,
aecin_rows_out_write => ISPpipeline_Block_Ma_U0_aecin_rows_out_write,
aecin_cols_out_din => ISPpipeline_Block_Ma_U0_aecin_cols_out_din,
aecin_cols_out_full_n => aecin_cols_c_full_n,
aecin_cols_out_write => ISPpipeline_Block_Ma_U0_aecin_cols_out_write);
AXIVideo2BayerMat_U0 : component AXIVideo2BayerMat
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => AXIVideo2BayerMat_U0_ap_start,
start_full_n => start_for_blackLevelCorrection_U0_full_n,
ap_done => AXIVideo2BayerMat_U0_ap_done,
ap_continue => AXIVideo2BayerMat_U0_ap_continue,
ap_idle => AXIVideo2BayerMat_U0_ap_idle,
ap_ready => AXIVideo2BayerMat_U0_ap_ready,
start_out => AXIVideo2BayerMat_U0_start_out,
start_write => AXIVideo2BayerMat_U0_start_write,
s_axis_video_TDATA => s_axis_video_TDATA,
s_axis_video_TVALID => s_axis_video_TVALID,
s_axis_video_TREADY => AXIVideo2BayerMat_U0_s_axis_video_TREADY,
s_axis_video_TKEEP => s_axis_video_TKEEP,
s_axis_video_TSTRB => s_axis_video_TSTRB,
s_axis_video_TUSER => s_axis_video_TUSER,
s_axis_video_TLAST => s_axis_video_TLAST,
s_axis_video_TID => s_axis_video_TID,
s_axis_video_TDEST => s_axis_video_TDEST,
bayer_mat_rows_dout => imgInput1_rows_c_dout,
bayer_mat_rows_empty_n => imgInput1_rows_c_empty_n,
bayer_mat_rows_read => AXIVideo2BayerMat_U0_bayer_mat_rows_read,
bayer_mat_cols_dout => imgInput1_cols_c_dout,
bayer_mat_cols_empty_n => imgInput1_cols_c_empty_n,
bayer_mat_cols_read => AXIVideo2BayerMat_U0_bayer_mat_cols_read,
bayer_mat_data_V_V_din => AXIVideo2BayerMat_U0_bayer_mat_data_V_V_din,
bayer_mat_data_V_V_full_n => imgInput1_data_V_V_full_n,
bayer_mat_data_V_V_write => AXIVideo2BayerMat_U0_bayer_mat_data_V_V_write,
bayer_mat_rows_out_din => AXIVideo2BayerMat_U0_bayer_mat_rows_out_din,
bayer_mat_rows_out_full_n => imgInput1_rows_c41_full_n,
bayer_mat_rows_out_write => AXIVideo2BayerMat_U0_bayer_mat_rows_out_write,
bayer_mat_cols_out_din => AXIVideo2BayerMat_U0_bayer_mat_cols_out_din,
bayer_mat_cols_out_full_n => imgInput1_cols_c42_full_n,
bayer_mat_cols_out_write => AXIVideo2BayerMat_U0_bayer_mat_cols_out_write);
blackLevelCorrection_U0 : component blackLevelCorrection
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => blackLevelCorrection_U0_ap_start,
ap_done => blackLevelCorrection_U0_ap_done,
ap_continue => blackLevelCorrection_U0_ap_continue,
ap_idle => blackLevelCorrection_U0_ap_idle,
ap_ready => blackLevelCorrection_U0_ap_ready,
p_Src_rows_dout => imgInput1_rows_c41_dout,
p_Src_rows_empty_n => imgInput1_rows_c41_empty_n,
p_Src_rows_read => blackLevelCorrection_U0_p_Src_rows_read,
p_Src_cols_dout => imgInput1_cols_c42_dout,
p_Src_cols_empty_n => imgInput1_cols_c42_empty_n,
p_Src_cols_read => blackLevelCorrection_U0_p_Src_cols_read,
p_Src_data_V_V_dout => imgInput1_data_V_V_dout,
p_Src_data_V_V_empty_n => imgInput1_data_V_V_empty_n,
p_Src_data_V_V_read => blackLevelCorrection_U0_p_Src_data_V_V_read,
p_Dst_data_V_V_din => blackLevelCorrection_U0_p_Dst_data_V_V_din,
p_Dst_data_V_V_full_n => imgInput2_data_V_V_full_n,
p_Dst_data_V_V_write => blackLevelCorrection_U0_p_Dst_data_V_V_write);
badpixelcorrection_U0 : component badpixelcorrection
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => badpixelcorrection_U0_ap_start,
ap_done => badpixelcorrection_U0_ap_done,
ap_continue => badpixelcorrection_U0_ap_continue,
ap_idle => badpixelcorrection_U0_ap_idle,
ap_ready => badpixelcorrection_U0_ap_ready,
p_src_rows_dout => imgInput2_rows_c_dout,
p_src_rows_empty_n => imgInput2_rows_c_empty_n,
p_src_rows_read => badpixelcorrection_U0_p_src_rows_read,
p_src_cols_dout => imgInput2_cols_c_dout,
p_src_cols_empty_n => imgInput2_cols_c_empty_n,
p_src_cols_read => badpixelcorrection_U0_p_src_cols_read,
p_src_data_V_V_dout => imgInput2_data_V_V_dout,
p_src_data_V_V_empty_n => imgInput2_data_V_V_empty_n,
p_src_data_V_V_read => badpixelcorrection_U0_p_src_data_V_V_read,
p_dst_data_V_V_din => badpixelcorrection_U0_p_dst_data_V_V_din,
p_dst_data_V_V_full_n => bpc_out_data_V_V_full_n,
p_dst_data_V_V_write => badpixelcorrection_U0_p_dst_data_V_V_write);
gaincontrol_U0 : component gaincontrol
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => gaincontrol_U0_ap_start,
ap_done => gaincontrol_U0_ap_done,
ap_continue => gaincontrol_U0_ap_continue,
ap_idle => gaincontrol_U0_ap_idle,
ap_ready => gaincontrol_U0_ap_ready,
src1_rows_dout => bpc_out_rows_c_dout,
src1_rows_empty_n => bpc_out_rows_c_empty_n,
src1_rows_read => gaincontrol_U0_src1_rows_read,
src1_cols_dout => bpc_out_cols_c_dout,
src1_cols_empty_n => bpc_out_cols_c_empty_n,
src1_cols_read => gaincontrol_U0_src1_cols_read,
src1_data_V_V_dout => bpc_out_data_V_V_dout,
src1_data_V_V_empty_n => bpc_out_data_V_V_empty_n,
src1_data_V_V_read => gaincontrol_U0_src1_data_V_V_read,
dst_data_V_V_din => gaincontrol_U0_dst_data_V_V_din,
dst_data_V_V_full_n => gain_out_data_V_V_full_n,
dst_data_V_V_write => gaincontrol_U0_dst_data_V_V_write);
demosaicing_U0 : component demosaicing
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => demosaicing_U0_ap_start,
ap_done => demosaicing_U0_ap_done,
ap_continue => demosaicing_U0_ap_continue,
ap_idle => demosaicing_U0_ap_idle,
ap_ready => demosaicing_U0_ap_ready,
src_mat_rows_dout => gain_out_rows_c_dout,
src_mat_rows_empty_n => gain_out_rows_c_empty_n,
src_mat_rows_read => demosaicing_U0_src_mat_rows_read,
src_mat_cols_dout => gain_out_cols_c_dout,
src_mat_cols_empty_n => gain_out_cols_c_empty_n,
src_mat_cols_read => demosaicing_U0_src_mat_cols_read,
src_mat_data_V_V_dout => gain_out_data_V_V_dout,
src_mat_data_V_V_empty_n => gain_out_data_V_V_empty_n,
src_mat_data_V_V_read => demosaicing_U0_src_mat_data_V_V_read,
dst_mat_data_V_V_din => demosaicing_U0_dst_mat_data_V_V_din,
dst_mat_data_V_V_full_n => demosaic_out_data_V_s_full_n,
dst_mat_data_V_V_write => demosaicing_U0_dst_mat_data_V_V_write);
AWBhistogram_U0 : component AWBhistogram
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => AWBhistogram_U0_ap_start,
ap_done => AWBhistogram_U0_ap_done,
ap_continue => AWBhistogram_U0_ap_continue,
ap_idle => AWBhistogram_U0_ap_idle,
ap_ready => AWBhistogram_U0_ap_ready,
src1_rows_dout => demosaic_out_rows_c_dout,
src1_rows_empty_n => demosaic_out_rows_c_empty_n,
src1_rows_read => AWBhistogram_U0_src1_rows_read,
src1_cols_dout => demosaic_out_cols_c_dout,
src1_cols_empty_n => demosaic_out_cols_c_empty_n,
src1_cols_read => AWBhistogram_U0_src1_cols_read,
src1_data_V_V_dout => demosaic_out_data_V_s_dout,
src1_data_V_V_empty_n => demosaic_out_data_V_s_empty_n,
src1_data_V_V_read => AWBhistogram_U0_src1_data_V_V_read,
src2_data_V_V_din => AWBhistogram_U0_src2_data_V_V_din,
src2_data_V_V_full_n => impop_data_V_V_full_n,
src2_data_V_V_write => AWBhistogram_U0_src2_data_V_V_write,
histogram_0_address0 => AWBhistogram_U0_histogram_0_address0,
histogram_0_ce0 => AWBhistogram_U0_histogram_0_ce0,
histogram_0_we0 => AWBhistogram_U0_histogram_0_we0,
histogram_0_d0 => AWBhistogram_U0_histogram_0_d0,
histogram_1_address0 => AWBhistogram_U0_histogram_1_address0,
histogram_1_ce0 => AWBhistogram_U0_histogram_1_ce0,
histogram_1_we0 => AWBhistogram_U0_histogram_1_we0,
histogram_1_d0 => AWBhistogram_U0_histogram_1_d0,
histogram_2_address0 => AWBhistogram_U0_histogram_2_address0,
histogram_2_ce0 => AWBhistogram_U0_histogram_2_ce0,
histogram_2_we0 => AWBhistogram_U0_histogram_2_we0,
histogram_2_d0 => AWBhistogram_U0_histogram_2_d0);
AWBNormalization_U0 : component AWBNormalization
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => AWBNormalization_U0_ap_start,
start_full_n => start_for_colorcorrectionmatri_U0_full_n,
ap_done => AWBNormalization_U0_ap_done,
ap_continue => AWBNormalization_U0_ap_continue,
ap_idle => AWBNormalization_U0_ap_idle,
ap_ready => AWBNormalization_U0_ap_ready,
start_out => AWBNormalization_U0_start_out,
start_write => AWBNormalization_U0_start_write,
src_data_V_V_dout => impop_data_V_V_dout,
src_data_V_V_empty_n => impop_data_V_V_empty_n,
src_data_V_V_read => AWBNormalization_U0_src_data_V_V_read,
dst_rows_dout => ltm_in_rows_c_dout,
dst_rows_empty_n => ltm_in_rows_c_empty_n,
dst_rows_read => AWBNormalization_U0_dst_rows_read,
dst_cols_dout => ltm_in_cols_c_dout,
dst_cols_empty_n => ltm_in_cols_c_empty_n,
dst_cols_read => AWBNormalization_U0_dst_cols_read,
dst_data_V_V_din => AWBNormalization_U0_dst_data_V_V_din,
dst_data_V_V_full_n => ltm_in_data_V_V_full_n,
dst_data_V_V_write => AWBNormalization_U0_dst_data_V_V_write,
histogram_0_address0 => AWBNormalization_U0_histogram_0_address0,
histogram_0_ce0 => AWBNormalization_U0_histogram_0_ce0,
histogram_0_q0 => hist1_0_q0,
histogram_1_address0 => AWBNormalization_U0_histogram_1_address0,
histogram_1_ce0 => AWBNormalization_U0_histogram_1_ce0,
histogram_1_q0 => hist1_1_q0,
histogram_2_address0 => AWBNormalization_U0_histogram_2_address0,
histogram_2_ce0 => AWBNormalization_U0_histogram_2_ce0,
histogram_2_q0 => hist1_2_q0,
dst_rows_out_din => AWBNormalization_U0_dst_rows_out_din,
dst_rows_out_full_n => ltm_in_rows_c43_full_n,
dst_rows_out_write => AWBNormalization_U0_dst_rows_out_write,
dst_cols_out_din => AWBNormalization_U0_dst_cols_out_din,
dst_cols_out_full_n => ltm_in_cols_c44_full_n,
dst_cols_out_write => AWBNormalization_U0_dst_cols_out_write);
colorcorrectionmatri_U0 : component colorcorrectionmatri
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => colorcorrectionmatri_U0_ap_start,
ap_done => colorcorrectionmatri_U0_ap_done,
ap_continue => colorcorrectionmatri_U0_ap_continue,
ap_idle => colorcorrectionmatri_U0_ap_idle,
ap_ready => colorcorrectionmatri_U0_ap_ready,
p_src_mat_rows_dout => ltm_in_rows_c43_dout,
p_src_mat_rows_empty_n => ltm_in_rows_c43_empty_n,
p_src_mat_rows_read => colorcorrectionmatri_U0_p_src_mat_rows_read,
p_src_mat_cols_dout => ltm_in_cols_c44_dout,
p_src_mat_cols_empty_n => ltm_in_cols_c44_empty_n,
p_src_mat_cols_read => colorcorrectionmatri_U0_p_src_mat_cols_read,
p_src_mat_data_V_V_dout => ltm_in_data_V_V_dout,
p_src_mat_data_V_V_empty_n => ltm_in_data_V_V_empty_n,
p_src_mat_data_V_V_read => colorcorrectionmatri_U0_p_src_mat_data_V_V_read,
p_dst_mat_data_V_V_din => colorcorrectionmatri_U0_p_dst_mat_data_V_V_din,
p_dst_mat_data_V_V_full_n => lsc_out_data_V_V_full_n,
p_dst_mat_data_V_V_write => colorcorrectionmatri_U0_p_dst_mat_data_V_V_write);
xf_QuatizationDither_U0 : component xf_QuatizationDither
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => xf_QuatizationDither_U0_ap_start,
ap_done => xf_QuatizationDither_U0_ap_done,
ap_continue => xf_QuatizationDither_U0_ap_continue,
ap_idle => xf_QuatizationDither_U0_ap_idle,
ap_ready => xf_QuatizationDither_U0_ap_ready,
stream_in_rows_dout => lsc_out_rows_c_dout,
stream_in_rows_empty_n => lsc_out_rows_c_empty_n,
stream_in_rows_read => xf_QuatizationDither_U0_stream_in_rows_read,
stream_in_cols_dout => lsc_out_cols_c_dout,
stream_in_cols_empty_n => lsc_out_cols_c_empty_n,
stream_in_cols_read => xf_QuatizationDither_U0_stream_in_cols_read,
stream_in_data_V_V_dout => lsc_out_data_V_V_dout,
stream_in_data_V_V_empty_n => lsc_out_data_V_V_empty_n,
stream_in_data_V_V_read => xf_QuatizationDither_U0_stream_in_data_V_V_read,
stream_out_data_V_V_din => xf_QuatizationDither_U0_stream_out_data_V_V_din,
stream_out_data_V_V_full_n => aecin_data_V_V_full_n,
stream_out_data_V_V_write => xf_QuatizationDither_U0_stream_out_data_V_V_write);
ColorMat2AXIvideo_U0 : component ColorMat2AXIvideo
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => ColorMat2AXIvideo_U0_ap_start,
ap_done => ColorMat2AXIvideo_U0_ap_done,
ap_continue => ColorMat2AXIvideo_U0_ap_continue,
ap_idle => ColorMat2AXIvideo_U0_ap_idle,
ap_ready => ColorMat2AXIvideo_U0_ap_ready,
color_mat_rows_dout => aecin_rows_c_dout,
color_mat_rows_empty_n => aecin_rows_c_empty_n,
color_mat_rows_read => ColorMat2AXIvideo_U0_color_mat_rows_read,
color_mat_cols_dout => aecin_cols_c_dout,
color_mat_cols_empty_n => aecin_cols_c_empty_n,
color_mat_cols_read => ColorMat2AXIvideo_U0_color_mat_cols_read,
color_mat_data_V_V_dout => aecin_data_V_V_dout,
color_mat_data_V_V_empty_n => aecin_data_V_V_empty_n,
color_mat_data_V_V_read => ColorMat2AXIvideo_U0_color_mat_data_V_V_read,
m_axis_video_TDATA => ColorMat2AXIvideo_U0_m_axis_video_TDATA,
m_axis_video_TVALID => ColorMat2AXIvideo_U0_m_axis_video_TVALID,
m_axis_video_TREADY => m_axis_video_TREADY,
m_axis_video_TKEEP => ColorMat2AXIvideo_U0_m_axis_video_TKEEP,
m_axis_video_TSTRB => ColorMat2AXIvideo_U0_m_axis_video_TSTRB,
m_axis_video_TUSER => ColorMat2AXIvideo_U0_m_axis_video_TUSER,
m_axis_video_TLAST => ColorMat2AXIvideo_U0_m_axis_video_TLAST,
m_axis_video_TID => ColorMat2AXIvideo_U0_m_axis_video_TID,
m_axis_video_TDEST => ColorMat2AXIvideo_U0_m_axis_video_TDEST);
imgInput1_rows_c_U : component fifo_w16_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_imgInput1_rows_out_din,
if_full_n => imgInput1_rows_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_imgInput1_rows_out_write,
if_dout => imgInput1_rows_c_dout,
if_empty_n => imgInput1_rows_c_empty_n,
if_read => AXIVideo2BayerMat_U0_bayer_mat_rows_read);
imgInput1_cols_c_U : component fifo_w16_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_imgInput1_cols_out_din,
if_full_n => imgInput1_cols_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_imgInput1_cols_out_write,
if_dout => imgInput1_cols_c_dout,
if_empty_n => imgInput1_cols_c_empty_n,
if_read => AXIVideo2BayerMat_U0_bayer_mat_cols_read);
imgInput2_rows_c_U : component fifo_w16_d4_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_imgInput2_rows_out_din,
if_full_n => imgInput2_rows_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_imgInput2_rows_out_write,
if_dout => imgInput2_rows_c_dout,
if_empty_n => imgInput2_rows_c_empty_n,
if_read => badpixelcorrection_U0_p_src_rows_read);
imgInput2_cols_c_U : component fifo_w16_d4_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_imgInput2_cols_out_din,
if_full_n => imgInput2_cols_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_imgInput2_cols_out_write,
if_dout => imgInput2_cols_c_dout,
if_empty_n => imgInput2_cols_c_empty_n,
if_read => badpixelcorrection_U0_p_src_cols_read);
bpc_out_rows_c_U : component fifo_w16_d5_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_bpc_out_rows_out_din,
if_full_n => bpc_out_rows_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_bpc_out_rows_out_write,
if_dout => bpc_out_rows_c_dout,
if_empty_n => bpc_out_rows_c_empty_n,
if_read => gaincontrol_U0_src1_rows_read);
bpc_out_cols_c_U : component fifo_w16_d5_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_bpc_out_cols_out_din,
if_full_n => bpc_out_cols_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_bpc_out_cols_out_write,
if_dout => bpc_out_cols_c_dout,
if_empty_n => bpc_out_cols_c_empty_n,
if_read => gaincontrol_U0_src1_cols_read);
gain_out_rows_c_U : component fifo_w16_d6_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_gain_out_rows_out_din,
if_full_n => gain_out_rows_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_gain_out_rows_out_write,
if_dout => gain_out_rows_c_dout,
if_empty_n => gain_out_rows_c_empty_n,
if_read => demosaicing_U0_src_mat_rows_read);
gain_out_cols_c_U : component fifo_w16_d6_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_gain_out_cols_out_din,
if_full_n => gain_out_cols_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_gain_out_cols_out_write,
if_dout => gain_out_cols_c_dout,
if_empty_n => gain_out_cols_c_empty_n,
if_read => demosaicing_U0_src_mat_cols_read);
demosaic_out_rows_c_U : component fifo_w16_d7_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_demosaic_out_rows_out_din,
if_full_n => demosaic_out_rows_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_demosaic_out_rows_out_write,
if_dout => demosaic_out_rows_c_dout,
if_empty_n => demosaic_out_rows_c_empty_n,
if_read => AWBhistogram_U0_src1_rows_read);
demosaic_out_cols_c_U : component fifo_w16_d7_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_demosaic_out_cols_out_din,
if_full_n => demosaic_out_cols_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_demosaic_out_cols_out_write,
if_dout => demosaic_out_cols_c_dout,
if_empty_n => demosaic_out_cols_c_empty_n,
if_read => AWBhistogram_U0_src1_cols_read);
ltm_in_rows_c_U : component fifo_w16_d8_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_ltm_in_rows_out_din,
if_full_n => ltm_in_rows_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_ltm_in_rows_out_write,
if_dout => ltm_in_rows_c_dout,
if_empty_n => ltm_in_rows_c_empty_n,
if_read => AWBNormalization_U0_dst_rows_read);
ltm_in_cols_c_U : component fifo_w16_d8_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_ltm_in_cols_out_din,
if_full_n => ltm_in_cols_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_ltm_in_cols_out_write,
if_dout => ltm_in_cols_c_dout,
if_empty_n => ltm_in_cols_c_empty_n,
if_read => AWBNormalization_U0_dst_cols_read);
lsc_out_rows_c_U : component fifo_w16_d10_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_lsc_out_rows_out_din,
if_full_n => lsc_out_rows_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_lsc_out_rows_out_write,
if_dout => lsc_out_rows_c_dout,
if_empty_n => lsc_out_rows_c_empty_n,
if_read => xf_QuatizationDither_U0_stream_in_rows_read);
lsc_out_cols_c_U : component fifo_w16_d10_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_lsc_out_cols_out_din,
if_full_n => lsc_out_cols_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_lsc_out_cols_out_write,
if_dout => lsc_out_cols_c_dout,
if_empty_n => lsc_out_cols_c_empty_n,
if_read => xf_QuatizationDither_U0_stream_in_cols_read);
aecin_rows_c_U : component fifo_w16_d11_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_aecin_rows_out_din,
if_full_n => aecin_rows_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_aecin_rows_out_write,
if_dout => aecin_rows_c_dout,
if_empty_n => aecin_rows_c_empty_n,
if_read => ColorMat2AXIvideo_U0_color_mat_rows_read);
aecin_cols_c_U : component fifo_w16_d11_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => ISPpipeline_Block_Ma_U0_aecin_cols_out_din,
if_full_n => aecin_cols_c_full_n,
if_write => ISPpipeline_Block_Ma_U0_aecin_cols_out_write,
if_dout => aecin_cols_c_dout,
if_empty_n => aecin_cols_c_empty_n,
if_read => ColorMat2AXIvideo_U0_color_mat_cols_read);
imgInput1_data_V_V_U : component fifo_w40_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => AXIVideo2BayerMat_U0_bayer_mat_data_V_V_din,
if_full_n => imgInput1_data_V_V_full_n,
if_write => AXIVideo2BayerMat_U0_bayer_mat_data_V_V_write,
if_dout => imgInput1_data_V_V_dout,
if_empty_n => imgInput1_data_V_V_empty_n,
if_read => blackLevelCorrection_U0_p_Src_data_V_V_read);
imgInput1_rows_c41_U : component fifo_w16_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => AXIVideo2BayerMat_U0_bayer_mat_rows_out_din,
if_full_n => imgInput1_rows_c41_full_n,
if_write => AXIVideo2BayerMat_U0_bayer_mat_rows_out_write,
if_dout => imgInput1_rows_c41_dout,
if_empty_n => imgInput1_rows_c41_empty_n,
if_read => blackLevelCorrection_U0_p_Src_rows_read);
imgInput1_cols_c42_U : component fifo_w16_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => AXIVideo2BayerMat_U0_bayer_mat_cols_out_din,
if_full_n => imgInput1_cols_c42_full_n,
if_write => AXIVideo2BayerMat_U0_bayer_mat_cols_out_write,
if_dout => imgInput1_cols_c42_dout,
if_empty_n => imgInput1_cols_c42_empty_n,
if_read => blackLevelCorrection_U0_p_Src_cols_read);
imgInput2_data_V_V_U : component fifo_w40_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => blackLevelCorrection_U0_p_Dst_data_V_V_din,
if_full_n => imgInput2_data_V_V_full_n,
if_write => blackLevelCorrection_U0_p_Dst_data_V_V_write,
if_dout => imgInput2_data_V_V_dout,
if_empty_n => imgInput2_data_V_V_empty_n,
if_read => badpixelcorrection_U0_p_src_data_V_V_read);
bpc_out_data_V_V_U : component fifo_w40_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => badpixelcorrection_U0_p_dst_data_V_V_din,
if_full_n => bpc_out_data_V_V_full_n,
if_write => badpixelcorrection_U0_p_dst_data_V_V_write,
if_dout => bpc_out_data_V_V_dout,
if_empty_n => bpc_out_data_V_V_empty_n,
if_read => gaincontrol_U0_src1_data_V_V_read);
gain_out_data_V_V_U : component fifo_w40_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => gaincontrol_U0_dst_data_V_V_din,
if_full_n => gain_out_data_V_V_full_n,
if_write => gaincontrol_U0_dst_data_V_V_write,
if_dout => gain_out_data_V_V_dout,
if_empty_n => gain_out_data_V_V_empty_n,
if_read => demosaicing_U0_src_mat_data_V_V_read);
demosaic_out_data_V_s_U : component fifo_w120_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => demosaicing_U0_dst_mat_data_V_V_din,
if_full_n => demosaic_out_data_V_s_full_n,
if_write => demosaicing_U0_dst_mat_data_V_V_write,
if_dout => demosaic_out_data_V_s_dout,
if_empty_n => demosaic_out_data_V_s_empty_n,
if_read => AWBhistogram_U0_src1_data_V_V_read);
impop_data_V_V_U : component fifo_w120_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => AWBhistogram_U0_src2_data_V_V_din,
if_full_n => impop_data_V_V_full_n,
if_write => AWBhistogram_U0_src2_data_V_V_write,
if_dout => impop_data_V_V_dout,
if_empty_n => impop_data_V_V_empty_n,
if_read => AWBNormalization_U0_src_data_V_V_read);
ltm_in_data_V_V_U : component fifo_w120_d4096_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => AWBNormalization_U0_dst_data_V_V_din,
if_full_n => ltm_in_data_V_V_full_n,
if_write => AWBNormalization_U0_dst_data_V_V_write,
if_dout => ltm_in_data_V_V_dout,
if_empty_n => ltm_in_data_V_V_empty_n,
if_read => colorcorrectionmatri_U0_p_src_mat_data_V_V_read);
ltm_in_rows_c43_U : component fifo_w16_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => AWBNormalization_U0_dst_rows_out_din,
if_full_n => ltm_in_rows_c43_full_n,
if_write => AWBNormalization_U0_dst_rows_out_write,
if_dout => ltm_in_rows_c43_dout,
if_empty_n => ltm_in_rows_c43_empty_n,
if_read => colorcorrectionmatri_U0_p_src_mat_rows_read);
ltm_in_cols_c44_U : component fifo_w16_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => AWBNormalization_U0_dst_cols_out_din,
if_full_n => ltm_in_cols_c44_full_n,
if_write => AWBNormalization_U0_dst_cols_out_write,
if_dout => ltm_in_cols_c44_dout,
if_empty_n => ltm_in_cols_c44_empty_n,
if_read => colorcorrectionmatri_U0_p_src_mat_cols_read);
lsc_out_data_V_V_U : component fifo_w120_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => colorcorrectionmatri_U0_p_dst_mat_data_V_V_din,
if_full_n => lsc_out_data_V_V_full_n,
if_write => colorcorrectionmatri_U0_p_dst_mat_data_V_V_write,
if_dout => lsc_out_data_V_V_dout,
if_empty_n => lsc_out_data_V_V_empty_n,
if_read => xf_QuatizationDither_U0_stream_in_data_V_V_read);
aecin_data_V_V_U : component fifo_w96_d2_A
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => xf_QuatizationDither_U0_stream_out_data_V_V_din,
if_full_n => aecin_data_V_V_full_n,
if_write => xf_QuatizationDither_U0_stream_out_data_V_V_write,
if_dout => aecin_data_V_V_dout,
if_empty_n => aecin_data_V_V_empty_n,
if_read => ColorMat2AXIvideo_U0_color_mat_data_V_V_read);
start_for_badpixebek_U : component start_for_badpixebek
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => start_for_badpixelcorrection_U0_din,
if_full_n => start_for_badpixelcorrection_U0_full_n,
if_write => ISPpipeline_Block_Ma_U0_start_write,
if_dout => start_for_badpixelcorrection_U0_dout,
if_empty_n => start_for_badpixelcorrection_U0_empty_n,
if_read => badpixelcorrection_U0_ap_ready);
start_for_gainconbfk_U : component start_for_gainconbfk
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => start_for_gaincontrol_U0_din,
if_full_n => start_for_gaincontrol_U0_full_n,
if_write => ISPpipeline_Block_Ma_U0_start_write,
if_dout => start_for_gaincontrol_U0_dout,
if_empty_n => start_for_gaincontrol_U0_empty_n,
if_read => gaincontrol_U0_ap_ready);
start_for_demosaibgk_U : component start_for_demosaibgk
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => start_for_demosaicing_U0_din,
if_full_n => start_for_demosaicing_U0_full_n,
if_write => ISPpipeline_Block_Ma_U0_start_write,
if_dout => start_for_demosaicing_U0_dout,
if_empty_n => start_for_demosaicing_U0_empty_n,
if_read => demosaicing_U0_ap_ready);
start_for_AWBhistbhl_U : component start_for_AWBhistbhl
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => start_for_AWBhistogram_U0_din,
if_full_n => start_for_AWBhistogram_U0_full_n,
if_write => ISPpipeline_Block_Ma_U0_start_write,
if_dout => start_for_AWBhistogram_U0_dout,
if_empty_n => start_for_AWBhistogram_U0_empty_n,
if_read => AWBhistogram_U0_ap_ready);
start_for_xf_Quatbil_U : component start_for_xf_Quatbil
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => start_for_xf_QuatizationDither_U0_din,
if_full_n => start_for_xf_QuatizationDither_U0_full_n,
if_write => ISPpipeline_Block_Ma_U0_start_write,
if_dout => start_for_xf_QuatizationDither_U0_dout,
if_empty_n => start_for_xf_QuatizationDither_U0_empty_n,
if_read => xf_QuatizationDither_U0_ap_ready);
start_for_ColorMabjl_U : component start_for_ColorMabjl
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => start_for_ColorMat2AXIvideo_U0_din,
if_full_n => start_for_ColorMat2AXIvideo_U0_full_n,
if_write => ISPpipeline_Block_Ma_U0_start_write,
if_dout => start_for_ColorMat2AXIvideo_U0_dout,
if_empty_n => start_for_ColorMat2AXIvideo_U0_empty_n,
if_read => ColorMat2AXIvideo_U0_ap_ready);
start_for_blackLebkl_U : component start_for_blackLebkl
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => start_for_blackLevelCorrection_U0_din,
if_full_n => start_for_blackLevelCorrection_U0_full_n,
if_write => AXIVideo2BayerMat_U0_start_write,
if_dout => start_for_blackLevelCorrection_U0_dout,
if_empty_n => start_for_blackLevelCorrection_U0_empty_n,
if_read => blackLevelCorrection_U0_ap_ready);
start_for_colorcobll_U : component start_for_colorcobll
port map (
clk => ap_clk,
reset => ap_rst,
if_read_ce => ap_const_logic_1,
if_write_ce => ap_const_logic_1,
if_din => start_for_colorcorrectionmatri_U0_din,
if_full_n => start_for_colorcorrectionmatri_U0_full_n,
if_write => AWBNormalization_U0_start_write,
if_dout => start_for_colorcorrectionmatri_U0_dout,
if_empty_n => start_for_colorcorrectionmatri_U0_empty_n,
if_read => colorcorrectionmatri_U0_ap_ready);
ap_sync_reg_AWBNormalization_U0_ap_ready_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_sync_reg_AWBNormalization_U0_ap_ready <= ap_const_logic_0;
else
if (((ap_sync_ready and ap_start) = ap_const_logic_1)) then
ap_sync_reg_AWBNormalization_U0_ap_ready <= ap_const_logic_0;
else
ap_sync_reg_AWBNormalization_U0_ap_ready <= ap_sync_AWBNormalization_U0_ap_ready;
end if;
end if;
end if;
end process;
ap_sync_reg_AXIVideo2BayerMat_U0_ap_ready_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_sync_reg_AXIVideo2BayerMat_U0_ap_ready <= ap_const_logic_0;
else
if (((ap_sync_ready and ap_start) = ap_const_logic_1)) then
ap_sync_reg_AXIVideo2BayerMat_U0_ap_ready <= ap_const_logic_0;
else
ap_sync_reg_AXIVideo2BayerMat_U0_ap_ready <= ap_sync_AXIVideo2BayerMat_U0_ap_ready;
end if;
end if;
end if;
end process;
ap_sync_reg_ISPpipeline_Block_Ma_U0_ap_ready_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_sync_reg_ISPpipeline_Block_Ma_U0_ap_ready <= ap_const_logic_0;
else
if (((ap_sync_ready and ap_start) = ap_const_logic_1)) then
ap_sync_reg_ISPpipeline_Block_Ma_U0_ap_ready <= ap_const_logic_0;
else
ap_sync_reg_ISPpipeline_Block_Ma_U0_ap_ready <= ap_sync_ISPpipeline_Block_Ma_U0_ap_ready;
end if;
end if;
end if;
end process;
AWBNormalization_U0_ap_ready_count_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_0 = AWBNormalization_U0_ap_ready) and (ap_sync_ready = ap_const_logic_1))) then
AWBNormalization_U0_ap_ready_count <= std_logic_vector(unsigned(AWBNormalization_U0_ap_ready_count) - unsigned(ap_const_lv2_1));
elsif (((ap_sync_ready = ap_const_logic_0) and (ap_const_logic_1 = AWBNormalization_U0_ap_ready))) then
AWBNormalization_U0_ap_ready_count <= std_logic_vector(unsigned(AWBNormalization_U0_ap_ready_count) + unsigned(ap_const_lv2_1));
end if;
end if;
end process;
AXIVideo2BayerMat_U0_ap_ready_count_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_0 = AXIVideo2BayerMat_U0_ap_ready) and (ap_sync_ready = ap_const_logic_1))) then
AXIVideo2BayerMat_U0_ap_ready_count <= std_logic_vector(unsigned(AXIVideo2BayerMat_U0_ap_ready_count) - unsigned(ap_const_lv2_1));
elsif (((ap_sync_ready = ap_const_logic_0) and (ap_const_logic_1 = AXIVideo2BayerMat_U0_ap_ready))) then
AXIVideo2BayerMat_U0_ap_ready_count <= std_logic_vector(unsigned(AXIVideo2BayerMat_U0_ap_ready_count) + unsigned(ap_const_lv2_1));
end if;
end if;
end process;
ISPpipeline_Block_Ma_U0_ap_ready_count_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_0 = ISPpipeline_Block_Ma_U0_ap_ready) and (ap_sync_ready = ap_const_logic_1))) then
ISPpipeline_Block_Ma_U0_ap_ready_count <= std_logic_vector(unsigned(ISPpipeline_Block_Ma_U0_ap_ready_count) - unsigned(ap_const_lv2_1));
elsif (((ap_const_logic_1 = ISPpipeline_Block_Ma_U0_ap_ready) and (ap_sync_ready = ap_const_logic_0))) then
ISPpipeline_Block_Ma_U0_ap_ready_count <= std_logic_vector(unsigned(ISPpipeline_Block_Ma_U0_ap_ready_count) + unsigned(ap_const_lv2_1));
end if;
end if;
end process;
AWBNormalization_U0_ap_continue <= ap_const_logic_1;
AWBNormalization_U0_ap_start <= ((ap_sync_reg_AWBNormalization_U0_ap_ready xor ap_const_logic_1) and ap_start);
AWBhistogram_U0_ap_continue <= ap_sync_continue;
AWBhistogram_U0_ap_start <= start_for_AWBhistogram_U0_empty_n;
AWBhistogram_U0_start_full_n <= ap_const_logic_1;
AWBhistogram_U0_start_write <= ap_const_logic_0;
AXIVideo2BayerMat_U0_ap_continue <= ap_const_logic_1;
AXIVideo2BayerMat_U0_ap_start <= ((ap_sync_reg_AXIVideo2BayerMat_U0_ap_ready xor ap_const_logic_1) and ap_start);
ColorMat2AXIvideo_U0_ap_continue <= ap_sync_continue;
ColorMat2AXIvideo_U0_ap_start <= start_for_ColorMat2AXIvideo_U0_empty_n;
ColorMat2AXIvideo_U0_start_full_n <= ap_const_logic_1;
ColorMat2AXIvideo_U0_start_write <= ap_const_logic_0;
ISPpipeline_Block_Ma_U0_ap_continue <= ap_const_logic_1;
ISPpipeline_Block_Ma_U0_ap_start <= ((ap_sync_reg_ISPpipeline_Block_Ma_U0_ap_ready xor ap_const_logic_1) and ap_start);
ISPpipeline_Block_Ma_U0_start_full_n <= (start_for_xf_QuatizationDither_U0_full_n and start_for_gaincontrol_U0_full_n and start_for_demosaicing_U0_full_n and start_for_badpixelcorrection_U0_full_n and start_for_ColorMat2AXIvideo_U0_full_n and start_for_AWBhistogram_U0_full_n);
ap_done <= ap_sync_done;
ap_idle <= (xf_QuatizationDither_U0_ap_idle and gaincontrol_U0_ap_idle and demosaicing_U0_ap_idle and colorcorrectionmatri_U0_ap_idle and blackLevelCorrection_U0_ap_idle and badpixelcorrection_U0_ap_idle and ISPpipeline_Block_Ma_U0_ap_idle and ColorMat2AXIvideo_U0_ap_idle and AXIVideo2BayerMat_U0_ap_idle and AWBhistogram_U0_ap_idle and AWBNormalization_U0_ap_idle);
ap_ready <= ap_sync_ready;
ap_sync_AWBNormalization_U0_ap_ready <= (ap_sync_reg_AWBNormalization_U0_ap_ready or AWBNormalization_U0_ap_ready);
ap_sync_AXIVideo2BayerMat_U0_ap_ready <= (ap_sync_reg_AXIVideo2BayerMat_U0_ap_ready or AXIVideo2BayerMat_U0_ap_ready);
ap_sync_ISPpipeline_Block_Ma_U0_ap_ready <= (ap_sync_reg_ISPpipeline_Block_Ma_U0_ap_ready or ISPpipeline_Block_Ma_U0_ap_ready);
ap_sync_continue <= (ap_sync_done and ap_continue);
ap_sync_done <= (ColorMat2AXIvideo_U0_ap_done and AWBhistogram_U0_ap_done);
ap_sync_ready <= (ap_sync_ISPpipeline_Block_Ma_U0_ap_ready and ap_sync_AXIVideo2BayerMat_U0_ap_ready and ap_sync_AWBNormalization_U0_ap_ready);
badpixelcorrection_U0_ap_continue <= ap_const_logic_1;
badpixelcorrection_U0_ap_start <= start_for_badpixelcorrection_U0_empty_n;
badpixelcorrection_U0_start_full_n <= ap_const_logic_1;
badpixelcorrection_U0_start_write <= ap_const_logic_0;
blackLevelCorrection_U0_ap_continue <= ap_const_logic_1;
blackLevelCorrection_U0_ap_start <= start_for_blackLevelCorrection_U0_empty_n;
blackLevelCorrection_U0_start_full_n <= ap_const_logic_1;
blackLevelCorrection_U0_start_write <= ap_const_logic_0;
colorcorrectionmatri_U0_ap_continue <= ap_const_logic_1;
colorcorrectionmatri_U0_ap_start <= start_for_colorcorrectionmatri_U0_empty_n;
colorcorrectionmatri_U0_start_full_n <= ap_const_logic_1;
colorcorrectionmatri_U0_start_write <= ap_const_logic_0;
demosaicing_U0_ap_continue <= ap_const_logic_1;
demosaicing_U0_ap_start <= start_for_demosaicing_U0_empty_n;
demosaicing_U0_start_full_n <= ap_const_logic_1;
demosaicing_U0_start_write <= ap_const_logic_0;
gaincontrol_U0_ap_continue <= ap_const_logic_1;
gaincontrol_U0_ap_start <= start_for_gaincontrol_U0_empty_n;
gaincontrol_U0_start_full_n <= ap_const_logic_1;
gaincontrol_U0_start_write <= ap_const_logic_0;
hist0_0_address0 <= AWBhistogram_U0_histogram_0_address0;
hist0_0_address1 <= ap_const_lv10_0;
hist0_0_ce0 <= AWBhistogram_U0_histogram_0_ce0;
hist0_0_ce1 <= ap_const_logic_0;
hist0_0_d0 <= AWBhistogram_U0_histogram_0_d0;
hist0_0_d1 <= ap_const_lv32_0;
hist0_0_we0 <= AWBhistogram_U0_histogram_0_we0;
hist0_0_we1 <= ap_const_logic_0;
hist0_1_address0 <= AWBhistogram_U0_histogram_1_address0;
hist0_1_address1 <= ap_const_lv10_0;
hist0_1_ce0 <= AWBhistogram_U0_histogram_1_ce0;
hist0_1_ce1 <= ap_const_logic_0;
hist0_1_d0 <= AWBhistogram_U0_histogram_1_d0;
hist0_1_d1 <= ap_const_lv32_0;
hist0_1_we0 <= AWBhistogram_U0_histogram_1_we0;
hist0_1_we1 <= ap_const_logic_0;
hist0_2_address0 <= AWBhistogram_U0_histogram_2_address0;
hist0_2_address1 <= ap_const_lv10_0;
hist0_2_ce0 <= AWBhistogram_U0_histogram_2_ce0;
hist0_2_ce1 <= ap_const_logic_0;
hist0_2_d0 <= AWBhistogram_U0_histogram_2_d0;
hist0_2_d1 <= ap_const_lv32_0;
hist0_2_we0 <= AWBhistogram_U0_histogram_2_we0;
hist0_2_we1 <= ap_const_logic_0;
hist1_0_address0 <= AWBNormalization_U0_histogram_0_address0;
hist1_0_address1 <= ap_const_lv10_0;
hist1_0_ce0 <= AWBNormalization_U0_histogram_0_ce0;
hist1_0_ce1 <= ap_const_logic_0;
hist1_0_d0 <= ap_const_lv32_0;
hist1_0_d1 <= ap_const_lv32_0;
hist1_0_we0 <= ap_const_logic_0;
hist1_0_we1 <= ap_const_logic_0;
hist1_1_address0 <= AWBNormalization_U0_histogram_1_address0;
hist1_1_address1 <= ap_const_lv10_0;
hist1_1_ce0 <= AWBNormalization_U0_histogram_1_ce0;
hist1_1_ce1 <= ap_const_logic_0;
hist1_1_d0 <= ap_const_lv32_0;
hist1_1_d1 <= ap_const_lv32_0;
hist1_1_we0 <= ap_const_logic_0;
hist1_1_we1 <= ap_const_logic_0;
hist1_2_address0 <= AWBNormalization_U0_histogram_2_address0;
hist1_2_address1 <= ap_const_lv10_0;
hist1_2_ce0 <= AWBNormalization_U0_histogram_2_ce0;
hist1_2_ce1 <= ap_const_logic_0;
hist1_2_d0 <= ap_const_lv32_0;
hist1_2_d1 <= ap_const_lv32_0;
hist1_2_we0 <= ap_const_logic_0;
hist1_2_we1 <= ap_const_logic_0;
m_axis_video_TDATA <= ColorMat2AXIvideo_U0_m_axis_video_TDATA;
m_axis_video_TDEST <= ColorMat2AXIvideo_U0_m_axis_video_TDEST;
m_axis_video_TID <= ColorMat2AXIvideo_U0_m_axis_video_TID;
m_axis_video_TKEEP <= ColorMat2AXIvideo_U0_m_axis_video_TKEEP;
m_axis_video_TLAST <= ColorMat2AXIvideo_U0_m_axis_video_TLAST;
m_axis_video_TSTRB <= ColorMat2AXIvideo_U0_m_axis_video_TSTRB;
m_axis_video_TUSER <= ColorMat2AXIvideo_U0_m_axis_video_TUSER;
m_axis_video_TVALID <= ColorMat2AXIvideo_U0_m_axis_video_TVALID;
s_axis_video_TREADY <= AXIVideo2BayerMat_U0_s_axis_video_TREADY;
start_for_AWBhistogram_U0_din <= (0=>ap_const_logic_1, others=>'-');
start_for_ColorMat2AXIvideo_U0_din <= (0=>ap_const_logic_1, others=>'-');
start_for_badpixelcorrection_U0_din <= (0=>ap_const_logic_1, others=>'-');
start_for_blackLevelCorrection_U0_din <= (0=>ap_const_logic_1, others=>'-');
start_for_colorcorrectionmatri_U0_din <= (0=>ap_const_logic_1, others=>'-');
start_for_demosaicing_U0_din <= (0=>ap_const_logic_1, others=>'-');
start_for_gaincontrol_U0_din <= (0=>ap_const_logic_1, others=>'-');
start_for_xf_QuatizationDither_U0_din <= (0=>ap_const_logic_1, others=>'-');
xf_QuatizationDither_U0_ap_continue <= ap_const_logic_1;
xf_QuatizationDither_U0_ap_start <= start_for_xf_QuatizationDither_U0_empty_n;
xf_QuatizationDither_U0_start_full_n <= ap_const_logic_1;
xf_QuatizationDither_U0_start_write <= ap_const_logic_0;
end behav;
| VHDL | 4 | hito0512/Vitis-AI | Whole-App-Acceleration/apps/resnet50/build_flow/DPUCVDX8G_vck190/vck190_platform/hw/source/ip/isppipeline_accel/hdl/vhdl/ISPpipeline.vhd | [
"Apache-2.0"
] |
<?php
/*
*
* Copyright 2015 gRPC authors.
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
*/
namespace Grpc;
/**
* Represents an active call that sends a single message and then gets a
* stream of responses.
*/
class ServerStreamingCall extends AbstractCall
{
/**
* Start the call.
*
* @param mixed $data The data to send
* @param array $metadata Metadata to send with the call, if applicable
* (optional)
* @param array $options An array of options, possible keys:
* 'flags' => a number (optional)
*/
public function start($data, array $metadata = [], array $options = [])
{
$message_array = ['message' => $this->_serializeMessage($data)];
if (array_key_exists('flags', $options)) {
$message_array['flags'] = $options['flags'];
}
$this->call->startBatch([
OP_SEND_INITIAL_METADATA => $metadata,
OP_SEND_MESSAGE => $message_array,
OP_SEND_CLOSE_FROM_CLIENT => true,
]);
}
/**
* @return mixed An iterator of response values
*/
public function responses()
{
$batch = [OP_RECV_MESSAGE => true];
if ($this->metadata === null) {
$batch[OP_RECV_INITIAL_METADATA] = true;
}
$read_event = $this->call->startBatch($batch);
if ($this->metadata === null) {
$this->metadata = $read_event->metadata;
}
$response = $read_event->message;
while ($response !== null) {
yield $this->_deserializeResponse($response);
$response = $this->call->startBatch([
OP_RECV_MESSAGE => true,
])->message;
}
}
/**
* Wait for the server to send the status, and return it.
*
* @return \stdClass The status object, with integer $code, string
* $details, and array $metadata members
*/
public function getStatus()
{
$status_event = $this->call->startBatch([
OP_RECV_STATUS_ON_CLIENT => true,
]);
$this->trailing_metadata = $status_event->status->metadata;
return $status_event->status;
}
/**
* @return mixed The metadata sent by the server
*/
public function getMetadata()
{
if ($this->metadata === null) {
$event = $this->call->startBatch([OP_RECV_INITIAL_METADATA => true]);
$this->metadata = $event->metadata;
}
return $this->metadata;
}
}
| PHP | 5 | samotarnik/grpc | src/php/lib/Grpc/ServerStreamingCall.php | [
"Apache-2.0"
] |
{% extends "base.ahk" %}
{% block body %}
SoundSetWaveVolume, {{ value }}, {{ device_number }}
{% endblock body %}
| AutoHotkey | 3 | epth/ahk | ahk/templates/sound/set_volume.ahk | [
"MIT"
] |
CREATE TABLE `user` (
`user_id` int(10) NOT NULL AUTO_INCREMENT,
PRIMARY KEY (`user_id`)
) ENGINE=InnoDB AUTO_INCREMENT=8 DEFAULT CHARSET=latin1 ;
CREATE TABLE `user_order` (
`ORDER_ID` int(10) NOT NULL AUTO_INCREMENT,
`USER_ID` int(10) NOT NULL DEFAULT '0',
PRIMARY KEY (`ORDER_ID`,`USER_ID`),
KEY `USER_ID` (`USER_ID`),
CONSTRAINT `user_order_ibfk_1` FOREIGN KEY (`USER_ID`) REFERENCES `USER` (`user_id`)
) ENGINE=InnoDB AUTO_INCREMENT=7 DEFAULT CHARSET=latin1;
| SQL | 4 | zeesh49/tutorials | spring-hibernate4/src/main/resources/fetching_create_queries.sql | [
"MIT"
] |
\documentclass{article}
\usepackage{agda}
\begin{document}
\begin{code}
module ltgt where
<> : Set _
<> = Set
\end{code}
\end{document}
| Literate Agda | 2 | shlevy/agda | test/LaTeXAndHTML/succeed/ltgt.lagda | [
"BSD-3-Clause"
] |
#tag Class
Protected Class ThreadInformationWFS
#tag Method, Flags = &h0
Sub Constructor()
// Default constructor, do nothing
End Sub
#tag EndMethod
#tag Method, Flags = &h0
Sub Constructor(mb as MemoryBlock)
// Make sure our data is sane
if mb = nil or mb.Long( 0 ) <> mb.Size then return
// Grab the usage count
ReferenceCount = mb.Long( 4 )
// Then the IDs
ThreadID = mb.Long( 8 )
OwnerProcessID = mb.Long( 12 )
// Then the priorities
BasePriority = mb.Long( 16 )
PriorityDelta = mb.Long( 20 )
End Sub
#tag EndMethod
#tag Property, Flags = &h0
BasePriority As Integer
#tag EndProperty
#tag Property, Flags = &h0
OwnerProcessID As Integer
#tag EndProperty
#tag Property, Flags = &h0
PriorityDelta As Integer
#tag EndProperty
#tag Property, Flags = &h0
ReferenceCount As Integer
#tag EndProperty
#tag Property, Flags = &h0
ThreadID As Integer
#tag EndProperty
#tag ViewBehavior
#tag ViewProperty
Name="BasePriority"
Group="Behavior"
InitialValue="0"
Type="Integer"
#tag EndViewProperty
#tag ViewProperty
Name="Index"
Visible=true
Group="ID"
InitialValue="-2147483648"
InheritedFrom="Object"
#tag EndViewProperty
#tag ViewProperty
Name="Left"
Visible=true
Group="Position"
InitialValue="0"
InheritedFrom="Object"
#tag EndViewProperty
#tag ViewProperty
Name="Name"
Visible=true
Group="ID"
InheritedFrom="Object"
#tag EndViewProperty
#tag ViewProperty
Name="OwnerProcessID"
Group="Behavior"
InitialValue="0"
Type="Integer"
#tag EndViewProperty
#tag ViewProperty
Name="PriorityDelta"
Group="Behavior"
InitialValue="0"
Type="Integer"
#tag EndViewProperty
#tag ViewProperty
Name="ReferenceCount"
Group="Behavior"
InitialValue="0"
Type="Integer"
#tag EndViewProperty
#tag ViewProperty
Name="Super"
Visible=true
Group="ID"
InheritedFrom="Object"
#tag EndViewProperty
#tag ViewProperty
Name="ThreadID"
Group="Behavior"
InitialValue="0"
Type="Integer"
#tag EndViewProperty
#tag ViewProperty
Name="Top"
Visible=true
Group="Position"
InitialValue="0"
InheritedFrom="Object"
#tag EndViewProperty
#tag EndViewBehavior
End Class
#tag EndClass
| REALbasic | 3 | bskrtich/WFS | Windows Functionality Suite/Process Management/Classes/ThreadInformationWFS.rbbas | [
"MIT"
] |
component{
function foo(){
var oExpectation = new Expectation( spec=this, assertions=this.$assert, mockbox=this.$mockbox );
thread.closures = arguments.closures;
}
} | ColdFusion CFC | 2 | tonym128/CFLint | src/test/resources/com/cflint/tests/VarScoper/fpositive/expectation.cfc | [
"BSD-3-Clause"
] |
context ::= lazySlot(Lobby do())
compileError := nil
executionError := nil
lastCommand := nil
lastError := nil
lastResult := nil
lineIsComplete := method(line,
compileError = try(lineAsMessage := line asMessage)
if(compileError,
if(compileError error beforeSeq(" on line") in(CLI knownErrors),
return false
, return true)
, return true))
doLine := method(line,
lastCommand = line
lastError = nil
lastResult = nil
if(lineIsComplete(lastCommand),
executionError = try(lastResult = context doMessage(lastCommand asMessage))
if(executionError,
lastError = executionError coroutine backTraceString
return "Error"
, return "Ready")
, return "Incomplete"))
| Io | 3 | createuniverses/praxis | prods/Cognitive/iocli.io | [
"MIT"
] |
module com.networknt.correlation {
exports com.networknt.correlation;
requires com.networknt.handler;
requires com.networknt.config;
requires com.networknt.http.string;
requires com.networknt.utility;
requires undertow.core;
requires org.slf4j;
requires java.logging;
} | Jasmin | 3 | KellyShao/light-4j | correlation/src/main/java/module-info.j | [
"Apache-2.0"
] |
/*##############################################################################
HPCC SYSTEMS software Copyright (C) 2012 HPCC Systems®.
Licensed under the Apache License, Version 2.0 (the "License");
you may not use this file except in compliance with the License.
You may obtain a copy of the License at
http://www.apache.org/licenses/LICENSE-2.0
Unless required by applicable law or agreed to in writing, software
distributed under the License is distributed on an "AS IS" BASIS,
WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
See the License for the specific language governing permissions and
limitations under the License.
############################################################################## */
nameRecord :=
RECORD
string idx{xpath('@index')};
string name{xpath('')};
string txt{xpath('<>')};
END;
legalRecord :=
RECORD
unsigned2 seq{xpath('@seq')};
string name{xpath('')};
END;
instrumentRecord := RECORD,maxLength(10000)
udecimal10 id{xpath('@id')};
integer book{xpath('BOOK')};
dataset(nameRecord) names{xpath('NAMES/NAME')};
dataset(legalRecord) legals{xpath('LEGALS/LEGAL')};
END;
test := dataset('~file::127.0.0.1::temp::20040621_vclerk.xml', instrumentRecord, XML('/VolusiaClerk/OfficialRecords/Instrument'));
output(choosen(test,100),,'out2.d00',XML,overwrite);
| ECL | 4 | miguelvazq/HPCC-Platform | ecl/regress/xmlread7.ecl | [
"Apache-2.0"
] |
signtool sign /debug /a /fd SHA256 /f PowerToys_TemporaryKey.pfx /p 12345 bin\PowerToys-x64.msix
signtool sign /debug /a /fd SHA256 /f PowerToys_TemporaryKey.pfx /p 12345 bin\PowerToys.msixbundle
| PowerShell | 2 | tameemzabalawi/PowerToys | installer/MSIX/sign_msix.ps1 | [
"MIT"
] |
package com.stackify.slf4j.guide.controllers;
import java.util.List;
import org.slf4j.Logger;
import org.slf4j.LoggerFactory;
import org.slf4j.MDC;
import org.springframework.web.bind.annotation.GetMapping;
import org.springframework.web.bind.annotation.RequestHeader;
import org.springframework.web.bind.annotation.RestController;
@RestController
public class SimpleController {
Logger logger = LoggerFactory.getLogger(SimpleController.class);
@GetMapping("/slf4j-guide-request")
public String processList(List<String> list) {
logger.info("Client requested process the following list: {}", list);
try {
logger.debug("Starting process");
// ...processing list here...
Thread.sleep(500);
} catch (RuntimeException | InterruptedException e) {
logger.error("There was an issue processing the list.", e);
} finally {
logger.info("Finished processing");
}
return "done";
}
@GetMapping("/slf4j-guide-mdc-request")
public String clientMDCRequest(@RequestHeader String clientId) throws InterruptedException {
MDC.put("clientId", clientId);
logger.info("Client {} has made a request", clientId);
logger.info("Starting request");
Thread.sleep(500);
logger.info("Finished request");
MDC.clear();
return "finished";
}
}
| Java | 4 | flyingcanopy/tutorials | guest/slf4j/guide/slf4j-log4j2/src/main/java/com/stackify/slf4j/guide/controllers/SimpleController.java | [
"MIT"
] |
#!/usr/local/bin/gnuplot -persist
set terminal pdfcairo transparent enhanced fontscale 0.5 size 8.00in, 4.50in
set output "Resources.pdf"
set multiplot layout 1,3 title "\nBoehm GC: Resource Requirements for \`GC\\_bench.v\` (2·10^8 Iterations)\n" font ",18"
set rmargin 9
set grid noxtics ytics
set xtics border rotate by -45
set key box Right samplen 1 spacing 1 height 0.5 opaque
set style data histogram
set style histogram clustered gap 1 title textcolor lt -1
set style fill solid border -1
#
set ylabel "Process Memory [GB]"
plot [-1:4] [0:9.36] "resources.txt" using 3:xtic(1) title "{/Monospace Memory Usage}" lt 2
#
set lmargin at screen 0.39
set ylabel "CPU Usage [% of 1 Core]"
plot [-1:4] [0:750] "resources.txt" using 5:xtic(1) title "{/Monospace CPU Usage}" lt 7
#
set lmargin at screen 0.71
set ylabel "Time [s]"
plot [-1:4] [0:210] "resources.txt" using 4:xtic(1) title "{/Monospace Time to Complete}" lt 3
unset multiplot
set output
unset margin
set terminal svg size 900,530 dynamic enhanced
set output "Resources.svg"
set multiplot layout 1,3 title "\nBoehm GC: Resource Requirements for \`GC\\_bench.v\` (2·10^8 Iterations)\n" font ",18"
#
set rmargin at screen 0.27
set ylabel "Process Memory [GB]"
plot [-1:4] [0:9.36] "resources.txt" using 3:xtic(1) title "{/Monospace Memory Usage}" lt 2
#
set lmargin at screen 0.38
set rmargin at screen 0.59
set ylabel "CPU Usage [% of 1 Core]"
plot [-1:4] [0:750] "resources.txt" using 5:xtic(1) title "{/Monospace CPU Usage}" lt 7
#
set lmargin at screen 0.71
unset rmargin
set ylabel "Time [s]"
plot [-1:4] [0:210] "resources.txt" using 4:xtic(1) title "{/Monospace Time to Complete}" lt 3
unset multiplot
set output
# EOF
| Gnuplot | 4 | gamemaker1/v | vlib/v/tests/bench/gcboehm/Resources.plt | [
"MIT"
] |
/* Effect: dither/noise-shape Copyright (c) 2008-9 [email protected]
*
* This library is free software; you can redistribute it and/or modify it
* under the terms of the GNU Lesser General Public License as published by
* the Free Software Foundation; either version 2.1 of the License, or (at
* your option) any later version.
*
* This library is distributed in the hope that it will be useful, but
* WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser
* General Public License for more details.
*
* You should have received a copy of the GNU Lesser General Public License
* along with this library; if not, write to the Free Software Foundation,
* Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
*/
typedef struct {
int rate;
enum {fir, iir} type;
size_t len;
int gain_cB; /* Chosen so clips are few if any, but not guaranteed none. */
double const * coefs;
enum SwrDitherType name;
} filter_t;
static double const lip44[] = {2.033, -2.165, 1.959, -1.590, .6149};
static double const fwe44[] = {
2.412, -3.370, 3.937, -4.174, 3.353, -2.205, 1.281, -.569, .0847};
static double const mew44[] = {
1.662, -1.263, .4827, -.2913, .1268, -.1124, .03252, -.01265, -.03524};
static double const iew44[] = {
2.847, -4.685, 6.214, -7.184, 6.639, -5.032, 3.263, -1.632, .4191};
static double const ges44[] = {
2.2061, -.4706, -.2534, -.6214, 1.0587, .0676, -.6054, -.2738};
static double const ges48[] = {
2.2374, -.7339, -.1251, -.6033, .903, .0116, -.5853, -.2571};
static double const shi48[] = {
2.8720729351043701172, -5.0413231849670410156, 6.2442994117736816406,
-5.8483986854553222656, 3.7067542076110839844, -1.0495119094848632812,
-1.1830236911773681641, 2.1126792430877685547, -1.9094531536102294922,
0.99913084506988525391, -0.17090806365013122559, -0.32615602016448974609,
0.39127644896507263184, -0.26876461505889892578, 0.097676105797290802002,
-0.023473845794796943665,
};
static double const shi44[] = {
2.6773197650909423828, -4.8308925628662109375, 6.570110321044921875,
-7.4572014808654785156, 6.7263274192810058594, -4.8481650352478027344,
2.0412089824676513672, 0.7006359100341796875, -2.9537565708160400391,
4.0800385475158691406, -4.1845216751098632812, 3.3311812877655029297,
-2.1179926395416259766, 0.879302978515625, -0.031759146600961685181,
-0.42382788658142089844, 0.47882103919982910156, -0.35490813851356506348,
0.17496839165687561035, -0.060908168554306030273,
};
static double const shi38[] = {
1.6335992813110351562, -2.2615492343902587891, 2.4077029228210449219,
-2.6341717243194580078, 2.1440362930297851562, -1.8153258562088012695,
1.0816224813461303711, -0.70302653312683105469, 0.15991993248462677002,
0.041549518704414367676, -0.29416576027870178223, 0.2518316805362701416,
-0.27766478061676025391, 0.15785403549671173096, -0.10165894031524658203,
0.016833892092108726501,
};
static double const shi32[] =
{ /* dmaker 32000: bestmax=4.99659 (inverted) */
0.82118552923202515,
-1.0063692331314087,
0.62341964244842529,
-1.0447187423706055,
0.64532512426376343,
-0.87615132331848145,
0.52219754457473755,
-0.67434263229370117,
0.44954317808151245,
-0.52557498216629028,
0.34567299485206604,
-0.39618203043937683,
0.26791760325431824,
-0.28936097025871277,
0.1883765310049057,
-0.19097308814525604,
0.10431359708309174,
-0.10633844882249832,
0.046832218766212463,
-0.039653312414884567,
};
static double const shi22[] =
{ /* dmaker 22050: bestmax=5.77762 (inverted) */
0.056581053882837296,
-0.56956905126571655,
-0.40727734565734863,
-0.33870288729667664,
-0.29810553789138794,
-0.19039161503314972,
-0.16510021686553955,
-0.13468159735202789,
-0.096633769571781158,
-0.081049129366874695,
-0.064953058958053589,
-0.054459091275930405,
-0.043378707021474838,
-0.03660014271736145,
-0.026256965473294258,
-0.018786206841468811,
-0.013387725688517094,
-0.0090983230620622635,
-0.0026585909072309732,
-0.00042083300650119781,
};
static double const shi16[] =
{ /* dmaker 16000: bestmax=5.97128 (inverted) */
-0.37251132726669312,
-0.81423574686050415,
-0.55010956525802612,
-0.47405767440795898,
-0.32624706625938416,
-0.3161766529083252,
-0.2286367267370224,
-0.22916607558727264,
-0.19565616548061371,
-0.18160104751586914,
-0.15423151850700378,
-0.14104481041431427,
-0.11844276636838913,
-0.097583092749118805,
-0.076493598520755768,
-0.068106919527053833,
-0.041881654411554337,
-0.036922425031661987,
-0.019364040344953537,
-0.014994367957115173,
};
static double const shi11[] =
{ /* dmaker 11025: bestmax=5.9406 (inverted) */
-0.9264228343963623,
-0.98695987462997437,
-0.631156325340271,
-0.51966935396194458,
-0.39738872647285461,
-0.35679301619529724,
-0.29720726609230042,
-0.26310476660728455,
-0.21719355881214142,
-0.18561814725399017,
-0.15404847264289856,
-0.12687471508979797,
-0.10339745879173279,
-0.083688631653785706,
-0.05875682458281517,
-0.046893671154975891,
-0.027950936928391457,
-0.020740609616041183,
-0.009366452693939209,
-0.0060260160826146603,
};
static double const shi08[] =
{ /* dmaker 8000: bestmax=5.56234 (inverted) */
-1.202863335609436,
-0.94103097915649414,
-0.67878556251525879,
-0.57650017738342285,
-0.50004476308822632,
-0.44349345564842224,
-0.37833768129348755,
-0.34028723835945129,
-0.29413089156150818,
-0.24994957447052002,
-0.21715600788593292,
-0.18792112171649933,
-0.15268312394618988,
-0.12135542929172516,
-0.099610626697540283,
-0.075273610651493073,
-0.048787496984004974,
-0.042586319148540497,
-0.028991291299462318,
-0.011869125068187714,
};
static double const shl48[] = {
2.3925774097442626953, -3.4350297451019287109, 3.1853709220886230469,
-1.8117271661758422852, -0.20124770700931549072, 1.4759907722473144531,
-1.7210904359817504883, 0.97746700048446655273, -0.13790138065814971924,
-0.38185903429985046387, 0.27421241998672485352, 0.066584214568138122559,
-0.35223302245140075684, 0.37672343850135803223, -0.23964276909828186035,
0.068674825131893157959,
};
static double const shl44[] = {
2.0833916664123535156, -3.0418450832366943359, 3.2047898769378662109,
-2.7571926116943359375, 1.4978630542755126953, -0.3427594602108001709,
-0.71733748912811279297, 1.0737057924270629883, -1.0225815773010253906,
0.56649994850158691406, -0.20968692004680633545, -0.065378531813621520996,
0.10322438180446624756, -0.067442022264003753662, -0.00495197344571352005,
0,
};
static double const shh44[] = {
3.0259189605712890625, -6.0268716812133789062, 9.195003509521484375,
-11.824929237365722656, 12.767142295837402344, -11.917946815490722656,
9.1739168167114257812, -5.3712320327758789062, 1.1393624544143676758,
2.4484779834747314453, -4.9719839096069335938, 6.0392003059387207031,
-5.9359521865844726562, 4.903278350830078125, -3.5527443885803222656,
2.1909697055816650391, -1.1672389507293701172, 0.4903914332389831543,
-0.16519790887832641602, 0.023217858746647834778,
};
static const filter_t filters[] = {
{44100, fir, 5, 210, lip44, SWR_DITHER_NS_LIPSHITZ},
{46000, fir, 9, 276, fwe44, SWR_DITHER_NS_F_WEIGHTED},
{46000, fir, 9, 160, mew44, SWR_DITHER_NS_MODIFIED_E_WEIGHTED},
{46000, fir, 9, 321, iew44, SWR_DITHER_NS_IMPROVED_E_WEIGHTED},
// {48000, iir, 4, 220, ges48, SWR_DITHER_NS_GESEMANN},
// {44100, iir, 4, 230, ges44, SWR_DITHER_NS_GESEMANN},
{48000, fir, 16, 301, shi48, SWR_DITHER_NS_SHIBATA},
{44100, fir, 20, 333, shi44, SWR_DITHER_NS_SHIBATA},
{37800, fir, 16, 240, shi38, SWR_DITHER_NS_SHIBATA},
{32000, fir, 20, 240/*TBD*/, shi32, SWR_DITHER_NS_SHIBATA},
{22050, fir, 20, 240/*TBD*/, shi22, SWR_DITHER_NS_SHIBATA},
{16000, fir, 20, 240/*TBD*/, shi16, SWR_DITHER_NS_SHIBATA},
{11025, fir, 20, 240/*TBD*/, shi11, SWR_DITHER_NS_SHIBATA},
{ 8000, fir, 20, 240/*TBD*/, shi08, SWR_DITHER_NS_SHIBATA},
{48000, fir, 16, 250, shl48, SWR_DITHER_NS_LOW_SHIBATA},
{44100, fir, 15, 250, shl44, SWR_DITHER_NS_LOW_SHIBATA},
{44100, fir, 20, 383, shh44, SWR_DITHER_NS_HIGH_SHIBATA},
{ 0, fir, 0, 0, NULL, SWR_DITHER_NONE},
};
| C | 4 | attenuation/srs | trunk/3rdparty/ffmpeg-4-fit/libswresample/noise_shaping_data.c | [
"MIT"
] |
--TEST--
Array offsets can be yielded by reference
--FILE--
<?php
function &gen(array &$array) {
yield $array[0];
}
$array = [1, 2, 3];
$gen = gen($array);
foreach ($gen as &$val) {
$val *= -1;
}
var_dump($array);
?>
--EXPECT--
array(3) {
[0]=>
&int(-1)
[1]=>
int(2)
[2]=>
int(3)
}
| PHP | 4 | guomoumou123/php5.5.10 | Zend/tests/generators/yield_array_offset_by_ref.phpt | [
"PHP-3.01"
] |
package com.baeldung.mockito.java8;
import java.util.Optional;
public interface UnemploymentService {
boolean personIsEntitledToUnemploymentSupport(Person person);
Optional<JobPosition> searchJob(Person person, String searchString);
}
| Java | 3 | zeesh49/tutorials | testing-modules/mockito-2/src/main/java/com/baeldung/mockito/java8/UnemploymentService.java | [
"MIT"
] |
div;
---
div;
==============================
div checked data-id = "id";
---
div checked data-id='id';
==============================
div.foo id = "baz" name='~[bind: foo + "baz"]';
---
#baz.foo name='~[bind: foo + "baz"]';
==============================
section
.foo.~[klass] id ="baz"
name='test ~[name]';
---
section#baz.foo.~[klass] name='test ~[name]';
==============================
if (test);
---
if (test);
==============================
+if (test);
---
+if (test);
==============================
+if (test) x-mode='server';
---
+if x-mode='server' (test);
| Mask | 3 | atmajs/MaskJS | test/tmpl/stringify/node_head.mask | [
"MIT"
] |
//rotate 0,-50,20
rotate
move 0,2,0
fill
scale 0.5
steps: 9
for x: 1 to steps step 1
for y: 1 to steps step 1
push
h: (noise(wave(1000), x*y) * 15) - 3
move 0,h/-2,0
color hsv(255-(x*30),200,255-(20*y))
box 1,h,1
pop
move 1,0,0
end
move (steps*-1)+1,0,1
end
| Cycript | 3 | marcinbiegun/creativecoding-sketches | Cyril/data/code_old/3.cy | [
"MIT"
] |
CLASS zcl_abapgit_object_aifc DEFINITION
PUBLIC
INHERITING FROM zcl_abapgit_objects_super
FINAL
CREATE PUBLIC.
PUBLIC SECTION.
INTERFACES zif_abapgit_object.
METHODS constructor
IMPORTING
!iv_language TYPE spras
!is_item TYPE zif_abapgit_definitions=>ty_item
RAISING
zcx_abapgit_exception.
PROTECTED SECTION.
TYPES:
BEGIN OF ty_aif_key_s,
ns TYPE c LENGTH 6,
ifname TYPE c LENGTH 10,
ifver TYPE c LENGTH 5,
END OF ty_aif_key_s.
TYPES:
BEGIN OF ty_icd_data_key_s,
depl_scenario TYPE c LENGTH 20,
ns TYPE c LENGTH 6,
ifname TYPE c LENGTH 10,
ifver2 TYPE c LENGTH 4,
END OF ty_icd_data_key_s.
TYPES:
BEGIN OF ty_icd_data_key,
depl_scenario TYPE c LENGTH 20,
ns TYPE c LENGTH 6,
ifname TYPE c LENGTH 10,
ifver2 TYPE c LENGTH 4,
ifver TYPE c LENGTH 5,
END OF ty_icd_data_key.
TYPES:
BEGIN OF ty_table_data_s,
tabname TYPE tabname,
table_data TYPE REF TO data,
END OF ty_table_data_s.
TYPES:
ty_table_data_t TYPE SORTED TABLE OF
ty_table_data_s WITH UNIQUE KEY tabname.
DATA ms_icd_data_key TYPE ty_icd_data_key_s.
METHODS handle_table_data
IMPORTING
!iv_tabname TYPE tabname
!it_data TYPE STANDARD TABLE
RAISING
zcx_abapgit_exception.
METHODS clear_client
CHANGING
!ct_data TYPE STANDARD TABLE
RAISING
zcx_abapgit_exception.
METHODS authorization_check
IMPORTING
!io_log TYPE REF TO zif_abapgit_log
RETURNING
VALUE(rv_success) TYPE abap_bool
RAISING
zcx_abapgit_exception.
METHODS get_content_compress
IMPORTING
!io_log TYPE REF TO zif_abapgit_log
!is_ifkeys TYPE ty_aif_key_s
!iv_package TYPE devclass
RAISING
zcx_abapgit_exception.
METHODS validate_interface
IMPORTING
!is_ifkeys TYPE ty_aif_key_s
RETURNING
VALUE(rv_success) TYPE abap_bool
RAISING
zcx_abapgit_exception.
METHODS compress_interface
IMPORTING
!is_ifkeys TYPE ty_aif_key_s
!io_log TYPE REF TO zif_abapgit_log
RETURNING
VALUE(rv_success) TYPE abap_bool
RAISING
zcx_abapgit_exception.
METHODS execute_checks
IMPORTING
!io_xml TYPE REF TO zif_abapgit_xml_input
RETURNING
VALUE(rv_success) TYPE abap_bool
RAISING
zcx_abapgit_exception.
PRIVATE SECTION.
TYPES:
BEGIN OF ty_content_s,
tabname TYPE tabname,
END OF ty_content_s.
TYPES:
ty_content_t TYPE STANDARD TABLE OF ty_content_s WITH NON-UNIQUE DEFAULT KEY.
DATA mo_abapgit_util TYPE REF TO object.
ENDCLASS.
CLASS ZCL_ABAPGIT_OBJECT_AIFC IMPLEMENTATION.
METHOD authorization_check.
DATA: lx_dyn_call_error TYPE REF TO cx_sy_dyn_call_error.
DATA: lx_root TYPE REF TO cx_root.
rv_success = abap_false.
TRY.
CALL METHOD mo_abapgit_util->('/AIF/IF_ABAPGIT_AIFC_UTIL~AUTHORIZATION_CHECK')
RECEIVING
rv_success = rv_success.
CATCH cx_sy_dyn_call_error INTO lx_dyn_call_error.
zcx_abapgit_exception=>raise( iv_text = 'AIFC not supported'
ix_previous = lx_dyn_call_error ).
CATCH cx_root INTO lx_root.
zcx_abapgit_exception=>raise_with_text( lx_root ).
ENDTRY.
ENDMETHOD.
METHOD compress_interface.
DATA: lx_dyn_call_error TYPE REF TO cx_sy_dyn_call_error.
DATA: lx_root TYPE REF TO cx_root.
TRY.
CALL METHOD mo_abapgit_util->('/AIF/IF_ABAPGIT_AIFC_UTIL~COMPRESS_INTERFACE')
EXPORTING
is_ifkeys = is_ifkeys
RECEIVING
rv_success = rv_success.
CATCH cx_sy_dyn_call_error INTO lx_dyn_call_error.
zcx_abapgit_exception=>raise( iv_text = 'AIFC not supported'
ix_previous = lx_dyn_call_error ).
CATCH cx_root INTO lx_root.
zcx_abapgit_exception=>raise_with_text( lx_root ).
ENDTRY.
ENDMETHOD.
METHOD constructor.
DATA: lx_exc_ref TYPE REF TO cx_sy_dyn_call_error.
super->constructor( is_item = is_item
iv_language = iv_language ).
ms_icd_data_key = is_item-obj_name.
TRY.
CALL METHOD ('/AIF/CL_ABAPGIT_AIFC_UTIL')=>('GET_INSTANCE')
RECEIVING
rr_abapgit_aifc_util = mo_abapgit_util.
CATCH cx_sy_dyn_call_error INTO lx_exc_ref.
zcx_abapgit_exception=>raise( 'AIFC not supported' ).
ENDTRY.
ENDMETHOD.
METHOD get_content_compress.
DATA: lx_dyn_call_error TYPE REF TO cx_sy_dyn_call_error.
DATA: lx_root TYPE REF TO cx_root.
DATA: lo_log TYPE REF TO object.
TRY.
CREATE OBJECT lo_log TYPE ('/AIF/CL_ABAPGIT_BAL_LOG')
EXPORTING ir_git_log = io_log
is_item = ms_item.
CALL METHOD mo_abapgit_util->('/AIF/IF_ABAPGIT_AIFC_UTIL~INITIALIZE_CONTENT_COMPRESS')
EXPORTING
ir_bal = lo_log
is_ifkey = is_ifkeys
iv_package = iv_package
iv_depl_id = ms_icd_data_key-depl_scenario.
CATCH cx_sy_dyn_call_error INTO lx_dyn_call_error.
zcx_abapgit_exception=>raise( iv_text = 'AIFC not supported'
ix_previous = lx_dyn_call_error ).
CATCH cx_root INTO lx_root.
zcx_abapgit_exception=>raise_with_text( lx_root ).
ENDTRY.
ENDMETHOD.
METHOD handle_table_data.
DATA: lx_dyn_call_error TYPE REF TO cx_sy_dyn_call_error.
DATA: lx_root TYPE REF TO cx_root.
TRY.
CALL METHOD mo_abapgit_util->('/AIF/IF_ABAPGIT_AIFC_UTIL~HANDLE_TABLE_DATA')
EXPORTING
iv_tabname = iv_tabname
it_data = it_data.
CATCH cx_sy_dyn_call_error INTO lx_dyn_call_error.
zcx_abapgit_exception=>raise( iv_text = 'AIFC not supported'
ix_previous = lx_dyn_call_error ).
CATCH cx_root INTO lx_root.
zcx_abapgit_exception=>raise_with_text( lx_root ).
ENDTRY.
ENDMETHOD.
METHOD validate_interface.
DATA: lx_dyn_call_error TYPE REF TO cx_sy_dyn_call_error.
DATA: lx_root TYPE REF TO cx_root.
rv_success = abap_false.
TRY.
CALL METHOD mo_abapgit_util->('/AIF/IF_ABAPGIT_AIFC_UTIL~VALIDATE_INTERFACE')
EXPORTING
is_ifkeys = is_ifkeys
RECEIVING
rv_success = rv_success.
CATCH cx_sy_dyn_call_error INTO lx_dyn_call_error.
zcx_abapgit_exception=>raise( iv_text = 'AIFC not supported'
ix_previous = lx_dyn_call_error ).
CATCH cx_root INTO lx_root.
zcx_abapgit_exception=>raise_with_text( lx_root ).
ENDTRY.
ENDMETHOD.
METHOD zif_abapgit_object~changed_by.
DATA lx_dyn_call_error TYPE REF TO cx_sy_dyn_call_error.
DATA ls_icd_data_key TYPE ty_icd_data_key.
ls_icd_data_key-depl_scenario = ms_icd_data_key-depl_scenario.
ls_icd_data_key-ns = ms_icd_data_key-ns.
ls_icd_data_key-ifname = ms_icd_data_key-ifname.
ls_icd_data_key-ifver2 = ms_icd_data_key-ifver2.
TRY.
CALL METHOD mo_abapgit_util->('/AIF/IF_ABAPGIT_AIFC_UTIL~CHANGED_BY')
EXPORTING
is_key = ls_icd_data_key
RECEIVING
rv_user = rv_user.
CATCH cx_sy_dyn_call_error INTO lx_dyn_call_error.
zcx_abapgit_exception=>raise( iv_text = 'AIFC not supported'
ix_previous = lx_dyn_call_error ).
ENDTRY.
ENDMETHOD.
METHOD zif_abapgit_object~delete.
zcx_abapgit_exception=>raise( 'Delete not supported.' ).
ENDMETHOD.
METHOD zif_abapgit_object~deserialize.
DATA: lx_root TYPE REF TO cx_root.
DATA: lt_content TYPE ty_content_t.
DATA lr_tabledescr TYPE REF TO cl_abap_tabledescr.
DATA lr_structdescr TYPE REF TO cl_abap_structdescr.
DATA lr_table TYPE REF TO data.
FIELD-SYMBOLS <lt_table> TYPE STANDARD TABLE.
FIELD-SYMBOLS <ls_table> TYPE any.
DATA ls_ifkey TYPE ty_aif_key_s.
DATA lr_content TYPE REF TO ty_content_s.
DATA lx_abap_not_a_table TYPE REF TO cx_abap_not_a_table.
DATA lv_tablename TYPE string.
FIELD-SYMBOLS: <lv_value> TYPE any.
IF iv_step <> zif_abapgit_object=>gc_step_id-abap.
RETURN.
ENDIF.
TRY.
IF execute_checks( io_xml ) = abap_false.
zcx_abapgit_exception=>raise( 'AIF interface checks failed' ).
ENDIF.
io_xml->read( EXPORTING
iv_name = `Content_table`
CHANGING
cg_data = lt_content ).
LOOP AT lt_content REFERENCE INTO lr_content.
TRY.
lv_tablename = cl_abap_dyn_prg=>check_table_name_str( val = lr_content->tabname
packages = '' ).
CATCH cx_abap_not_a_table INTO lx_abap_not_a_table.
zcx_abapgit_exception=>raise_with_text( lx_abap_not_a_table ).
CATCH cx_abap_not_in_package.
"thats fine
ENDTRY.
CLEAR lr_tabledescr.
lr_structdescr ?= cl_abap_typedescr=>describe_by_name( p_name = lr_content->tabname ).
lr_tabledescr = cl_abap_tabledescr=>create( p_line_type = lr_structdescr ).
CREATE DATA lr_table TYPE HANDLE lr_tabledescr.
ASSIGN lr_table->* TO <lt_table>.
IF sy-subrc <> 0.
zcx_abapgit_exception=>raise( 'Fieldsymbol not assigned' ).
ENDIF.
io_xml->read( EXPORTING
iv_name = lr_content->tabname
CHANGING
cg_data = <lt_table> ).
handle_table_data( iv_tabname = lr_content->tabname
it_data = <lt_table> ).
IF lr_content->tabname = '/AIF/T_FINF'.
READ TABLE <lt_table> ASSIGNING <ls_table> INDEX 1.
ASSIGN COMPONENT 'NS' OF STRUCTURE <ls_table> TO <lv_value>.
IF <lv_value> IS ASSIGNED.
ls_ifkey-ns = <lv_value>.
UNASSIGN <lv_value>.
ENDIF.
ASSIGN COMPONENT 'IFNAME' OF STRUCTURE <ls_table> TO <lv_value>.
IF <lv_value> IS ASSIGNED.
ls_ifkey-ifname = <lv_value>.
UNASSIGN <lv_value>.
ENDIF.
ASSIGN COMPONENT 'IFVERSION' OF STRUCTURE <ls_table> TO <lv_value>.
IF <lv_value> IS ASSIGNED.
ls_ifkey-ifver = <lv_value>.
UNASSIGN <lv_value>.
ENDIF.
ENDIF.
ENDLOOP.
IF ls_ifkey IS INITIAL.
RETURN.
ENDIF.
get_content_compress( io_log = ii_log
is_ifkeys = ls_ifkey
iv_package = iv_package ).
IF authorization_check( ii_log ) = abap_false.
RETURN.
ENDIF.
IF validate_interface( ls_ifkey ) = abap_false.
RETURN.
ENDIF.
IF compress_interface( is_ifkeys = ls_ifkey
io_log = ii_log ) = abap_false.
RETURN.
ENDIF.
CATCH cx_root INTO lx_root.
ii_log->add_exception( ix_exc = lx_root
is_item = ms_item ).
ENDTRY.
ENDMETHOD.
METHOD zif_abapgit_object~exists.
DATA: lx_dyn_call_error TYPE REF TO cx_sy_dyn_call_error.
DATA ls_icd_data_key TYPE ty_icd_data_key.
ls_icd_data_key-depl_scenario = ms_icd_data_key-depl_scenario.
ls_icd_data_key-ns = ms_icd_data_key-ns.
ls_icd_data_key-ifname = ms_icd_data_key-ifname.
ls_icd_data_key-ifver2 = ms_icd_data_key-ifver2.
rv_bool = abap_false.
TRY.
CALL METHOD mo_abapgit_util->('/AIF/IF_ABAPGIT_AIFC_UTIL~EXISTS')
EXPORTING
is_key = ls_icd_data_key
RECEIVING
rv_bool = rv_bool.
CATCH cx_sy_dyn_call_error INTO lx_dyn_call_error.
zcx_abapgit_exception=>raise( iv_text = 'AIFC not supported'
ix_previous = lx_dyn_call_error ).
ENDTRY.
ENDMETHOD.
METHOD zif_abapgit_object~get_comparator.
RETURN.
ENDMETHOD.
METHOD zif_abapgit_object~get_deserialize_steps.
APPEND zif_abapgit_object=>gc_step_id-abap TO rt_steps.
ENDMETHOD.
METHOD zif_abapgit_object~get_metadata.
RETURN.
ENDMETHOD.
METHOD zif_abapgit_object~is_active.
rv_active = abap_false.
IF zif_abapgit_object~exists( ) = abap_false.
RETURN.
ENDIF.
rv_active = abap_true.
ENDMETHOD.
METHOD zif_abapgit_object~is_locked.
rv_is_locked = abap_false.
ENDMETHOD.
METHOD zif_abapgit_object~jump.
TYPES: ty_rsparamsl_255_t TYPE STANDARD TABLE OF rsparamsl_255 WITH NON-UNIQUE DEFAULT KEY.
DATA lv_report TYPE progname VALUE '/AIF/CONTENT_DISPLAY'.
DATA lt_params TYPE ty_rsparamsl_255_t.
DATA ls_param LIKE LINE OF lt_params.
ls_param-selname = 'P_DEPL'.
ls_param-kind = 'P'.
ls_param-sign = 'I'.
ls_param-option = 'EQ'.
ls_param-low = ms_icd_data_key-depl_scenario.
APPEND ls_param TO lt_params.
SUBMIT (lv_report) WITH SELECTION-TABLE lt_params AND RETURN.
rv_exit = abap_true.
ENDMETHOD.
METHOD zif_abapgit_object~serialize.
DATA: lx_root TYPE REF TO cx_root.
DATA: lx_dyn_call_error TYPE REF TO cx_sy_dyn_call_error.
DATA ls_icd_data_key TYPE ty_icd_data_key.
DATA lt_ifdata TYPE ty_table_data_t.
DATA lr_data TYPE REF TO data.
FIELD-SYMBOLS <ls_data> TYPE any.
DATA lt_content TYPE ty_content_t.
DATA ls_content TYPE ty_content_s.
DATA lr_ifdata TYPE REF TO ty_table_data_s.
FIELD-SYMBOLS <lt_table> TYPE ANY TABLE.
TRY.
ASSIGN lr_data TO <ls_data>.
IF NOT <ls_data> IS ASSIGNED.
RETURN.
ENDIF.
ls_icd_data_key-depl_scenario = ms_icd_data_key-depl_scenario.
ls_icd_data_key-ns = ms_icd_data_key-ns.
ls_icd_data_key-ifname = ms_icd_data_key-ifname.
ls_icd_data_key-ifver2 = ms_icd_data_key-ifver2.
TRY.
CALL METHOD mo_abapgit_util->('/AIF/IF_ABAPGIT_AIFC_UTIL~GET_IF_DATA')
EXPORTING
is_key = ls_icd_data_key
RECEIVING
rt_ifdata = lt_ifdata.
CATCH cx_sy_dyn_call_error INTO lx_dyn_call_error.
zcx_abapgit_exception=>raise( iv_text = 'AIFC not supported'
ix_previous = lx_dyn_call_error ).
ENDTRY.
LOOP AT lt_ifdata REFERENCE INTO lr_ifdata.
UNASSIGN <lt_table>.
ASSIGN lr_ifdata->table_data->* TO <lt_table>.
IF <lt_table> IS NOT ASSIGNED.
CONTINUE.
ENDIF.
clear_client( CHANGING ct_data = <lt_table> ).
io_xml->add( iv_name = lr_ifdata->tabname
ig_data = <lt_table> ).
ls_content-tabname = lr_ifdata->tabname.
APPEND ls_content TO lt_content.
ENDLOOP.
io_xml->add( iv_name = `Content_table`
ig_data = lt_content ).
CATCH cx_root INTO lx_root.
zcx_abapgit_exception=>raise( iv_text = 'Serialize not possible'
ix_previous = lx_dyn_call_error ).
ENDTRY.
ENDMETHOD.
METHOD clear_client.
DATA:
BEGIN OF ls_data_to_clear,
mandt TYPE sy-mandt,
client TYPE sy-mandt,
END OF ls_data_to_clear.
FIELD-SYMBOLS:
<ls_data> TYPE any.
LOOP AT ct_data ASSIGNING <ls_data>.
MOVE-CORRESPONDING ls_data_to_clear TO <ls_data>.
ENDLOOP.
ENDMETHOD.
METHOD execute_checks.
DATA ls_ifkeys TYPE ty_aif_key_s.
DATA lr_tabledescr TYPE REF TO cl_abap_tabledescr.
DATA lr_structdescr TYPE REF TO cl_abap_structdescr.
DATA lr_table TYPE REF TO data.
FIELD-SYMBOLS <lt_table> TYPE STANDARD TABLE.
FIELD-SYMBOLS <ls_table> TYPE any.
FIELD-SYMBOLS: <lv_value> TYPE any.
DATA: lx_dyn_call_error TYPE REF TO cx_sy_dyn_call_error.
DATA: lx_root TYPE REF TO cx_root.
lr_structdescr ?= cl_abap_typedescr=>describe_by_name( p_name = '/AIF/T_FINF' ).
lr_tabledescr = cl_abap_tabledescr=>create( p_line_type = lr_structdescr ).
CREATE DATA lr_table TYPE HANDLE lr_tabledescr.
ASSIGN lr_table->* TO <lt_table>.
IF sy-subrc <> 0.
zcx_abapgit_exception=>raise( 'Fieldsymbol not assigned' ).
ENDIF.
TRY.
io_xml->read( EXPORTING
iv_name = '/AIF/T_FINF'
CHANGING
cg_data = <lt_table> ).
READ TABLE <lt_table> ASSIGNING <ls_table> INDEX 1.
IF sy-subrc = 0.
ASSIGN COMPONENT 'NS' OF STRUCTURE <ls_table> TO <lv_value>.
IF sy-subrc = 0.
ls_ifkeys-ns = <lv_value>.
ENDIF.
ASSIGN COMPONENT 'IFNAME' OF STRUCTURE <ls_table> TO <lv_value>.
IF sy-subrc = 0.
ls_ifkeys-ifname = <lv_value>.
ENDIF.
ASSIGN COMPONENT 'IFVERSION' OF STRUCTURE <ls_table> TO <lv_value>.
IF sy-subrc = 0.
ls_ifkeys-ifver = <lv_value>.
ENDIF.
CALL METHOD mo_abapgit_util->('/AIF/IF_ABAPGIT_AIFC_UTIL~EXECUTE_CHECKS')
EXPORTING
is_ifkeys = ls_ifkeys
is_finf = <ls_table>
RECEIVING
rv_success = rv_success.
ENDIF.
CATCH cx_sy_dyn_call_error INTO lx_dyn_call_error.
zcx_abapgit_exception=>raise( iv_text = 'AIFC not supported'
ix_previous = lx_dyn_call_error ).
CATCH cx_root INTO lx_root.
zcx_abapgit_exception=>raise_with_text( lx_root ).
ENDTRY.
ENDMETHOD.
ENDCLASS.
| ABAP | 5 | IvxLars/abapGit | src/objects/zcl_abapgit_object_aifc.clas.abap | [
"MIT"
] |
#lang scribble/manual
@(require "../utils.rkt" (for-label (only-meta-in 0 typed/racket)))
@(provide typed-mod)
@title[#:tag "quick"]{Quick Start}
Given a module written in the @racketmodname[racket] language, using
Typed Racket requires the following steps:
@itemize[#:style
'ordered
@item{Change the language to @racketmodname[typed/racket].}
@item{Change the uses of @racket[(require mod)] to
@racket[(require typed/mod)].}
@item{Annotate structure definitions and top-level
definitions with their types.} ]
Then, when the program is run, it will automatically be typechecked
before any execution, and any type errors will be reported. If there
are any type errors, the program will not run.
Here is an example program, written in the @racketmodname[racket]
language:
@(define typed-mod
@racketmod[
typed/racket
(struct pt ([x : Real] [y : Real]))
(: distance (-> pt pt Real))
(define (distance p1 p2)
(sqrt (+ (sqr (- (pt-x p2) (pt-x p1)))
(sqr (- (pt-y p2) (pt-y p1))))))
]
)
@racketmod[
racket
(struct pt (x y))
(code:contract distance : pt pt -> real)
(define (distance p1 p2)
(sqrt (+ (sqr (- (pt-x p2) (pt-x p1)))
(sqr (- (pt-y p2) (pt-y p1))))))
]
Here is the same program, in @racketmodname[typed/racket]:
@|typed-mod|
@section{Using Typed Racket from the Racket REPL}
It is possible to use Typed Racket from the Racket REPL. To do so, start Racket
with the following command line:
@commandline{racket -I typed/racket}
| Racket | 4 | SnapCracklePopGone/typed-racket | typed-racket-doc/typed-racket/scribblings/guide/quick.scrbl | [
"Apache-2.0",
"MIT"
] |
%%{
machine UUID;
action start_uuid {
}
action int60_prefix {
dgt = int(ABC[fc])+4;
atoms[atm][hlf] &= INT60_FLAGS | PREFIX_MASKS[dgt];
}
action int60_dgt {
atoms[atm][hlf] |= ((uint64)(ABC[fc])) << DIGIT_OFFSETS[dgt];
dgt++;
if (dgt>10) {
fbreak;
}
}
action start_full_int {
atoms[atm][hlf] &= INT60_FLAGS;
}
action start_value {
}
action start_origin {
dgt = 0;
hlf = 1;
}
action end_value {
}
action end_origin {
}
action variety {
atoms[atm][hlf] <<= 6;
dgt--;
}
action uuid_sep {
hlf = 1;
atoms[atm][1] &= INT60_FULL;
atoms[atm][1] |= ((uint64)(ABC[fc]))<<60;
}
action end_name {
atoms[atm][1] = UUID_NAME_FLAG;
}
# Base64 value
DGT = [0-9a-zA-Z~_] @int60_dgt;
BASE = DGT+;
# prefix compression
PREFIX = [([\{\}\])] @int60_prefix;
# UUID type: name, hash, event or derived event
SIGN = [\$\%\+\-] @uuid_sep;
# prefix-compressed int (half of UUID)
PBASE = PREFIX BASE?;
# full int
FBASE = (DGT ([\/] @variety)? DGT*) >start_full_int;
# int, either compressed or not
INT = PBASE | FBASE;
# first half of an UUID
VALUE = INT >start_value %end_value ;
# second half of an UUID
ORIGIN = INT >start_origin %end_origin ;
# prefix-compressed 2nd half
PORIGIN = PBASE >start_origin %end_origin ;
# global name UUID, e.g. "lww" (aka transcendent constant)
NAME = FBASE >start_value %end_value %end_name;
# RON 128 bit UUID
UUID = ( NAME | VALUE ( SIGN ORIGIN? | PORIGIN? ) | SIGN ORIGIN? )
>start_uuid
;
# main := UUID;
}%%
| Ragel in Ruby Host | 4 | gritzko/ron | uuid-grammar.rl | [
"Apache-2.0"
] |
doctype html
html lang=locale
head
meta charset='utf-8'
title #{@title ? "#{@title} | Testing" : 'Testing'}
== stylesheet('app.css')
body
header
h1.title Testing
- @links.each do |link|
a href=link.href
=link.title
div
== yield
- if APP_ENV == 'production'
footer
p Testing
| Slim | 3 | ka7/bat | tests/syntax-tests/source/Slim/test.slim | [
"Apache-2.0",
"MIT"
] |
module openconfig-evpn-types {
yang-version "1";
namespace "http://openconfig.net/yang/evpn-types";
prefix "oc-evpn-types";
import openconfig-extensions { prefix oc-ext; }
import openconfig-yang-types { prefix oc-yang; }
// TODO:
// Include definitions of EVPN error notifications
// include openconfig-bgp-errors;
// meta
organization
"OpenConfig working group";
contact
"OpenConfig working group
[email protected]";
description
"This module contains general data definitions for use in EVPN
policy. It can be imported by modules that make use of EVPN
attributes";
oc-ext:openconfig-version "0.1.1";
revision "2021-06-16" {
description
"Remove trailing whitespace";
reference "0.1.1";
}
revision "2020-10-08" {
description
"Initial Revision";
reference "0.1.0";
}
// OpenConfig specific extensions for module metadata.
oc-ext:regexp-posix;
oc-ext:catalog-organization "openconfig";
oc-ext:origin "openconfig";
/* Identities */
identity EVPN_TYPE {
description "Base identity for a EVPN type";
}
identity VLAN_BASED {
base EVPN_TYPE;
description
"With this EVPN Type, an EVPN instance
consists of only a single broadcast domain.";
reference
"RFC 7432: BGP MPLS-Based Ethernet VPN, Section 6.1";
}
identity VLAN_BUNDLE {
base EVPN_TYPE;
description
"With this EVPN Type, an EVPN instance
corresponds to multiple broadcast domains.";
reference
"RFC 7432: BGP MPLS-Based Ethernet VPN, Section 6.2";
}
identity VLAN_AWARE {
base EVPN_TYPE;
description
"With this EVPN Type, an EVPN instance consists of multiple
broadcast domains (e.g., multiple VLANs) with each VLAN having its
own bridge table .";
reference
"RFC 7432: BGP MPLS-Based Ethernet VPN, Section 6.3";
}
identity EVPN_REDUNDANCY_MODE {
description
"Base identity for a EVPN capability";
}
identity SINGLE_ACTIVE {
base EVPN_REDUNDANCY_MODE;
description
"Indicates Single-Active redundancy mode for
a given Ethernet Segment (ES).";
reference
"RFC 7432: BGP MPLS-Based Ethernet VPN, Section 14.1.1";
}
identity ALL_ACTIVE {
base EVPN_REDUNDANCY_MODE;
description
"Indicates All-Active redundancy mode for
a given Ethernet Segment (ES).";
reference
"RFC 7432: BGP MPLS-Based Ethernet VPN, Section 14.1.2";
}
identity EVPN_CAPABILITY {
description "Base identity for a EVPN capability";
}
identity NVE {
base EVPN_CAPABILITY;
description
"An EVPN instance with the Network Virtualization Edge
the PE";
reference "draft-ietf-bess-evpn-inter-subnet-forwarding-10";
}
identity EVI {
base EVPN_CAPABILITY;
description
"An EVPN instance spanning the Provider Edge Devices
participating in that EVPN";
reference "RFC7432";
}
identity MAC_VRF {
base EVPN_CAPABILITY;
description
"A Virtual Routing and Forwarding table for Media Access
Control MAC addresses on a PE";
reference "RFC7432";
}
identity IP_VRF {
base EVPN_CAPABILITY;
description
"A VPN Routing and Forwarding table for IP routes on an NVE/
PE. The IP routes could be populated by EVPN and IP-VPN address
families. An IP-VRF is also an instantiation of a layer 3 VPN
in an NVE/PE";
reference "draft-ietf-bess-evpn-inter-subnet-forwarding-10";
}
identity IRB {
base EVPN_CAPABILITY;
description
"Integrated Routing and Bridging interface. It connects an IP-
VRF to a BD or subnet";
reference "draft-ietf-bess-evpn-inter-subnet-forwarding-10";
}
typedef evi-id {
type uint32 {
range 1..16777215;
}
description
"Type definition representing a EVPN Instance Identifier";
}
typedef vni-id {
type uint32 {
range 1..16777215;
}
description
"Type definition representing a virtual network identifier";
}
typedef esi {
type oc-yang:hex-string {
length "20";
}
description
"10-octet Ethernet segment identifier (ESI)";
}
typedef esi-type {
type enumeration {
enum TYPE_0_OPERATOR_CONFIGURED {
value 0;
description
"Type 0 indicates the value must be provided.";
}
enum TYPE_1_LACP_BASED {
value 1;
description
"Type 1 when IEEE 802.1AX LACP is used between the PEs and CEs,
this ESI type indicates an auto-generated ESI value
determined from LACP.";
}
enum TYPE_2_BRIDGE_PROTOCOL_BASED {
value 2;
description
"Type 2 the ESI Value is auto-generated and determined based
on the Layer 2 bridge protocol";
}
enum TYPE_3_MAC_BASED {
value 3;
description
"Type 3 this type indicates a MAC-based ESI Value that can be auto-generated
or configured by the operator.";
}
enum TYPE_4_ROUTER_ID_BASED {
value 4;
description
"Type 4 this type indicates a router-ID ESI Value that can be auto-generated
or configured by the operator.";
}
enum TYPE_5_AS_BASED {
value 5;
description
"Type 5 this type indicates an Autonomous System (AS)-based ESI Value that can
be auto-generated or configured by the operator.";
}
}
description
"T-(ESI Type) is a 1-octet field (most significant octet) that
specifies the format of the remaining 9 octets (ESI Value).";
reference
"RFC 7432: BGP MPLS-Based Ethernet VPN page-16";
}
typedef mac-type {
type enumeration {
enum STATIC {
value 0;
description "Static MAC";
}
enum DYNAMIC {
value 1;
description "Dynamically learned MAC";
}
enum CONNECTED {
value 2;
description "Connected MAC";
}
}
description "Type of MAC, if it is static or has been learned";
}
// Mac origin type
typedef origin-type {
type enumeration {
enum LOCAL {
value 0;
description "Local MAC Address";
}
enum REMOTE {
value 1;
description "Remote MAC Address";
}
enum ALL {
value 2;
description "All MAC Address";
}
}
description "Origin of the MAC address";
}
typedef urib-nexthop-encap {
type enumeration {
enum NONE {
value 0;
description "Encapsulation not set";
}
enum VXLAN {
value 1;
description "VXLAN encapsulation";
}
enum INVALID {
value 2;
description "Encapsulation Invalid";
}
}
description "Type of encapsulation of the next hop";
}
typedef learning-mode {
type enumeration {
enum CONTROL_PLANE {
value 0;
description "Control Plane Learning";
}
enum DATA_PLANE {
value 1;
description "Data Plane Learning";
}
}
description
"Type of MAC address learning procedure";
}
}
| YANG | 5 | wenovus/public | release/models/network-instance/openconfig-evpn-types.yang | [
"Apache-2.0"
] |
/* Copyright (c) 2017-2019 Netronome Systems, Inc. All rights reserved.
*
* SPDX-License-Identifier: BSD-2-Clause
*/
;TEST_INIT_EXEC nfp-mem i32.ctm:0x80 0x00000000 0x00000000 0x00154d0a 0x0d1a6805
;TEST_INIT_EXEC nfp-mem i32.ctm:0x90 0xca306ab8 0x81000065 0x81000258 0x81000258
;TEST_INIT_EXEC nfp-mem i32.ctm:0xa0 0x08004500 0x007ede06 0x40004011 0x50640501
;TEST_INIT_EXEC nfp-mem i32.ctm:0xb0 0x01020501 0x0101d87e 0x12b5006a 0x00000800
;TEST_INIT_EXEC nfp-mem i32.ctm:0xc0 0x00000000 0x0000404d 0x8e6f97ad 0x001e101f
;TEST_INIT_EXEC nfp-mem i32.ctm:0xd0 0x00010800 0x4500004c 0x7a9f4000 0x40067492
;TEST_INIT_EXEC nfp-mem i32.ctm:0xe0 0xc0a80164 0xd5c7b3a6 0xcb580050 0xea8d9a10
;TEST_INIT_EXEC nfp-mem i32.ctm:0xf0 0xb3b6fc8d 0x5019ffff 0x51060000 0x97ae878f
;TEST_INIT_EXEC nfp-mem i32.ctm:0x100 0x08377a4d 0x85a1fec 0x497a27c00 0x784648ea
;TEST_INIT_EXEC nfp-mem i32.ctm:0x110 0x31ab0538 0xac9ca16e 0x8a809e58 0xa6ffc15f
#include <aggregate.uc>
#include <stdmac.uc>
#include <pv.uc>
.reg pkt_vec[PV_SIZE_LW]
aggregate_zero(pkt_vec, PV_SIZE_LW)
move(pkt_vec[0], 0x98)
move(pkt_vec[2], 0x88)
move(pkt_vec[3], 0x62)
move(pkt_vec[4], 0x3fc0)
move(pkt_vec[5], (((14 + 4 + 4 + 4) << 24) | ((14 + 4 + 4 + 4 + 20) << 16) |
((14 + 4 + 4 + 4 + 20 + 8 + 8 + 14) << 8) |
(14 + 4 + 4 + 4 + 20 + 8 + 8 + 14 + 20)))
| UnrealScript | 3 | pcasconnetronome/nic-firmware | test/datapath/pkt_vlan_vlan_vlan_ipv4_vxlan_tcp_x88.uc | [
"BSD-2-Clause"
] |
// run-fail
// needs-unwind
// error-pattern:generator resumed after panicking
// ignore-emscripten no processes
// Test that we get the correct message for resuming a panicked generator.
#![feature(generators, generator_trait)]
use std::{
ops::Generator,
pin::Pin,
panic,
};
fn main() {
let mut g = || {
panic!();
yield;
};
panic::catch_unwind(panic::AssertUnwindSafe(|| {
let x = Pin::new(&mut g).resume(());
}));
Pin::new(&mut g).resume(());
}
| Rust | 3 | ohno418/rust | src/test/ui/generator/generator-resume-after-panic.rs | [
"ECL-2.0",
"Apache-2.0",
"MIT-0",
"MIT"
] |
{
"cells": [
{
"cell_type": "markdown",
"metadata": {},
"source": [
"<i>Copyright (c) Microsoft Corporation. All rights reserved.</i>\n",
"\n",
"<i>Licensed under the MIT License.</i>"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Building a Real-time Recommendation API\n",
"\n",
"This reference architecture shows the full lifecycle of building a recommendation system. It walks through the creation of appropriate azure resources, training a recommendation model using a Virtual Machine or Databricks, and deploying it as an API. It uses Azure Cosmos DB, Azure Machine Learning, and Azure Kubernetes Service. \n",
"\n",
"This architecture can be generalized for many recommendation engine scenarios, including recommendations for products, movies, and news. \n",
"### Architecture\n",
"![architecture](https://recodatasets.z20.web.core.windows.net/images/reco-arch.png \"Architecture\")\n",
"\n",
"**Scenario**: A media organization wants to provide movie or video recommendations to its users. By providing personalized recommendations, the organization meets several business goals, including increased click-through rates, increased engagement on site, and higher user satisfaction.\n",
"\n",
"In this reference, we train and deploy a real-time recommender service API that can provide the top 10 movie recommendations for a given user. \n",
"\n",
"### Components\n",
"This architecture consists of the following key components:\n",
"* [Azure Databricks](https://docs.microsoft.com/en-us/azure/azure-databricks/what-is-azure-databricks)<sup>1)</sup> is used as a development environment to prepare input data and train the recommender model on a Spark cluster. Azure Databricks also provides an interactive workspace to run and collaborate on notebooks for any data processing or machine learning tasks.\n",
"* [Azure Kubernetes Service](https://docs.microsoft.com/en-us/azure/aks/intro-kubernetes)(AKS) is used to deploy and operationalize a machine learning model service API on a Kubernetes cluster. AKS hosts the containerized model, providing scalability that meets throughput requirements, identity and access management, and logging and health monitoring. \n",
"* [Azure Cosmos DB](https://docs.microsoft.com/en-us/azure/cosmos-db/introduction) is a globally distributed database service used to store the top 10 recommended movies for each user. Azure Cosmos DB is ideal for this scenario as it provides low latency (10 ms at 99th percentile) to read the top recommended items for a given user. \n",
"* [Azure Machine Learning Service](https://docs.microsoft.com/en-us/azure/machine-learning/service/) is a service used to track and manage machine learning models, and then package and deploy these models to a scalable Azure Kubernetes Service environment.\n",
"\n",
"<sup>1) Here, we are just giving an example of using Azure Databricks. Any platforms listed in [SETUP](../../SETUP.md) can be used as well.</sup>\n",
"\n",
"\n",
"### Table of Contents.\n",
"0. [File Imports](#0-File-Imports)\n",
"1. [Service Creation](#1-Service-Creation)\n",
"2. [Training and evaluation](#2-Training)\n",
"3. [Operationalization](#3.-Operationalize-the-Recommender-Service)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Setup\n",
"To run this notebook on Azure Databricks, you should setup Azure Databricks by following the appropriate sections in the repository [SETUP instructions](../../SETUP.md) and import this notebook into your Azure Databricks Workspace (see instructions [here](https://docs.azuredatabricks.net/user-guide/notebooks/notebook-manage.html#import-a-notebook)).\n",
"\n",
"Please note: This notebook **REQUIRES** that you add the dependencies to support **operationalization**. See [SETUP](../../SETUP.md) for details.\n"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## 0 File Imports"
]
},
{
"cell_type": "code",
"execution_count": 1,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Azure SDK version: 1.0.69\n"
]
}
],
"source": [
"import os\n",
"import sys\n",
"import urllib\n",
"\n",
"from azure.common.client_factory import get_client_from_cli_profile\n",
"import azure.mgmt.cosmosdb\n",
"import azureml.core\n",
"from azureml.core import Workspace\n",
"from azureml.core.model import Model\n",
"from azureml.core.compute import AksCompute, ComputeTarget\n",
"from azureml.core.compute_target import ComputeTargetException\n",
"from azureml.core.webservice import Webservice, AksWebservice\n",
"from azureml.exceptions import WebserviceException\n",
"from azureml.core import Environment\n",
"from azureml.core.environment import CondaDependencies\n",
"from azureml.core.model import InferenceConfig\n",
"from azureml.core.environment import SparkPackage\n",
"import pydocumentdb.document_client as document_client\n",
"from pyspark.ml.recommendation import ALS\n",
"from pyspark.sql.types import StructType, StructField\n",
"from pyspark.sql.types import FloatType, IntegerType, LongType\n",
"\n",
"from recommenders.utils.timer import Timer\n",
"from recommenders.utils.spark_utils import start_or_get_spark\n",
"from recommenders.datasets import movielens\n",
"from recommenders.datasets.cosmos_cli import find_collection, read_collection, read_database, find_database\n",
"from recommenders.datasets.download_utils import maybe_download\n",
"from recommenders.datasets.spark_splitters import spark_random_split\n",
"from recommenders.evaluation.spark_evaluation import SparkRatingEvaluation, SparkRankingEvaluation\n",
"from recommenders.utils.notebook_utils import is_databricks\n",
"\n",
"print(\"Azure SDK version:\", azureml.core.VERSION)"
]
},
{
"cell_type": "code",
"execution_count": 3,
"metadata": {},
"outputs": [
{
"data": {
"text/html": [
"\n",
" <div>\n",
" <p><b>SparkContext</b></p>\n",
"\n",
" <p><a href=\"http://192.168.99.107:4040\">Spark UI</a></p>\n",
"\n",
" <dl>\n",
" <dt>Version</dt>\n",
" <dd><code>v2.4.3</code></dd>\n",
" <dt>Master</dt>\n",
" <dd><code>local[*]</code></dd>\n",
" <dt>AppName</dt>\n",
" <dd><code>ALS</code></dd>\n",
" </dl>\n",
" </div>\n",
" "
],
"text/plain": [
"<SparkContext master=local[*] appName=ALS>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"# Start spark session if needed\n",
"if not is_databricks():\n",
" cosmos_connector = (\n",
" \"https://search.maven.org/remotecontent?filepath=com/microsoft/azure/\"\n",
" \"azure-cosmosdb-spark_2.3.0_2.11/1.3.3/azure-cosmosdb-spark_2.3.0_2.11-1.3.3-uber.jar\"\n",
" )\n",
" jar_filepath = maybe_download(url=cosmos_connector, filename=\"cosmos.jar\")\n",
" spark = start_or_get_spark(\"ALS\", memory=\"10g\", jars=[jar_filepath])\n",
" sc = spark.sparkContext\n",
"display(sc)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## 1 Service Creation\n",
"Modify the **Subscription ID** to the subscription you would like to deploy to and set the resource name variables.\n",
"\n",
"#### Services created by this notebook:\n",
"1. [Azure ML Service](https://azure.microsoft.com/en-us/services/machine-learning-service/)\n",
" 1. [Azure ML Workspace](https://docs.microsoft.com/en-us/azure/machine-learning/concept-workspace)\n",
" 1. [Azure Application Insights](https://azure.microsoft.com/en-us/services/monitor/)\n",
" 1. [Azure Storage](https://docs.microsoft.com/en-us/azure/storage/common/storage-account-overview)\n",
" 1. [Azure Key Vault](https://azure.microsoft.com/en-us/services/key-vault/) \n",
"\n",
"1. [Azure Cosmos DB](https://azure.microsoft.com/en-us/services/cosmos-db/)\n",
"1. [Azure Kubernetes Service (AKS)](https://azure.microsoft.com/en-us/services/kubernetes-service/)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"**Add your Azure subscription ID**"
]
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {},
"outputs": [],
"source": [
"# Add your subscription ID\n",
"subscription_id = \"\"\n",
"\n",
"# Set your workspace name\n",
"workspace_name = \"o16n-test\"\n",
"resource_group = \"{}-rg\".format(workspace_name)\n",
"\n",
"# Set your region to deploy Azure ML workspace\n",
"location = \"eastus\"\n",
"\n",
"# AzureML service and Azure Kubernetes Service prefix\n",
"service_name = \"mvl-als\""
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"# Login for Azure CLI so that AzureML can use Azure CLI login credentials\n",
"!az login"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"# Change subscription if needed\n",
"!az account set --subscription {subscription_id}"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"# Check account\n",
"!az account show"
]
},
{
"cell_type": "code",
"execution_count": 9,
"metadata": {},
"outputs": [],
"source": [
"# CosmosDB\n",
"# account_name for CosmosDB cannot have \"_\" and needs to be less than 31 chars\n",
"account_name = \"{}-ds-sql\".format(workspace_name).replace(\"_\", \"-\")[:31]\n",
"cosmos_database = \"recommendations\"\n",
"cosmos_collection = \"user_recommendations_als\"\n",
"\n",
"# AzureML resource names\n",
"model_name = \"{}-reco.mml\".format(service_name)\n",
"aks_name = \"{}-aks\".format(service_name)"
]
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {},
"outputs": [],
"source": [
"# top k items to recommend\n",
"TOP_K = 10\n",
"\n",
"# Select MovieLens data size: 100k, 1m, 10m, or 20m\n",
"MOVIELENS_DATA_SIZE = '100k'"
]
},
{
"cell_type": "code",
"execution_count": 11,
"metadata": {},
"outputs": [],
"source": [
"userCol = \"UserId\"\n",
"itemCol = \"MovieId\"\n",
"ratingCol = \"Rating\"\n",
"\n",
"train_data_path = \"train\"\n",
"test_data_path = \"test\""
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 1.1 Import or create the AzureML Workspace. \n",
"This command will check if the AzureML Workspace exists or not, and will create the workspace if it doesn't exist."
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {
"scrolled": false
},
"outputs": [],
"source": [
"ws = Workspace.create(\n",
" name=workspace_name,\n",
" subscription_id=subscription_id,\n",
" resource_group=resource_group, \n",
" location=location,\n",
" exist_ok=True\n",
")"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 1.2 Create a Cosmos DB to store recommendation results\n",
"\n",
"This step will take some time to create CosmosDB resources."
]
},
{
"cell_type": "code",
"execution_count": 13,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Database created\n",
"Collection created\n"
]
}
],
"source": [
"# explicitly pass subscription_id in case user has multiple subscriptions\n",
"client = get_client_from_cli_profile(\n",
" azure.mgmt.cosmosdb.CosmosDB,\n",
" subscription_id=subscription_id\n",
")\n",
"\n",
"async_cosmosdb_create = client.database_accounts.create_or_update(\n",
" resource_group,\n",
" account_name,\n",
" {\n",
" 'location': location,\n",
" 'locations': [{\n",
" 'location_name': location\n",
" }]\n",
" }\n",
")\n",
"account = async_cosmosdb_create.result()\n",
"\n",
"my_keys = client.database_accounts.list_keys(resource_group, account_name)\n",
"master_key = my_keys.primary_master_key\n",
"endpoint = \"https://\" + account_name + \".documents.azure.com:443/\"\n",
"\n",
"# DB client\n",
"client = document_client.DocumentClient(endpoint, {'masterKey': master_key})\n",
"\n",
"if not find_database(client, cosmos_database):\n",
" db = client.CreateDatabase({'id': cosmos_database })\n",
" print(\"Database created\")\n",
"else:\n",
" db = read_database(client, cosmos_database)\n",
" print(\"Database found\")\n",
"\n",
"# Create collection options\n",
"options = dict(offerThroughput=11000)\n",
"\n",
"# Create a collection\n",
"collection_definition = {\n",
" 'id': cosmos_collection,\n",
" 'partitionKey': {'paths': ['/id'],'kind': 'Hash'}\n",
"}\n",
"if not find_collection(client, cosmos_database, cosmos_collection):\n",
" collection = client.CreateCollection(\n",
" db['_self'], \n",
" collection_definition,\n",
" options\n",
" )\n",
" print(\"Collection created\")\n",
"else:\n",
" collection = read_collection(client, cosmos_database, cosmos_collection)\n",
" print(\"Collection found\")\n",
" \n",
"dbsecrets = dict(\n",
" Endpoint=endpoint, \n",
" Masterkey=master_key, \n",
" Database=cosmos_database, \n",
" Collection=cosmos_collection, \n",
" Upsert=True\n",
")"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## 2 Training\n",
"\n",
"Next, we train an [Alternating Least Squares model](https://spark.apache.org/docs/latest/ml-collaborative-filtering.html) on [MovieLens](https://grouplens.org/datasets/movielens/) dataset.\n",
"\n",
"### 2.1 Download the MovieLens dataset"
]
},
{
"cell_type": "code",
"execution_count": 14,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"100%|██████████| 4.81k/4.81k [00:00<00:00, 11.0kKB/s]\n"
]
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"+------+-------+------+\n",
"|UserId|MovieId|Rating|\n",
"+------+-------+------+\n",
"| 196| 242| 3.0|\n",
"| 186| 302| 3.0|\n",
"| 22| 377| 1.0|\n",
"| 244| 51| 2.0|\n",
"| 166| 346| 1.0|\n",
"| 298| 474| 4.0|\n",
"| 115| 265| 2.0|\n",
"| 253| 465| 5.0|\n",
"| 305| 451| 3.0|\n",
"| 6| 86| 3.0|\n",
"| 62| 257| 2.0|\n",
"| 286| 1014| 5.0|\n",
"| 200| 222| 5.0|\n",
"| 210| 40| 3.0|\n",
"| 224| 29| 3.0|\n",
"| 303| 785| 3.0|\n",
"| 122| 387| 5.0|\n",
"| 194| 274| 2.0|\n",
"| 291| 1042| 4.0|\n",
"| 234| 1184| 2.0|\n",
"+------+-------+------+\n",
"only showing top 20 rows\n",
"\n"
]
}
],
"source": [
"# Note: The DataFrame-based API for ALS currently only supports integers for user and item ids.\n",
"schema = StructType(\n",
" (\n",
" StructField(userCol, IntegerType()),\n",
" StructField(itemCol, IntegerType()),\n",
" StructField(ratingCol, FloatType()),\n",
" )\n",
")\n",
"\n",
"data = movielens.load_spark_df(spark, size=MOVIELENS_DATA_SIZE, schema=schema)\n",
"data.show()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 2.2 Split the data into train, test\n",
"There are several ways of splitting the data: random, chronological, stratified, etc., each of which favors a different real-world evaluation use case. We will split randomly in this example – for more details on which splitter to choose, consult [this guide](../01_prepare_data/data_split.ipynb)."
]
},
{
"cell_type": "code",
"execution_count": 15,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"N train 75031\n",
"N test 24969\n"
]
}
],
"source": [
"train, test = spark_random_split(data, ratio=0.75, seed=42)\n",
"print(\"N train\", train.cache().count())\n",
"print(\"N test\", test.cache().count())"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 2.3 Train the ALS model on the training data\n",
"\n",
"To predict movie ratings, we use the rating data in the training set as users' explicit feedback. The hyperparameters used to estimate the model are set based on [this page](http://mymedialite.net/examples/datasets.html).\n",
"\n",
"Under most circumstances, you would explore the hyperparameters and choose an optimal set based on some criteria. For additional details on this process, please see additional information in the deep dives [here](../04_model_select_and_optimize/tuning_spark_als.ipynb)."
]
},
{
"cell_type": "code",
"execution_count": 16,
"metadata": {},
"outputs": [],
"source": [
"als = ALS(\n",
" rank=10,\n",
" maxIter=15,\n",
" implicitPrefs=False,\n",
" alpha=0.1,\n",
" regParam=0.05,\n",
" coldStartStrategy='drop',\n",
" nonnegative=True,\n",
" userCol=userCol,\n",
" itemCol=itemCol,\n",
" ratingCol=ratingCol,\n",
")"
]
},
{
"cell_type": "code",
"execution_count": 17,
"metadata": {},
"outputs": [],
"source": [
"model = als.fit(train)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 2.4 Get top-k recommendations for our testing data\n",
"\n",
"In the movie recommendation use case, recommending movies that have been rated by the users do not make sense. Therefore, the rated movies are removed from the recommended items.\n",
"\n",
"In order to achieve this, we recommend all movies to all users, and then remove the user-movie pairs that exist in the training dataset."
]
},
{
"cell_type": "code",
"execution_count": 18,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"+------+-------+----------+\n",
"|UserId|MovieId|prediction|\n",
"+------+-------+----------+\n",
"| 148| 148| 2.2560365|\n",
"| 463| 148| 2.936453|\n",
"| 471| 148| 3.8262048|\n",
"| 496| 148| 2.2901149|\n",
"| 833| 148| 1.7296925|\n",
"| 243| 148| 2.2667758|\n",
"| 392| 148| 2.4605818|\n",
"| 540| 148| 3.0631547|\n",
"| 623| 148| 3.1649487|\n",
"| 737| 148| 1.7344649|\n",
"| 858| 148| 1.8472893|\n",
"| 897| 148| 3.5229573|\n",
"| 31| 148| 1.9613894|\n",
"| 516| 148| 3.1411705|\n",
"| 85| 148| 2.2291098|\n",
"| 137| 148| 4.0498815|\n",
"| 251| 148| 3.2075853|\n",
"| 451| 148| 4.016654|\n",
"| 580| 148| 2.843738|\n",
"| 808| 148| 3.4666717|\n",
"+------+-------+----------+\n",
"only showing top 20 rows\n",
"\n"
]
}
],
"source": [
"# Get the cross join of all user-item pairs and score them.\n",
"users = train.select(userCol).distinct()\n",
"items = train.select(itemCol).distinct()\n",
"user_item = users.crossJoin(items)\n",
"dfs_pred = model.transform(user_item)\n",
"dfs_pred.show()"
]
},
{
"cell_type": "code",
"execution_count": 19,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"+------+-------+----------+\n",
"|UserId|MovieId|prediction|\n",
"+------+-------+----------+\n",
"| 1| 587| 3.4595456|\n",
"| 1| 869| 2.967618|\n",
"| 1| 1208| 2.858056|\n",
"| 1| 1677| 2.9235902|\n",
"| 2| 80| 3.0129535|\n",
"| 2| 303| 3.0719132|\n",
"| 2| 472| 3.4143965|\n",
"| 2| 582| 4.877232|\n",
"| 2| 838| 1.529903|\n",
"| 2| 975| 2.9654517|\n",
"| 2| 1260| 3.252151|\n",
"| 2| 1325| 1.1417896|\n",
"| 2| 1381| 3.7900786|\n",
"| 2| 1530| 2.625749|\n",
"| 3| 22| 2.7082264|\n",
"| 3| 57| 2.5156925|\n",
"| 3| 89| 3.7927365|\n",
"| 3| 367| 2.7083492|\n",
"| 3| 1091| 1.5662774|\n",
"| 3| 1167| 3.2427955|\n",
"+------+-------+----------+\n",
"only showing top 20 rows\n",
"\n"
]
}
],
"source": [
"# Remove seen items.\n",
"dfs_pred_exclude_train = dfs_pred.alias(\"pred\").join(\n",
" train.alias(\"train\"),\n",
" (dfs_pred[userCol]==train[userCol]) & (dfs_pred[itemCol]==train[itemCol]),\n",
" how='outer'\n",
")\n",
"top_all = dfs_pred_exclude_train.filter(dfs_pred_exclude_train[\"train.\"+ratingCol].isNull()) \\\n",
" .select(\"pred.\"+userCol, \"pred.\"+itemCol, \"pred.prediction\")\n",
"\n",
"top_all.show()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 2.5 Evaluate how well ALS performs\n",
"\n",
"Evaluate model performance using metrics such as Precision@K, Recall@K, [MAP@K](https://en.wikipedia.org/wiki/Evaluation_measures_\\(information_retrieval\\) or [nDCG@K](https://en.wikipedia.org/wiki/Discounted_cumulative_gain). For a full guide on what metrics to evaluate your recommender with, consult [this guide]../03_evaluate/evaluation.ipynb)."
]
},
{
"cell_type": "code",
"execution_count": 20,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"+------+-------+------+\n",
"|UserId|MovieId|Rating|\n",
"+------+-------+------+\n",
"| 1| 2| 3.0|\n",
"| 1| 3| 4.0|\n",
"| 1| 4| 3.0|\n",
"| 1| 14| 5.0|\n",
"| 1| 17| 3.0|\n",
"| 1| 27| 2.0|\n",
"| 1| 29| 1.0|\n",
"| 1| 35| 1.0|\n",
"| 1| 36| 2.0|\n",
"| 1| 51| 4.0|\n",
"| 1| 52| 4.0|\n",
"| 1| 54| 3.0|\n",
"| 1| 56| 4.0|\n",
"| 1| 60| 5.0|\n",
"| 1| 64| 5.0|\n",
"| 1| 69| 3.0|\n",
"| 1| 77| 4.0|\n",
"| 1| 83| 3.0|\n",
"| 1| 85| 3.0|\n",
"| 1| 88| 4.0|\n",
"+------+-------+------+\n",
"only showing top 20 rows\n",
"\n"
]
}
],
"source": [
"cols = {\n",
" 'col_user': userCol,\n",
" 'col_item': itemCol,\n",
" 'col_rating': ratingCol,\n",
" 'col_prediction': \"prediction\",\n",
"}\n",
"\n",
"test.show()"
]
},
{
"cell_type": "code",
"execution_count": 21,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Model:\tALS\n",
"Top K:\t10\n",
"MAP:\t0.003698\n",
"NDCG:\t0.034331\n",
"Precision@K:\t0.039343\n",
"Recall@K:\t0.014976\n"
]
}
],
"source": [
"# Evaluate Ranking Metrics\n",
"rank_eval = SparkRankingEvaluation(\n",
" test, \n",
" top_all, \n",
" k=TOP_K,\n",
" **cols\n",
")\n",
"\n",
"print(\n",
" \"Model:\\tALS\",\n",
" \"Top K:\\t%d\" % rank_eval.k,\n",
" \"MAP:\\t%f\" % rank_eval.map_at_k(),\n",
" \"NDCG:\\t%f\" % rank_eval.ndcg_at_k(),\n",
" \"Precision@K:\\t%f\" % rank_eval.precision_at_k(),\n",
" \"Recall@K:\\t%f\" % rank_eval.recall_at_k(), sep='\\n'\n",
")"
]
},
{
"cell_type": "code",
"execution_count": 22,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Model:\tALS rating prediction\n",
"RMSE:\t0.95\n",
"MAE:\t0.740282\n",
"Explained variance:\t0.289807\n",
"R squared:\t0.285394\n"
]
}
],
"source": [
"# Evaluate Rating Metrics\n",
"prediction = model.transform(test)\n",
"rating_eval = SparkRatingEvaluation(\n",
" test, \n",
" prediction, \n",
" **cols\n",
")\n",
"\n",
"print(\n",
" \"Model:\\tALS rating prediction\",\n",
" \"RMSE:\\t%.2f\" % rating_eval.rmse(),\n",
" \"MAE:\\t%f\" % rating_eval.mae(),\n",
" \"Explained variance:\\t%f\" % rating_eval.exp_var(),\n",
" \"R squared:\\t%f\" % rating_eval.rsquared(), sep='\\n'\n",
")"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 2.6 Save the model"
]
},
{
"cell_type": "code",
"execution_count": 23,
"metadata": {},
"outputs": [],
"source": [
"(model\n",
" .write()\n",
" .overwrite()\n",
" .save(model_name))"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## 3. Operationalize the Recommender Service\n",
"Once the model is built with desirable performance, it will be operationalized to run as a REST endpoint to be utilized by a real time service. We will utilize [Azure Cosmos DB](https://azure.microsoft.com/en-us/services/cosmos-db/), [Azure Machine Learning Service](https://azure.microsoft.com/en-us/services/machine-learning-service/), and [Azure Kubernetes Service](https://docs.microsoft.com/en-us/azure/aks/intro-kubernetes) to operationalize the recommender service."
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 3.1 Create a look-up for Recommendations in Cosmos DB\n",
"\n",
"First, the Top-10 recommendations for each user as predicted by the model are stored as a lookup table in Cosmos DB. At runtime, the service will return the Top-10 recommendations as precomputed and stored in Cosmos DB:"
]
},
{
"cell_type": "code",
"execution_count": 24,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"+---+--------------------+\n",
"| id| MovieId|\n",
"+---+--------------------+\n",
"|471|[745, 1540, 244, ...|\n",
"|463|[64, 190, 1286, 3...|\n",
"|833|[1192, 179, 1524,...|\n",
"|496|[320, 1589, 262, ...|\n",
"|148|[1512, 718, 793, ...|\n",
"|540|[958, 1512, 1368,...|\n",
"|392|[1643, 1449, 1512...|\n",
"|243|[285, 251, 1405, ...|\n",
"|623|[390, 1643, 173, ...|\n",
"|737|[856, 60, 61, 151...|\n",
"|897|[1368, 958, 320, ...|\n",
"|858|[1154, 1129, 853,...|\n",
"| 31|[1203, 1245, 889,...|\n",
"|516|[745, 694, 1512, ...|\n",
"|580|[1368, 958, 1589,...|\n",
"|251|[1203, 1449, 253,...|\n",
"|451|[1368, 1019, 958,...|\n",
"| 85|[1643, 1449, 511,...|\n",
"|137|[1368, 1643, 958,...|\n",
"|808|[1512, 867, 1367,...|\n",
"+---+--------------------+\n",
"only showing top 20 rows\n",
"\n"
]
}
],
"source": [
"recs = model.recommendForAllUsers(10)\n",
"recs_topk = recs.withColumn(\"id\", recs[userCol].cast(\"string\")) \\\n",
" .select(\"id\", \"recommendations.\" + itemCol)\n",
"recs_topk.show()"
]
},
{
"cell_type": "code",
"execution_count": 25,
"metadata": {},
"outputs": [],
"source": [
"# Save data to CosmosDB\n",
"(recs_topk.coalesce(1)\n",
" .write\n",
" .format(\"com.microsoft.azure.cosmosdb.spark\")\n",
" .mode('overwrite')\n",
" .options(**dbsecrets)\n",
" .save())"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 3.2 Configure Azure Machine Learning\n",
"\n",
"Next, Azure Machine Learning Service is used to create a model scoring image and deploy it to Azure Kubernetes Service as a scalable containerized service. To achieve this, a **scoring script** should be created. In the script, we make a call to Cosmos DB to lookup the top 10 movies to recommend given an input User ID."
]
},
{
"cell_type": "code",
"execution_count": 26,
"metadata": {},
"outputs": [],
"source": [
"score_sparkml = \"\"\"\n",
"import json\n",
"import pydocumentdb.document_client as document_client\n",
"\n",
"def init(local=False):\n",
" global client, collection\n",
" try:\n",
" client = document_client.DocumentClient('{endpoint}', dict(masterKey='{key}'))\n",
" collection = client.ReadCollection(collection_link='dbs/{database}/colls/{collection}')\n",
" except Exception as e:\n",
" collection = e\n",
"\n",
"def run(input_json):\n",
" try:\n",
" # Query them in SQL\n",
" id = str(json.loads(json.loads(input_json)[0])['id'])\n",
" query = dict(query='SELECT * FROM c WHERE c.id = \"' + id +'\"')\n",
" options = dict(partitionKey=str(id))\n",
" document_link = 'dbs/{database}/colls/{collection}/docs/' + id\n",
" result = client.ReadDocument(document_link, options); \n",
" except Exception as e:\n",
" result = str(e)\n",
" return json.dumps(str(result))\n",
"\"\"\".format(key=dbsecrets['Masterkey'], \n",
" endpoint=dbsecrets['Endpoint'], \n",
" database=dbsecrets['Database'], \n",
" collection=dbsecrets['Collection'])\n",
"\n",
"# test validity of python string\n",
"exec(score_sparkml)\n",
"\n",
"with open(\"score_sparkml.py\", \"w\") as file:\n",
" file.write(score_sparkml)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Register your model:"
]
},
{
"cell_type": "code",
"execution_count": 27,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Registering model mvl-als-reco.mml\n",
"mvl-als-reco.mml AML trained model 1\n"
]
}
],
"source": [
"mymodel = Model.register(\n",
" model_path=model_name, # this points to a local file\n",
" model_name=model_name, # this is the name the model is registered as\n",
" description=\"AML trained model\",\n",
" workspace=ws\n",
")\n",
"\n",
"print(mymodel.name, mymodel.description, mymodel.version)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 3.3 Deploy the model as a Service on AKS"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"#### 3.3.1 Create an Environment for your model:"
]
},
{
"cell_type": "code",
"execution_count": 28,
"metadata": {},
"outputs": [],
"source": [
"env = Environment(name='sparkmlenv')\n",
"\n",
"# Specify a public image from microsoft/mmlspark as base image\n",
"env.docker.base_image=\"microsoft/mmlspark:0.15\"\n",
"\n",
"pip = [\n",
" 'azureml-defaults', \n",
" 'numpy==1.14.2', \n",
" 'scikit-learn==0.19.1', \n",
" 'pandas', \n",
" 'pydocumentdb'\n",
"]\n",
"\n",
"# Add dependencies needed for inferencing\n",
"env.python.conda_dependencies = CondaDependencies.create(pip_packages=pip)\n",
"env.inferencing_stack_version = \"latest\"\n",
"\n",
"# Add spark packages\n",
"env.spark.precache_packages = True\n",
"env.spark.repositories = [\"https://mmlspark.azureedge.net/maven\"]\n",
"env.spark.packages= [\n",
" SparkPackage(\"com.microsoft.ml.spark\", \"mmlspark_2.11\", \"0.15\"),\n",
" SparkPackage(\"com.microsoft.azure\", artifact=\"azure-storage\", version=\"2.0.0\"),\n",
" SparkPackage(group=\"org.apache.hadoop\", artifact=\"hadoop-azure\", version=\"2.7.0\")\n",
"]"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"#### 3.3.2 Create an AKS Cluster to run your container\n",
"This may take 20 to 30 minutes depending on the cluster size."
]
},
{
"cell_type": "code",
"execution_count": 29,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Creating.......................................................................................................\n",
"SucceededProvisioning operation finished, operation \"Succeeded\"\n",
"Succeeded\n"
]
}
],
"source": [
"# Verify that cluster does not exist already\n",
"try:\n",
" aks_target = ComputeTarget(workspace=ws, name=aks_name)\n",
" print(\"Found existing cluster, use it.\")\n",
"except ComputeTargetException:\n",
" # Create the cluster using the default configuration (can also provide parameters to customize)\n",
" prov_config = AksCompute.provisioning_configuration()\n",
" aks_target = ComputeTarget.create(\n",
" workspace=ws, \n",
" name=aks_name, \n",
" provisioning_configuration=prov_config\n",
" )\n",
" aks_target.wait_for_completion(show_output = True)\n",
" print(aks_target.provisioning_state)\n",
" # To check any error logs, print(aks_target.provisioning_errors)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"#### 3.3.3 Deploy the container image to AKS:"
]
},
{
"cell_type": "code",
"execution_count": 30,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Running....................................................................................................................\n",
"SucceededAKS service creation operation finished, operation \"Succeeded\"\n"
]
}
],
"source": [
"# Create an Inferencing Configuration with your environment and scoring script\n",
"inference_config = InferenceConfig(\n",
" environment=env,\n",
" entry_script=\"score_sparkml.py\"\n",
")\n",
"\n",
"# Set the web service configuration (using default here with app insights)\n",
"aks_config = AksWebservice.deploy_configuration(enable_app_insights=True)\n",
"\n",
"# Webservice creation using single command\n",
"try:\n",
" aks_service = Model.deploy(\n",
" workspace=ws,\n",
" models=[mymodel],\n",
" name=service_name,\n",
" inference_config=inference_config,\n",
" deployment_config=aks_config,\n",
" deployment_target=aks_target\n",
" )\n",
" aks_service.wait_for_deployment(show_output=True)\n",
"except WebserviceException:\n",
" # Retrieve existing service.\n",
" aks_service = Webservice(ws, name=service_name)\n",
" print(\"Retrieved existing service\")"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### 3.4 Call the AKS model service\n",
"After the deployment, the service can be called with a user ID – the service will then look up the top 10 recommendations for that user in Cosmos DB and send back the results.\n",
"The following script demonstrates how to call the recommendation service API and view the result for the given user ID:"
]
},
{
"cell_type": "code",
"execution_count": 31,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"{\n",
" \"MovieId\": [\n",
" 320,\n",
" 1589,\n",
" 262,\n",
" 1344,\n",
" 958,\n",
" 889,\n",
" 1368,\n",
" 645,\n",
" 919,\n",
" 1137\n",
" ],\n",
" \"id\": \"496\",\n",
" \"_rid\": \"34hEAIe9pterAQAAAAAACA==\",\n",
" \"_self\": \"dbs/34hEAA==/colls/34hEAIe9ptc=/docs/34hEAIe9pterAQAAAAAACA==/\",\n",
" \"_etag\": \"6d006b74-0000-0100-0000-5f25f0550000\",\n",
" \"_attachments\": \"attachments/\",\n",
" \"_ts\": 1596321877\n",
"}\n",
"Full run took 0.05 seconds\n"
]
}
],
"source": [
"import json\n",
"\n",
"scoring_url = aks_service.scoring_uri\n",
"service_key = aks_service.get_keys()[0]\n",
"\n",
"input_data = '[\"{\\\\\"id\\\\\":\\\\\"496\\\\\"}\"]'.encode()\n",
"\n",
"req = urllib.request.Request(scoring_url, data=input_data)\n",
"req.add_header(\"Authorization\",\"Bearer {}\".format(service_key))\n",
"req.add_header(\"Content-Type\",\"application/json\")\n",
"\n",
"with Timer() as t: \n",
" with urllib.request.urlopen(req) as result:\n",
" res = result.read()\n",
" resj = json.loads(\n",
" # Cleanup to parse into a json object\n",
" res.decode(\"utf-8\")\n",
" .replace(\"\\\\\", \"\")\n",
" .replace('\"', \"\")\n",
" .replace(\"'\", '\"')\n",
" )\n",
" print(json.dumps(resj, indent=4))\n",
" \n",
"print(\"Full run took %.2f seconds\" % t.interval)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Appendix - Realtime scoring with AzureML\n",
"\n",
"In the previous cells, we utilized Cosmos DB to cache the recommendation results for realtime serving. Alternatively, we can generate recommendation results on demand by using the model we deployed. Following scripts load the registered model and use it for recommendation:\n",
"\n",
"* *score_sparkml.py*\n",
" ```\n",
" import json\n",
" import os\n",
" from pyspark.ml.recommendation import ALSModel\n",
"\n",
" # Note, set `model_name`, `userCol`, and `itemCol` defined earlier.\n",
" model_name = \"mvl-als-reco.mml\"\n",
" userCol = \"UserId\"\n",
" itemCol = \"MovieId\"\n",
"\n",
" def init(local=False):\n",
" global model\n",
"\n",
" # Load ALS model.\n",
" model_path = os.path.join(os.getenv('AZUREML_MODEL_DIR'), model_name)\n",
" model = ALSModel.load(model_path)\n",
"\n",
" def run(input_json):\n",
" js = json.loads(json.loads(input_json)[0])\n",
" id = str(js['id'])\n",
" k = js.get('k', 10)\n",
"\n",
" # Use the model to get recommendation.\n",
" recs = model.recommendForAllUsers(k)\n",
" recs_topk = recs.withColumn('id', recs[userCol].cast(\"string\")).select(\n",
" 'id', \"recommendations.\" + itemCol\n",
" )\n",
" result = recs_topk[recs_topk.id==id].collect()[0].asDict()\n",
"\n",
" return json.dumps(str(result))\n",
" ```\n",
"\n",
"* Call the AKS model service\n",
" ```\n",
" # Get a recommendation of 10 movies\n",
" input_data = '[\"{\\\\\"id\\\\\":\\\\\"496\\\\\",\\\\\"k\\\\\":10}\"]'.encode()\n",
"\n",
" req = urllib.request.Request(scoring_url, data=input_data)\n",
" req.add_header(\"Authorization\",\"Bearer {}\".format(service_key))\n",
" req.add_header(\"Content-Type\",\"application/json\")\n",
" \n",
" ...\n",
" ```"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
}
],
"metadata": {
"kernelspec": {
"display_name": "Python (reco_pyspark)",
"language": "python",
"name": "reco_pyspark"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 3
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython3",
"version": "3.6.0"
},
"name": "ALS_Movie_Example",
"notebookId": 3793436040750096,
"pycharm": {
"stem_cell": {
"cell_type": "raw",
"metadata": {
"collapsed": false
},
"source": []
}
}
},
"nbformat": 4,
"nbformat_minor": 1
} | Jupyter Notebook | 5 | aeroabir/recommenders | examples/05_operationalize/als_movie_o16n.ipynb | [
"MIT"
] |
;;Geoff Dobson
;; CASOS - Carnegie Mellon University, 2016
;;In order to run this simulation use the GUI buttons to add terrain
;;and troops. Then, click Go Forever. The simulation will run for
;;100 ticks and you will find out how many vulnerabilities exist on the
;;terrain at the end of the time period by viewing the info box
globals [
atv numATerrain numACompromised numATroops numBTroops mcRate totalmcRate
vulsType1 vulsType2 vulsType3
compType1 compType2 compType3
numAterrainType1 numAterrainType2 numAterrainType3
type1VulRate type2VulRate type3VulRate
type1CompRate type2CompRate type3CompRate
totalType1Vuls totalType2Vuls totalType3Vuls
totalType1Comp totalType2Comp totalType3Comp
]
breed [alphaTerrains alphaTerrain]
;;breed [alphaOCOTroops alphaOCOTroop]
breed [alphaDCOTroops alphaDCOTroop]
breed [bravoOCOTroops bravoOCOTroop]
directed-link-breed [defensiveOps defensiveOp]
directed-link-breed [offensiveOps offensiveOp]
alphaTerrains-own [
vul ;; is vulnerable?
attack ;; is being attacked?
comp ;; is this system compromised by enemy
terType ;; type of the terrain: networking=1, server=2, user=3
]
;;links-own [
;; attack
;;]
;;
;; Setup Procedures
;;
to setup
clear-all
set atv 0
set vulsType1 0
set vulsType2 0
set vulsType3 0
set compType1 0
set compType2 0
set compType3 0
set numAterrain 0
set numAterrainType1 0
set numAterrainType2 0
set numAterrainType3 0
set type1VulRate 0
set type2VulRate 0
set type3VulRate 0
set type1CompRate 0
set type2CompRate 0
set type3CompRate 0
set numAtroops 0
set numBtroops 0
set mcRate 100
set totalmcRate 0
set totalType1Vuls 0
set totalType2Vuls 0
set totalType3Vuls 0
set totalType1Comp 0
set totalType2Comp 0
set totalType3Comp 0
set-default-shape alphaDCOTroops "person"
set-default-shape bravoOCOTroops "person"
reset-ticks
end
;;
;; Runtime Procedures
;;
to go
ask links [die]
generateVuls
defendTerrain
attackTerrain
determineCompromise
updateDashboard
if ticks >= 720 [
let avgType1VulRate 0
set avgType1VulRate (totalType1Vuls / 720 )
let avgType2VulRate 0
set avgType2VulRate (totalType2Vuls / 720 )
let avgType3VulRate 0
set avgType3VulRate (totalType3Vuls / 720 )
let avgType1CompRate 0
set avgType1CompRate (totalType1Comp / 720 )
let avgType2CompRate 0
set avgType2CompRate (totalType2Comp / 720 )
let avgType3CompRate 0
set avgType3CompRate (totalType3Comp / 720 )
let avgMCRate 0
set avgMCRate (totalmcRate / 720 )
file-open "BaseRandom1Percent.txt"
;file-type avgType1VulRate
;show avgType1VulRate
;file-type ","
file-type avgType2VulRate
show avgType2VulRate
;file-type ","
;file-type avgType3VulRate
;show avgType3VulRate
;file-type ","
;file-type avgType1CompRate
;file-type ","
file-type avgType2CompRate
file-type ","
;file-type avgType3CompRate
;file-type "\r"
;file-type avgMCRate
file-type "\r"
file-close
stop]
tick
end
to generateVuls
let temp 0
ask alphaTerrains [
if terType = 1
[
if vul = 0
[
let r1 0
set r1 random 100
;;show r1
if environment = "base"
[
if r1 < 4 [ set vul 1 set color yellow ]
]
if environment = "tactical"
[
if r1 < 7 [ set vul 1 set color yellow ]
]
if environment = "industrial"
[
if r1 < 14 [ set vul 1 set color yellow ]
]
]
]
if terType = 2
[
if vul = 0
[
let s1 0
set s1 random 100
;;show s1
if environment = "base"
[
if s1 < 7 [ set vul 1 set color yellow ]
]
if environment = "tactical"
[
if s1 < 27 [ set vul 1 set color yellow ]
]
if environment = "industrial"
[
if s1 < 14 [ set vul 1 set color yellow ]
]
]
]
if terType = 3
[
if vul = 0
[
let t1 0
set t1 random 100
;;show t1
if environment = "base"
[
if t1 < 59 [ set vul 1 set color yellow ]
]
if environment = "tactical"
[
if t1 < 30 [ set vul 1 set color yellow ]
]
if environment = "industrial"
[
if t1 < 15 [ set vul 1 set color yellow ]
]
]
]
]
ask alphaTerrains [ set temp (temp + vul)] ;;iterate through all terrain adding vul to temp
set atv temp
end
to test1
end
to addAlphaDCOTroop ;; Adds DCO forces
let xPos (numATroops * 3 - 8)
create-alphaDCOTroops 1 [
set color green
setxy xPos 28
]
set numATroops numATroops + 1
end
to addBravoOCOTroop ;; Adds attacker
let xPos (numBTroops * 3 - 8)
create-bravoOCOTroops 1 [
set color blue
setxy xPos -8
]
set numBTroops numBTroops + 1
end
to defendTerrain
let t1 0
let t2 0
set t1 ticks mod 3
;;show ticks
;;show t1
set t2 ticks mod 6
;;show t2
carefully [ ask alphaDCOTroops [ create-defensiveOp-to one-of other alphaTerrains with [vul = 1 AND terType = 3] ] ] [print error-message ]
if t1 = 0 [
carefully [ ask alphaDCOTroops [ create-defensiveOp-to one-of other alphaTerrains with [vul = 1 AND terType = 2] ] ] [print error-message ]
]
if t2 = 0 [
carefully [ ask alphaDCOTroops [ create-defensiveOp-to one-of other alphaTerrains with [vul = 1 AND terType = 1] ] ] [print error-message ]
]
ask alphaTerrains with [any? my-in-links] [ set vul 0 set comp 0 set color brown ]
end
to attackTerrain
;;carefully [ ask bravoOCOTroops [ create-offensiveOp-to one-of other alphaTerrains ] ] [print error-message ]
ask bravoOCOTroop 0 [
if attack1Type = "Random" [
create-offensiveOp-to one-of alphaTerrains
]
if attack1Type = "DOS" [
create-offensiveOp-to one-of alphaTerrains with [ terType = 2 ]
]
if attack1Type = "RPA" [
create-offensiveOp-to one-of alphaTerrains with [ terType = 1 ]
]
if attack1Type = "Phishing" [
create-offensiveOp-to one-of alphaTerrains with [terType = 3 ]
]
]
ask bravoOCOTroop 1 [
if attack2Type = "Random" [
create-offensiveOp-to one-of alphaTerrains
]
if attack2Type = "DOS" [
create-offensiveOp-to one-of alphaTerrains with [ terType = 2 ]
]
if attack2Type = "RPA" [
create-offensiveOp-to one-of alphaTerrains with [ terType = 1 ]
]
if attack2Type = "Phishing" [
create-offensiveOp-to one-of alphaTerrains with [terType = 3 ]
]
]
ask bravoOCOTroop 2 [
if attack3Type = "Random" [
create-offensiveOp-to one-of alphaTerrains
]
if attack3Type = "DOS" [
create-offensiveOp-to one-of alphaTerrains with [ terType = 2 ]
]
if attack3Type = "RPA" [
create-offensiveOp-to one-of alphaTerrains with [ terType = 1 ]
]
if attack3Type = "Phishing" [
create-offensiveOp-to one-of alphaTerrains with [terType = 3 ]
]
]
end
to determineCompromise
;show count offensiveOps
ask offensiveOps [
ask end2[
let o1 0
set o1 random 100
;show o1
if vul = 1 [
if o1 < 8 [
set comp 1
set color red
]
]
]
]
end
to updateDashboard
set numATerrain count alphaTerrains
;;show numATerrain
set numACompromised count alphaTerrains with [ comp = 1 ]
;;show numACompromised
set mcRate (((numATerrain - numACompromised) / numATerrain) * 100)
set totalmcRate mcRate + totalmcRate
;;show mcRate
set numATerrainType1 count alphaTerrains with [terType = 1]
set numATerrainType2 count alphaTerrains with [terType = 2]
set numATerrainType3 count alphaTerrains with [terType = 3]
set vulsType1 count alphaTerrains with [terType = 1 AND vul = 1 ]
set vulsType2 count alphaTerrains with [terType = 2 AND vul = 1 ]
set vulsType3 count alphaTerrains with [terType = 3 AND vul = 1 ]
set compType1 count alphaTerrains with [terType = 1 AND comp = 1 ]
set compType2 count alphaTerrains with [terType = 2 AND comp = 1 ]
set compType3 count alphaTerrains with [terType = 3 AND comp = 1 ]
set type1VulRate ((vulsType1 / numATerrainType1) * 100)
set type2VulRate ((vulsType2 / numATerrainType2) * 100)
set type3VulRate ((vulsType3 / numATerrainType3) * 100)
set totalType1Vuls (totalType1Vuls + type1VulRate)
set totalType2Vuls (totalType2Vuls + type2VulRate)
set totalType3Vuls (totalType3Vuls + type3VulRate)
set type1CompRate ((compType1 / numATerrainType1) * 100)
set type2CompRate ((compType2 / numATerrainType2) * 100)
set type3CompRate ((compType3 / numATerrainType3) * 100)
set totalType1Comp (totalType1Comp + type1CompRate)
set totalType2Comp (totalType2Comp + type2CompRate)
set totalType3Comp (totalType3Comp + type3CompRate)
end
to addThreeTierTerrain
let space numATerrain * 3
let x space - 8
let j 0
let xPos 0
while [ j <= 1 ] [
set j j + 1
set xPos (j * 2 - 5)
create-alphaTerrains 1 [
set color brown
setxy xpos 16
set vul 0
set terType 1
set shape "box"
]
]
set j 0
while [ j <= 3 ] [
set j j + 1
set xPos (j * 2 - 7)
create-alphaTerrains 1 [
set color brown
setxy xpos 12
set vul 0
set terType 1
set shape "box"
]
]
set j 0
while [ j <= 6 ] [
set j j + 1
set xPos (j * 2 - 11)
create-alphaTerrains 1 [
set color brown
setxy xpos 8
set vul 0
set terType 1
set shape "box"
]
]
set j 0
while [ j <= 20 ] [
set j j + 1
set xPos (j * 2 - 23)
create-alphaTerrains 1 [
set color brown
setxy xpos 4
set vul 0
set terType 2
set shape "square"
]
]
set j 0
while [ j <= 30 ] [
set j j + 1
set xPos (j * 2 - 33)
create-alphaTerrains 1 [
set color brown
setxy xpos 0
set vul 0
set terType 3
set shape "square 2"
]
]
end
to addMobileDismountTerrain
let space numATerrain * 3
let x space - 8
let j 0
let xPos 0
let yPos 0
while [ j < 2 ] [
set j j + 1
set xPos -24
set yPos (j * 2)
create-alphaTerrains 1 [
set color brown
setxy xpos yPos
set vul 0
set terType 3
set shape "square 2"
]
]
set j 0
while [ j < 2 ] [
set j j + 1
set xPos -21
set yPos (j * 2)
create-alphaTerrains 1 [
set color brown
setxy xpos yPos
set vul 0
set terType 2
set shape "square"
]
]
set j 0
while [ j < 2 ] [
set j j + 1
;;set xPos (j * 2 - 15)
set xPos -18
set yPos (j * 3)
create-alphaTerrains 1 [
set color brown
setxy xpos yPos
set vul 0
set terType 1
set shape "box"
]
]
set j 0
while [ j < 6 ] [
set j j + 1
;;set xPos (j * 2 - 15)
set xPos -15
set yPos (j * 3)
create-alphaTerrains 1 [
set color brown
setxy xpos yPos
set vul 0
set terType 1
set shape "box"
]
]
set j 0
while [ j < 13 ] [
set j j + 1
set xPos (j * 2 - 13)
set yPos 15
create-alphaTerrains 1 [
set color brown
setxy xpos yPos
set vul 0
set terType 3
set shape "square 2"
]
]
set j 0
while [ j < 7 ] [
set j j + 1
set xPos (j * 2 - 13)
set yPos 11
create-alphaTerrains 1 [
set color brown
setxy xpos yPos
set vul 0
set terType 3
set shape "square 2"
]
]
set j 0
while [ j < 7 ] [
set j j + 1
set xPos (j * 2 - 13)
set yPos 7
create-alphaTerrains 1 [
set color brown
setxy xpos yPos
set vul 0
set terType 3
set shape "square 2"
]
]
set j 0
while [ j < 4 ] [
set j j + 1
set xPos (j * 2 - 13)
set yPos 3
create-alphaTerrains 1 [
set color brown
setxy xpos yPos
set vul 0
set terType 3
set shape "square 2"
]
]
set j 0
end
@#$#@#$#@
GRAPHICS-WINDOW
290
10
1010
751
35
35
10.0
1
10
1
1
1
0
1
1
1
-35
35
-35
35
1
1
1
ticks
15.0
BUTTON
13
93
91
126
go-once
go
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
0
BUTTON
102
93
193
126
go-forever
go
T
1
T
OBSERVER
NIL
NIL
NIL
NIL
0
BUTTON
17
42
119
75
NIL
setup
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
BUTTON
17
165
286
198
Load Three Tier Distribution Terrain
addThreeTierTerrain
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
BUTTON
13
347
175
380
Add 1 DCO Troop
addAlphaDCOTroop
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
CHOOSER
20
264
158
309
environment
environment
"base" "tactical" "industrial"
0
PLOT
1564
42
1853
286
Mission Capability Rate
time
MC Rate
0.0
720.0
0.0
100.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot MCRate"
BUTTON
10
461
172
494
Add Attacker
addBravoOCOTroop
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
BUTTON
18
212
246
245
Load Mobile Dismount Terrain
addMobileDismountTerrain
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
TEXTBOX
1026
41
1176
66
Terrain Type 1
20
0.0
1
MONITOR
1165
30
1271
83
Total Systems
numATerrainType1
17
1
13
PLOT
1015
94
1257
245
Terrain Type 1 Vul Rate
time
Vul Rate
0.0
720.0
0.0
100.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot type1VulRate"
PLOT
1285
93
1533
243
Terrain Type 1 Compromise Rate
time
Comp Rate
0.0
720.0
0.0
100.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot type1CompRate"
TEXTBOX
1023
287
1173
312
Terrain Type 2
20
0.0
1
MONITOR
1162
276
1268
329
Total Systems
numATerrainType2
17
1
13
PLOT
1015
344
1262
495
Terrain Type 2 Vul Rate
time
Vul Rate
0.0
720.0
0.0
100.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot type2VulRate"
PLOT
1287
344
1532
499
Terrain Type 2 Compromise Rate
time
Comp Rate
0.0
720.0
0.0
100.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot type2CompRate"
TEXTBOX
1021
520
1171
545
Terrain Type 3
20
0.0
1
MONITOR
1167
511
1273
564
Total Systems
numATerrainType3
17
1
13
PLOT
1015
579
1272
736
Terrain Type 3 Vul Rate
time
Vul Rate
0.0
720.0
0.0
100.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot type3VulRate"
PLOT
1290
576
1545
737
Terrain Type 3 Compromise Rate
time
Comp Rate
0.0
720.0
0.0
100.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot type3CompRate"
CHOOSER
35
510
173
555
attack1Type
attack1Type
"Random" "DOS" "RPA" "Phishing"
1
CHOOSER
37
572
175
617
attack2Type
attack2Type
"Random" "DOS" "RPA" "Phishing"
1
CHOOSER
38
636
176
681
attack3Type
attack3Type
"Random" "DOS" "RPA" "Phishing"
1
@#$#@#$#@
## WHAT IS IT?
This program is an example of a two-dimensional cellular automaton. If you are not already familiar with 2D CA, see the model "Life" for a basic discussion.
Typical CAs use two cell states (live and dead), but Brian's Brian uses three: firing (white), refractory (red), and dead (black).
This CA is especially interesting to watch because it has many configurations that move steadily across the grid (as opposed to Life, which has only relatively few such configurations).
## HOW IT WORKS
Firing (white) cells always become refractory (red) at the next time step.
Refractory (red) cells always die (turn black) at the next time step.
A new firing (white) cell is born in any black cell that has exactly two firing (white) neighbors (of its eight surrounding cells).
## HOW TO USE IT
The INITIAL-DENSITY slider determines the initial density of cells that are firing. SETUP-RANDOM places these cells. GO-FOREVER runs the rule forever. GO-ONCE runs the rule once.
If you want to draw an initial pattern yourself, or alter the pattern in the middle of a run, turn on the DRAW WHITE CELLS or DRAW RED CELLS button, then "draw" and "erase" with the mouse in the view.
## THINGS TO NOTICE
Lots of patterns stay stable and move steadily across the grid. Such patterns are often referred to as "gliders". How many different types of gliders do you see? Why does this happen? How do the rules of the CA result in this behavior?
## THINGS TO TRY
Are there any stable shapes that don't move?
Are there any "glider guns" (objects that emit a steady stream of gliders)?
On a small enough grid, usually the CA reaches a steady state where there may be movement but nothing new happens. In Brian's Brain, a square grid usually reaches a steady state more quickly than a rectangular grid (try it!). Why?
## EXTENDING THE MODEL
Many other interesting 3-state 2D automata exist. Experiment with variations on the rules in this model.
## RELATED MODELS
See all of the other models in the Cellular Automata subsection of the Computer Science section of the NetLogo Models Library.
## CREDITS AND REFERENCES
Brian's Brain was invented by Brian Silverman.
## HOW TO CITE
If you mention this model or the NetLogo software in a publication, we ask that you include the citations below.
For the model itself:
* Wilensky, U. (2002). NetLogo Brian's Brain model. http://ccl.northwestern.edu/netlogo/models/Brian'sBrain. Center for Connected Learning and Computer-Based Modeling, Northwestern University, Evanston, IL.
Please cite the NetLogo software as:
* Wilensky, U. (1999). NetLogo. http://ccl.northwestern.edu/netlogo/. Center for Connected Learning and Computer-Based Modeling, Northwestern University, Evanston, IL.
## COPYRIGHT AND LICENSE
Copyright 2002 Uri Wilensky.
![CC BY-NC-SA 3.0](http://ccl.northwestern.edu/images/creativecommons/byncsa.png)
This work is licensed under the Creative Commons Attribution-NonCommercial-ShareAlike 3.0 License. To view a copy of this license, visit https://creativecommons.org/licenses/by-nc-sa/3.0/ or send a letter to Creative Commons, 559 Nathan Abbott Way, Stanford, California 94305, USA.
Commercial licenses are also available. To inquire about commercial licenses, please contact Uri Wilensky at [email protected].
This model was created as part of the projects: PARTICIPATORY SIMULATIONS: NETWORK-BASED DESIGN FOR SYSTEMS LEARNING IN CLASSROOMS and/or INTEGRATED SIMULATION AND MODELING ENVIRONMENT. The project gratefully acknowledges the support of the National Science Foundation (REPP & ROLE programs) -- grant numbers REC #9814682 and REC-0126227.
<!-- 2002 -->
@#$#@#$#@
default
true
0
Polygon -7500403 true true 150 5 40 250 150 205 260 250
airplane
true
0
Polygon -7500403 true true 150 0 135 15 120 60 120 105 15 165 15 195 120 180 135 240 105 270 120 285 150 270 180 285 210 270 165 240 180 180 285 195 285 165 180 105 180 60 165 15
arrow
true
0
Polygon -7500403 true true 150 0 0 150 105 150 105 293 195 293 195 150 300 150
box
false
0
Polygon -7500403 true true 150 285 285 225 285 75 150 135
Polygon -7500403 true true 150 135 15 75 150 15 285 75
Polygon -7500403 true true 15 75 15 225 150 285 150 135
Line -16777216 false 150 285 150 135
Line -16777216 false 150 135 15 75
Line -16777216 false 150 135 285 75
bug
true
0
Circle -7500403 true true 96 182 108
Circle -7500403 true true 110 127 80
Circle -7500403 true true 110 75 80
Line -7500403 true 150 100 80 30
Line -7500403 true 150 100 220 30
butterfly
true
0
Polygon -7500403 true true 150 165 209 199 225 225 225 255 195 270 165 255 150 240
Polygon -7500403 true true 150 165 89 198 75 225 75 255 105 270 135 255 150 240
Polygon -7500403 true true 139 148 100 105 55 90 25 90 10 105 10 135 25 180 40 195 85 194 139 163
Polygon -7500403 true true 162 150 200 105 245 90 275 90 290 105 290 135 275 180 260 195 215 195 162 165
Polygon -16777216 true false 150 255 135 225 120 150 135 120 150 105 165 120 180 150 165 225
Circle -16777216 true false 135 90 30
Line -16777216 false 150 105 195 60
Line -16777216 false 150 105 105 60
car
false
0
Polygon -7500403 true true 300 180 279 164 261 144 240 135 226 132 213 106 203 84 185 63 159 50 135 50 75 60 0 150 0 165 0 225 300 225 300 180
Circle -16777216 true false 180 180 90
Circle -16777216 true false 30 180 90
Polygon -16777216 true false 162 80 132 78 134 135 209 135 194 105 189 96 180 89
Circle -7500403 true true 47 195 58
Circle -7500403 true true 195 195 58
circle
false
0
Circle -7500403 true true 0 0 300
circle 2
false
0
Circle -7500403 true true 0 0 300
Circle -16777216 true false 30 30 240
cow
false
0
Polygon -7500403 true true 200 193 197 249 179 249 177 196 166 187 140 189 93 191 78 179 72 211 49 209 48 181 37 149 25 120 25 89 45 72 103 84 179 75 198 76 252 64 272 81 293 103 285 121 255 121 242 118 224 167
Polygon -7500403 true true 73 210 86 251 62 249 48 208
Polygon -7500403 true true 25 114 16 195 9 204 23 213 25 200 39 123
cylinder
false
0
Circle -7500403 true true 0 0 300
dot
false
0
Circle -7500403 true true 90 90 120
face happy
false
0
Circle -7500403 true true 8 8 285
Circle -16777216 true false 60 75 60
Circle -16777216 true false 180 75 60
Polygon -16777216 true false 150 255 90 239 62 213 47 191 67 179 90 203 109 218 150 225 192 218 210 203 227 181 251 194 236 217 212 240
face neutral
false
0
Circle -7500403 true true 8 7 285
Circle -16777216 true false 60 75 60
Circle -16777216 true false 180 75 60
Rectangle -16777216 true false 60 195 240 225
face sad
false
0
Circle -7500403 true true 8 8 285
Circle -16777216 true false 60 75 60
Circle -16777216 true false 180 75 60
Polygon -16777216 true false 150 168 90 184 62 210 47 232 67 244 90 220 109 205 150 198 192 205 210 220 227 242 251 229 236 206 212 183
fish
false
0
Polygon -1 true false 44 131 21 87 15 86 0 120 15 150 0 180 13 214 20 212 45 166
Polygon -1 true false 135 195 119 235 95 218 76 210 46 204 60 165
Polygon -1 true false 75 45 83 77 71 103 86 114 166 78 135 60
Polygon -7500403 true true 30 136 151 77 226 81 280 119 292 146 292 160 287 170 270 195 195 210 151 212 30 166
Circle -16777216 true false 215 106 30
flag
false
0
Rectangle -7500403 true true 60 15 75 300
Polygon -7500403 true true 90 150 270 90 90 30
Line -7500403 true 75 135 90 135
Line -7500403 true 75 45 90 45
flower
false
0
Polygon -10899396 true false 135 120 165 165 180 210 180 240 150 300 165 300 195 240 195 195 165 135
Circle -7500403 true true 85 132 38
Circle -7500403 true true 130 147 38
Circle -7500403 true true 192 85 38
Circle -7500403 true true 85 40 38
Circle -7500403 true true 177 40 38
Circle -7500403 true true 177 132 38
Circle -7500403 true true 70 85 38
Circle -7500403 true true 130 25 38
Circle -7500403 true true 96 51 108
Circle -16777216 true false 113 68 74
Polygon -10899396 true false 189 233 219 188 249 173 279 188 234 218
Polygon -10899396 true false 180 255 150 210 105 210 75 240 135 240
house
false
0
Rectangle -7500403 true true 45 120 255 285
Rectangle -16777216 true false 120 210 180 285
Polygon -7500403 true true 15 120 150 15 285 120
Line -16777216 false 30 120 270 120
leaf
false
0
Polygon -7500403 true true 150 210 135 195 120 210 60 210 30 195 60 180 60 165 15 135 30 120 15 105 40 104 45 90 60 90 90 105 105 120 120 120 105 60 120 60 135 30 150 15 165 30 180 60 195 60 180 120 195 120 210 105 240 90 255 90 263 104 285 105 270 120 285 135 240 165 240 180 270 195 240 210 180 210 165 195
Polygon -7500403 true true 135 195 135 240 120 255 105 255 105 285 135 285 165 240 165 195
line
true
0
Line -7500403 true 150 0 150 300
line half
true
0
Line -7500403 true 150 0 150 150
pentagon
false
0
Polygon -7500403 true true 150 15 15 120 60 285 240 285 285 120
person
false
0
Circle -7500403 true true 110 5 80
Polygon -7500403 true true 105 90 120 195 90 285 105 300 135 300 150 225 165 300 195 300 210 285 180 195 195 90
Rectangle -7500403 true true 127 79 172 94
Polygon -7500403 true true 195 90 240 150 225 180 165 105
Polygon -7500403 true true 105 90 60 150 75 180 135 105
plant
false
0
Rectangle -7500403 true true 135 90 165 300
Polygon -7500403 true true 135 255 90 210 45 195 75 255 135 285
Polygon -7500403 true true 165 255 210 210 255 195 225 255 165 285
Polygon -7500403 true true 135 180 90 135 45 120 75 180 135 210
Polygon -7500403 true true 165 180 165 210 225 180 255 120 210 135
Polygon -7500403 true true 135 105 90 60 45 45 75 105 135 135
Polygon -7500403 true true 165 105 165 135 225 105 255 45 210 60
Polygon -7500403 true true 135 90 120 45 150 15 180 45 165 90
square
false
0
Rectangle -7500403 true true 30 30 270 270
square 2
false
0
Rectangle -7500403 true true 30 30 270 270
Rectangle -16777216 true false 60 60 240 240
star
false
0
Polygon -7500403 true true 151 1 185 108 298 108 207 175 242 282 151 216 59 282 94 175 3 108 116 108
target
false
0
Circle -7500403 true true 0 0 300
Circle -16777216 true false 30 30 240
Circle -7500403 true true 60 60 180
Circle -16777216 true false 90 90 120
Circle -7500403 true true 120 120 60
tree
false
0
Circle -7500403 true true 118 3 94
Rectangle -6459832 true false 120 195 180 300
Circle -7500403 true true 65 21 108
Circle -7500403 true true 116 41 127
Circle -7500403 true true 45 90 120
Circle -7500403 true true 104 74 152
triangle
false
0
Polygon -7500403 true true 150 30 15 255 285 255
triangle 2
false
0
Polygon -7500403 true true 150 30 15 255 285 255
Polygon -16777216 true false 151 99 225 223 75 224
truck
false
0
Rectangle -7500403 true true 4 45 195 187
Polygon -7500403 true true 296 193 296 150 259 134 244 104 208 104 207 194
Rectangle -1 true false 195 60 195 105
Polygon -16777216 true false 238 112 252 141 219 141 218 112
Circle -16777216 true false 234 174 42
Rectangle -7500403 true true 181 185 214 194
Circle -16777216 true false 144 174 42
Circle -16777216 true false 24 174 42
Circle -7500403 false true 24 174 42
Circle -7500403 false true 144 174 42
Circle -7500403 false true 234 174 42
turtle
true
0
Polygon -10899396 true false 215 204 240 233 246 254 228 266 215 252 193 210
Polygon -10899396 true false 195 90 225 75 245 75 260 89 269 108 261 124 240 105 225 105 210 105
Polygon -10899396 true false 105 90 75 75 55 75 40 89 31 108 39 124 60 105 75 105 90 105
Polygon -10899396 true false 132 85 134 64 107 51 108 17 150 2 192 18 192 52 169 65 172 87
Polygon -10899396 true false 85 204 60 233 54 254 72 266 85 252 107 210
Polygon -7500403 true true 119 75 179 75 209 101 224 135 220 225 175 261 128 261 81 224 74 135 88 99
wheel
false
0
Circle -7500403 true true 3 3 294
Circle -16777216 true false 30 30 240
Line -7500403 true 150 285 150 15
Line -7500403 true 15 150 285 150
Circle -7500403 true true 120 120 60
Line -7500403 true 216 40 79 269
Line -7500403 true 40 84 269 221
Line -7500403 true 40 216 269 79
Line -7500403 true 84 40 221 269
x
false
0
Polygon -7500403 true true 270 75 225 30 30 225 75 270
Polygon -7500403 true true 30 75 75 30 270 225 225 270
@#$#@#$#@
NetLogo 5.3.1
@#$#@#$#@
setup-random
repeat 67 [ go ]
@#$#@#$#@
@#$#@#$#@
@#$#@#$#@
@#$#@#$#@
default
0.0
-0.2 0 0.0 1.0
0.0 1 1.0 0.0
0.2 0 0.0 1.0
link direction
true
0
Line -7500403 true 150 150 90 180
Line -7500403 true 150 150 210 180
@#$#@#$#@
0
@#$#@#$#@
| NetLogo | 5 | ajnavarro/language-dataset | data/github.com/gbdobson/cyberfit/762294d71fd268d16d499577389405378a71d453/cyberFIT.nlogo | [
"MIT"
] |
package org.xtendroid.xtendroidtest.activities
import org.xtendroid.app.AndroidActivity
import org.xtendroid.app.OnCreate
import org.xtendroid.xtendroidtest.R
import org.xtendroid.xtendroidtest.fragments.CustomDialog
@AndroidActivity(R.layout.activity_dialog_fragment) class DialogFragmentActivity {
@OnCreate
def init() {
dialogButton.onClickListener = [
new CustomDialog().show(fragmentManager, "dlg")
]
}
} | Xtend | 3 | kusl/Xtendroid | XtendroidTest/src/org/xtendroid/xtendroidtest/activities/DialogFragmentActivity.xtend | [
"MIT"
] |
--TEST--
Unit enums can list cases
--FILE--
<?php
enum Suit {
case Hearts;
case Diamonds;
case Clubs;
case Spades;
/** @deprecated Typo, use Suit::Hearts */
const Hearst = self::Hearts;
}
var_dump(Suit::cases());
?>
--EXPECT--
array(4) {
[0]=>
enum(Suit::Hearts)
[1]=>
enum(Suit::Diamonds)
[2]=>
enum(Suit::Clubs)
[3]=>
enum(Suit::Spades)
}
| PHP | 4 | NathanFreeman/php-src | Zend/tests/enum/unit-cases.phpt | [
"PHP-3.01"
] |
# Copyright 2021 The TensorFlow Authors. All Rights Reserved.
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
"""Tests for cls_head."""
from absl.testing import parameterized
import tensorflow as tf
from official.nlp.modeling.layers import cls_head
class ClassificationHeadTest(tf.test.TestCase, parameterized.TestCase):
@parameterized.named_parameters(("no_pooler_layer", 0, 2),
("has_pooler_layer", 5, 4))
def test_pooler_layer(self, inner_dim, num_weights_expected):
test_layer = cls_head.ClassificationHead(inner_dim=inner_dim, num_classes=2)
features = tf.zeros(shape=(2, 10, 10), dtype=tf.float32)
_ = test_layer(features)
num_weights_observed = len(test_layer.get_weights())
self.assertEqual(num_weights_observed, num_weights_expected)
def test_layer_invocation(self):
test_layer = cls_head.ClassificationHead(inner_dim=5, num_classes=2)
features = tf.zeros(shape=(2, 10, 10), dtype=tf.float32)
output = test_layer(features)
self.assertAllClose(output, [[0., 0.], [0., 0.]])
self.assertSameElements(test_layer.checkpoint_items.keys(),
["pooler_dense"])
outputs = test_layer(features, only_project=True)
self.assertEqual(outputs.shape, (2, 5))
def test_layer_serialization(self):
layer = cls_head.ClassificationHead(10, 2)
new_layer = cls_head.ClassificationHead.from_config(layer.get_config())
# If the serialization was successful, the new config should match the old.
self.assertAllEqual(layer.get_config(), new_layer.get_config())
class MultiClsHeadsTest(tf.test.TestCase, parameterized.TestCase):
@parameterized.named_parameters(("no_pooler_layer", 0, 4),
("has_pooler_layer", 5, 6))
def test_pooler_layer(self, inner_dim, num_weights_expected):
cls_list = [("foo", 2), ("bar", 3)]
test_layer = cls_head.MultiClsHeads(inner_dim=inner_dim, cls_list=cls_list)
features = tf.zeros(shape=(2, 10, 10), dtype=tf.float32)
_ = test_layer(features)
num_weights_observed = len(test_layer.get_weights())
self.assertEqual(num_weights_observed, num_weights_expected)
def test_layer_invocation(self):
cls_list = [("foo", 2), ("bar", 3)]
test_layer = cls_head.MultiClsHeads(inner_dim=5, cls_list=cls_list)
features = tf.zeros(shape=(2, 10, 10), dtype=tf.float32)
outputs = test_layer(features)
self.assertAllClose(outputs["foo"], [[0., 0.], [0., 0.]])
self.assertAllClose(outputs["bar"], [[0., 0., 0.], [0., 0., 0.]])
self.assertSameElements(test_layer.checkpoint_items.keys(),
["pooler_dense", "foo", "bar"])
outputs = test_layer(features, only_project=True)
self.assertEqual(outputs.shape, (2, 5))
def test_layer_serialization(self):
cls_list = [("foo", 2), ("bar", 3)]
test_layer = cls_head.MultiClsHeads(inner_dim=5, cls_list=cls_list)
new_layer = cls_head.MultiClsHeads.from_config(test_layer.get_config())
# If the serialization was successful, the new config should match the old.
self.assertAllEqual(test_layer.get_config(), new_layer.get_config())
class GaussianProcessClassificationHead(tf.test.TestCase,
parameterized.TestCase):
def setUp(self):
super().setUp()
self.spec_norm_kwargs = dict(norm_multiplier=1.,)
self.gp_layer_kwargs = dict(num_inducing=512)
@parameterized.named_parameters(("no_pooler_layer", 0, 7),
("has_pooler_layer", 5, 11))
def test_pooler_layer(self, inner_dim, num_weights_expected):
test_layer = cls_head.GaussianProcessClassificationHead(
inner_dim=inner_dim,
num_classes=2,
use_spec_norm=True,
use_gp_layer=True,
initializer="zeros",
**self.spec_norm_kwargs,
**self.gp_layer_kwargs)
features = tf.zeros(shape=(2, 10, 10), dtype=tf.float32)
_ = test_layer(features)
num_weights_observed = len(test_layer.get_weights())
self.assertEqual(num_weights_observed, num_weights_expected)
def test_layer_invocation(self):
test_layer = cls_head.GaussianProcessClassificationHead(
inner_dim=5,
num_classes=2,
use_spec_norm=True,
use_gp_layer=True,
initializer="zeros",
**self.spec_norm_kwargs,
**self.gp_layer_kwargs)
features = tf.zeros(shape=(2, 10, 10), dtype=tf.float32)
output = test_layer(features)
self.assertAllClose(output, [[0., 0.], [0., 0.]])
self.assertSameElements(test_layer.checkpoint_items.keys(),
["pooler_dense"])
@parameterized.named_parameters(
("gp_layer_with_covmat", True, True),
("gp_layer_no_covmat", True, False),
("dense_layer_with_covmat", False, True),
("dense_layer_no_covmat", False, False))
def test_sngp_output_shape(self, use_gp_layer, return_covmat):
batch_size = 32
num_classes = 2
test_layer = cls_head.GaussianProcessClassificationHead(
inner_dim=5,
num_classes=num_classes,
use_spec_norm=True,
use_gp_layer=use_gp_layer,
**self.spec_norm_kwargs,
**self.gp_layer_kwargs)
features = tf.zeros(shape=(batch_size, 10, 10), dtype=tf.float32)
outputs = test_layer(features, return_covmat=return_covmat)
if use_gp_layer and return_covmat:
self.assertIsInstance(outputs, tuple)
self.assertEqual(outputs[0].shape, (batch_size, num_classes))
self.assertEqual(outputs[1].shape, (batch_size, batch_size))
else:
self.assertIsInstance(outputs, tf.Tensor)
self.assertEqual(outputs.shape, (batch_size, num_classes))
def test_sngp_train_logits(self):
"""Checks if temperature scaling is disabled during training."""
features = tf.zeros(shape=(5, 10, 10), dtype=tf.float32)
gp_layer = cls_head.GaussianProcessClassificationHead(
inner_dim=5, num_classes=2)
# Without temperature.
gp_layer.temperature = None
outputs_no_temp = gp_layer(features, training=True)
# With temperature.
gp_layer.temperature = 10.
outputs_with_temp = gp_layer(features, training=True)
self.assertAllEqual(outputs_no_temp, outputs_with_temp)
def test_layer_serialization(self):
layer = cls_head.GaussianProcessClassificationHead(
inner_dim=5,
num_classes=2,
use_spec_norm=True,
use_gp_layer=True,
**self.spec_norm_kwargs,
**self.gp_layer_kwargs)
new_layer = cls_head.GaussianProcessClassificationHead.from_config(
layer.get_config())
# If the serialization was successful, the new config should match the old.
self.assertAllEqual(layer.get_config(), new_layer.get_config())
def test_sngp_kwargs_serialization(self):
"""Tests if SNGP-specific kwargs are added during serialization."""
layer = cls_head.GaussianProcessClassificationHead(
inner_dim=5,
num_classes=2,
use_spec_norm=True,
use_gp_layer=True,
**self.spec_norm_kwargs,
**self.gp_layer_kwargs)
layer_config = layer.get_config()
# The config value should equal to those defined in setUp().
self.assertEqual(layer_config["norm_multiplier"], 1.)
self.assertEqual(layer_config["num_inducing"], 512)
if __name__ == "__main__":
tf.test.main()
| Python | 4 | NasTul/models | official/nlp/modeling/layers/cls_head_test.py | [
"Apache-2.0"
] |
class A (α : Type) :=
(op : α → α → α)
class B (α : Type) extends A α :=
(op := λ a b, a)
(op_prop : ∀ a b, op a b = a)
class B' (α : Type) extends A α :=
(op_prop : ∀ a b, op a b = a)
(op := λ a b, a)
| Lean | 4 | JLimperg/lean | tests/lean/run/1557.lean | [
"Apache-2.0"
] |
/*****************************************************************************
*
* EXPORT/IMPORT stmt
*
*****************************************************************************/
ExportStmt:
EXPORT_P DATABASE Sconst copy_options
{
PGExportStmt *n = makeNode(PGExportStmt);
n->filename = $3;
n->options = NIL;
if ($4) {
n->options = list_concat(n->options, $4);
}
$$ = (PGNode *)n;
}
;
ImportStmt:
IMPORT_P DATABASE Sconst
{
PGImportStmt *n = makeNode(PGImportStmt);
n->filename = $3;
$$ = (PGNode *)n;
}
;
| Yacc | 4 | AldoMyrtaj/duckdb | third_party/libpg_query/grammar/statements/export.y | [
"MIT"
] |
"""
Problem Statement:
By starting at the top of the triangle below and moving to adjacent numbers on
the row below, the maximum total from top to bottom is 23.
3
7 4
2 4 6
8 5 9 3
That is, 3 + 7 + 4 + 9 = 23.
Find the maximum total from top to bottom in triangle.txt (right click and
'Save Link/Target As...'), a 15K text file containing a triangle with
one-hundred rows.
"""
import os
def solution() -> int:
"""
Finds the maximum total in a triangle as described by the problem statement
above.
>>> solution()
7273
"""
script_dir = os.path.dirname(os.path.realpath(__file__))
triangle_path = os.path.join(script_dir, "triangle.txt")
with open(triangle_path) as in_file:
triangle = [[int(i) for i in line.split()] for line in in_file]
while len(triangle) != 1:
last_row = triangle.pop()
curr_row = triangle[-1]
for j in range(len(last_row) - 1):
curr_row[j] += max(last_row[j], last_row[j + 1])
return triangle[0][0]
if __name__ == "__main__":
print(solution())
| Python | 5 | NavpreetDevpuri/Python | project_euler/problem_067/sol2.py | [
"MIT"
] |
unit aiMesh;
interface
uses aiTypes, aiMatrix4x4, aiVector3D, aiColor4D;
const
AI_MAX_NUMBER_OF_COLOR_SETS = $4;
AI_MAX_NUMBER_OF_TEXTURECOORDS = $4;
type TaiFace = packed record
mNumIndicies: cardinal;
mIndices: PCardinalArray;
end;
type PaiFace = ^TaiFace;
type PaiFaceArray = array [0..0] of PaiFace;
type TaiFaceArray = array [0..0] of TaiFace;
type PTaiFaceArray = ^TaiFaceArray;
type TaiVertexWeight = packed record
mVertexId: cardinal;
mWeight: single;
end;
type TaiBone = packed record
mName: aiString;
mNumWeights: cardinal;
mWeights: Pointer;
mOffsetMatrix: TaiMatrix4x4;
end;
type PaiBone = ^TaiBone;
type TaiPrimitiveType =
(
aiPrimitiveType_POINT = $1,
aiPrimitiveType_LINE = $2,
aiPrimitiveType_TRIANGLE = $4,
aiPrimitiveType_POLYGON = $8
//,_aiPrimitiveType_Force32Bit = $9fffffff
);
type TaiMesh = packed record
mPrimitiveTypes: cardinal;
mNumVertices: cardinal;
mNumFaces: cardinal;
mVertices: PTaiVector3DArray;
mNormals: PTaiVector3DArray;
mTangents: PaiVector3DArray;
mBitangents: PaiVector3DArray;
mColors: array[0..3] of PTaiColor4Darray; //array [0..3] of PaiColor4DArray; //array of 4
mTextureCoords: array [0..3] of PTaiVector3DArray; //array of 4
mNumUVComponents: array[0..AI_MAX_NUMBER_OF_TEXTURECOORDS -1] of cardinal;
mFaces: PTaiFaceArray;
mNumBones: cardinal;
mBones: PaiBone;
mMaterialIndex: cardinal;
mName: aiString;
mNumAniMeshes: cardinal;
mAniMeshes: pointer;
end;
type PaiMesh = ^TaiMesh;
type PPaiMesh = ^PaiMesh;
type PaiMeshArray = array [0..0] of PaiMesh;
type PPaiMeshArray = ^PaiMeshArray;
implementation
end.
| Pascal | 4 | sercand/assimp | port/AssimpDelphi/aiMesh.pas | [
"BSD-3-Clause"
] |
TDScriptLeafNode{#name:'postUpgrade330ResortSortedCollection',#contents:'[ :topez :objIn :tokens :command :commandNode |
| opts args |
\"for help: ./postUpgrade330ResortSortedCollection -h\"
command
getOptsMixedLongShort:
{#(\'help\' $h #\'none\').
#(\'sourceVersion\' nil #\'required\')}
optionsAndArguments: [ :options :operands |
opts := options.
args := operands ].
opts
at: \'help\'
ifAbsent: [
| gsTool sourceStoneVersion currentStoneVersion userId co allClasses instances instanceCount |
gsTool := topez toolInstanceFor: \'gs\'.
currentStoneVersion := ((gsTool gsversion: #\'stone\') at: \'gsVersion\')
asMetacelloVersionNumber.
opts
at: \'sourceVersion\'
ifPresent: [ :sourceVersionString | sourceStoneVersion := sourceVersionString asMetacelloVersionNumber ]
ifAbsent: [ self error: \'Required option --sourceVersion not present\' ].
userId := GsCurrentSession currentSession userProfile userId.
Transcript
cr;
show: \'Resorting Sorted Collections using \' , command command printString;
cr;
show: \'----------------------------\';
cr;
show: \'Finding sorted collections...\'.
System commit.
co := ClassOrganizer newWithRoot: SortedCollection forUserId: userId.
allClasses := co allSubclassesOf: SortedCollection.
allClasses add: SortedCollection.
instances := SystemRepository fastListInstances: allClasses asArray.
instanceCount := 0.
instances do: [ :ar | instanceCount := instanceCount + ar size ].
Transcript
cr;
show:
\'Resorting \' , instances size printString , \' sorted collections...\'.
instances
do: [ :ar |
ar
do: [ :sc |
sc resort.
System commit ] ].
Transcript
cr;
show: \'Finished resorting\'.
\'Resorted \' , instances size printString , \' sorted collections\' ]
ifPresent: [ :ignored |
TDManPage
viewManPage:
\'NAME
postUpgrade330ResortSortedCollection - Post-upgrade resort SortedCollections
SYNOPSIS
postUpgrade330ResortSortedCollection [-h|--help] --sourceVersion=<source-gemstone-version>
DESCRIPTION
Resort SortedCollections. Techinically we need to only resort SortedCollections that
include Unicode7, Unicode16 or Unicode32 instances, but since we can\'\'t tell the
difference, we\'\'ll resort them all.
If you can filter the SortedCollections better, then copy this script to
/sys/local/server/upgrade and modify as you see fit.
The environment variable $upgradeLogDir is expected to be set -- normally set by
$GS_HOME/bin/upgradeStone.
3.3.0 variant, where we don\'\'t use the SortedCollection helper methods.
EXAMPLES
./postUpgrade330ResortSortedCollection -h
./postUpgrade330ResortSortedCollection --sourceVersion=3.2.9
\'
topez: topez ] ]',#creationTime:DateAndTime['2016-06-02T15:51:35.24474310874939-07:00'],#modificationTime:DateAndTime['2016-06-07T14:51:03.064722061157227-07:00']} | STON | 3 | ahdach/GsDevKit_home | sys/default/server/upgrade/postUpgrade330ResortSortedCollection.ston | [
"MIT"
] |
Boutput_1J | PureBasic | 2 | pchandrasekaran1595/onnx | onnx/backend/test/data/node/test_split_zero_size_splits/test_data_set_0/output_0.pb | [
"Apache-2.0"
] |
[38;2;249;38;114m\[0m[38;2;249;38;114mdocumentclass[0m[38;2;255;255;255m{[0m[3;38;2;166;226;46ma[0m[3;38;2;166;226;46mr[0m[3;38;2;166;226;46mt[0m[3;38;2;166;226;46mi[0m[3;38;2;166;226;46mc[0m[3;38;2;166;226;46ml[0m[3;38;2;166;226;46me[0m[38;2;255;255;255m}[0m
[38;2;249;38;114m\[0m[38;2;249;38;114mbegin[0m[38;2;255;255;255m{[0m[3;38;2;253;151;31mdocument[0m[38;2;255;255;255m}[0m
[38;2;102;217;239m\[0m[38;2;102;217;239msection*[0m[38;2;255;255;255m{[0m[38;2;166;226;46mIntroduction[0m[38;2;255;255;255m}[0m
[38;2;248;248;242mText outside code environments should follow TeX/LaTeX highlighting.[0m
[38;2;248;248;242mThe code environment delimiters themselves should be highlighted.[0m
[38;2;248;248;242mText inside code environments should follow regular Haskell highlighting.[0m
[38;2;102;217;239m\[0m[38;2;102;217;239mbegin[0m[38;2;255;255;255m{[0m[3;38;2;253;151;31mcode[0m[38;2;255;255;255m}[0m
[38;2;249;38;114mimport[0m[38;2;248;248;242m [0m[38;2;248;248;242mData.List[0m
[38;2;249;38;114mimport[0m[38;2;248;248;242m [0m[38;2;248;248;242mSystem.Environment[0m
[38;2;249;38;114mimport[0m[38;2;248;248;242m [0m[38;2;248;248;242mText.Printf[0m
[38;2;166;226;46mtwoSumN[0m[38;2;248;248;242m [0m[38;2;249;38;114m::[0m[38;2;248;248;242m [0m[3;38;2;102;217;239mInt[0m[38;2;248;248;242m [0m[38;2;249;38;114m->[0m[38;2;248;248;242m [[0m[3;38;2;102;217;239mInt[0m[38;2;248;248;242m] [0m[38;2;249;38;114m->[0m[38;2;248;248;242m [[0m[3;38;2;102;217;239mInt[0m[38;2;248;248;242m][0m
[38;2;248;248;242mtwoSumN _ [0m[38;2;190;132;255m[][0m[38;2;248;248;242m [0m[38;2;249;38;114m=[0m[38;2;248;248;242m [0m[38;2;190;132;255m[][0m
[38;2;248;248;242mtwoSumN n (x [0m[38;2;249;38;114m:[0m[38;2;248;248;242m xs) [0m[38;2;249;38;114m|[0m[38;2;248;248;242m (n [0m[38;2;249;38;114m-[0m[38;2;248;248;242m x) [0m[38;2;249;38;114m`[0m[38;2;249;38;114melem[0m[38;2;249;38;114m`[0m[38;2;248;248;242m xs [0m[38;2;249;38;114m=[0m[38;2;248;248;242m [x[0m[38;2;248;248;242m,[0m[38;2;248;248;242m n [0m[38;2;249;38;114m-[0m[38;2;248;248;242m x][0m
[38;2;248;248;242m [0m[38;2;249;38;114m|[0m[38;2;248;248;242m otherwise [0m[38;2;249;38;114m=[0m[38;2;248;248;242m twoSumN n xs[0m
[38;2;166;226;46mthreeSumN[0m[38;2;248;248;242m [0m[38;2;249;38;114m::[0m[38;2;248;248;242m [0m[3;38;2;102;217;239mInt[0m[38;2;248;248;242m [0m[38;2;249;38;114m->[0m[38;2;248;248;242m [[0m[3;38;2;102;217;239mInt[0m[38;2;248;248;242m] [0m[38;2;249;38;114m->[0m[38;2;248;248;242m [[0m[3;38;2;102;217;239mInt[0m[38;2;248;248;242m][0m
[38;2;248;248;242mthreeSumN _ [0m[38;2;190;132;255m[][0m[38;2;248;248;242m [0m[38;2;249;38;114m=[0m[38;2;248;248;242m [0m[38;2;190;132;255m[][0m
[38;2;248;248;242mthreeSumN n (x [0m[38;2;249;38;114m:[0m[38;2;248;248;242m xs) [0m[38;2;249;38;114m|[0m[38;2;248;248;242m null partial [0m[38;2;249;38;114m=[0m[38;2;248;248;242m threeSumN n xs[0m
[38;2;248;248;242m [0m[38;2;249;38;114m|[0m[38;2;248;248;242m otherwise [0m[38;2;249;38;114m=[0m[38;2;248;248;242m x [0m[38;2;249;38;114m:[0m[38;2;248;248;242m partial[0m
[38;2;248;248;242m [0m[38;2;249;38;114mwhere[0m[38;2;248;248;242m partial [0m[38;2;249;38;114m=[0m[38;2;248;248;242m twoSumN (n [0m[38;2;249;38;114m-[0m[38;2;248;248;242m x) xs[0m
[38;2;102;217;239m\[0m[38;2;102;217;239mend[0m[38;2;255;255;255m{[0m[3;38;2;253;151;31mcode[0m[38;2;255;255;255m}[0m
[38;2;248;248;242mText in-between code environments.[0m
[38;2;117;113;94m% LaTeX comment.[0m
[38;2;102;217;239m\[0m[38;2;102;217;239mbegin[0m[38;2;255;255;255m{[0m[3;38;2;253;151;31mcode[0m[38;2;255;255;255m}[0m
[38;2;166;226;46moutput[0m[38;2;248;248;242m [0m[38;2;249;38;114m::[0m[38;2;248;248;242m [0m[3;38;2;102;217;239mString[0m[38;2;248;248;242m [0m[38;2;249;38;114m->[0m[38;2;248;248;242m [0m[3;38;2;102;217;239mIO[0m[38;2;248;248;242m [0m[38;2;102;217;239m()[0m
[38;2;248;248;242moutput path [0m[38;2;249;38;114m=[0m[38;2;248;248;242m [0m[38;2;249;38;114mdo[0m
[38;2;248;248;242m input [0m[38;2;249;38;114m<-[0m[38;2;248;248;242m sort [0m[38;2;249;38;114m.[0m[38;2;248;248;242m map read [0m[38;2;249;38;114m.[0m[38;2;248;248;242m filter (not [0m[38;2;249;38;114m.[0m[38;2;248;248;242m null) [0m[38;2;249;38;114m.[0m[38;2;248;248;242m lines [0m[38;2;249;38;114m<$>[0m[38;2;248;248;242m readFile path[0m
[38;2;248;248;242m printf [0m[38;2;230;219;116m"[0m[38;2;230;219;116mFile: %s[0m[38;2;190;132;255m\n[0m[38;2;230;219;116m"[0m[38;2;248;248;242m path[0m
[38;2;248;248;242m printf [0m[38;2;230;219;116m"[0m[38;2;230;219;116m Part 1: %d[0m[38;2;190;132;255m\n[0m[38;2;230;219;116m"[0m[38;2;248;248;242m [0m[38;2;249;38;114m.[0m[38;2;248;248;242m product [0m[38;2;249;38;114m.[0m[38;2;248;248;242m twoSumN [0m[38;2;190;132;255m2020[0m[38;2;248;248;242m [0m[38;2;249;38;114m$[0m[38;2;248;248;242m input[0m
[38;2;248;248;242m printf [0m[38;2;230;219;116m"[0m[38;2;230;219;116m Part 2: %d[0m[38;2;190;132;255m\n[0m[38;2;230;219;116m"[0m[38;2;248;248;242m [0m[38;2;249;38;114m.[0m[38;2;248;248;242m product [0m[38;2;249;38;114m.[0m[38;2;248;248;242m threeSumN [0m[38;2;190;132;255m2020[0m[38;2;248;248;242m [0m[38;2;249;38;114m$[0m[38;2;248;248;242m input[0m
[38;2;117;113;94m--[0m[38;2;117;113;94m Haskell comment inside code environment.[0m
[38;2;166;226;46mmain[0m[38;2;248;248;242m [0m[38;2;249;38;114m::[0m[38;2;248;248;242m [0m[3;38;2;102;217;239mIO[0m[38;2;248;248;242m [0m[38;2;102;217;239m()[0m
[38;2;248;248;242mmain [0m[38;2;249;38;114m=[0m[38;2;248;248;242m getArgs [0m[38;2;249;38;114m>>=[0m[38;2;248;248;242m mapM_ output[0m
[38;2;102;217;239m\[0m[38;2;102;217;239mend[0m[38;2;255;255;255m{[0m[3;38;2;253;151;31mcode[0m[38;2;255;255;255m}[0m
[38;2;249;38;114m\[0m[38;2;249;38;114mend[0m[38;2;255;255;255m{[0m[3;38;2;253;151;31mdocument[0m[38;2;255;255;255m}[0m
| Literate Haskell | 4 | ka7/bat | tests/syntax-tests/highlighted/Literate Haskell/Main.lhs | [
"Apache-2.0",
"MIT"
] |
<%@ Page Language="C#" %>
<%@ Import namespace="System.Data"%>
<%@ Import namespace="System.Data.SqlClient"%>
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<script runat="server">
/// <summary>
///
/// </summary>
/// <param name="sender"></param>
/// <param name="e"></param>
protected void btnLogin_Click(object sender, EventArgs e)
{
SqlConnection sqlConnection = null;
try
{
sqlConnection = new SqlConnection();
sqlConnection.ConnectionString = "Data source=" + txtDatabaseServer.Text +
";User id=" + txtUserId.Text +
";Password=" + txtPassword.Text +
";Initial catalog=" + txtDatabase.Text;
sqlConnection.Open();
SqlCommand sqlCommand = null;
SqlDataAdapter sqlDataAdapter = null;
sqlCommand = new SqlCommand("sp_stored_procedures", sqlConnection);
sqlCommand.CommandType = CommandType.StoredProcedure;
sqlDataAdapter = new SqlDataAdapter(sqlCommand);
lblStatus.Text = string.Empty;
DataSet dataSet = new DataSet();
sqlDataAdapter.Fill(dataSet, "SPs");
cboSps.DataSource = dataSet.Tables["SPs"];
cboSps.DataTextField = "PROCEDURE_NAME";
cboSps.DataBind();
}
catch (SqlException sqlEx)
{
lblStatus.Text = sqlEx.Message;
}
catch (Exception ex)
{
lblStatus.Text = ex.Message;
}
finally
{
if (sqlConnection != null)
{
sqlConnection.Dispose();
}
}
}
/// <summary>
///
/// </summary>
/// <param name="sender"></param>
/// <param name="e"></param>
protected void btnGetParameters_Click(object sender, EventArgs e)
{
SqlConnection sqlConnection = null;
try
{
sqlConnection = new SqlConnection();
sqlConnection.ConnectionString = "Data source=" + txtDatabaseServer.Text +
";User id=" + txtUserId.Text +
";Password=" + txtPassword.Text +
";Initial catalog=" + txtDatabase.Text;
SqlCommand sqlCommand = new SqlCommand("sp_sproc_columns", sqlConnection);
sqlCommand.CommandType = CommandType.StoredProcedure;
SqlDataAdapter sqlDataAdapter = new SqlDataAdapter(sqlCommand);
lblStatus.Text = string.Empty;
sqlCommand.CommandType = CommandType.StoredProcedure;
sqlCommand.Parameters.Add("@procedure_name", SqlDbType.NVarChar, 390).Value = cboSps.SelectedItem.Value;
DataSet dataSet = new DataSet();
sqlDataAdapter.Fill(dataSet, "Parameters");
gridParameters.DataSource = dataSet.Tables["Parameters"];
gridParameters.DataBind();
gridResults.Visible = false;
}
catch (SqlException sqlEx)
{
lblStatus.Text = sqlEx.Message;
}
finally
{
if (sqlConnection != null)
{
sqlConnection.Dispose();
}
}
}
/// <summary>
///
/// </summary>
/// <param name="sender"></param>
/// <param name="e"></param>
protected void btnExecute_Click(object sender, EventArgs e)
{
SqlConnection sqlConnection = null;
try
{
sqlConnection = new SqlConnection();
sqlConnection.ConnectionString = "Data source=" + txtDatabaseServer.Text +
";User id=" + txtUserId.Text +
";Password=" + txtPassword.Text +
";Initial catalog=" + txtDatabase.Text;
DataSet dataSet = new DataSet();
SqlCommand sqlCommand = new SqlCommand(cboSps.SelectedItem.Value, sqlConnection);
SqlDataAdapter sqlDataAdapter = new SqlDataAdapter(sqlCommand);
lblStatus.Text = string.Empty;
sqlCommand.CommandType = CommandType.StoredProcedure;
this.AddParameters(sqlCommand);
sqlDataAdapter.Fill(dataSet, "Results");
this.UpdateParameters(sqlCommand);
gridResults.DataSource = dataSet.Tables["Results"];
gridResults.DataBind();
gridResults.Visible = true;
}
catch (SqlException sqlEx)
{
lblStatus.Text = sqlEx.Message;
}
finally
{
if (sqlConnection != null)
{
sqlConnection.Dispose();
}
}
}
/// <summary>
///
/// </summary>
/// <param name="sqlCommand"></param>
private void AddParameters(SqlCommand sqlCommand)
{
foreach (DataGridItem dataGridItem in gridParameters.Items)
{
if (((TableCell)dataGridItem.Controls[5]).Text != "5")
{
switch (((TableCell)dataGridItem.Controls[1]).Text.ToLower())
{
case "bit":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.Bit).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
case "bigint":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.BigInt).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
case "char":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.Char, int.Parse(((TableCell)dataGridItem.Controls[2]).Text)).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
case "datetime":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.DateTime).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
case "decimal":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.Decimal).Value = decimal.Parse(((TextBox)dataGridItem.Controls[6].Controls[1]).Text);
break;
case "float":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.Float).Value = float.Parse(((TextBox)dataGridItem.Controls[6].Controls[1]).Text);
break;
case "int":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.Int).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
case "nchar":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.NChar).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
case "ntext":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.NText, int.Parse(((TableCell)dataGridItem.Controls[2]).Text)).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
case "nvarchar":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.NVarChar, int.Parse(((TableCell)dataGridItem.Controls[2]).Text)).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
case "real":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.Real).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
case "smallint":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.SmallInt).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
case "tinyint":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.TinyInt).Value = uint.Parse(((TextBox)dataGridItem.Controls[6].Controls[1]).Text);
break;
case "varchar":
sqlCommand.Parameters.Add(((TableCell)dataGridItem.Controls[0]).Text, SqlDbType.VarChar, int.Parse(((TableCell)dataGridItem.Controls[2]).Text)).Value = ((TextBox)dataGridItem.Controls[6].Controls[1]).Text;
break;
default:
continue;
}
}
if (((TableCell)dataGridItem.Controls[5]).Text == "2")
{
sqlCommand.Parameters[((TableCell)dataGridItem.Controls[0]).Text].Direction = ParameterDirection.InputOutput;
}
}
}
/// <summary>
///
/// </summary>
/// <param name="sqlCommand"></param>
private void UpdateParameters(SqlCommand sqlCommand)
{
foreach (DataGridItem dataGridItem in gridParameters.Items)
{
if (((TableCell)dataGridItem.Controls[5]).Text != "5")
{
((TableCell)dataGridItem.Controls[7]).Text = sqlCommand.Parameters[((TableCell)dataGridItem.Controls[0]).Text].Value.ToString();
}
}
}
</script>
<html xmlns="http://www.w3.org/1999/xhtml" >
<head runat="server">
<title>Stored Procedure Execute</title>
<style type="text/css"><!--body,table,p,pre,form input,form select {font-family: "Lucida Console", monospace; font-size: 88%;}--></style>
</head>
<body>
<form id="form1" runat="server">
<table>
<tbody>
<tr>
<td>
Database server:</td>
<td>
<asp:TextBox id="txtDatabaseServer" runat="server"></asp:TextBox>
</td>
</tr>
<tr>
<td>
User id:</td>
<td>
<asp:TextBox id="txtUserId" runat="server"></asp:TextBox>
</td>
</tr>
<tr>
<td>
Password:</td>
<td>
<asp:TextBox id="txtPassword" runat="server"></asp:TextBox>
</td>
</tr>
<tr>
<td>
Database:</td>
<td>
<asp:TextBox id="txtDatabase" runat="server"></asp:TextBox>
</td>
</tr>
<tr>
<td>
</td>
<td>
<asp:Button id="btnLogin" onclick="btnLogin_Click" runat="server" Text="Login"></asp:Button>
</td>
</tr>
<tr>
<td>
Stored procedures:</td>
<td>
<asp:DropDownList id="cboSps" runat="server"></asp:DropDownList>
</td>
</tr>
<tr>
<td>
</td>
<td>
<p>
<asp:Button id="btnGetParams" onclick="btnGetParameters_Click" runat="server" Text="Get Parameters"></asp:Button>
<asp:Button id="btnExecute" onclick="btnExecute_Click" runat="server" Text="Execute Query"></asp:Button>
</p>
</td>
</tr>
<tr>
<td>
Status:</td>
<td>
<asp:Label id="lblStatus" runat="server"></asp:Label></td>
</tr>
</tbody>
</table>
<p>
<asp:DataGrid id="gridParameters" runat="server" AutoGenerateColumns="False">
<Columns>
<asp:BoundColumn DataField="column_name" HeaderText="Name"></asp:BoundColumn>
<asp:BoundColumn DataField="type_name" HeaderText="Type"></asp:BoundColumn>
<asp:BoundColumn DataField="length" HeaderText="Length"></asp:BoundColumn>
<asp:BoundColumn DataField="precision" HeaderText="Precision"></asp:BoundColumn>
<asp:BoundColumn DataField="scale" HeaderText="Scale"></asp:BoundColumn>
<asp:BoundColumn DataField="column_type" HeaderText="Column Type"></asp:BoundColumn>
<asp:TemplateColumn HeaderText="Input Value">
<ItemTemplate>
<asp:TextBox ID="TextBox1" runat="server"></asp:TextBox>
</ItemTemplate>
</asp:TemplateColumn>
<asp:BoundColumn HeaderText="Output Value"></asp:BoundColumn>
</Columns>
</asp:DataGrid>
</p>
<p>
<asp:DataGrid id="gridResults" runat="server"></asp:DataGrid>
</p>
<p>
</p>
<p>
<a href="spexec.aspx">Restart</a>
</p>
</form>
</body>
</html>
| ASP | 4 | laotun-s/webshell | web-malware-collection-13-06-2012/ASP/spexec.aspx | [
"MIT"
] |
extensions [ table ]
__includes ["sets.nls" "FP.nls" "ASCII.nls" "DF.nls"]
globals [G1 G2]
to test [s]
output-print (word s " ==> " (run-result s))
end
to set:test
clear-output
let s1 [1 2 3 4 5]
let s2 [4 3 5 6 7 8]
let l1 [1 2 3 4 5 4 3 2 1]
output-print set:add 2 set:empty
output-print set:add 2 set:empty
output-print set:remove 2 [2 3]
output-print set:remove 2 [3 4]
output-print set:from-list l1
output-print set:union s1 s2
output-print set:intersection s1 s2
output-print set:subset? [5 3] s1
output-print set:subset? s1 s2
output-print set:equal? s1 s2
output-print set:equal? [1 2] [2 1]
output-print set:dif s1 s2
output-print set:dif s2 s1
output-print set:sym-dif s1 s2
output-print set:member? 1 s1
output-print set:member? 1 s2
output-print set:is-set? l1
output-print set:is-set? s1
output-print set:size s1
output-print set:power (set:from-list [1 2])
end
to FP:test
clear-output
clear-all-plots
let l1 n-values 10 [random 10]
output-print take 2 l1
output-print drop 2 l1
output-print takewhile [x -> x < 5] l1
output-print dropwhile [x -> x < 5] l1
output-print zip range 10 l1
output-print __apply-result approximate-rgb [50 100 150]
foreach (zip range 10 l1) [ p -> __apply plotxy p]
end
to FP:test2
let l1 range 10
set G1 [x -> 2 * x]
set G2 [x -> x + 1]
let G composition G1 G2
; Lo que se obtiene es un procedimiento anónimo
show (runresult G 4)
; Por eso se puede usar directamente en etructuras como map
show (map G l1)
; Parece ser que puedo usar el nombre de variable que almacena el
; report anónimo solo cuando es una variable global
show (apply-anon-report "[x -> 2 * x]" [2])
show (apply-anon-report "G1" [2])
; y funciona bien al cambio
set G1 [x -> x + 1]
show (apply-anon-report "G1" [2])
;show (apply-report "G1" [2])
set G1 [[x y] -> aux1 x y]
show (word "G1: " G1)
set G2 [[x y] -> x + y]
set G composition-anon G2 G1
show (runresult G [1 2])
end
to-report aux1 [x y]
report (list (x + y) (x * y))
end
to Table:test
let T table:from-list zip (range 10) (n-values 10 [random 10])
let lowletters "abcdefghijklmnñopqrstuvwxyz"
let uppletters "ABCDEFGHIJKLMNÑOPQRSTUVWXYZ"
show T
table:foreach T [ [k v] -> print (word k " :-> " v)]
show table:map [ [k v] -> (list (item k Lowletters) (-1 * v))] T
show table:filter [[k v] -> (k < 4)] T
end
to String:test
let S "super12minus43"
show S
string:foreach S [ c -> print c]
show string:map [c -> chr (1 + asc c)] S
show reduce word string:map [c -> chr (1 + asc c)] S
show string:filter [c -> is-digit? c] S
show string:filter [c -> is-letter? c] S
show string:upto 5 S
show string:from 5 S
show string:split-by 5 S
end
to ASCII:test
show is-digit? "2"
show is-digit? "a"
show is-letter? "a"
show is-letter? "W"
show is-letter? "3"
end
to DF:titanic
ca
let dftest DF:n-of 10 DF:load "titanic.csv"
output-print DF:pp dftest
let df2 (DF:scale "Age" 0 1 dftest )
let df3 (DF:scale "Fare" -1 1 df2)
let df4 (DF:normalize "Fare" df2)
let df5 DF:enum dftest
let df6 DF:move-col "#-row" 0 df5
let df7 DF:move-col "Survived" 8 df6
output-print DF:pp df7
end
to DF:test
ca
let dftest DF:load "Train 1.txt"
; let dftest DF:load "iris.txt"
output-print "Print complete DF: DF:pp dftest"
output-print DF:pp dftest
DF:summary dftest
output-print "Print complete DF: DF:Cat2NumIndep dftest Outlook"
output-print DF:pp DF:Cat2NumIndep "Outlook" dftest
output-print "Print complete DF: DF:Cat2NumDep dftest Outlook"
output-print DF:pp DF:Cat2NumDep "Outlook" dftest
output-print "Print complete DF: DF:Bin2NumDep dftest Windy"
output-print DF:pp DF:Bin2NumDep "Windy" dftest
output-print "Print complete DF: DF:Bin2NumIndep dftest Windy"
output-print DF:pp DF:Bin2NumIndep "Windy" dftest
output-print "Filter DF (by Windy and PlayGolf:"
let dftest2 DF:filter [r -> (DF:value "Windy" r dftest) and (DF:value "PlayGolf" r dftest)] dftest
output-print DF:pp dftest2
output-print "Values in a column (Outlook):"
output-print (DF:col-values "Outlook" dftest)
output-print ""
output-print "Remove Column (Outlook):"
output-print DF:pp (DF:rem-col "Outlook" dftest)
output-print "Separate DFs by every value in every attribute:"
output-print ""
foreach DF:header dftest [
h ->
foreach (DF:col-values h dftest)[
v ->
output-print (word h " = " v)
let f [r -> DF:value h r dftest = v]
output-print DF:pp (DF:filter f dftest)
]
]
set dftest DF:n-of 10 DF:load "iris.txt"
output-print "Print complete DF: DF:sort dftest pw"
output-print DF:pp DF:sort-col "pw" dftest
output-print "Print complete DF: DF:sort dftest pw"
output-print DF:pp DF:enum dftest
output-print "Print complete DF: DF:sortby dftest f"
output-print DF:pp DF:sort-by [[r1 r2] -> ((first r1) < (first r2)) or ((first r1) = (first r2) and (item 1 r1) < (item 1 r2))] dftest
output-print "Print DF Header: DF:header dftest"
output-print DF:header dftest
output-print ""
output-print "Print DF (first 3 rows): DF:output (DF:first 3 dftest)"
output-print DF:pp (DF:first 3 dftest)
output-print "Print DF (last 3 rows): DF:output (DF:last 3 dftest)"
output-print DF:pp (DF:last 3 dftest)
output-print "Print DF (3 random rows): DF:output (DF:n-of 3 dftest)"
output-print DF:pp (DF:n-of 3 dftest)
output-print "Add column manually (Random):"
output-print DF:pp (DF:first 3 (DF:add-col "Random" (n-values (first DF:shape dftest) [random 10]) dftest))
output-print "Add Calculated Column (Join):"
let dftest1 DF:add-calc-col "Join" [r -> (word (item 0 r) " " (item 1 r))] dftest
output-print DF:pp (DF:first 3 dftest1)
; let a DF:save dftest "Train2.txt"
DF:foreach dftest [r -> print r]
show DF:map [x -> first x] dftest
end
@#$#@#$#@
GRAPHICS-WINDOW
210
10
647
448
-1
-1
13.0
1
10
1
1
1
0
1
1
1
-16
16
-16
16
0
0
1
ticks
30.0
PLOT
8
10
208
160
plot 1
NIL
NIL
0.0
10.0
0.0
10.0
true
false
"" ""
PENS
"default" 1.0 0 -16777216 true "" "plot count turtles"
OUTPUT
656
10
1236
444
10
BUTTON
75
207
138
240
set
set:test
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
BUTTON
79
241
142
274
FP
FP:test
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
BUTTON
81
278
154
311
NIL
DF:test\n
NIL
1
T
OBSERVER
NIL
NIL
NIL
NIL
1
@#$#@#$#@
## WHAT IS IT?
(a general understanding of what the model is trying to show or explain)
## HOW IT WORKS
(what rules the agents use to create the overall behavior of the model)
## HOW TO USE IT
(how to use the model, including a description of each of the items in the Interface tab)
## THINGS TO NOTICE
(suggested things for the user to notice while running the model)
## THINGS TO TRY
(suggested things for the user to try to do (move sliders, switches, etc.) with the model)
## EXTENDING THE MODEL
(suggested things to add or change in the Code tab to make the model more complicated, detailed, accurate, etc.)
## NETLOGO FEATURES
(interesting or unusual features of NetLogo that the model uses, particularly in the Code tab; or where workarounds were needed for missing features)
## RELATED MODELS
(models in the NetLogo Models Library and elsewhere which are of related interest)
## CREDITS AND REFERENCES
(a reference to the model's URL on the web if it has one, as well as any other necessary credits, citations, and links)
@#$#@#$#@
default
true
0
Polygon -7500403 true true 150 5 40 250 150 205 260 250
airplane
true
0
Polygon -7500403 true true 150 0 135 15 120 60 120 105 15 165 15 195 120 180 135 240 105 270 120 285 150 270 180 285 210 270 165 240 180 180 285 195 285 165 180 105 180 60 165 15
arrow
true
0
Polygon -7500403 true true 150 0 0 150 105 150 105 293 195 293 195 150 300 150
box
false
0
Polygon -7500403 true true 150 285 285 225 285 75 150 135
Polygon -7500403 true true 150 135 15 75 150 15 285 75
Polygon -7500403 true true 15 75 15 225 150 285 150 135
Line -16777216 false 150 285 150 135
Line -16777216 false 150 135 15 75
Line -16777216 false 150 135 285 75
bug
true
0
Circle -7500403 true true 96 182 108
Circle -7500403 true true 110 127 80
Circle -7500403 true true 110 75 80
Line -7500403 true 150 100 80 30
Line -7500403 true 150 100 220 30
butterfly
true
0
Polygon -7500403 true true 150 165 209 199 225 225 225 255 195 270 165 255 150 240
Polygon -7500403 true true 150 165 89 198 75 225 75 255 105 270 135 255 150 240
Polygon -7500403 true true 139 148 100 105 55 90 25 90 10 105 10 135 25 180 40 195 85 194 139 163
Polygon -7500403 true true 162 150 200 105 245 90 275 90 290 105 290 135 275 180 260 195 215 195 162 165
Polygon -16777216 true false 150 255 135 225 120 150 135 120 150 105 165 120 180 150 165 225
Circle -16777216 true false 135 90 30
Line -16777216 false 150 105 195 60
Line -16777216 false 150 105 105 60
car
false
0
Polygon -7500403 true true 300 180 279 164 261 144 240 135 226 132 213 106 203 84 185 63 159 50 135 50 75 60 0 150 0 165 0 225 300 225 300 180
Circle -16777216 true false 180 180 90
Circle -16777216 true false 30 180 90
Polygon -16777216 true false 162 80 132 78 134 135 209 135 194 105 189 96 180 89
Circle -7500403 true true 47 195 58
Circle -7500403 true true 195 195 58
circle
false
0
Circle -7500403 true true 0 0 300
circle 2
false
0
Circle -7500403 true true 0 0 300
Circle -16777216 true false 30 30 240
cow
false
0
Polygon -7500403 true true 200 193 197 249 179 249 177 196 166 187 140 189 93 191 78 179 72 211 49 209 48 181 37 149 25 120 25 89 45 72 103 84 179 75 198 76 252 64 272 81 293 103 285 121 255 121 242 118 224 167
Polygon -7500403 true true 73 210 86 251 62 249 48 208
Polygon -7500403 true true 25 114 16 195 9 204 23 213 25 200 39 123
cylinder
false
0
Circle -7500403 true true 0 0 300
dot
false
0
Circle -7500403 true true 90 90 120
face happy
false
0
Circle -7500403 true true 8 8 285
Circle -16777216 true false 60 75 60
Circle -16777216 true false 180 75 60
Polygon -16777216 true false 150 255 90 239 62 213 47 191 67 179 90 203 109 218 150 225 192 218 210 203 227 181 251 194 236 217 212 240
face neutral
false
0
Circle -7500403 true true 8 7 285
Circle -16777216 true false 60 75 60
Circle -16777216 true false 180 75 60
Rectangle -16777216 true false 60 195 240 225
face sad
false
0
Circle -7500403 true true 8 8 285
Circle -16777216 true false 60 75 60
Circle -16777216 true false 180 75 60
Polygon -16777216 true false 150 168 90 184 62 210 47 232 67 244 90 220 109 205 150 198 192 205 210 220 227 242 251 229 236 206 212 183
fish
false
0
Polygon -1 true false 44 131 21 87 15 86 0 120 15 150 0 180 13 214 20 212 45 166
Polygon -1 true false 135 195 119 235 95 218 76 210 46 204 60 165
Polygon -1 true false 75 45 83 77 71 103 86 114 166 78 135 60
Polygon -7500403 true true 30 136 151 77 226 81 280 119 292 146 292 160 287 170 270 195 195 210 151 212 30 166
Circle -16777216 true false 215 106 30
flag
false
0
Rectangle -7500403 true true 60 15 75 300
Polygon -7500403 true true 90 150 270 90 90 30
Line -7500403 true 75 135 90 135
Line -7500403 true 75 45 90 45
flower
false
0
Polygon -10899396 true false 135 120 165 165 180 210 180 240 150 300 165 300 195 240 195 195 165 135
Circle -7500403 true true 85 132 38
Circle -7500403 true true 130 147 38
Circle -7500403 true true 192 85 38
Circle -7500403 true true 85 40 38
Circle -7500403 true true 177 40 38
Circle -7500403 true true 177 132 38
Circle -7500403 true true 70 85 38
Circle -7500403 true true 130 25 38
Circle -7500403 true true 96 51 108
Circle -16777216 true false 113 68 74
Polygon -10899396 true false 189 233 219 188 249 173 279 188 234 218
Polygon -10899396 true false 180 255 150 210 105 210 75 240 135 240
house
false
0
Rectangle -7500403 true true 45 120 255 285
Rectangle -16777216 true false 120 210 180 285
Polygon -7500403 true true 15 120 150 15 285 120
Line -16777216 false 30 120 270 120
leaf
false
0
Polygon -7500403 true true 150 210 135 195 120 210 60 210 30 195 60 180 60 165 15 135 30 120 15 105 40 104 45 90 60 90 90 105 105 120 120 120 105 60 120 60 135 30 150 15 165 30 180 60 195 60 180 120 195 120 210 105 240 90 255 90 263 104 285 105 270 120 285 135 240 165 240 180 270 195 240 210 180 210 165 195
Polygon -7500403 true true 135 195 135 240 120 255 105 255 105 285 135 285 165 240 165 195
line
true
0
Line -7500403 true 150 0 150 300
line half
true
0
Line -7500403 true 150 0 150 150
pentagon
false
0
Polygon -7500403 true true 150 15 15 120 60 285 240 285 285 120
person
false
0
Circle -7500403 true true 110 5 80
Polygon -7500403 true true 105 90 120 195 90 285 105 300 135 300 150 225 165 300 195 300 210 285 180 195 195 90
Rectangle -7500403 true true 127 79 172 94
Polygon -7500403 true true 195 90 240 150 225 180 165 105
Polygon -7500403 true true 105 90 60 150 75 180 135 105
plant
false
0
Rectangle -7500403 true true 135 90 165 300
Polygon -7500403 true true 135 255 90 210 45 195 75 255 135 285
Polygon -7500403 true true 165 255 210 210 255 195 225 255 165 285
Polygon -7500403 true true 135 180 90 135 45 120 75 180 135 210
Polygon -7500403 true true 165 180 165 210 225 180 255 120 210 135
Polygon -7500403 true true 135 105 90 60 45 45 75 105 135 135
Polygon -7500403 true true 165 105 165 135 225 105 255 45 210 60
Polygon -7500403 true true 135 90 120 45 150 15 180 45 165 90
sheep
false
15
Circle -1 true true 203 65 88
Circle -1 true true 70 65 162
Circle -1 true true 150 105 120
Polygon -7500403 true false 218 120 240 165 255 165 278 120
Circle -7500403 true false 214 72 67
Rectangle -1 true true 164 223 179 298
Polygon -1 true true 45 285 30 285 30 240 15 195 45 210
Circle -1 true true 3 83 150
Rectangle -1 true true 65 221 80 296
Polygon -1 true true 195 285 210 285 210 240 240 210 195 210
Polygon -7500403 true false 276 85 285 105 302 99 294 83
Polygon -7500403 true false 219 85 210 105 193 99 201 83
square
false
0
Rectangle -7500403 true true 30 30 270 270
square 2
false
0
Rectangle -7500403 true true 30 30 270 270
Rectangle -16777216 true false 60 60 240 240
star
false
0
Polygon -7500403 true true 151 1 185 108 298 108 207 175 242 282 151 216 59 282 94 175 3 108 116 108
target
false
0
Circle -7500403 true true 0 0 300
Circle -16777216 true false 30 30 240
Circle -7500403 true true 60 60 180
Circle -16777216 true false 90 90 120
Circle -7500403 true true 120 120 60
tree
false
0
Circle -7500403 true true 118 3 94
Rectangle -6459832 true false 120 195 180 300
Circle -7500403 true true 65 21 108
Circle -7500403 true true 116 41 127
Circle -7500403 true true 45 90 120
Circle -7500403 true true 104 74 152
triangle
false
0
Polygon -7500403 true true 150 30 15 255 285 255
triangle 2
false
0
Polygon -7500403 true true 150 30 15 255 285 255
Polygon -16777216 true false 151 99 225 223 75 224
truck
false
0
Rectangle -7500403 true true 4 45 195 187
Polygon -7500403 true true 296 193 296 150 259 134 244 104 208 104 207 194
Rectangle -1 true false 195 60 195 105
Polygon -16777216 true false 238 112 252 141 219 141 218 112
Circle -16777216 true false 234 174 42
Rectangle -7500403 true true 181 185 214 194
Circle -16777216 true false 144 174 42
Circle -16777216 true false 24 174 42
Circle -7500403 false true 24 174 42
Circle -7500403 false true 144 174 42
Circle -7500403 false true 234 174 42
turtle
true
0
Polygon -10899396 true false 215 204 240 233 246 254 228 266 215 252 193 210
Polygon -10899396 true false 195 90 225 75 245 75 260 89 269 108 261 124 240 105 225 105 210 105
Polygon -10899396 true false 105 90 75 75 55 75 40 89 31 108 39 124 60 105 75 105 90 105
Polygon -10899396 true false 132 85 134 64 107 51 108 17 150 2 192 18 192 52 169 65 172 87
Polygon -10899396 true false 85 204 60 233 54 254 72 266 85 252 107 210
Polygon -7500403 true true 119 75 179 75 209 101 224 135 220 225 175 261 128 261 81 224 74 135 88 99
wheel
false
0
Circle -7500403 true true 3 3 294
Circle -16777216 true false 30 30 240
Line -7500403 true 150 285 150 15
Line -7500403 true 15 150 285 150
Circle -7500403 true true 120 120 60
Line -7500403 true 216 40 79 269
Line -7500403 true 40 84 269 221
Line -7500403 true 40 216 269 79
Line -7500403 true 84 40 221 269
wolf
false
0
Polygon -16777216 true false 253 133 245 131 245 133
Polygon -7500403 true true 2 194 13 197 30 191 38 193 38 205 20 226 20 257 27 265 38 266 40 260 31 253 31 230 60 206 68 198 75 209 66 228 65 243 82 261 84 268 100 267 103 261 77 239 79 231 100 207 98 196 119 201 143 202 160 195 166 210 172 213 173 238 167 251 160 248 154 265 169 264 178 247 186 240 198 260 200 271 217 271 219 262 207 258 195 230 192 198 210 184 227 164 242 144 259 145 284 151 277 141 293 140 299 134 297 127 273 119 270 105
Polygon -7500403 true true -1 195 14 180 36 166 40 153 53 140 82 131 134 133 159 126 188 115 227 108 236 102 238 98 268 86 269 92 281 87 269 103 269 113
x
false
0
Polygon -7500403 true true 270 75 225 30 30 225 75 270
Polygon -7500403 true true 30 75 75 30 270 225 225 270
@#$#@#$#@
NetLogo 6.2.0
@#$#@#$#@
@#$#@#$#@
@#$#@#$#@
@#$#@#$#@
@#$#@#$#@
default
0.0
-0.2 0 0.0 1.0
0.0 1 1.0 0.0
0.2 0 0.0 1.0
link direction
true
0
Line -7500403 true 150 150 90 180
Line -7500403 true 150 150 210 180
@#$#@#$#@
0
@#$#@#$#@
| NetLogo | 5 | fsancho/IA | utils/tests.nlogo | [
"MIT"
] |
(import "arc.time")
(= ev-depth 3) ;; depth of searching
(= ev-space 10) ;; space of searching
(mac keying (x y)
`(+ ,x "-" ,y))
(mac unkeying (key)
(w/uniq key-s
`(let ,key-s ,key
(cons (int:string (,key-s 0))
(int:string (,key-s 2))))))
(mac invert (color)
`(if (is ,color 'w) 'b 'w))
(mac getxy (k board) `(,board ,k))
(def setxy (k board color (o copy? t))
(let rt (if copy? (copy board) board)
(= (rt k) color)))
(def setxy-multiple (k-list board color (o copy? t))
(let rt (if copy? (copy board) board)
((afn (ks)
(if ks
(do
(setxy (car ks) rt color nil)
(self (cdr ks)))
rt))
k-list)))
(def list-to-table (lis)
(with (rt {} x 0 y 0)
(map (fn (l)
(map (fn (c)
(= (rt (keying x y)) c)
(++ x))
l)
(= x 0)
(++ y))
lis)
rt))
(= ev-tbl
(list-to-table
'((400 -2 4 0 0 4 -2 400)
( -2 -50 0 0 0 0 -50 -2)
( 4 0 4 0 0 4 0 4)
( 0 0 0 0 0 0 0 0)
( 0 0 0 0 0 0 0 0)
( 4 0 4 0 0 4 0 4)
( -2 -50 0 0 0 0 -50 -2)
(400 -2 4 0 0 4 -2 400))))
(= positions-all
(map (fn (x)
(map (fn (y) (keying x y))
(range 0 7)))
(range 0 7)))
(def get-around-position-1 (k n)
(with (fs (list [- _ n] idfn [+ _ n])
(x . y) (unkeying k))
(rem
k
(mappend
(fn (f1)
(map
(fn (f2)
(with (x (f1 x) y (f2 y))
(if (and (< -1 x 8) (< -1 y 8))
(keying x y))))
fs))
fs))))
(let memo {}
(def get-around-positions (k)
(aif (memo k)
it
(ret rt
(rem nil
(apply map
(compose [rem nil _] list)
(map (fn (n) (get-around-position-1 k n)) (range 1 7))))
(= (memo k) rt)))))
(mac collect-around-positions (k board . options)
(w/uniq (board-s)
`(with (,board-s ,board)
(mappend
(fn (ks)
((afn (ks acc)
(if ks
(let pos-color (getxy (car ks) ,board-s)
(if ,@(mappend
(fn (opt)
`((is pos-color ,(car opt))
,(case (cadr opt)
next `(self (cdr ks) (cons (car ks) acc))
return `(if acc (list (car ks)))
return-collecting `(nrev acc))))
(pair options))))))
ks nil))
(get-around-positions ,k)))))
(def get-possible-around-positions (k board color)
(collect-around-positions
k board
(invert color) next
color return-collecting))
(def get-puttable-around-positions (k board color)
(collect-around-positions
k board
(invert color) next
'e return))
(mac can-put? (k board color)
(w/uniq (k-s board-s)
`(with (,k-s ,k ,board-s ,board)
(and (is (getxy ,k-s ,board-s) 'e)
(get-possible-around-positions ,k-s ,board-s ,color)))))
(def get-all-positions-of-color (board color)
(mappend
(fn (l)
(mappend
(fn (k)
(if (is (getxy k board) color)
(cons k nil)))
l))
positions-all))
(def get-puttable-positions-all (board color)
(let all-starts (get-all-positions-of-color board color)
(dedup (mappend [get-puttable-around-positions _ board color] all-starts))))
(def put (k board color (o copy? t))
(setxy-multiple
(cons k (get-possible-around-positions k board color))
board
color
copy?))
(def get-points (board color)
(let all-starts (get-all-positions-of-color board color)
(apply + (map ev-tbl all-starts))))
(def get-rand (l n)
(if (> n 0)
(if (>= n (len l))
l
(let x (rand-elt l)
(cons x
(get-rand (rem x l) (- n 1)))))))
(def has-empty? (board)
(find 'e (map cadr (coerce board 'cons))))
(def get-best (board color depth)
((afn (board color depth target-color alpha beta)
(if (or (is depth 0)
(no (has-empty? board))) ;; last-depth or game-set
(list (get-points board target-color))
(withs (my-turn (is target-color color)
best-con (if my-turn > <)
best-fn (fn (a b) (best-con (car a) (car b)))
invert-color (invert color))
(iflet
puttable (get-puttable-positions-all board color)
(ccc
(fn (return)
(best
best-fn
(map
(fn (vp)
(let new-board (put vp board color)
(ret point-move (self new-board invert-color (- depth 1) target-color alpha beta)
(let point (car point-move)
(if my-turn
(when (> point alpha)
(= alpha point)
(if (>= alpha beta)
(return (cons beta vp)))) ;; alpha-cut
(when (< point beta)
(= beta point)
(if (>= alpha beta)
(return (cons alpha vp)))))) ;; beta-cut
(scdr point-move vp))))
(get-rand puttable ev-space))))) ;; cut-off if candidates are over space.
(self board invert-color (- depth 1) target-color alpha beta))))) ;; pass
board color depth color -inf.0 +inf.0))
(def count-color (board color)
(len (get-all-positions-of-color board color)))
(def print-board (board)
(for y 0 7
(let str ""
(for x 0 7
(= str (+ str (case (board (keying x y))
e "--"
w "WW"
b "BB"))))
(js/log str)))
(js/log ""))
(def make-new-board ()
(list-to-table (n-of 8 (n-of 8 'e))))
(def init-board ()
(let board (make-new-board)
(setxy-multiple '("3-4" "4-3") board 'b nil)
(setxy-multiple '("3-3" "4-4") board 'w nil)))
(def game ()
(with (board (init-board)
turn 'b
n 0)
(js/log "\n\n\n\n\n\n *** NEW GAME START *** \n\n")
(while
(has-empty? board)
(print-board board)
(js/log "")
(js/log "TURN " n ": " (if (is turn 'b) "BLACK" "WHITE"))
(js/log "POINT: " (get-points board turn))
(let pos (cdr (get-best board turn ev-depth))
(if pos
(do
(pr "PUT: " pos "\n")
(put pos board turn nil))
board))
(= turn (invert turn))
(++ n)
(js/log ""))
(with (wnum (count-color board 'w)
bnum (count-color board 'b))
(js/log "WHITE: " wnum)
(js/log "BLACK: " bnum "\n\n")
(js/log (if (> wnum bnum)
" !!! WHITE won !!!\n\n"
(> bnum wnum)
" !!! BLACK won !!!\n\n"
" !!! DRAW !!!\n\n")))))
(def draw-board (board)
(js/clear-board)
(for y 0 7
(for x 0 7
(case (board (keying x y))
w (js/draw-stone x y "white")
b (js/draw-stone x y "black")
e "Noting to do"))))
(def turn1 (board turn n)
(if (has-empty? board)
(do1
t
(js/log "TURN " n ": " (if (is turn 'b) "BLACK" "WHITE"))
(js/log "POINT: " (get-points board turn))
(js/log "Searching... ")
(let pos (cdr (get-best board turn ev-depth))
(if pos
(do
(js/log "PUT: " pos)
(put pos board turn nil)
(draw-board board)
(print-board board))
board)))))
(def start-game ()
(with (board (init-board)
turn 'b
n 0)
(js/log "\n\n\n\n\n\n *** NEW GAME START *** \n")
(def game-set ()
(with (wnum (count-color board 'w)
bnum (count-color board 'b))
(js/log "WHITE: " wnum)
(js/log "BLACK: " bnum "\n\n")
(js/log (if (> wnum bnum)
" !!! WHITE won !!!\n\n"
(> bnum wnum)
" !!! BLACK won !!!\n\n"
" !!! DRAW !!!\n\n"))))
(def iter ()
(if (turn1 board turn n)
(do
(= turn (invert turn))
(++ n)
(js/log "")
(set-timer iter 10))
(game-set)))
(print-board board)
(iter))) | Arc | 5 | smihica/arc-js | docs/source/_static/reversi.arc | [
"Artistic-2.0"
] |
FIBOITER(N)
;Iterative version to get the Nth Fibonacci number
;N must be a positive integer
;F is the tree containing the values
;I is a loop variable.
QUIT:(N\1'=N)!(N<0) "Error: "_N_" is not a positive integer."
NEW F,I
SET F(0)=0,F(1)=1
QUIT:N<2 F(N)
FOR I=2:1:N SET F(I)=F(I-1)+F(I-2)
QUIT F(N)
| M | 4 | LaudateCorpus1/RosettaCodeData | Task/Fibonacci-sequence/MUMPS/fibonacci-sequence.mumps | [
"Info-ZIP"
] |
parser grammar SimpleParser;
options {
// get token types from SimpleLexer.tokens; don't name it
// SimpleParser.tokens as ANTLR will overwrite!
tokenVocab=SimpleLexer;
}
s : ( ID | INT )* SEMI ;
| ANTLR | 4 | maximmenshikov/antlr4 | antlr4-maven-plugin/src/test/projects/importTokens/src/main/antlr4/test/SimpleParser.g4 | [
"BSD-3-Clause"
] |
( Generated from test_array_append_in.muv by the MUV compiler. )
( https://github.com/revarbat/pymuv )
: _main[ _arg -- ret ]
{ "a" "b" "c" }list var! _arr
_arr @ "d" swap []<- _arr !
_arr @
;
: __start
"me" match me ! me @ location loc ! trig trigger !
_main
;
| MUF | 3 | revarbat/pymuv | tests/test_array_append_cmp.muf | [
"MIT"
] |
{extends "inheritance.parent.latte"}
{block content}
<h1>{block title}Homepage{/block}</h1>
<ul>
{foreach $people as $person}
<li>{$person}</li>
{/foreach}
</ul>
{/block}
{block sidebar}{/block} | Latte | 4 | Antholoj/netbeans | php/php.latte/test/unit/data/testfiles/parser/issue245728_19.latte | [
"Apache-2.0"
] |
#include <metal_stdlib>
#include <simd/simd.h>
using namespace metal;
struct Uniforms {
half4 testInputs;
half4 colorBlack;
half4 colorGreen;
half4 colorRed;
};
struct Inputs {
};
struct Outputs {
half4 sk_FragColor [[color(0)]];
};
fragment Outputs fragmentMain(Inputs _in [[stage_in]], constant Uniforms& _uniforms [[buffer(0)]], bool _frontFacing [[front_facing]], float4 _fragCoord [[position]]) {
Outputs _out;
(void)_out;
half4 _0_v = _uniforms.testInputs;
int4 _1_i = int4(_uniforms.colorBlack);
half _2_x = _0_v[_1_i.x];
half _3_y = _0_v[_1_i.y];
half _4_z = _0_v[_1_i.z];
half _5_w = _0_v[_1_i.w];
_out.sk_FragColor = all(half4(_2_x, _3_y, _4_z, _5_w) == half4(-1.25h, -1.25h, -1.25h, 0.0h)) ? _uniforms.colorGreen : _uniforms.colorRed;
return _out;
}
| Metal | 4 | fourgrad/skia | tests/sksl/shared/SwizzleByIndex.metal | [
"BSD-3-Clause"
] |