content
stringlengths
4
1.04M
lang
stringclasses
358 values
score
int64
0
5
repo_name
stringlengths
5
114
repo_path
stringlengths
4
229
repo_licenses
sequencelengths
1
8
module openconfig-rib-bgp-ext { yang-version "1"; // namespace namespace "http://openconfig.net/yang/rib/bgp-ext"; prefix "oc-bgprib-ext"; import openconfig-rib-bgp { prefix oc-bgprib; } import openconfig-extensions { prefix oc-ext; } import openconfig-rib-bgp-types { prefix oc-bgpribt; } organization "OpenConfig working group"; contact "OpenConfig working group www.openconfig.net"; description "Defines additional data nodes for the OpenConfig BGP RIB model. These items reflect extensions that are desirable features but are not currently supported in a majority of BGP implementations."; oc-ext:openconfig-version "0.3.0"; revision "2016-10-17" { description "OpenConfig BGP RIB refactor"; reference "0.3.0"; } revision "2016-04-11" { description "OpenConfig public release"; reference "0.2.0"; } grouping rib-ext-route-annotations { description "Extended annotations for routes in the routing tables"; leaf reject-reason { type union { type identityref { base oc-bgpribt:BGP_NOT_SELECTED_BESTPATH; } type identityref { base oc-bgpribt:BGP_NOT_SELECTED_POLICY; } } description "Indicates the reason the route is not used, either due to policy filtering or bestpath selection"; } } augment "/oc-bgprib:bgp-rib/oc-bgprib:afi-safis/" + "oc-bgprib:afi-safi/oc-bgprib:ipv4-unicast/oc-bgprib:loc-rib/" + "oc-bgprib:routes/oc-bgprib:route/oc-bgprib:state" { description "Add extended annotations to the Loc-RIB for IPv4"; uses rib-ext-route-annotations; } augment "/oc-bgprib:bgp-rib/oc-bgprib:afi-safis/" + "oc-bgprib:afi-safi/oc-bgprib:ipv6-unicast/oc-bgprib:loc-rib/" + "oc-bgprib:routes/oc-bgprib:route/oc-bgprib:state" { description "Add extended annotations to the Loc-RIB for IPv6"; uses rib-ext-route-annotations; } augment "/oc-bgprib:bgp-rib/oc-bgprib:afi-safis/" + "oc-bgprib:afi-safi/oc-bgprib:ipv4-unicast/" + "oc-bgprib:neighbors/oc-bgprib:neighbor/" + "oc-bgprib:adj-rib-in-pre/oc-bgprib:routes/oc-bgprib:route" + "/oc-bgprib:state" { description "Add extended annotations to Adj-RIB for IPv4"; uses rib-ext-route-annotations; } augment "/oc-bgprib:bgp-rib/oc-bgprib:afi-safis/" + "oc-bgprib:afi-safi/oc-bgprib:ipv4-unicast/" + "oc-bgprib:neighbors/oc-bgprib:neighbor/" + "oc-bgprib:adj-rib-in-post/oc-bgprib:routes/oc-bgprib:route" + "/oc-bgprib:state"{ description "Add extended annotations to Adj-RIB for IPv4"; uses rib-ext-route-annotations; } augment "/oc-bgprib:bgp-rib/oc-bgprib:afi-safis/" + "oc-bgprib:afi-safi/oc-bgprib:ipv4-unicast/" + "oc-bgprib:neighbors/oc-bgprib:neighbor/" + "oc-bgprib:adj-rib-out-pre/oc-bgprib:routes/oc-bgprib:route" + "/oc-bgprib:state"{ description "Add extended annotations to Adj-RIB for IPv4"; uses rib-ext-route-annotations; } augment "/oc-bgprib:bgp-rib/oc-bgprib:afi-safis/" + "oc-bgprib:afi-safi/oc-bgprib:ipv4-unicast/" + "oc-bgprib:neighbors/oc-bgprib:neighbor/" + "oc-bgprib:adj-rib-out-post/oc-bgprib:routes/oc-bgprib:route" + "/oc-bgprib:state"{ description "Add extended annotations to Adj-RIB for IPv4"; uses rib-ext-route-annotations; } augment "/oc-bgprib:bgp-rib/oc-bgprib:afi-safis/" + "oc-bgprib:afi-safi/oc-bgprib:ipv6-unicast/" + "oc-bgprib:neighbors/oc-bgprib:neighbor/" + "oc-bgprib:adj-rib-in-pre/oc-bgprib:routes/oc-bgprib:route" + "/oc-bgprib:state"{ description "Add extended annotations to Adj-RIB for IPv6"; uses rib-ext-route-annotations; } augment "/oc-bgprib:bgp-rib/oc-bgprib:afi-safis/" + "oc-bgprib:afi-safi/oc-bgprib:ipv6-unicast/" + "oc-bgprib:neighbors/oc-bgprib:neighbor/" + "oc-bgprib:adj-rib-in-post/oc-bgprib:routes/oc-bgprib:route" + "/oc-bgprib:state"{ description "Add extended annotations to Adj-RIB for IPv6"; uses rib-ext-route-annotations; } augment "/oc-bgprib:bgp-rib/oc-bgprib:afi-safis/" + "oc-bgprib:afi-safi/oc-bgprib:ipv6-unicast/" + "oc-bgprib:neighbors/oc-bgprib:neighbor/" + "oc-bgprib:adj-rib-out-pre/oc-bgprib:routes/oc-bgprib:route" + "/oc-bgprib:state"{ description "Add extended annotations to Adj-RIB for IPv6"; uses rib-ext-route-annotations; } augment "/oc-bgprib:bgp-rib/oc-bgprib:afi-safis/" + "oc-bgprib:afi-safi/oc-bgprib:ipv6-unicast/" + "oc-bgprib:neighbors/oc-bgprib:neighbor/" + "oc-bgprib:adj-rib-out-post/oc-bgprib:routes/oc-bgprib:route" + "/oc-bgprib:state"{ description "Add extended annotations to Adj-RIB for IPv6"; uses rib-ext-route-annotations; } }
YANG
4
dorado18/public
release/models/rib/openconfig-rib-bgp-ext.yang
[ "Apache-2.0" ]
% File "treelscl.tu". % A binary tree implementation of the ordered list of items % consisting of a key and information. % New items can be entered and the list displayed. unit class SortedList export Enter, Display type entry : record key, info : string left, right : ^entry end record % Initialize tree to be empty. var root : ^entry := nil % Enter new item assumed not already present. procedure Enter (key, info : string) var p : ^entry new p % Create new node. p -> key := key p -> info := info p -> right := nil p -> left := nil % Find location to insert new entry. if root = nil then % See if new item goes at root of tree. root := p else var where : ^entry := root loop % Search for place to attach new node. % Invariant: "where" locates non-empty subtree where % node is to be entered. if p -> key < where -> key then if where -> left = nil then % Attach new node here. where -> left := p exit else % Search left subtree. where := where -> left end if else if where -> right = nil then % Attach new node here. where -> right := p exit else % Search right subtree. where := where -> right end if end if end loop end if end Enter % Outputs subtree pointed to by where. % Recursive printing. procedure RecursiveDisplay (where : ^entry) if where not= nil then RecursiveDisplay (where -> left) % Output left subtree. put where -> key, " ", where -> info % Output node. RecursiveDisplay (where -> right) % Output right subtree. end if end RecursiveDisplay % Uses the procedure RecPrint. procedure Display RecursiveDisplay (root) end Display end SortedList
Turing
5
null-dev/Hyper
package/Examples/Programming Concepts and Paradigms/chap12/treelscl.tu
[ "MIT" ]
desc_sv=System- och serverstatus
SystemVerilog
0
GalaxyGFX/webmin
status/module.info.sv
[ "BSD-3-Clause-No-Nuclear-License-2014", "BSD-3-Clause" ]
=pod =head1 NAME PKCS12_add_safe, PKCS12_add_safe_ex, PKCS12_add_safes, PKCS12_add_safes_ex - Create and add objects to a PKCS#12 structure =head1 SYNOPSIS #include <openssl/pkcs12.h> int PKCS12_add_safe(STACK_OF(PKCS7) **psafes, STACK_OF(PKCS12_SAFEBAG) *bags, int safe_nid, int iter, const char *pass); int PKCS12_add_safe_ex(STACK_OF(PKCS7) **psafes, STACK_OF(PKCS12_SAFEBAG) *bags, int safe_nid, int iter, const char *pass, OSSL_LIB_CTX *ctx, const char *propq); PKCS12 *PKCS12_add_safes(STACK_OF(PKCS7) *safes, int p7_nid); PKCS12 *PKCS12_add_safes_ex(STACK_OF(PKCS7) *safes, int p7_nid, OSSL_LIB_CTX *ctx, const char *propq); =head1 DESCRIPTION PKCS12_add_safe() creates a new PKCS7 contentInfo containing the supplied B<PKCS12_SAFEBAG>s and adds this to a set of PKCS7 contentInfos. Its type depends on the value of B<safe_nid>: =over 4 =item * If I<safe_nid> is -1, a plain PKCS7 I<data> contentInfo is created. =item * If I<safe_nid> is a valid PBE algorithm NID, a PKCS7 B<encryptedData> contentInfo is created. The algorithm uses I<pass> as the passphrase and I<iter> as the iteration count. If I<iter> is zero then a default value for iteration count of 2048 is used. =item * If I<safe_nid> is 0, a PKCS7 B<encryptedData> contentInfo is created using a default encryption algorithm, currently B<NID_pbe_WithSHA1And3_Key_TripleDES_CBC>. =back PKCS12_add_safe_ex() is identical to PKCS12_add_safe() but allows for a library context I<ctx> and property query I<propq> to be used to select algorithm implementations. PKCS12_add_safes() creates a B<PKCS12> structure containing the supplied set of PKCS7 contentInfos. The I<safes> are enclosed first within a PKCS7 contentInfo of type I<p7_nid>. Currently the only supported type is B<NID_pkcs7_data>. PKCS12_add_safes_ex() is identical to PKCS12_add_safes() but allows for a library context I<ctx> and property query I<propq> to be used to select algorithm implementations. =head1 NOTES PKCS12_add_safe() makes assumptions regarding the encoding of the given pass phrase. See L<passphrase-encoding(7)> for more information. =head1 RETURN VALUES PKCS12_add_safe() returns a value of 1 indicating success or 0 for failure. PKCS12_add_safes() returns a valid B<PKCS12> structure or NULL if an error occurred. =head1 CONFORMING TO IETF RFC 7292 (L<https://tools.ietf.org/html/rfc7292>) =head1 SEE ALSO L<PKCS12_create(3)> =head1 HISTORY PKCS12_add_safe_ex() and PKCS12_add_safes_ex() were added in OpenSSL 3.0. =head1 COPYRIGHT Copyright 2020-2021 The OpenSSL Project Authors. All Rights Reserved. Licensed under the Apache License 2.0 (the "License"). You may not use this file except in compliance with the License. You can obtain a copy in the file LICENSE in the source distribution or at L<https://www.openssl.org/source/license.html>. =cut
Pod
5
pmesnier/openssl
doc/man3/PKCS12_add_safe.pod
[ "Apache-2.0" ]
Feature: Buy things with apple Scenario: Buy fruits Given A wallet with 50 When I buy some apples for 1 And I buy some bananas for 2 Then I have 47 left
Cucumber
4
markshao/pytest
testing/plugins_integration/bdd_wallet.feature
[ "MIT" ]
[Exposed=Window, HTMLConstructor] interface HTMLMapElement : HTMLElement { [CEReactions, Reflect] attribute DOMString name; [SameObject] readonly attribute HTMLCollection areas; };
WebIDL
3
corsarstl/Learn-Vue-2
vue-testing/node_modules/jsdom/lib/jsdom/living/nodes/HTMLMapElement.webidl
[ "MIT" ]
{% set result = 'lets replace spaces' | regex_replace('\s+', '__') %} {% include 'jinja_filters/common.sls' %}
SaltStack
4
byteskeptical/salt
tests/integration/files/file/base/jinja_filters/jinja_regex_replace.sls
[ "Apache-2.0" ]
--TEST-- Don't recurse into USE_OTHER when checking for STD_PROP_LIST --FILE-- <?php $a = new ArrayObject([1, 2, 3], ArrayObject::STD_PROP_LIST); $a->prop = 'a'; $b = new ArrayObject($a, 0); $b->prop = 'b'; var_dump((array) $b); $c = new ArrayObject($a); $c->prop = 'c'; var_dump((array) $c); ?> --EXPECTF-- Deprecated: Creation of dynamic property ArrayObject::$prop is deprecated in %s on line %d Deprecated: Creation of dynamic property ArrayObject::$prop is deprecated in %s on line %d array(3) { [0]=> int(1) [1]=> int(2) [2]=> int(3) } Deprecated: Creation of dynamic property ArrayObject::$prop is deprecated in %s on line %d array(1) { ["prop"]=> string(1) "c" }
PHP
3
NathanFreeman/php-src
ext/spl/tests/ArrayObject_std_props_no_recursion.phpt
[ "PHP-3.01" ]
html { font-family: sans-serif; -webkit-text-size-adjust: 100%; -ms-text-size-adjust: 100% }
CSS
1
mkosakana/iris
_examples/file-server/embedding-files-into-app/assets/css/main.css
[ "BSD-3-Clause" ]
(set-option :produce-models true) (set-option :incremental true) (set-logic ALL) (declare-fun s () String) (declare-fun s2 () String) (declare-fun s3 () String) (define-sort cprover.Pos () (_ BitVec 32)) (define-fun cprover.ubv_to_int ((?x cprover.Pos)) Int (let ((bit0 (_ bv0 1))) (+ (ite (= ((_ extract 0 0) ?x) bit0) 0 1) (ite (= ((_ extract 1 1) ?x) bit0) 0 2) (ite (= ((_ extract 2 2) ?x) bit0) 0 4) (ite (= ((_ extract 3 3) ?x) bit0) 0 8) (ite (= ((_ extract 4 4) ?x) bit0) 0 16) (ite (= ((_ extract 5 5) ?x) bit0) 0 32) (ite (= ((_ extract 6 6) ?x) bit0) 0 64) (ite (= ((_ extract 7 7) ?x) bit0) 0 128) (ite (= ((_ extract 8 8) ?x) bit0) 0 256) (ite (= ((_ extract 9 9) ?x) bit0) 0 512) (ite (= ((_ extract 10 10) ?x) bit0) 0 1024) (ite (= ((_ extract 11 11) ?x) bit0) 0 2048) (ite (= ((_ extract 12 12) ?x) bit0) 0 4096) (ite (= ((_ extract 13 13) ?x) bit0) 0 8192) (ite (= ((_ extract 14 14) ?x) bit0) 0 16384) (ite (= ((_ extract 15 15) ?x) bit0) 0 32768) (ite (= ((_ extract 16 16) ?x) bit0) 0 65536) (ite (= ((_ extract 17 17) ?x) bit0) 0 131072) (ite (= ((_ extract 18 18) ?x) bit0) 0 262144) (ite (= ((_ extract 19 19) ?x) bit0) 0 524288) (ite (= ((_ extract 20 20) ?x) bit0) 0 1048576) (ite (= ((_ extract 21 21) ?x) bit0) 0 2097152) (ite (= ((_ extract 22 22) ?x) bit0) 0 4194304) (ite (= ((_ extract 23 23) ?x) bit0) 0 8388608) (ite (= ((_ extract 24 24) ?x) bit0) 0 16777216) (ite (= ((_ extract 25 25) ?x) bit0) 0 33554432) (ite (= ((_ extract 26 26) ?x) bit0) 0 67108864) (ite (= ((_ extract 27 27) ?x) bit0) 0 134217728) (ite (= ((_ extract 28 28) ?x) bit0) 0 268435456) (ite (= ((_ extract 29 29) ?x) bit0) 0 536870912) (ite (= ((_ extract 30 30) ?x) bit0) 0 1073741824) (ite (= ((_ extract 31 31) ?x) bit0) 0 2147483648) 0))) (declare-fun bvi () cprover.Pos) (define-fun i () Int (cprover.ubv_to_int bvi)) (assert (= s (str.++ s2 s3))) (assert (= (str.len s2) i)) (assert (= s3 "pippo")) (define-fun p1 () Bool (= (str.len s) (+ i 5))) (define-fun p2 () Bool (str.suffixof "po" s)) (define-fun p3 () Bool (= (str.at s i) "p")) (push 1) (assert (not p1)) (check-sat) (pop 1) (push 1) (assert (not p2)) (check-sat) (pop 1) (push 1) (assert (not p3)) (check-sat) (pop 1)
SMT
3
tobireinhard/cbmc
regression/strings/test3/test-bv-to-int-onebyone.smt2
[ "BSD-4-Clause" ]
.LabeledInput { display: flex; flex-direction: column; flex: 1 1 auto; min-width: 0; margin: 0 10px 5px 0; } .LabeledInput:last-of-type { margin-right: 0; } .Label { display: inline-block; width: 100%; box-sizing: border-box; } .Input { appearance: none; border: 0; border-bottom: 1px solid #e0e0e0; padding: 5px 0; font-size: 1em; font-weight: 300; background-color: transparent; } .Input:focus { outline: 0; } .Input::placeholder { color: #e0e0e0; } .Input:disabled { opacity: .5; } .Label { color: #bdbdbd; font-size: .75em; margin-bottom: 5px; font-weight: 100; } .LabelDisabled { opacity: .5; } .InputRow { flex: 0 0 auto; display: flex; flex-direction: row; align-items: flex-end; }
CSS
4
bjornstar/react-virtualized
source/demo/LabeledInput.css
[ "MIT" ]
%%% %%% Authors: %%% Michael Mehl ([email protected]) %%% Christian Schulte <[email protected]> %%% %%% Copyright: %%% Michael Mehl, 1997 %%% Christian Schulte, 1997 %%% %%% Last change: %%% $Date$ by $Author$ %%% $Revision$ %%% %%% This file is part of Mozart, an implementation %%% of Oz 3 %%% http://www.mozart-oz.org %%% %%% See the file "LICENSE" or %%% http://www.mozart-oz.org/LICENSE.html %%% for information on usage and redistribution %%% of this file, and for a DISCLAIMER OF ALL %%% WARRANTIES. %%% %% %% Module %% fun {IsString Is} case Is of I|Ir then {IsChar I} andthen {IsString Ir} [] nil then true else false end end fun {StringToAtom Is} case Is of nil then '' [] _|_ then {Boot_VirtualString.toAtom Is} else {Exception.raiseError kernel(type 'String.toAtom' [Is] 'String' 1)} _ end end local HexBase = base(&0:0 &1:1 &2:2 &3:3 &4:4 &5:5 &6:6 &7:7 &8:8 &9:9 &A:10 &B:11 &C:12 &D:13 &E:14 &F:15 &a:10 &b:11 &c:12 &d:13 &e:14 &f:15) DecBase = base(&0:0 &1:1 &2:2 &3:3 &4:4 &5:5 &6:6 &7:7 &8:8 &9:9) OctBase = base(&0:0 &1:1 &2:2 &3:3 &4:4 &5:5 &6:6 &7:7) BinBase = base(&0:0 &1:1) fun {RaiseStringNoInt VS} {Exception.raiseError kernel(stringNoInt VS)} unit end fun {StringToIntBase Base BaseRec Is OriginalVS Acc IsFirst} case Is of nil then if IsFirst then {RaiseStringNoInt OriginalVS} else Acc end [] I|Ir then Value = {CondSelect BaseRec I false} in if Value == false then {RaiseStringNoInt OriginalVS} else {StringToIntBase Base BaseRec Ir OriginalVS Acc*Base+Value false} end else {Exception.raiseError kernel(type 'String.toInt' [OriginalVS] 'VirtualString' 1)} unit end end in fun {StringToInt VS} case {VirtualString.toString VS} of &~|&~|_ then {RaiseStringNoInt VS} [] &-|&-|_ then {RaiseStringNoInt VS} [] &~|Ir then ~{StringToInt Ir} [] &-|Ir then ~{StringToInt Ir} [] &0|nil then 0 [] &0|&X|Ir then {StringToIntBase 16 HexBase Ir VS 0 true} [] &0|&x|Ir then {StringToIntBase 16 HexBase Ir VS 0 true} [] &0|&B|Ir then {StringToIntBase 2 BinBase Ir VS 0 true} [] &0|&b|Ir then {StringToIntBase 2 BinBase Ir VS 0 true} [] &0|Ir then {StringToIntBase 8 OctBase Ir VS 0 true} [] Is then {StringToIntBase 10 DecBase Is VS 0 true} end end end fun {StringToFloat Is} {Boot_VirtualString.toFloat Is} end local proc {Token Is J ?T ?R} case Is of nil then T=nil R=nil [] I|Ir then if I==J then T=nil R=Ir else Tr in T=I|Tr {Token Ir J Tr R} end end end fun {Tokens Is C Js Jr} case Is of nil then Jr=nil case Js of nil then nil else [Js] end [] I|Ir then if I==C then NewJs in Jr=nil Js|{Tokens Ir C NewJs NewJs} else NewJr in Jr=I|NewJr {Tokens Ir C Js NewJr} end end end /* It used to be that atoms could not contain '\0' (the null character). * So this function tested against any 0 in the string. * As of Mozart 2.0, any string can be converted to an atom, so this * function always returns true. */ fun {StringIsAtom Is} true end in String = string(is: IsString isAtom: StringIsAtom toAtom: StringToAtom isInt: fun {$ S} try {StringToInt S _} true catch _ then false end end toInt: StringToInt isFloat: fun {$ S} try {StringToFloat S _} true catch _ then false end end toFloat: StringToFloat token: Token tokens: fun {$ S C} Ss in {Tokens S C Ss Ss} end) end
Oz
4
Ahzed11/mozart2
lib/main/base/String.oz
[ "BSD-2-Clause" ]
require("./a?1"); require("./a?2"); require("./a?3"); require("./a?4"); require("./a?5"); require("./a?6"); require("./a?7"); require("./a?8"); require("./a?9"); require("./a?10");
JavaScript
1
1shenxi/webpack
test/statsCases/reverse-sort-modules/index.js
[ "MIT" ]
((stdin readLine splitOn: ' ') mapBy: [|:e| e asInteger]) sum printLine.
Self
2
LaudateCorpus1/RosettaCodeData
Task/A+B/Self/a+b.self
[ "Info-ZIP" ]
%YAML 1.1 %TAG !u! tag:unity3d.com,2011: --- !u!319 &31900000 AvatarMask: m_ObjectHideFlags: 0 m_PrefabParentObject: {fileID: 0} m_PrefabInternal: {fileID: 0} m_Name: RightGestureMask m_Mask: 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 m_Elements: - m_Path: m_Weight: 1 - m_Path: delete m_Weight: 0 - m_Path: pelvis m_Weight: 1 - m_Path: pelvis/L_thigh m_Weight: 1 - m_Path: pelvis/L_thigh/L_knee m_Weight: 1 - m_Path: pelvis/L_thigh/L_knee/L_foot m_Weight: 1 - m_Path: pelvis/L_thigh/L_knee/L_foot/L_toe m_Weight: 1 - m_Path: pelvis/R_thigh m_Weight: 1 - m_Path: pelvis/R_thigh/R_knee m_Weight: 1 - m_Path: pelvis/R_thigh/R_knee/R_foot m_Weight: 1 - m_Path: pelvis/R_thigh/R_knee/R_foot/R_toe m_Weight: 1 - m_Path: pelvis/spine m_Weight: 0 - m_Path: pelvis/spine/chest m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_index01 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_index01/L_index02 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_index01/L_index02/L_index03 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_middle01 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_middle01/L_middle02 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_middle01/L_middle02/L_middle03 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_pinky01 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_pinky01/L_pinky02 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_pinky01/L_pinky02/L_pinky03 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_thumb01 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_thumb01/L_thumb02 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_thumb01/L_thumb02/L_thumb03 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_wedding01 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_wedding01/L_wedding02 m_Weight: 0 - m_Path: pelvis/spine/chest/L_shoulder/L_Arm/L_Forearm/L_hand/L_wedding01/L_wedding02/L_wedding03 m_Weight: 0 - m_Path: pelvis/spine/chest/neck m_Weight: 0 - m_Path: pelvis/spine/chest/neck/head m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_index01 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_index01/R_index02 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_index01/R_index02/R_index03 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_Middle01 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_Middle01/R_Middle02 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_Middle01/R_Middle02/R_Middle03 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_Pinky01 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_Pinky01/R_Pinky02 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_Pinky01/R_Pinky02/R_Pinky03 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_thumb01 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_thumb01/R_thumb02 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_thumb01/R_thumb02/R_thumb03 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_Wedding01 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_Wedding01/R_Wedding02 m_Weight: 0 - m_Path: pelvis/spine/chest/R_shoulder/R_arm/R_forearm/R_hand/R_Wedding01/R_Wedding02/R_Wedding03 m_Weight: 0
Mask
3
Erumite/Eremite_VRC
Assets/Eremite/_DemoUtility/Animations/ChairAnimations/Masks/RightGestureMask.mask
[ "MIT" ]
%{ // Copyright (C) 2002 David R. Martin <[email protected]> // Copyright (C) 2002 Charless C. Fowlkes <[email protected]> // // This program is free software; you can redistribute it and/or // modify it under the terms of the GNU General Public License as // published by the Free Software Foundation; either version 2 of the // License, or (at your option) any later version. // // This program is distributed in the hope that it will be useful, but // WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU // General Public License for more details. // // You should have received a copy of the GNU General Public License // along with this program; if not, write to the Free Software // Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA // 02111-1307, USA, or see http://www.gnu.org/copyleft/gpl.html. // Simple lexer that (1) strips out comments, (2) parses strings // including escape caracters, (3) produces a stream of the remaining // whiespace-separated tokens. The comment character is '#'. #include "string.hh" // This is used in the mini-parser for strings (state STRING). static Util::String str; // Callbacks. extern void __cfl_SyntaxError (const char* msg); extern void __cfl_FoundToken (const char* s); extern void __cfl_FoundString (const char* s); extern void __cfl_AllDone (); // Track line and column numbers. // LEX's yylineno doesn't work at all. int __cfl_lineNo = 1; int __cfl_columnNo = 0; static void eat () { for (int i = 0; i < yyleng; i++) { if (yytext[i] == '\n') { __cfl_lineNo++; __cfl_columnNo = 0; } else { __cfl_columnNo++; } } } %} WS [ \t\r\n]+ TOKEN [^ \t\r\n#\"]+ %x STRING %option noyywrap %% #.*$ eat(); // discard comments {TOKEN} __cfl_FoundToken (__cfl_text); eat(); \" str.clear(); BEGIN(STRING); eat(); <STRING>\" __cfl_FoundString (str.text()); BEGIN(INITIAL); eat(); <STRING>\\0 str.append ('\0'); eat(); <STRING>\\a str.append ('\a'); eat(); <STRING>\\b str.append ('\b'); eat(); <STRING>\\t str.append ('\t'); eat(); <STRING>\\n str.append ('\n'); eat(); <STRING>\\v str.append ('\v'); eat(); <STRING>\\f str.append ('\f'); eat(); <STRING>\\r str.append ('\r'); eat(); <STRING>\\. str.append (__cfl_text[1]); eat(); <STRING>\r?\n | <STRING><<EOF>> __cfl_SyntaxError ("Unterminated string"); eat(); <STRING>. str.append(__cfl_text[0]); eat(); {WS} eat(); // discard whitespace . __cfl_SyntaxError ("Unknown token"); eat(); <<EOF>> __cfl_AllDone(); return 0; %%
Lex
5
mouthwater/rgb
mcg/src/external/BSR/buildW/util/configFileLexer.lex
[ "BSD-2-Clause" ]
/* * Copyright 2010-2019 JetBrains s.r.o. and Kotlin Programming Language contributors. * Use of this source code is governed by the Apache 2.0 license that can be found in the license/LICENSE.txt file. */ package org.jetbrains.kotlin.storage; /** * A storage for the value that should exist and be accessible in the single thread. * * Unlike ThreadLocal, thread doesn't store a reference to the value that makes it inaccessible globally, but simplifies memory * management. * * The other difference from ThreadLocal is inability to have different values per each thread, so SingleThreadValue instance * should be protected with external lock from rewrites. * * @param <T> */ class SingleThreadValue<T> { private final T value; private final Thread thread; SingleThreadValue(T value) { this.value = value; thread = Thread.currentThread(); } public boolean hasValue() { return thread == Thread.currentThread(); } public T getValue() { if (!hasValue()) throw new IllegalStateException("No value in this thread (hasValue should be checked before)"); return value; } }
Java
4
Mu-L/kotlin
core/util.runtime/src/org/jetbrains/kotlin/storage/SingleThreadValue.java
[ "ECL-2.0", "Apache-2.0" ]
sub main() xmlParser = createObject("roXMLElement") ?"xmlParser = "xmlParser ?"type(xmlParser) = "type(xmlParser) ?"parse bad xml string, result = "xmlParser.parse("some_xml_doc") ?"parse good xml string, result = "xmlParser.parse("<tag1 id=""someId"" attr1=""0""> <Child1 id=""id1""></Child1> <CHILD1 id=""id2""></CHILD1> </tag1>") ?"getName() = " xmlParser.getName() ?"getAttributes() = " xmlParser.getAttributes() children = xmlParser.getNamedElementsCi("child1") ?"getNamedElementsCi(""child1"") count = " children.count() ?"name of first child = "children[0].getName() ?"mame of second child = "children[1].getName() end sub
Brightscript
3
adheus/brs
test/e2e/resources/components/roXMLElement.brs
[ "MIT" ]
# ALUT_C__ATTRIBUTE__ # ------------------- AC_DEFUN([ALUT_C__ATTRIBUTE__], [AC_CACHE_CHECK([whether the C compiler supports __attribute__], [alut_cv___attribute__], [AC_COMPILE_IFELSE([AC_LANG_SOURCE([[ void foo (int bar __attribute__((unused)) ) { } static void baz (void) __attribute__((unused)); static void baz (void) { }]])], [alut_cv___attribute__=yes], [alut_cv___attribute__=no])]) if test "$alut_cv___attribute__" = yes; then AC_DEFINE([HAVE___ATTRIBUTE__], [1], [Define to 1 if your C compiler supports __attribute__.]) fi ])# ALUT_C__ATTRIBUTE__
M4
4
albertobarri/idk
tests/third_party/freealut/admin/autotools/m4/alut_c__attribute.m4
[ "MIT" ]
<%@ page contentType="text/html; charset=utf-8" %> <%@ taglib prefix="a" uri="/WEB-INF/app.tld"%> <%@ taglib prefix="c" uri="http://java.sun.com/jsp/jstl/core"%> <%@ taglib prefix="res" uri="http://www.unidal.org/webres"%> <%@ taglib prefix="w" uri="http://www.unidal.org/web/core"%> <jsp:useBean id="ctx" type="com.dianping.cat.system.page.config.Context" scope="request"/> <jsp:useBean id="payload" type="com.dianping.cat.system.page.config.Payload" scope="request"/> <jsp:useBean id="model" type="com.dianping.cat.system.page.config.Model" scope="request"/> <a:config> <res:useJs value="${res.js.local['alarm_js']}" target="head-js" /> <script type="text/javascript"> $(document).ready(function() { $('#projects_config').addClass('active open'); $('#projects').addClass('active'); if("${payload.action.name}" != 'projects') { var state = '${model.opState}'; if(state=='Success'){ $('#state').html('操作成功'); }else{ $('#state').html('操作失败'); } setInterval(function(){ $('#state').html('&nbsp;'); },3000); } $.widget( "custom.catcomplete", $.ui.autocomplete, { _renderMenu: function( ul, items ) { var that = this, currentCategory = ""; $.each( items, function( index, item ) { if ( item.category != currentCategory ) { ul.append( "<li class='ui-autocomplete-category'>" + item.category + "</li>" ); currentCategory = item.category; } that._renderItemData( ul, item ); }); } }); var data = []; <c:forEach var="project" items="${model.projects}"> var item = {}; item['label'] = '${project.domain}'; item['category'] ='${project.bu} - ${project.cmdbProductline}'; data.push(item); </c:forEach> $( "#search" ).catcomplete({ delay: 0, source: data }); $("#search_go").bind("click",function(e){ var newUrl = '/cat/s/config?op=projects&domain='+$( "#search" ).val() +'&date=${model.date}'; window.location.href = newUrl; }); $('#wrap_search').submit( function(){ var newUrl = '/cat/s/config?op=projects&domain='+$( "#search" ).val() +'&date=${model.date}'; window.location.href = newUrl; return false; } ); }); </script> <div class="navbar-header pull-left position" style="width:350px;MARGIN-LEFT:10%;MARGIN-TOP:5px;padding:5px;"> <form id="wrap_search" style="margin-bottom:0px;"> <div class="input-group"> <c:if test="${not empty payload.project.domain}"> <c:set var="domain" value="${payload.project.domain}"/> </c:if> <c:if test="${not empty payload.domain}"> <c:set var="domain" value="${payload.domain}"/> </c:if> <c:if test="${empty domain}"> <c:set var="domain" value="cat"/> </c:if> <span class="input-icon" style="width:300px;"> <input type="text" placeholder="input domain for search" value="${domain}" class="search-input search-input form-control ui-autocomplete-input" id="search" autocomplete="off" /> <i class="ace-icon fa fa-search nav-search-icon"></i> </span> <span class="input-group-btn" style="width:50px"> <button class="btn btn-sm btn-primary" type="button" id="search_go"> Go </button> </span> <span class="input-group-addon">请输入你的项目,默认是cat。找不到你的项目?请点<a href="/cat/s/config?op=projectAdd"><strong>添加</strong></a></span> </div> </form> </div> <br/> <br/> <br/> <div style="padding:5px;"> <form name="projectUpdate" id="form" method="get" action="${model.pageUri}?op=updateSubmit"> <table class="table table-striped table-condensed "> <input type="hidden" name="project.id" value="${model.project.id}" /> <input type="hidden" name="project.domain" value="${model.project.domain}" /> <input type="hidden" name="op" value="updateSubmit" /> <tr> <td style="width:10%;">CAT上项目名称</td> <td>${model.project.domain}</td> <td style="color:red">注意:建议使用半角英文和半角符号(. -)。</td> </tr> <tr style="display: none"> <td style="width:10%;">CMDB项目名称</td> <td><input type="name" class="input-xlarge" name="project.cmdbDomain" value="${model.project.cmdbDomain}" /></td> <td>CMDB中项目统一名称<span style="color:red">【CMDB中没有的话,与CAT上的项目名称保持一致即可】</span></td> </tr> <tr style="display: none"> <td style="width:10%;">CMDB项目级别</td> <td><input type="name" class="input-xlarge" name="project.level" value="${model.project.level}" /></td> <td>CMDB中项目统一级别<span style="color:red">【此字段会和CMDB信息同步】</span></td> </tr> <tr> <td style="width:10%;">事业部</td> <td><input type="name" class="input-xlarge" name="project.bu" value="${model.project.bu}" /></td> <td>所属部门名称</td> </tr> <tr> <td style="width:10%;">产品线</td> <td><input type="name" class="input-xlarge" name="project.cmdbProductline" value="${model.project.cmdbProductline}" /></td> <td>所属产品线名称</td> </tr> <tr> <td style="width:10%;">负责人</td> <td><input type="name" class="input-xlarge" name="project.owner" value="${model.project.owner}"/></td> <td>项目负责人</td> </tr> <tr> <td style="width:10%;">项目组邮件</td> <td><input type="name" name="project.email" class="input-xxlarge" value="${model.project.email}"/></td> <td>字段(多个,逗号分割)</td> </tr> <tr> <td>项目组号码</td> <td><input type="name" name="project.phone" class="input-xxlarge" value="${model.project.phone}"/></td> <td>字段(多个,逗号分割)</td> </tr> <tr> <td colspan="2" align="center"><input class='btn btn-primary btn-sm' type="submit" name="submit" value="更新" />&nbsp; <a href="?op=projectDelete&projectId=${model.project.id}" class="btn btn-danger btn-sm delete" > <i class="ace-icon fa fa-trash-o bigger-140"></i></a> <h4 class="text-center text-danger" id="state">&nbsp;</h4></td> </tr> </table> </form> </div> </a:config> <style> .input-icon>.ace-icon { z-index: 0; } </style>
Java Server Pages
3
woozhijun/cat
cat-home/src/main/webapp/jsp/system/project/project.jsp
[ "Apache-2.0" ]
Prefix(:=<http://example.org/>) Ontology(:TestSubObjectPropertyChainOf SubObjectPropertyOf(ObjectPropertyChain(:p1 :p2) :p3) )
Web Ontology Language
3
jmcmurry/SciGraph
SciGraph-core/src/test/resources/ontologies/cases/TestSubObjectPropertyChainOf.owl
[ "Apache-2.0" ]
/* Copyright 2015 The TensorFlow Authors. All Rights Reserved. Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License. ==============================================================================*/ #ifndef TENSORFLOW_CORE_FRAMEWORK_GRAPH_DEF_UTIL_H_ #define TENSORFLOW_CORE_FRAMEWORK_GRAPH_DEF_UTIL_H_ #include <set> #include "tensorflow/core/framework/op.h" #include "tensorflow/core/lib/core/status.h" namespace tensorflow { // Forward declare proto so that it's symbols can be removed from .so exports class GraphDef; class NodeDef; // Produce a human-readable version of a GraphDef that is more concise // than a text-format proto. string SummarizeGraphDef(const GraphDef& graph_def); // Validates the syntax of a GraphDef provided externally. // // The following is an EBNF-style syntax for GraphDef objects. Note that // Node objects are actually specified as tensorflow::NodeDef protocol buffers, // which contain many other fields that are not (currently) validated. // // Graph = Node * // Node = NodeName, Inputs // Inputs = ( DataInput * ), ( ControlInput * ) // DataInput = NodeName, ( ":", [1-9], [0-9] * ) ? // ControlInput = "^", NodeName // NodeName = [A-Za-z0-9.], [A-Za-z0-9_./] * Status ValidateExternalGraphDefSyntax(const GraphDef& graph_def); // Adds default attributes to NodeDefs in 'graph_def' starting // from the 'node_offset' node in 'graph_def'. // // Default attributes are defined by 'op_registry'. // // Returns OK on success, an error if 'graph_def' has a NodeDef // that cannot be found in 'op_registry'. // // REQUIRES: 'graph_def' and 'op_registry' are not nullptr. Status AddDefaultAttrsToGraphDef(GraphDef* graph_def, const OpRegistryInterface& op_registry, int node_offset); // Same as above, except for the fact that it skips nodes that aren't found in // op_registry if skip_unknown_ops is true. Status AddDefaultAttrsToGraphDef(GraphDef* graph_def, const OpRegistryInterface& op_registry, int node_offset, bool skip_unknown_ops); // Remove attrs from 'graph_def' that have the default value according // to 'producer_op_registry', but don't exist according to // 'consumer_op_registry'. This can allow 'graph_def' to run on the // consumer even if consumer was built at an earlier CL (before an // attr with a default was added). Note that this will not affect // attrs with non-default values, so you must run a // ValidateGraphDef...() function to see if the result is in fact // compatible. If not nullptr, the op/attr pairs that were removed // are added to '*op_attr_removed'. // // Expected usage, for a producer that wants to prepare a graph for // a consumer: // // For each consumer, update 'graph_def': // OpListOpRegistry consumer_op_registry(consumer_server_op_list); // std::unordered_set<std::pair<string, string>> op_attr_removed; // TF_RETURN_IF_ERROR(RemoveNewDefaultAttrsFromGraphDef( // &graph_def, consumer_op_registry, *OpRegistry::Global(), // &op_attr_removed)); // // Validate that each consumer can understand the resulting 'graph_def' // TF_RETURN_IF_ERROR(graph::ValidateGraphDefAgainstOpRegistry( // graph_def, consumer_op_registry)); // // Consumer can use 'graph_def', and 'op_attr_removed' summarizes // // what changes had to be made to 'graph_def' for it to work. // // Expected usage, for a consumer that has a graph and a // (optionally-stripped) op_list from a producer (say from a call to // StrippedOpListForGraph(), or in the MetaGraphDef): // OpListOpRegistry producer_op_registry(producer_stripped_op_list); // TF_RETURN_IF_ERROR(RemoveNewDefaultAttrsFromGraphDef( // &graph_def, *OpRegistry::Global(), producer_op_registry, nullptr)); Status RemoveNewDefaultAttrsFromGraphDef( GraphDef* graph_def, const OpRegistryInterface& consumer_op_registry, const OpRegistryInterface& producer_op_registry, std::set<std::pair<string, string>>* op_attr_removed); // Goes over the `nodes` and removes attributes that are set to their // default values according to op_registry. // If some node's definition is not found in the `op_registry`, this node is // simply skipped. In most cases, these nodes would be function calls. // If a stricter behavior is desired, one can add FunctionLibraryDefinition // argument to check for functions and their attributes. // This is obvious from signature, but as a warning, if `nodes` contain // nodes calling functions, e.g. PartitionCallOp or FunctionalIf, this // function does not "recurse" into them. void StripDefaultAttributes(const OpRegistryInterface& op_registry, protobuf::RepeatedPtrField<NodeDef>* nodes); // Two functions that collect the ops used by a graph. // // This returns the ops used as a set of strings. void OpsUsedByGraph(const GraphDef& graph_def, std::set<string>* ops_used_in_graph); // This function computes the stripped_op_list field of MetaGraphDef // and similar protos. The op_registry should contain the ops used to // produce graph_def. The resulting stripped_op_list can be // communicated from the producer to the consumer, which can use // RemoveNewDefaultAttrsFromGraphDef() to improve forwards compatibility // (using an OpListOpRegistry as indicated in the example above). // // Most users will pass *OpRegistry::Global() for op_registry to strip against // the list of ops registered in this process. Status StrippedOpListForGraph(const GraphDef& graph_def, const OpRegistryInterface& op_registry, OpList* stripped_op_list); } // namespace tensorflow #endif // TENSORFLOW_CORE_FRAMEWORK_GRAPH_DEF_UTIL_H_
C
5
abhaikollara/tensorflow
tensorflow/core/framework/graph_def_util.h
[ "Apache-2.0" ]
import Data.Vect ||| Test if the type of local variables is correctly reported -- Not meant to be called, just typechecked partial f : Nat -> Int -> Bool -> () -> z f a b c d = -- Let with pattern match let (S a') = a -- Let without signature b' = b -- Let with signature c' : Bool c' = c -- In the type signature of the Let v1 : Vect a Nat v1 = ?vect1 -- After the `in` -- It's good to include them all to test that they work regardless -- of how they were bound in ?result a' b' c' d' v1 v2 where -- Where d' : () d' = d -- In the type signature of the Where v2 : Vect x Nat v2 = ?vect2
Idris
5
ska80/idris-jvm
tests/idris2/interactive027/TypeAtLocalVars.idr
[ "BSD-3-Clause" ]
//@target: ES6 function* g1(): {} { }
TypeScript
2
nilamjadhav/TypeScript
tests/cases/conformance/es6/yieldExpressions/generatorTypeCheck4.ts
[ "Apache-2.0" ]
/* * By downloading, copying, installing or using the software you agree to this license. * If you do not agree to this license, do not download, install, * copy or use the software. * * * License Agreement * For Open Source Computer Vision Library * (3-clause BSD License) * * Copyright (C) 2013-2015, NVIDIA Corporation, all rights reserved. * Third party copyrights are property of their respective owners. * * Redistribution and use in source and binary forms, with or without modification, * are permitted provided that the following conditions are met: * * * Redistributions of source code must retain the above copyright notice, * this list of conditions and the following disclaimer. * * * Redistributions in binary form must reproduce the above copyright notice, * this list of conditions and the following disclaimer in the documentation * and/or other materials provided with the distribution. * * * Neither the names of the copyright holders nor the names of the contributors * may be used to endorse or promote products derived from this software * without specific prior written permission. * * This software is provided by the copyright holders and contributors "as is" and * any express or implied warranties, including, but not limited to, the implied * warranties of merchantability and fitness for a particular purpose are disclaimed. * In no event shall copyright holders or contributors be liable for any direct, * indirect, incidental, special, exemplary, or consequential damages * (including, but not limited to, procurement of substitute goods or services; * loss of use, data, or profits; or business interruption) however caused * and on any theory of liability, whether in contract, strict liability, * or tort (including negligence or otherwise) arising in any way out of * the use of this software, even if advised of the possibility of such damage. */ #include "common.hpp" #include <vector> #include <cstring> namespace CAROTENE_NS { #define ENABLE4LINESMATCHING false //Disabled since overall time for simultaneous 4 lines matching is greater than //time for simultaneous 2 lines matching for the same amount of data bool isMatchTemplateSupported(const Size2D &tmplSize) { return isSupportedConfiguration() && tmplSize.width >= 8 && // Actually the function could process even shorter templates // but there will be no NEON optimization in this case (tmplSize.width * tmplSize.height) <= 256; } void matchTemplate(const Size2D &srcSize, const u8 * srcBase, ptrdiff_t srcStride, const Size2D &tmplSize, const u8 * tmplBase, ptrdiff_t tmplStride, f32 * dstBase, ptrdiff_t dstStride, bool normalize) { internal::assertSupportedConfiguration(isMatchTemplateSupported(tmplSize)); #ifdef CAROTENE_NEON const size_t tmplW = tmplSize.width; const size_t tmplH = tmplSize.height; const size_t dstW = srcSize.width - tmplSize.width + 1; const size_t dstH = srcSize.height - tmplSize.height + 1; //template correlation part { #if ENABLE4LINESMATCHING const size_t dstroiw4 = dstW & ~3u; #endif const size_t dstroiw2 = dstW & ~1u; const size_t tmplroiw = tmplW & ~7u; const size_t dstride = dstStride >> 2; f32 *corr = dstBase; const u8 *imgrrow = srcBase; for(size_t r = 0; r < dstH; ++r, corr+=dstride, imgrrow+=srcStride) { size_t c = 0; #if ENABLE4LINESMATCHING for(; c < dstroiw4; c+=4) { u32 dot[4] = {0, 0, 0, 0}; uint32x4_t vdot0 = vmovq_n_u32(0); uint32x4_t vdot1 = vmovq_n_u32(0); uint32x4_t vdot2 = vmovq_n_u32(0); uint32x4_t vdot3 = vmovq_n_u32(0); const u8 *img = imgrrow; const u8 *tmpl = tmplBase; for(size_t i = 0; i < tmplH; ++i, tmpl+=tmplStride, img+=srcStride) { size_t j = 0; for(; j < tmplroiw; j+=8) { uint8x8_t vtmpl = vld1_u8(tmpl + j); uint8x8_t vimg0 = vld1_u8(img + j + c + 0); uint8x8_t vimg1 = vld1_u8(img + j + c + 1); uint8x8_t vimg2 = vld1_u8(img + j + c + 2); uint8x8_t vimg3 = vld1_u8(img + j + c + 3); uint16x8_t vd0 = vmull_u8(vtmpl, vimg0); uint16x8_t vd1 = vmull_u8(vtmpl, vimg1); uint16x8_t vd2 = vmull_u8(vtmpl, vimg2); uint16x8_t vd3 = vmull_u8(vtmpl, vimg3); vdot0 = vpadalq_u16(vdot0, vd0); vdot1 = vpadalq_u16(vdot1, vd1); vdot2 = vpadalq_u16(vdot2, vd2); vdot3 = vpadalq_u16(vdot3, vd3); } for(; j < tmplW; ++j) { dot[0] += tmpl[j] * img[j + c + 0]; dot[1] += tmpl[j] * img[j + c + 1]; dot[2] += tmpl[j] * img[j + c + 2]; dot[3] += tmpl[j] * img[j + c + 3]; } } uint32x4_t vdotx = vld1q_u32(dot); uint32x2_t vdot_0 = vpadd_u32(vget_low_u32(vdot0), vget_high_u32(vdot0)); uint32x2_t vdot_1 = vpadd_u32(vget_low_u32(vdot1), vget_high_u32(vdot1)); uint32x2_t vdot_2 = vpadd_u32(vget_low_u32(vdot2), vget_high_u32(vdot2)); uint32x2_t vdot_3 = vpadd_u32(vget_low_u32(vdot3), vget_high_u32(vdot3)); uint32x2_t vdot_01 = vpadd_u32(vdot_0, vdot_1); uint32x2_t vdot_23 = vpadd_u32(vdot_2, vdot_3); vst1q_f32(corr + c, vcvtq_f32_u32(vaddq_u32(vdotx, vcombine_u32(vdot_01, vdot_23)))); } #endif for(; c < dstroiw2; c+=2) { u32 dot[2] = {0, 0}; uint32x4_t vdot0 = vmovq_n_u32(0); uint32x4_t vdot1 = vmovq_n_u32(0); const u8 *img = imgrrow; const u8 *tmpl = tmplBase; for(size_t i = 0; i < tmplH; ++i, tmpl+=tmplStride, img+=srcStride) { size_t j = 0; for(; j < tmplroiw; j+=8) { uint8x8_t vtmpl = vld1_u8(tmpl + j); uint8x8_t vimg0 = vld1_u8(img + j + c + 0); uint8x8_t vimg1 = vld1_u8(img + j + c + 1); uint16x8_t vd0 = vmull_u8(vtmpl, vimg0); uint16x8_t vd1 = vmull_u8(vtmpl, vimg1); vdot0 = vpadalq_u16(vdot0, vd0); vdot1 = vpadalq_u16(vdot1, vd1); } for(; j < tmplW; ++j) { dot[0] += tmpl[j] * img[j + c + 0]; dot[1] += tmpl[j] * img[j + c + 1]; } } uint32x2_t vdotx = vld1_u32(dot); uint32x2_t vdot_0 = vpadd_u32(vget_low_u32(vdot0), vget_high_u32(vdot0)); uint32x2_t vdot_1 = vpadd_u32(vget_low_u32(vdot1), vget_high_u32(vdot1)); uint32x2_t vdot_ = vpadd_u32(vdot_0, vdot_1); vst1_f32(corr + c, vcvt_f32_u32(vadd_u32(vdotx, vdot_))); } for(; c < dstW; ++c) { u32 dot = 0; uint32x4_t vdot = vmovq_n_u32(0); const u8 *img = imgrrow; const u8 *tmpl = tmplBase; for(size_t i = 0; i < tmplH; ++i, tmpl+=tmplStride, img+=srcStride) { size_t j = 0; for(; j < tmplroiw; j+=8) { uint8x8_t vtmpl = vld1_u8(tmpl + j); uint8x8_t vimg = vld1_u8(img + j + c); uint16x8_t vd = vmull_u8(vtmpl, vimg); vdot = vpadalq_u16(vdot, vd); } for(; j < tmplW; ++j) dot += tmpl[j] * img[j + c]; } u32 wdot[2]; vst1_u32(wdot, vpadd_u32(vget_low_u32(vdot), vget_high_u32(vdot))); dot += wdot[0] + wdot[1]; corr[c] = (f32)dot; } } } if(normalize) { f32 tn = std::sqrt((f32)normL2(tmplSize, tmplBase, tmplStride)); size_t iw = srcSize.width+1; size_t ih = srcSize.height+1; std::vector<f64> _sqsum(iw*ih); f64 *sqsum = &_sqsum[0]; memset(sqsum, 0, iw*sizeof(f64)); for(size_t i = 1; i < ih; ++i) sqsum[iw*i] = 0.; sqrIntegral(srcSize, srcBase, srcStride, sqsum + iw + 1, iw*sizeof(f64)); for(size_t i = 0; i < dstH; ++i) { f32 *result = internal::getRowPtr(dstBase, dstStride, i); for(size_t j = 0; j < dstW; ++j) { double s2 = sqsum[iw*i + j] + sqsum[iw*(i + tmplSize.height) + j + tmplSize.width] - sqsum[iw*(i + tmplSize.height) + j] - sqsum[iw*i + j + tmplSize.width]; result[j] /= tn * std::sqrt(s2); } } } #else (void)srcSize; (void)srcBase; (void)srcStride; (void)tmplBase; (void)tmplStride; (void)dstBase; (void)dstStride; (void)normalize; #endif } } // namespace CAROTENE_NS
C++
4
thisisgopalmandal/opencv
3rdparty/carotene/src/template_matching.cpp
[ "BSD-3-Clause" ]
(ns wisp.runtime "Core primitives required for runtime") (defn identity "Returns its argument." [x] x) (defn ^boolean odd? [n] (identical? (mod n 2) 1)) (defn ^boolean even? [n] (identical? (mod n 2) 0)) (defn ^boolean dictionary? "Returns true if dictionary" [form] (and (object? form) ;; Inherits right form Object.prototype (object? (.get-prototype-of Object form)) (nil? (.get-prototype-of Object (.get-prototype-of Object form))))) (defn dictionary "Creates dictionary of given arguments. Odd indexed arguments are used for keys and evens for values" [& pairs] ; TODO: We should convert keywords to names to make sure that keys are not ; used in their keyword form. (loop [key-values pairs result {}] (if (.-length key-values) (do (set! (aget result (aget key-values 0)) (aget key-values 1)) (recur (.slice key-values 2) result)) result))) (defn keys "Returns a sequence of the map's keys" [dictionary] (.keys Object dictionary)) (defn vals "Returns a sequence of the map's values." [dictionary] (.map (keys dictionary) (fn [key] (get dictionary key)))) (defn key-values [dictionary] (.map (keys dictionary) (fn [key] [key (get dictionary key)]))) (defn merge "Returns a dictionary that consists of the rest of the maps conj-ed onto the first. If a key occurs in more than one map, the mapping from the latter (left-to-right) will be the mapping in the result." [] (Object.create Object.prototype (.reduce (.call Array.prototype.slice arguments) (fn [descriptor dictionary] (if (object? dictionary) (.for-each (Object.keys dictionary) (fn [key] (set! (get descriptor key) (Object.get-own-property-descriptor dictionary key))))) descriptor) (Object.create Object.prototype)))) (defn ^boolean contains-vector? "Returns true if vector contains given element" [vector element] (>= (.index-of vector element) 0)) (defn map-dictionary "Maps dictionary values by applying `f` to each one" [source f] (.reduce (.keys Object source) (fn [target key] (set! (get target key) (f (get source key))) target) {})) (def to-string Object.prototype.to-string) (def ^{:tag boolean :doc "Returns true if x is a function"} fn? (if (identical? (typeof #".") "function") (fn [x] (identical? (.call to-string x) "[object Function]")) (fn [x] (identical? (typeof x) "function")))) (defn ^boolean error? "Returns true if x is of error type" [x] (or (instance? Error x) (identical? (.call to-string x) "[object Error]"))) (defn ^boolean string? "Return true if x is a string" [x] (or (identical? (typeof x) "string") (identical? (.call to-string x) "[object String]"))) (defn ^boolean number? "Return true if x is a number" [x] (or (identical? (typeof x) "number") (identical? (.call to-string x) "[object Number]"))) (def ^{:tag boolean :doc "Returns true if x is a vector"} vector? (if (fn? Array.isArray) Array.isArray (fn [x] (identical? (.call to-string x) "[object Array]")))) (defn ^boolean date? "Returns true if x is a date" [x] (identical? (.call to-string x) "[object Date]")) (defn ^boolean boolean? "Returns true if x is a boolean" [x] (or (identical? x true) (identical? x false) (identical? (.call to-string x) "[object Boolean]"))) (defn ^boolean re-pattern? "Returns true if x is a regular expression" [x] (identical? (.call to-string x) "[object RegExp]")) (defn ^boolean object? "Returns true if x is an object" [x] (and x (identical? (typeof x) "object"))) (defn ^boolean nil? "Returns true if x is undefined or null" [x] (or (identical? x nil) (identical? x null))) (defn ^boolean true? "Returns true if x is true" [x] (identical? x true)) (defn ^boolean false? "Returns true if x is true" [x] (identical? x true)) (defn re-find "Returns the first regex match, if any, of s to re, using re.exec(s). Returns a vector, containing first the matching substring, then any capturing groups if the regular expression contains capturing groups." [re s] (let [matches (.exec re s)] (if (not (nil? matches)) (if (identical? (.-length matches) 1) (get matches 0) matches)))) (defn re-matches [pattern source] (let [matches (.exec pattern source)] (if (and (not (nil? matches)) (identical? (get matches 0) source)) (if (identical? (.-length matches) 1) (get matches 0) matches)))) (defn re-pattern "Returns an instance of RegExp which has compiled the provided string." [s] (let [match (re-find #"^(?:\(\?([idmsux]*)\))?(.*)" s)] (new RegExp (get match 2) (get match 1)))) (defn inc [x] (+ x 1)) (defn dec [x] (- x 1)) (defn str "With no args, returns the empty string. With one arg x, returns x.toString(). (str nil) returns the empty string. With more than one arg, returns the concatenation of the str values of the args." [] (.apply String.prototype.concat "" arguments)) (defn char "Coerce to char" [code] (.fromCharCode String code)) (defn int "Coerce to int by stripping decimal places." [x] (if (number? x) (if (>= x 0) (.floor Math x) (.floor Math x)) (.charCodeAt x 0))) (defn subs "Returns the substring of s beginning at start inclusive, and ending at end (defaults to length of string), exclusive." {:added "1.0" :static true} [string start end] (.substring string start end)) (defn- ^boolean pattern-equal? [x y] (and (re-pattern? x) (re-pattern? y) (identical? (.-source x) (.-source y)) (identical? (.-global x) (.-global y)) (identical? (.-multiline x) (.-multiline y)) (identical? (.-ignoreCase x) (.-ignoreCase y)))) (defn- ^boolean date-equal? [x y] (and (date? x) (date? y) (identical? (Number x) (Number y)))) (defn- ^boolean dictionary-equal? [x y] (and (object? x) (object? y) (let [x-keys (keys x) y-keys (keys y) x-count (.-length x-keys) y-count (.-length y-keys)] (and (identical? x-count y-count) (loop [index 0 count x-count keys x-keys] (if (< index count) (if (equivalent? (get x (get keys index)) (get y (get keys index))) (recur (inc index) count keys) false) true)))))) (defn- ^boolean vector-equal? [x y] (and (vector? x) (vector? y) (identical? (.-length x) (.-length y)) (loop [xs x ys y index 0 count (.-length x)] (if (< index count) (if (equivalent? (get xs index) (get ys index)) (recur xs ys (inc index) count) false) true)))) (defn- ^boolean equivalent? "Equality. Returns true if x equals y, false if not. Compares numbers and collections in a type-independent manner. Clojure's immutable data structures define -equiv (and thus =) as a value, not an identity, comparison." ([x] true) ([x y] (or (identical? x y) (cond (nil? x) (nil? y) (nil? y) (nil? x) (string? x) (and (string? y) (identical? (.toString x) (.toString y))) (number? x) (and (number? y) (identical? (.valueOf x) (.valueOf y))) (fn? x) false (boolean? x) false (date? x) (date-equal? x y) (vector? x) (vector-equal? x y [] []) (re-pattern? x) (pattern-equal? x y) :else (dictionary-equal? x y)))) ([x y & more] (loop [previous x current y index 0 count (.-length more)] (and (equivalent? previous current) (if (< index count) (recur current (get more index) (inc index) count) true))))) (def = equivalent?) (defn ^boolean == "Equality. Returns true if x equals y, false if not. Compares numbers and collections in a type-independent manner. Clojure's immutable data structures define -equiv (and thus =) as a value, not an identity, comparison." ([x] true) ([x y] (identical? x y)) ([x y & more] (loop [previous x current y index 0 count (.-length more)] (and (== previous current) (if (< index count) (recur current (get more index) (inc index) count) true))))) (defn ^boolean > "Returns non-nil if nums are in monotonically decreasing order, otherwise false." ([x] true) ([x y] (> x y)) ([x y & more] (loop [previous x current y index 0 count (.-length more)] (and (> previous current) (if (< index count) (recur current (get more index) (inc index) count) true))))) (defn ^boolean >= "Returns non-nil if nums are in monotonically decreasing order, otherwise false." ([x] true) ([x y] (>= x y)) ([x y & more] (loop [previous x current y index 0 count (.-length more)] (and (>= previous current) (if (< index count) (recur current (get more index) (inc index) count) true))))) (defn ^boolean < "Returns non-nil if nums are in monotonically decreasing order, otherwise false." ([x] true) ([x y] (< x y)) ([x y & more] (loop [previous x current y index 0 count (.-length more)] (and (< previous current) (if (< index count) (recur current (get more index) (inc index) count) true))))) (defn ^boolean <= "Returns non-nil if nums are in monotonically decreasing order, otherwise false." ([x] true) ([x y] (<= x y)) ([x y & more] (loop [previous x current y index 0 count (.-length more)] (and (<= previous current) (if (< index count) (recur current (get more index) (inc index) count) true))))) (defn ^boolean + ([] 0) ([a] a) ([a b] (+ a b)) ([a b c] (+ a b c)) ([a b c d] (+ a b c d)) ([a b c d e] (+ a b c d e)) ([a b c d e f] (+ a b c d e f)) ([a b c d e f & more] (loop [value (+ a b c d e f) index 0 count (.-length more)] (if (< index count) (recur (+ value (get more index)) (inc index) count) value)))) (defn ^boolean - ([] (throw (TypeError "Wrong number of args passed to: -"))) ([a] (- 0 a)) ([a b] (- a b)) ([a b c] (- a b c)) ([a b c d] (- a b c d)) ([a b c d e] (- a b c d e)) ([a b c d e f] (- a b c d e f)) ([a b c d e f & more] (loop [value (- a b c d e f) index 0 count (.-length more)] (if (< index count) (recur (- value (get more index)) (inc index) count) value)))) (defn ^boolean / ([] (throw (TypeError "Wrong number of args passed to: /"))) ([a] (/ 1 a)) ([a b] (/ a b)) ([a b c] (/ a b c)) ([a b c d] (/ a b c d)) ([a b c d e] (/ a b c d e)) ([a b c d e f] (/ a b c d e f)) ([a b c d e f & more] (loop [value (/ a b c d e f) index 0 count (.-length more)] (if (< index count) (recur (/ value (get more index)) (inc index) count) value)))) (defn ^boolean * ([] 1) ([a] a) ([a b] (* a b)) ([a b c] (* a b c)) ([a b c d] (* a b c d)) ([a b c d e] (* a b c d e)) ([a b c d e f] (* a b c d e f)) ([a b c d e f & more] (loop [value (* a b c d e f) index 0 count (.-length more)] (if (< index count) (recur (* value (get more index)) (inc index) count) value)))) (defn ^boolean and ([] true) ([a] a) ([a b] (and a b)) ([a b c] (and a b c)) ([a b c d] (and a b c d)) ([a b c d e] (and a b c d e)) ([a b c d e f] (and a b c d e f)) ([a b c d e f & more] (loop [value (and a b c d e f) index 0 count (.-length more)] (if (< index count) (recur (and value (get more index)) (inc index) count) value)))) (defn ^boolean or ([] nil) ([a] a) ([a b] (or a b)) ([a b c] (or a b c)) ([a b c d] (or a b c d)) ([a b c d e] (or a b c d e)) ([a b c d e f] (or a b c d e f)) ([a b c d e f & more] (loop [value (or a b c d e f) index 0 count (.-length more)] (if (< index count) (recur (or value (get more index)) (inc index) count) value)))) (defn print [& more] (apply console.log more)) (def max Math.max) (def min Math.min)
wisp
5
bamboo/wisp
src/runtime.wisp
[ "BSD-3-Clause" ]
using Uno; using Uno.Testing; using Uno.Testing.Assert; using FuseTest; namespace Fuse.Test { public partial class ImageTest : TestBase { [Test] public void StretchUniformTest() { var panel = new StackPanelScene4(); using (var root = TestRootPanel.CreateWithChild(panel)) { TestImageLayout(root, int2(1586, 896), panel.Image1, float2(896), float2((1586-896)/2f, 0), float2(1586, 896), float2(0)); TestImageLayout(root, int2(1586, 896), panel.Image2, float2(896), float2((1586-896)/2f, 0), float2(1586, 896), float2(0)); TestImageLayout(root, int2(114, 833), panel.Image1, float2(114), float2(0, (833-114)/2f), float2(114, 833), float2(0)); TestImageLayout(root, int2(114, 833), panel.Image2, float2(114), float2(0, (833-114)/2f), float2(114, 833), float2(0)); } } [Test] public void StretchUniformSmallTest() { var panel = new StackPanelScene4(); using (var root = TestRootPanel.CreateWithChild(panel)) { TestImageLayout(root, int2(563, 39), panel.Image1, float2(39), float2((563-39)/2f, 0), float2(563, 39), float2(0)); TestImageLayout(root, int2(563, 39), panel.Image2, float2(39), float2((563-39)/2f, 0), float2(563, 39), float2(0)); TestImageLayout(root, int2(55, 29), panel.Image1, float2(29), float2((55-29)/2f, 0), float2(55, 29), float2(0)); TestImageLayout(root, int2(55, 29), panel.Image2, float2(29), float2((55-29)/2f, 0), float2(55, 29), float2(0)); TestImageLayout(root, int2(23, 43), panel.Image1, float2(23), float2(0, (43-23)/2f), float2(23, 43), float2(0)); TestImageLayout(root, int2(23, 43), panel.Image2, float2(23), float2(0, (43-23)/2f), float2(23, 43), float2(0)); } } [Test] public void StretchUniformUpOnlyTest() { var panel = new StackPanelScene10(); using (var root = TestRootPanel.CreateWithChild(panel)) { TestImageLayout(root, int2(1586, 896), panel.Image1, float2(896), float2((1586-896)/2f, 0), float2(1586, 896), float2(0)); TestImageLayout(root, int2(527, 896), panel.Image1, float2(527), float2(0, (896-527)/2f), float2(527, 896), float2(0)); } } [Test] public void StretchUniformUpOnlySmallTest() { var panel = new StackPanelScene10(); using (var root = TestRootPanel.CreateWithChild(panel)) { TestImageLayout(root, int2(563, 39), panel.Image1, float2(256, 39), float2((563-256)/2f, (39-256)/2f), float2(563, 39), float2(0)); TestImageLayout(root, int2(114, 833), panel.Image1, float2(114, 256), float2((114-256)/2f, (833-256)/2f), float2(114, 833), float2(0)); TestImageLayout(root, int2(55, 29), panel.Image1, float2(55, 29), float2((55-256)/2f, (29-256)/2f), float2(55, 29), float2(0)); TestImageLayout(root, int2(23, 43), panel.Image1, float2(23, 43), float2((23-256)/2f, (43-256)/2f), float2(23, 43), float2(0)); } } [Test] public void StretchUniformDownOnlyTest() { var panel = new StackPanelScene11(); using (var root = TestRootPanel.CreateWithChild(panel)) { TestImageLayout(root, int2(1586, 896), panel.Image1, float2(256, 256), float2((1586-256)/2f, (896-256)/2f), float2(1586, 896), float2(0)); TestImageLayout(root, int2(527, 896), panel.Image1, float2(256, 256), float2((527-256)/2f, (896-256)/2f), float2(527, 896), float2(0)); } } [Test] public void StretchUniformDownOnlySmallTest() { var panel = new StackPanelScene11(); using (var root = TestRootPanel.CreateWithChild(panel, int2(563, 39))) { TestImageLayout(root, int2(563, 39), panel.Image1, float2(39), float2((563-39)/2f, 0), float2(563, 39), float2(0)); TestImageLayout(root, int2(114, 833), panel.Image1, float2(114), float2(0, (833-114)/2f), float2(114, 833), float2(0)); TestImageLayout(root, int2(55, 29), panel.Image1, float2(29), float2((55-29)/2f, 0), float2(55, 29), float2(0)); TestImageLayout(root, int2(23, 43), panel.Image1, float2(23), float2(0, (43-23)/2f), float2(23, 43), float2(0)); } } } }
Uno
4
helilabs/fuselibs
Source/Fuse.Controls.Primitives/Tests/Image.StretchUniform.Test.uno
[ "MIT" ]
import P "mo:base/Prelude"; import Array "mo:base/Array"; import Nat "mo:base/Nat"; import Iter "mo:base/Iter"; import Prim "mo:prim"; actor { var myarray : [var Word8] = [var]; var arraysize : Nat = 4096; var arraysize_to_write : Nat = 4096; var trap : Bool = false; public func init_array(size : Nat) { arraysize := size; myarray := Prim.Array_init<Word8>(arraysize, 0); }; public query func get_current_size() : async Nat { return arraysize_to_write; }; public func query_and_update(val : Word8, size : Nat) : async Nat { if (myarray.size() == 0) { Prim.debugPrint("array not initialized, initializing"); return 0 } else { arraysize_to_write := size; Prim.debugPrint("New Array Size to write " # Nat.toText(arraysize_to_write)); for (i in Iter.range(0, arraysize_to_write)) { myarray[i] := val; }; if (trap == true) { Prim.debugPrint("trapping"); assert false; }; }; return arraysize_to_write }; public func query_and_update_trapped() : async Nat { if (myarray.size() == 0) { Prim.debugPrint("array not initialized, initializing"); return 0 } else { var hi = myarray[arraysize_to_write]; var lo = myarray[0]; Prim.debugPrint("Array before processing" # Nat.toText(Prim.word8ToNat(lo)) # " " # Nat.toText(Prim.word8ToNat(hi))); hi += 1; arraysize_to_write := (arraysize_to_write + 4096) % arraysize; Prim.debugPrint("New Array Size to write " # Nat.toText(arraysize_to_write)); for (i in Iter.range(0, arraysize_to_write)) { myarray[i] := hi; }; hi := myarray[arraysize_to_write]; lo := myarray[0]; Prim.debugPrint("Array after processing" # Nat.toText(Prim.word8ToNat(lo)) # " " # Nat.toText(Prim.word8ToNat(hi))); Prim.debugPrint("trapping"); assert false; }; return arraysize_to_write }; public query func compute_sum() : async Nat { var sum: Nat = 0; Prim.debugPrint("Query Array Size to write " # Nat.toText(arraysize_to_write)); if (myarray.size() == 0) { Prim.debugPrint("array not initialized, initializing"); // return "Array Not Initialized"; return 0 }; for (i in Iter.range(1, arraysize-1)) { sum += Prim.word8ToNat(myarray[i]); }; // return "Sum is : " # Nat.toText(sum) # "!"; return sum }; public query func compute_sum_trapped() : async Text { var sum: Nat = 0; Prim.debugPrint("Query Array Size to write " # Nat.toText(arraysize_to_write)); if (myarray.size() == 0) { Prim.debugPrint("array not initialized, initializing"); return "Array Not Initialized"; }; for (i in Iter.range(1, arraysize-1)) { sum += Prim.word8ToNat(myarray[i]); }; Prim.debugPrint("trapping"); assert false; return "Sum is : " # Nat.toText(sum) # "!"; }; public func toggle_trap() : async Text { trap := not trap; Prim.debugPrint("trap was reset"); return "trap was reset !! "; }; };
Modelica
4
3cL1p5e7/ic
rs/tests/test_canisters/cow_safety/src/cow_safety/main.mo
[ "Apache-2.0" ]
package com.baeldung.io import static org.junit.Assert.* import org.junit.Before import org.junit.Test class WriteExampleUnitTest { @Before void clearOutputFile() { new File('src/main/resources/ioOutput.txt').text = '' new File('src/main/resources/ioBinaryOutput.bin').delete() } @Test void whenUsingWithWriter_thenFileCreated() { def outputLines = [ 'Line one of output example', 'Line two of output example', 'Line three of output example' ] def outputFileName = 'src/main/resources/ioOutput.txt' new File(outputFileName).withWriter { writer -> outputLines.each { line -> writer.writeLine line } } def writtenLines = new File(outputFileName).collect {it} assertEquals(outputLines, writtenLines) } @Test void whenUsingNewWriter_thenFileCreated() { def outputLines = [ 'Line one of output example', 'Line two of output example', 'Line three of output example' ] def outputFileName = 'src/main/resources/ioOutput.txt' def writer = new File(outputFileName).newWriter() outputLines.forEach {line -> writer.writeLine line } writer.flush() writer.close() def writtenLines = new File(outputFileName).collect {it} assertEquals(outputLines, writtenLines) } @Test void whenUsingDoubleLessThanOperator_thenFileCreated() { def outputLines = [ 'Line one of output example', 'Line two of output example', 'Line three of output example' ] def ln = System.getProperty('line.separator') def outputFileName = 'src/main/resources/ioOutput.txt' new File(outputFileName) << "Line one of output example${ln}Line two of output example${ln}Line three of output example" def writtenLines = new File(outputFileName).collect {it} assertEquals(outputLines.size(), writtenLines.size()) } @Test void whenUsingBytes_thenBinaryFileCreated() { def outputFileName = 'src/main/resources/ioBinaryOutput.bin' def outputFile = new File(outputFileName) byte[] outBytes = [44, 88, 22] outputFile.bytes = outBytes assertEquals(3, new File(outputFileName).size()) } @Test void whenUsingWithOutputStream_thenBinaryFileCreated() { def outputFileName = 'src/main/resources/ioBinaryOutput.bin' byte[] outBytes = [44, 88, 22] new File(outputFileName).withOutputStream { stream -> stream.write(outBytes) } assertEquals(3, new File(outputFileName).size()) } @Test void whenUsingNewOutputStream_thenBinaryFileCreated() { def outputFileName = 'src/main/resources/ioBinaryOutput.bin' byte[] outBytes = [44, 88, 22] def os = new File(outputFileName).newOutputStream() os.write(outBytes) os.close() assertEquals(3, new File(outputFileName).size()) } }
Groovy
4
DBatOWL/tutorials
core-groovy/src/test/groovy/com/baeldung/io/WriteExampleUnitTest.groovy
[ "MIT" ]
module org-openroadm-maintenance-testsignal { namespace "http://org/openroadm/maintenance-testsignal"; prefix org-openroadm-maint-testsignal; organization "Open ROADM MSA"; contact "OpenROADM.org"; description "YANG definitions for maintenance testsignal. Copyright of the Members of the Open ROADM MSA Agreement dated (c) 2016, AT&T Intellectual Property. All other rights reserved. Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. * Neither the Members of the Open ROADM MSA Agreement nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. THIS SOFTWARE IS PROVIDED BY THE MEMBERS OF THE OPEN ROADM MSA AGREEMENT ''AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT THE MEMBERS OF THE OPEN ROADM MSA AGREEMENT BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE."; revision 2016-10-14 { description "Version 1.2"; } grouping maint-testsignal { container maint-testsignal { leaf enabled { type boolean; default "false"; description "testsignal enabled flag"; } leaf testPattern { when "../enabled = 'true'"; type enumeration { enum "PRBS" { value 0; description "Unframed, inverted PN-31 pattern or PRBS31 pattern per IEEE 802.3 clause 50.3.8.2 (inverted PN-31at line rate)"; } enum "PRBS31" { value 1; description "PRBS31 with standard mapping per G.709"; } enum "PRBS23" { value 2; description "SONET/SDH Framed,inverted PN-23 pattern."; } enum "PRZEROS" { value 3; description "pseudo-random with zeros data pattern per IEEE 802.3 clause 49.2.8"; } enum "IDLE" { value 4; description "Scrambled IDLE test-pattern per IEEE 802.3ba"; } } description "Set test signal pattern"; } leaf type { when "../enabled = 'true'"; type enumeration { enum "fac" { value 0; description "test signal in the facility direction"; } enum "term" { value 1; description "test signal in the terminal direction"; } } default "fac"; description "Set test signal type (or direction)."; } leaf bitErrors { when "../enabled = 'true' and ../type = 'fac'"; type uint32 { range "0..4294967295"; } config false; description "bit errors for test signal in facility direction."; } leaf bitErrorsTerminal { when "../enabled = 'true' and ../type = 'term'"; type uint32 { range "0..4294967295"; } config false; description "bit errors for test signal in terminal direction."; } leaf syncSeconds { when "../enabled = 'true' and ../type = 'fac'"; type string; config false; description "number of seconds the received facility test signal is in sync."; } leaf syncSecondsTerminal { when "../enabled = 'true' and ../type = 'term'"; type string; config false; description "number of seconds the received terminal test signal is in sync."; } } } }
YANG
5
tohotforice/onos-sdwsn
models/openroadm/src/main/yang/org-openroadm-maintenance-testsignal.yang
[ "Apache-2.0" ]
/* 5x7.ino Universal 8bit Graphics Library (https://github.com/olikraus/u8g2/) Copyright (c) 2016, [email protected] All rights reserved. Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. Demo code for the HD44102 (LM234) display. */ #include <Arduino.h> #include <U8g2lib.h> #ifdef U8X8_HAVE_HW_SPI #include <SPI.h> #endif #ifdef U8X8_HAVE_HW_I2C #include <Wire.h> #endif /* U8g2lib Example Overview: Frame Buffer Examples: clearBuffer/sendBuffer. Fast, but may not work with all Arduino boards because of RAM consumption Page Buffer Examples: firstPage/nextPage. Less RAM usage, should work with all Arduino boards. U8x8 Text Only Example: No RAM usage, direct communication with display controller. No graphics, 8x8 Text only. This is a page buffer example. */ // Please UNCOMMENT one of the contructor lines below // U8g2 Contructor List (Picture Loop Page Buffer) // The complete list is available here: https://github.com/olikraus/u8g2/wiki/u8g2setupcpp // Please update the pin numbers according to your setup. Use U8X8_PIN_NONE if the reset pin is not connected //U8G2_NULL u8g2(U8G2_R0); // null device, a 8x8 pixel display which does nothing //U8G2_SSD1306_128X64_NONAME_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1306_128X64_NONAME_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 12, /* dc=*/ 4, /* reset=*/ 6); // Arduboy (Production, Kickstarter Edition) //U8G2_SSD1306_128X64_NONAME_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1306_128X64_NONAME_1_3W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* reset=*/ 8); //U8G2_SSD1306_128X64_NONAME_1_3W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* reset=*/ 8); //U8G2_SSD1306_128X64_NONAME_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); //U8G2_SSD1306_128X64_ALT0_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); // same as the NONAME variant, but may solve the "every 2nd line skipped" problem //U8G2_SSD1306_128X64_NONAME_1_SW_I2C u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* reset=*/ 8); //U8G2_SSD1306_128X64_NONAME_1_SW_I2C u8g2(U8G2_R0, /* clock=*/ SCL, /* data=*/ SDA, /* reset=*/ U8X8_PIN_NONE); // All Boards without Reset of the Display //U8G2_SSD1306_128X64_NONAME_1_SW_I2C u8g2(U8G2_R0, /* clock=*/ 16, /* data=*/ 17, /* reset=*/ U8X8_PIN_NONE); // ESP32 Thing, pure SW emulated I2C //U8G2_SSD1306_128X64_NONAME_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE, /* clock=*/ 16, /* data=*/ 17); // ESP32 Thing, HW I2C with pin remapping //U8G2_SSD1306_128X64_NONAME_1_6800 u8g2(U8G2_R0, 13, 11, 2, 3, 4, 5, 6, A4, /*enable=*/ 7, /*cs=*/ 10, /*dc=*/ 9, /*reset=*/ 8); //U8G2_SSD1306_128X64_NONAME_1_8080 u8g2(U8G2_R0, 13, 11, 2, 3, 4, 5, 6, A4, /*enable=*/ 7, /*cs=*/ 10, /*dc=*/ 9, /*reset=*/ 8); //U8G2_SSD1306_128X64_VCOMH0_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // same as the NONAME variant, but maximizes setContrast() range //U8G2_SSD1306_128X64_ALT0_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // same as the NONAME variant, but may solve the "every 2nd line skipped" problem //U8G2_SSD1306_102X64_EA_OLEDS102_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // same as the NONAME variant, but may solve the "every 2nd line skipped" problem //U8G2_SH1106_128X64_NONAME_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SH1106_128X64_NONAME_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); //U8G2_SH1106_128X64_VCOMH0_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // same as the NONAME variant, but maximizes setContrast() range //U8G2_SH1106_128X64_WINSTAR_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // same as the NONAME variant, but uses updated SH1106 init sequence //U8G2_SH1106_128X32_VISIONOX_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); //U8G2_SH1106_128X32_VISIONOX_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SH1106_72X40_WISE_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SH1107_64X128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SH1107_128X128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SH1107_128X128_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ 8); //U8G2_SH1107_128X80_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ 8); //U8G2_SH1107_PIMORONI_128X128_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ 8); //U8G2_SH1107_SEEED_128X128_1_SW_I2C u8g2(U8G2_R0, /* clock=*/ SCL, /* data=*/ SDA, /* reset=*/ U8X8_PIN_NONE); //U8G2_SH1107_SEEED_128X128_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); //U8G2_SH1107_SEEED_96X96_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SH1108_160X160_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SH1122_256X64_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Enable U8G2_16BIT in u8g2.h //U8G2_SSD1306_128X32_UNIVISION_1_SW_I2C u8g2(U8G2_R0, /* clock=*/ 21, /* data=*/ 20, /* reset=*/ U8X8_PIN_NONE); // Adafruit Feather M0 Basic Proto + FeatherWing OLED //U8G2_SSD1306_128X32_UNIVISION_1_SW_I2C u8g2(U8G2_R0, /* clock=*/ SCL, /* data=*/ SDA, /* reset=*/ U8X8_PIN_NONE); // Adafruit Feather ESP8266/32u4 Boards + FeatherWing OLED //U8G2_SSD1306_128X32_UNIVISION_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); // Adafruit ESP8266/32u4/ARM Boards + FeatherWing OLED //U8G2_SSD1306_128X32_UNIVISION_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE, /* clock=*/ SCL, /* data=*/ SDA); // pin remapping with ESP8266 HW I2C //U8G2_SSD1306_128X32_WINSTAR_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE, /* clock=*/ SCL, /* data=*/ SDA); // pin remapping with ESP8266 HW I2C //U8G2_SSD1306_64X48_ER_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); // EastRising 0.66" OLED breakout board, Uno: A4=SDA, A5=SCL, 5V powered //U8G2_SSD1306_48X64_WINSTAR_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); //U8G2_SSD1306_64X32_NONAME_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); //U8G2_SSD1306_64X32_1F_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); //U8G2_SSD1306_96X16_ER_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); // EastRising 0.69" OLED //U8G2_SSD1306_72X40_ER_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); // EastRising 0.42" OLED //U8G2_SSD1322_NHD_256X64_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Enable U8G2_16BIT in u8g2.h //U8G2_SSD1322_NHD_256X64_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Enable U8G2_16BIT in u8g2.h //U8G2_SSD1322_NHD_128X64_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1322_NHD_128X64_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1325_NHD_128X64_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1325_NHD_128X64_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD0323_OS128064_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD0323_OS128064_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1326_ER_256X32_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // experimental driver for ER-OLED018-1 //U8G2_SSD1327_SEEED_96X96_1_SW_I2C u8g2(U8G2_R0, /* clock=*/ SCL, /* data=*/ SDA, /* reset=*/ U8X8_PIN_NONE); // Seeedstudio Grove OLED 96x96 //U8G2_SSD1327_SEEED_96X96_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); // Seeedstudio Grove OLED 96x96 //U8G2_SSD1327_EA_W128128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1327_EA_W128128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1327_EA_W128128_1_SW_I2C u8g2(U8G2_R0, /* clock=*/ 5, /* data=*/ 4, /* reset=*/ U8X8_PIN_NONE); //U8G2_SSD1327_EA_W128128_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); /* Uno: A4=SDA, A5=SCL, add "u8g2.setBusClock(400000);" into setup() for speedup if possible */ //U8G2_SSD1327_MIDAS_128X128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1327_MIDAS_128X128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1327_MIDAS_128X128_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); /* Uno: A4=SDA, A5=SCL, add "u8g2.setBusClock(400000);" into setup() for speedup if possible */ //U8G2_SSD1327_WS_128X128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1327_WS_128X128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1327_VISIONOX_128X96_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1327_VISIONOX_128X96_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1329_128X96_NONAME_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1329_128X96_NONAME_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1329_96X96_NONAME_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1329_96X96_NONAME_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1329_96X96_NONAME_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ A4, /* dc=*/ A2, /* reset=*/ U8X8_PIN_NONE); // Adafruit Feather 32u4 Basic Proto //U8G2_SSD1305_128X32_NONAME_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1305_128X32_NONAME_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1305_128X32_ADAFRUIT_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1305_128X32_ADAFRUIT_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1305_128X64_ADAFRUIT_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1305_128X64_ADAFRUIT_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1305_128X64_RAYSTAR_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1305_128X64_RAYSTAR_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1309_128X64_NONAME0_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1309_128X64_NONAME0_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1309_128X64_NONAME2_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1309_128X64_NONAME2_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1316_128X32_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1316_128X32_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1317_96X96_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // not tested, not confirmed //U8G2_SSD1317_96X96_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // not tested, not confirmed //U8G2_SSD1318_128X96_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1318_128X96_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1320_160X132_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_SSD1320_160X132_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_LD7032_60X32_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 11, /* data=*/ 12, /* cs=*/ 9, /* dc=*/ 10, /* reset=*/ 8); // SW SPI Nano Board //U8G2_LD7032_60X32_1_4W_SW_I2C u8g2(U8G2_R0, /* clock=*/ 11, /* data=*/ 12, /* reset=*/ U8X8_PIN_NONE); // NOT TESTED! //U8G2_LD7032_60X32_ALT_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 11, /* data=*/ 12, /* cs=*/ 9, /* dc=*/ 10, /* reset=*/ 8); // SW SPI Nano Board //U8G2_LD7032_60X32_ALT_1_4W_SW_I2C u8g2(U8G2_R0, /* clock=*/ 11, /* data=*/ 12, /* reset=*/ U8X8_PIN_NONE); // NOT TESTED! //U8G2_UC1701_EA_DOGS102_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1701_EA_DOGS102_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_PCD8544_84X48_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Nokia 5110 Display //U8G2_PCD8544_84X48_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Nokia 5110 Display //U8G2_PCF8812_96X65_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Could be also PCF8814 //U8G2_PCF8812_96X65_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Could be also PCF8814 //U8G2_HX1230_96X68_1_3W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* reset=*/ 8); //U8G2_HX1230_96X68_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_KS0108_128X64_1 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18, /*dc=*/ 17, /*cs0=*/ 14, /*cs1=*/ 15, /*cs2=*/ U8X8_PIN_NONE, /* reset=*/ U8X8_PIN_NONE); // Set R/W to low! //U8G2_KS0108_ERM19264_1 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18, /*dc=*/ 17, /*cs0=*/ 14, /*cs1=*/ 15, /*cs2=*/ 16, /* reset=*/ U8X8_PIN_NONE); // Set R/W to low! //U8G2_HD44102_100X64_1 u8g2(U8G2_R0, 4, 5, 6, 7, 8, 9, 10, 11, /*enable=*/ 2, /*dc=*/ 3, /*cs0=*/ A0, /*cs1=*/ A1, /*cs2=*/ A2, /* reset=*/ U8X8_PIN_NONE); // Set R/W to low! //U8G2_T7932_150X32_1 u8g2(U8G2_R0, 4, 5, 6, 7, 8, 9, 10, 11, /*enable=*/ 2, /*dc=*/ 3, /*cs0=*/ A0, /*cs1=*/ A1, /*cs2=*/ A2, /* reset=*/ U8X8_PIN_NONE); // Set R/W to low! //U8G2_ST7920_256X32_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18, /*cs=*/ U8X8_PIN_NONE, /*dc=*/ 17, /*reset=*/ U8X8_PIN_NONE); //U8G2_ST7920_256X32_1_SW_SPI u8g2(U8G2_R0, /* clock=*/ 18 /* A4 */ , /* data=*/ 16 /* A2 */, /* CS=*/ 17 /* A3 */, /* reset=*/ U8X8_PIN_NONE); //U8G2_ST7920_192X32_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18, /*cs=*/ U8X8_PIN_NONE, /*dc=*/ 17, /*reset=*/ U8X8_PIN_NONE); //U8G2_ST7920_192X32_1_SW_SPI u8g2(U8G2_R0, /* clock=*/ 18 /* A4 */ , /* data=*/ 16 /* A2 */, /* CS=*/ 17 /* A3 */, /* reset=*/ U8X8_PIN_NONE); //U8G2_ST7920_128X64_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18 /* A4 */, /*cs=*/ U8X8_PIN_NONE, /*dc/rs=*/ 17 /* A3 */, /*reset=*/ 15 /* A1 */); // Remember to set R/W to 0 //U8G2_ST7920_128X64_1_SW_SPI u8g2(U8G2_R0, /* clock=*/ 18 /* A4 */ , /* data=*/ 16 /* A2 */, /* CS=*/ 17 /* A3 */, /* reset=*/ U8X8_PIN_NONE); //U8G2_ST7920_128X64_1_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* CS=*/ 10, /* reset=*/ 8); //U8G2_ST7920_128X64_1_SW_SPI u8g2(U8G2_R0, /* clock=*/ 14, /* data=*/ 13, /* CS=*/ 15, /* reset=*/ 16); // Feather HUZZAH ESP8266, E=clock=14, RW=data=13, RS=CS //U8G2_ST7920_128X64_1_HW_SPI u8g2(U8G2_R0, /* CS=*/ 10, /* reset=*/ 8); //U8G2_ST7920_128X64_1_HW_SPI u8g2(U8G2_R0, /* CS=*/ 15, /* reset=*/ 16); // Feather HUZZAH ESP8266, E=clock=14, RW=data=13, RS=CS //U8G2_ST7528_ERC16064_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7528_ERC16064_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_EA_DOGM128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_EA_DOGM128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_64128N_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_64128N_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_EA_DOGM132_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ U8X8_PIN_NONE); // DOGM132 Shield //U8G2_ST7565_EA_DOGM132_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ U8X8_PIN_NONE); // DOGM132 Shield //U8G2_ST7565_ZOLEN_128X64_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_ZOLEN_128X64_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_LM6059_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Adafruit ST7565 GLCD //U8G2_ST7565_LM6059_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Adafruit ST7565 GLCD //U8G2_ST7565_KS0713_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // KS0713 controller //U8G2_ST7565_KS0713_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // KS0713 controller //U8G2_ST7565_LX12864_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_LX12864_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_ERC12864_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_ERC12864_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_ERC12864_ALT_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // contrast improved version for ERC12864 //U8G2_ST7565_ERC12864_ALT_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // contrast improved version for ERC12864 //U8G2_ST7565_NHD_C12832_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_NHD_C12832_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_NHD_C12864_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_NHD_C12864_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_JLX12864_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7565_JLX12864_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7567_PI_132X64_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 7, /* dc=*/ 9, /* reset=*/ 8); // Pax Instruments Shield, LCD_BL=6 //U8G2_ST7567_PI_132X64_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 7, /* dc=*/ 9, /* reset=*/ 8); // Pax Instruments Shield, LCD_BL=6 //U8G2_ST7567_JLX12864_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 7, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7567_JLX12864_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 7, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7567_OS12864_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 7, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7567_OS12864_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 7, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7567_ENH_DG128064_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7567_ENH_DG128064_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7567_ENH_DG128064I_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7567_ENH_DG128064I_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7567_64X32_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); //U8G2_ST7567_HEM6432_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ U8X8_PIN_NONE); //U8G2_ST7571_128X128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7571_128X128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7571_128X96_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7571_128X96_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7586S_ERC240160_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7586S_YMC240160_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST75256_JLX172104_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST75256_JLX172104_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST75256_JLX19296_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST75256_JLX19296_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST75256_JLX256128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75256_JLX256128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75256_WO256X128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75256_WO256X128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75256_JLX256128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 9, /* data=*/ 8, /* cs=*/ 7, /* dc=*/ 6, /* reset=*/ 5); // MKR Zero, Enable U8g2 16 bit mode for this display //U8G2_ST75256_JLX256128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 7, /* dc=*/ 6, /* reset=*/ 5); // MKR Zero, Enable U8g2 16 bit mode for this display //U8G2_ST75256_JLX256160_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75256_JLX256160_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75256_JLX256160M_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75256_JLX256160M_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75256_JLX256160_ALT_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75256_JLX256160_ALT_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75256_JLX240160_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST75256_JLX240160_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST75256_JLX25664_1_2ND_HW_I2C u8g2(U8G2_R0, /* reset=*/ 8); // Due, 2nd I2C, enable U8g2 16 bit mode for this display //U8G2_ST75320_JLX320240_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_ST75320_JLX320240_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Uno, Enable U8g2 16 bit mode for this display //U8G2_NT7534_TG12864R_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_NT7534_TG12864R_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_ST7588_JLX12864_1_SW_I2C u8g2(U8G2_R0, /* clock=*/ SCL, /* data=*/ SDA, /* reset=*/ 5); //U8G2_ST7588_JLX12864_1_HW_I2C u8g2(U8G2_R0, /* reset=*/ 5); //U8G2_IST3020_ERC19264_1_6800 u8g2(U8G2_R0, 44, 43, 42, 41, 40, 39, 38, 37, /*enable=*/ 28, /*cs=*/ 32, /*dc=*/ 30, /*reset=*/ 31); // Connect WR pin with GND //U8G2_IST3020_ERC19264_1_8080 u8g2(U8G2_R0, 44, 43, 42, 41, 40, 39, 38, 37, /*enable=*/ 29, /*cs=*/ 32, /*dc=*/ 30, /*reset=*/ 31); // Connect RD pin with 3.3V //U8G2_IST3020_ERC19264_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_IST7920_128X128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Round display //U8G2_IST7920_128X128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Round display //U8G2_LC7981_160X80_1_6800 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18, /*cs=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect RW with GND //U8G2_LC7981_160X160_1_6800 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18, /*cs=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect RW with GND //U8G2_LC7981_240X128_1_6800 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18, /*cs=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect RW with GND //U8G2_LC7981_240X64_1_6800 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18, /*cs=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect RW with GND //U8G2_SED1520_122X32_1 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*dc=*/ A0, /*e1=*/ A3, /*e2=*/ A2, /* reset=*/ A4); // Set R/W to low! //U8G2_T6963_240X128_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable/wr=*/ 17, /*cs/ce=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect RD with +5V, FS0 and FS1 with GND //U8G2_T6963_256X64_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable/wr=*/ 17, /*cs/ce=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect RD with +5V, FS0 and FS1 with GND //U8G2_T6963_160X80_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable/wr=*/ 17, /*cs/ce=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect RD with +5V, FS0 and FS1 with GND //U8G2_T6963_128X64_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable/wr=*/ 17, /*cs/ce=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect RD with +5V, FS0 and FS1 with GND //U8G2_T6963_128X64_ALT_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable/wr=*/ 17, /*cs/ce=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect RD with +5V, FS0 and FS1 with GND //U8G2_SED1330_240X128_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 17, /*cs=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect /RD = E with +5V, enable is /WR = RW, FG with GND, 14=Uno Pin A0 //U8G2_SED1330_240X128_1_6800 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 17, /*cs=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // A0 is dc pin, /WR = RW = GND, enable is /RD = E //U8G2_RA8835_NHD_240X128_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 17, /*cs=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // Connect /RD = E with +5V, enable is /WR = RW, FG with GND, 14=Uno Pin A0 //U8G2_RA8835_NHD_240X128_1_6800 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 17, /*cs=*/ 14, /*dc=*/ 15, /*reset=*/ 16); // A0 is dc pin, /WR = RW = GND, enable is /RD = E //U8G2_UC1601_128X32_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1601_128X32_1_3W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* reset=*/ 8); //U8G2_UC1601_128X64_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1604_JLX19264_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1604_JLX19264_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1609_SLG19264_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1609_SLG19264_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1608_ERC24064_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // SW SPI, Due ERC24064-1 Test Setup //U8G2_UC1608_DEM240064_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // SW SPI, Due ERC24064-1 Test Setup //U8G2_UC1608_ERC240120_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1608_240X128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // SW SPI, Due ERC24064-1 Test Setup //U8G2_UC1610_EA_DOGXL160_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ U8X8_PIN_NONE); //U8G2_UC1610_EA_DOGXL160_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ U8X8_PIN_NONE); //U8G2_UC1611_EA_DOGM240_1_2ND_HW_I2C u8g2(U8G2_R0, /* reset=*/ 8); // Due, 2nd I2C, DOGM240 Test Board //U8G2_UC1611_EA_DOGM240_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // SW SPI, Due DOGXL240 Test Board //U8G2_UC1611_EA_DOGXL240_1_2ND_HW_I2C u8g2(U8G2_R0, /* reset=*/ 8); // Due, 2nd I2C, DOGXL240 Test Board //U8G2_UC1611_EA_DOGXL240_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // SW SPI, Due DOGXL240 Test Board //U8G2_UC1611_EW50850_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18, /*cs=*/ 3, /*dc=*/ 16, /*reset=*/ 17); // 240x160, Connect RD/WR1 pin with 3.3V, CS is aktive high //U8G2_UC1611_CG160160_1_8080 u8g2(U8G2_R0, 8, 9, 10, 11, 4, 5, 6, 7, /*enable=*/ 18, /*cs=*/ 3, /*dc=*/ 16, /*reset=*/ 17); // Connect WR1 and CD1 pin with 3.3V, connect CS0 with cs, WR0 with enable, CD with dc //U8G2_UC1611_IDS4073_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Enable U8g2 16Bit Mode //U8G2_UC1611_IDS4073_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Enable U8g2 16Bit Mode //U8G2_UC1617_JLX128128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1617_JLX128128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1638_192X96_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1638_192X96_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_UC1638_192X96_1_SW_I2C u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* reset=*/ 8); // u8g2 test board: I2C clock/data is same as SPI, I2C default address is 0x78 //U8G2_SSD1606_172X72_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // eInk/ePaper Display //U8G2_SSD1607_200X200_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // eInk/ePaper Display, original LUT from embedded artists //U8G2_SSD1607_GD_200X200_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Good Display //U8G2_SSD1607_WS_200X200_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // Waveshare //U8G2_IL3820_296X128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // WaveShare 2.9 inch eInk/ePaper Display, enable 16 bit mode for this display! //U8G2_IL3820_V2_296X128_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // ePaper Display, lesser flickering and faster speed, enable 16 bit mode for this display! //U8G2_LS013B7DH03_128X128_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ U8X8_PIN_NONE, /* reset=*/ 8); // there is no DC line for this display //U8G2_LS027B7DH01_400X240_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ U8X8_PIN_NONE, /* reset=*/ 8); // there is no DC line for this display, SPI Mode 2 //U8G2_LS027B7DH01_M0_400X240_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ U8X8_PIN_NONE, /* reset=*/ 8); // there is no DC line for this display, SPI Mode 0 //U8G2_LS013B7DH05_144X168_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ U8X8_PIN_NONE, /* reset=*/ 8); // there is no DC line for this display //U8G2_ST7511_AVD_320X240_1_8080 u8g2(U8G2_R0, 13, 11, 2, 3, 4, 5, 6, A4, /*enable/WR=*/ 7, /*cs=*/ 10, /*dc=*/ 9, /*reset=*/ 8); // Enable U8g2 16Bit Mode and connect RD pin with 3.3V/5V //U8G2_S1D15721_240X64_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_S1D15721_240X64_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_GU800_128X64_1_4W_SW_SPI u8g2(U8G2_R0, /* clock=*/ 13, /* data=*/ 11, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); //U8G2_GU800_128X64_1_4W_HW_SPI u8g2(U8G2_R0, /* cs=*/ 10, /* dc=*/ 9, /* reset=*/ 8); // End of constructor list /* The FontStruction “ti83” (https://fontstruct.com/fontstructions/show/1477231) by “Techstar01” is licensed under a Creative Commons Attribution Non-commercial Share Alike license (http://creativecommons.org/licenses/by-nc-sa/3.0/). Arrows: 0x2b06/7, 0x2191/2/3 Small Nums: 0x0280..89 otf2bdf -n -p 5 -o ../bdf/ti83.bdf ../ttf/ti83.ttf bdfconv -b 4 -f 1 -a -v -m '32-65000' ../bdf/ti83.bdf -n u8g2_font_ti83_5x7 -o ti83.c -d ../bdf/7x13.bdf */ const uint8_t u8g2_font_ti83_5x7[2763] U8G2_FONT_SECTION("u8g2_ti83_5x7") = "\372\4\4\2\3\4\1\2\4\5\11\0\376\7\0\7\0\1Y\2\265\6N \5\315\364\63!\11\315\264" "\204Z\206\302\0\42\13\315t\224$\42\211<\5\0#\17\315t\224$\22\3E\305@Q\222\310\2$" "\15\315\264d\6\212\314&\61\320\204\1%\13\315\64h$\231N\64R\0&\17\315td\22\221D\246" "\42!\211(\242\0'\10\315\264\204\352I\0(\10\315\364\64\252\252\5)\11\315t\244\212j\252\1*" "\14\315\364E\22\25\213&y\10\0+\13\315\364\205\42\3I(\17\1,\11\315\364\243D\231\70\0-" "\11\315\364\3\6z(\0.\10\315\364C\65j\0/\10\315tf\272\7\1\60\15\315t,\62\222E" "b\242Il\1\61\11\315\264dD\335l\1\62\13\315t,\62\241L\233\201\24\63\13\315\64\14\64U" ")Mb\13\64\15\315\364d$\211\222\304@\23\312\2\65\13\315\64\34\15R!Mb\13\66\15\315\264" "H\62\241A\42\243Il\1\67\12\315\64\14D\231F\325\0\70\15\315t,\62\232\304\42\243Il\1" "\71\15\315t,\62\232\304 \224\211\210\1:\11\315\264i\204\32=\4;\11\315\264i\204\242L\32<" "\7\315\364t\325\26=\11\315\264\16T\3=\12>\10\315t\244\332\264\6\77\12\315t,\62\241Le" "\30@\17\315t,\62\212\204b\220\210\244\6Q\0A\14\315t,\62\232\301 c\23\5B\16\315\64" "\14\22\31\315@\221\321\14\264\0C\13\315t,\62\242\66\211-\0D\13\315\64\14\22\31o\6Z\0" "E\14\315\64\34\205\6\211Ph \5F\13\315\64\34\205\6\211P\71\0G\16\315t,\62\242\304 " "\243I\14\242\0H\13\315\64dl\6\203\214M\24I\11\315tlB\335l\1J\13\315\264lB-" "\42\21\61\0K\16\315\64d$\211\22M\42R\221\211\2L\11\315\64\204z\64\220\2M\15\315\64d" "\26\213\204\42\241\261\211\2N\15\315\64d\64\23EB\262\321D\1O\12\315t,\62\336$\266\0P" "\14\315\64\14\22\31\315@\21*\7Q\14\315t,\62.\22\222\210\42\12R\16\315\64\14\22\31\315@" "QR\221\211\2S\13\315t\14D\251Uh\240\5T\11\315\64\14$\241\36\3U\11\315\64d|\223" "\330\2V\14\315\64d\334$J\22\231\60\0W\14\315\64d\134$\24\11E\267\0X\14\315\64d\64" "\211\232\212\32M\24Y\13\315\64dl\22\65\241b\0Z\13\315\64\14D\231\216\6R\0[\11\315\264" "hB\35i\1\134\6\315t\365\36]\10\315t\210z#\6^\11\315\264d\22\65y\2_\10\315\364" "\23\14\244\0`\10\315\64\244\352I\0a\13\315\364\256\22\3Mb\20\5b\13\315\64\204*L\64\232" "\201\26c\12\315\364.B\65\211-\0d\13\315\64U\230l\64\211A\24e\12\315\364.\62\203Aj" "\13f\13\315\264H*\62\233P\65\0g\14\315\264\15\64\232\304 \224\330\2h\13\315\64\204*L\64" "\66Q\0i\11\315\264\4Em\266\0j\12\315\364\4E\25\221\210\30k\14\315\64\204J\22%\232D" "\244\26l\10\315t\210z\263\5m\13\315\264*Z(\22\32M\24n\12\315\264&L\64\66Q\0o" "\12\315\364.\62\66\211-\0p\13\315\264\16\22\231\201\42\24\7q\12\315\364\16\64\211A\250\24r\11" "\315\264&LD\345\0s\11\315\364.R\7Z\0t\13\315t\204\62\233PID\13u\12\315\264f" "\234(\24Q\0v\12\315\264fl\22\65a\0w\12\315\264f,\22\212n\1x\13\315\264f\22\65" "\25\65Q\0y\13\315\264f\64\211A(\261\5z\12\315\264\16\64m\6R\0{\13\315\364HB\231" "T(%\5|\7\315\264\204\372\30}\13\315\64\250B\251L(\242\6~\12\315td\22\65y\12\0" "\177\5\315\364\63\241\11\315\264\204\222\241\306\0\250\10\315\264%\362d\0\260\12\315tL\22\221=\5\0" "\262\12\315t\214\42\223\320\36\6\263\12\315t\250\62\251\210\36\7\264\10\315\64e\352I\0\267\10\315\364" "\343\362\70\0\272\12\315tH*\42\21=\30\277\12\315\264$\63E\231\304\26\300\15\315t\244\62\213\214" "f\60\310D\1\301\14\315\364\324,\62\232\301 \23\5\302\15\315\264d\22\271Ef\60\310D\1\304\14" "\315t\324-\62\232\301 \23\5\307\14\315t,\62\242Lb\23\31\3\310\15\315t\244\42\203\321 \21" "\32H\1\311\14\315\364\224\14F\203Dh \5\312\16\315\264d\22\211\301h\220\10\15\244\0\313\14\315" "t\224\15F\203Dh \5\314\12\315t\244\62\233P\315\26\315\12\315\364\324lB\65[\0\316\13\315" "\264d\22\221M\250f\13\317\12\315t\324mB\65[\0\321\14\315\264$\272\231(\22\222M\24\322\13" "\315t\244\62\213\214Mb\13\323\13\315\364\324,\62\66\211-\0\324\14\315\264d\22\221E\306&\261\5" "\326\13\315t\324-\62\66\211-\0\327\13\315te\22\65\25\65y\0\331\13\315t\244\42\31\67\211-" "\0\332\12\315\364\224d\334$\266\0\333\13\315\264d\22\261\214Mb\13\334\12\315t\224e\334$\266\0" "\337\15\315tH*\42\211\204\42c\241\5\340\15\315t\244\62\253\304@\223\30D\1\341\14\315\364\324\254" "\22\3Mb\20\5\342\16\315\264d\22\221Ub\240I\14\242\0\344\14\315t\324\255\22\3Mb\20\5" "\347\12\315\364.B\231\304\61\0\350\14\315t\244\62\213\314`\220\332\2\351\13\315\364\324,\62\203Aj" "\13\352\15\315\264d\22\221Ef\60Hm\1\353\13\315t\324-\62\203Aj\13\354\12\315t\244\202\242" "\232-\0\355\11\315\364\24\212j\266\0\356\12\315\264d\22\71Q\315\26\357\11\315t\24\213j\266\0\361" "\14\315\264$\232%L\64\232(\0\362\13\315t\244\202\213\214&\261\5\363\12\315\364\24.\62\232\304\26" "\364\14\315\264d\22\271EF\223\330\2\366\12\315t\24/\62\232\304\26\371\13\315t\244\42\31'\12E" "\24\372\13\315\364\224d\234(\24Q\0\373\14\315\264d\22\261\214\211B\21\5\374\13\315t\224e\234(" "\24Q\0\0\0\0\4\377\377\2\263\13\315\64$lBy\70\0\2\326\12\315\364#\62\233<\6\2\337" "\12\315\264$\62\25y\2\3\224\13\315\364C\62\211\232\301\24\3\243\14\315\64\14\24\251\232\232\201\24\3" "\251\15\315t,\62\66\211\222D\302\24\3\261\16\315\364*$\211H\42\22QD\1\3\262\16\315\264H" "*\42\211IED\241\5\3\263\13\315\364F\24\65\241Z\0\3\264\14\315\264HR%\3Mb\13\3" "\265\13\315\364.B\203Dj\13\3\270\16\315\264H\42\232\301 #\211\210\1\3\273\13\315\264\245j$" "\21M\24\3\274\15\315\264F\22\221D$\261\220\3\3\300\15\315\264\16\24%\211H\242D\12\3\301\14" "\315\364I*\42\211I&\16\3\303\15\315\364\16$\211H\42\22\21\3\3\304\13\315\364\16\24\241\212L" "\26\3\306\14\315\264\204\62\213\26\233P\30\3\307\15\315\64\244\42\211\232\212\222T\24\35\7\14\315\364\16" "\22\241Ih\20\5\35@\12\315tlB\355q\0 \20\11\315\364#\366X\0 \33\12\315t\204R" "y\22\0 &\11\315\364\23$J\1 D\12\315tf$n\342\0 t\13\315t\204\22\221Q=" "\14 {\7\315\64\357\11 \200\15\315\364N\22\221D$\21\331\2 \201\11\315\64gD\215\1 \202" "\12\315\364\252\62E[\0 \203\12\315\364\252\62\251\210\30 \204\13\315\364\206\22\221Q-\0 \205\12" "\315\364NB\252\210\30 \206\13\315\64KB\223Dd\13 \207\12\315\364\216\62Ei\0 \210\14\315" "\364N\22\221I\42\262\5 \211\13\315\364N\22\221QD\14!\221\13\315\264d\26MB\215\1!\222" "\13\315\364\245\22\3M=\4!\223\14\315\264\204\232$*\66a\0\42\25\12\315\364\204j\212\252\1\42" "\32\14\315\264LB%\211\214(\14\42\33\13\315t\250\42\252\210\36\7\42 \12\315\264g\332\14\244\0" "\42+\14\315\364TDB%\211L\32\42`\16\315\364\204\22\3Id\240\10\245\1\42d\14\315\64E" "\24*Uj \5\42e\13\315\64\244,$\311\201\24\42\361\10\315te\353\1$\0\17\315\64D\6" "\211I\302,Q\242h\42$\1\15\315\264&$\25\21I\42\222\5$\3\14\315\264f\64\211\222D&" "\14$\6\14\315\264d\26\3\305d\17\3$\7\13\315\364N\26\3\305&\14$\15\12\315\364\3\66\241" "j\0$\17\14\315\64\34d\64\203\204\306\32$\21\13\315\364\210&\241z\24\0$\26\6\315\364\63$" "\35\17\315\264&\6\211\204\42\241H(\246\0$!\15\315\64<\320\14\6\231\301\201\24$#\12\315\364" "\243\62\232\301\24$'\13\315t\4E-\62a\0$(\17\315\264d\22\211A\42\63P\204\342\0$" "*\15\315\64\334(\42\223D$\24\7$+\13\315\364.\62\3EJ\14$,\11\315\64\207\32M\1" "$-\20\315\64d&\203\304`\220\30D\66Q\0$.\6\315\364\63$/\6\315\364\63$\60\6\315" "\364\63$\61\20\315\64\34$\66\211\12\305 \61HH\1$\62\20\315\64\34$\26\11\215\42\241H\14" "\246\0$\63\17\315\64\34$\6\212\211\42\61\31L\1$\65\14\315\264d\26MB\271\201\24$\66\17" "\315\264d\22\221I\42\222\210\15\244\0$\67\15\315\264\244\62\222DF\66\220\2$\70\10\315\364\246\332" "\3$\71\12\315\64h\336\210\362\0$:\6\315\364\63$;\6\315\364\63$<\16\315\64f\22\203D" "$\221\311#\0$=\16\315\64f\22\221D$\221\311#\0$>\15\315\64\14T\231DMEM\24" "$\77\16\315\64\14T\31Mb\20Jl\1%\210\11\315\64\374\77\220\2%\222\12\315\64$\372\377\247" "\0%\240\11\315\264N\356q\0%\241\13\315\364\3&\211\310\36\2%\253\6\315\364\63%\270\13\315\264" "\205\64\23M\36\3%\302\13\315\64f$\33Q\36\1%\343\14\315\264\206\64\223Ab \5%\345\15" "\315\64\14\24\203\310F\224G\1'\241\14\315\264e&\203\304&\217\1+\6\14\315\264d\26\3\305\344" "\36\1+\7\13\315\264M.\6\212M\30+\32\15\315\64$\252\62\251L*Q\12.)\17\315\64$" "\62\211L\42\222\210$z\14\0"; int bl = 7; void setup(void) { /* U8g2 Project: SSD1306 Test Board */ //pinMode(10, OUTPUT); //pinMode(9, OUTPUT); //digitalWrite(10, 0); //digitalWrite(9, 0); /* U8g2 Project: T6963 Test Board */ //pinMode(18, OUTPUT); //digitalWrite(18, 1); /* U8g2 Project: KS0108 Test Board */ //pinMode(16, OUTPUT); //digitalWrite(16, 0); /* U8g2 Project: LC7981 Test Board, connect RW to GND */ //pinMode(17, OUTPUT); //digitalWrite(17, 0); /* U8g2 Project: Pax Instruments Shield: Enable Backlight */ //pinMode(6, OUTPUT); //digitalWrite(6, 0); u8g2.begin(); //u8g2.setFont(u8g2_font_5x7_mf); u8g2.setFont(u8g2_font_ti83_5x7); } void show_tripple(void) { int i; for( i = 0; i < 32; i++ ) { u8g2.firstPage(); do { u8g2.drawStr(5*16,bl,"94,8"); u8g2.drawStr(5*13,bl+8*4,"74,28"); u8g2.drawLine(94,8, 74,28); u8g2.drawStr(0,bl,"Trippled pixel"); u8g2.drawStr(0,bl+8,"every 8th row"); u8g2.drawStr(0,bl+2*8+i,"Hello World"); u8g2.drawStr(0,bl+3*8+i,"abcdefghijk"); } while ( u8g2.nextPage() ); delay(200); } } void show_skipped_column(void) { int i; for( i = 0; i < 32; i++ ) { u8g2.firstPage(); do { u8g2.drawStr(5*0,bl+8*3,"2,21 line to"); u8g2.drawStr(5*15,bl+8*3,"96,16"); u8g2.drawLine(2,21, 96, 16); u8g2.drawStr(0,bl,"Cleared pixel"); u8g2.drawStr(0,bl+8,"every 6th column"); u8g2.drawStr(0+i,bl+5*8,"Hello World"); u8g2.drawStr(0+i,bl+6*8,"abcdefghijk"); } while ( u8g2.nextPage() ); delay(200); } } void show_title(void) { u8g2.firstPage(); do { u8g2.drawStr(0,bl+0*8,"U8g2 Graphics Lib"); u8g2.drawStr(0,bl+1*8,"LM234 Display"); u8g2.drawStr(0,bl+2*8,"HD44102 Controller"); u8g2.drawStr(0,bl+3*8,"Display size: 119x77"); u8g2.drawStr(0,bl+4*8,"Usable pixel: 100x63"); } while ( u8g2.nextPage() ); delay(5000); } void show_font(void) { u8g2.firstPage(); do { u8g2.drawStr(0,bl+0*8,"Special 5x7 font"); u8g2.drawStr(0,bl+1*8,"required"); u8g2.drawStr(0,bl+2*8,"Name: ti83"); u8g2.drawStr(0,bl+3*8,"Lic: CC BY-NC-SA 3.0"); u8g2.drawStr(0,bl+4*8,"From: fontstruct.com"); u8g2.drawStr(0,bl+5*8,"Author: Techstar01"); u8g2.drawStr(0,bl+6*8,"bdfconv: -b 4"); } while ( u8g2.nextPage() ); delay(4000); } void loop(void) { show_title(); show_font(); show_tripple(); show_skipped_column(); }
Arduino
5
mathgl67/printalyzer-densitometer
software/firmware/external/u8g2/sys/arduino/u8g2_page_buffer/5x7/5x7.ino
[ "BSD-3-Clause" ]
package Giraffe_IFC; import Axi ::*; import Axi4 ::*; import Bus ::*; import Connectable ::*; `include "TLM.defines" `include "Giraffe.defines" (* always_ready, always_enabled *) interface JTAG_IFC; (* prefix = "", result = "unused0" *) method Action tdi((* port = "tdi" *) Bit#(1) x); (* prefix = "", result = "unused1" *) method Action tms((* port = "tms" *) Bit#(1) x); (* prefix = "", result = "unused2" *) method Action tclk((* port = "tclk" *) Bit#(1) x); (* result = "tdo" *) method Bit#(1) tdo; interface Clock tclk_out; endinterface (* always_ready, always_enabled *) interface DMI_Master_IFC; (* prefix = "", result = "unused0" *) method Action req_ready((* port = "req_ready" *) Bit#(1) x); (* result = "req_valid" *) method Bit#(1) req_valid; (* result = "req_addr" *) method Bit#(7) req_addr; (* result = "req_data" *) method Bit#(32) req_data; (* result = "req_op" *) method Bit#(2) req_op; (* result = "rsp_ready" *) method Bit#(1) rsp_ready; (* prefix = "", result = "unused1" *) method Action rsp_valid((* port = "rsp_valid" *) Bit#(1) x); (* prefix = "", result = "unused2" *) method Action rsp_data((* port = "rsp_data" *) Bit#(32) x); (* prefix = "", result = "unused3" *) method Action rsp_response((* port = "rsp_response" *) Bit#(2) x); endinterface (* always_ready, always_enabled *) interface DMI_IFC; (* result = "req_ready" *) method Bit#(1) req_ready; (* prefix = "", result = "unused0" *) method Action req_valid((* port = "req_valid" *) Bit#(1) x); (* prefix = "", result = "unused1" *) method Action req_addr((* port = "req_addr" *) Bit#(7) x); (* prefix = "", result = "unused2" *) method Action req_data((* port = "req_data" *) Bit#(32) x); (* prefix = "", result = "unused3" *) method Action req_op((* port = "req_op" *) Bit#(2) x); (* prefix = "", result = "unused4" *) method Action rsp_ready((* port = "rsp_ready" *) Bit#(1) x); (* result = "rsp_valid" *) method Bit#(1) rsp_valid; (* result = "rsp_data" *) method Bit#(32) rsp_data; (* result = "rsp_response" *) method Bit#(2) rsp_response; endinterface instance Connectable#(DMI_Master_IFC, DMI_IFC); module mkConnection#(DMI_Master_IFC m, DMI_IFC s)(Empty); mkConnection(m.req_ready, s.req_ready); mkConnection(m.req_valid, s.req_valid); mkConnection(m.req_addr, s.req_addr); mkConnection(m.req_data, s.req_data); mkConnection(m.req_op, s.req_op); mkConnection(m.rsp_ready, s.rsp_ready); mkConnection(m.rsp_valid, s.rsp_valid); mkConnection(m.rsp_data, s.rsp_data); mkConnection(m.rsp_response, s.rsp_response); endmodule endinstance instance Connectable#(DMI_IFC, DMI_Master_IFC); module mkConnection#(DMI_IFC s, DMI_Master_IFC m)(Empty); mkConnection(m, s); endmodule endinstance interface Core_IFC; interface Axi4LRdWrMaster#(`TLM_PRM_Giraffe) master0; interface Axi4LRdWrMaster#(`TLM_PRM_Giraffe) master1; interface Axi4LRdWrMaster#(`TLM_PRM_Giraffe) master2; interface Axi4LRdWrMaster#(`TLM_PRM_Giraffe) master3; (* always_ready, always_enabled *) (* prefix = "", result = "unused0" *) method Action interrupt0((* port = "interrupt0" *) Bit#(1) x); (* always_ready, always_enabled *) (* prefix = "", result = "unused1" *) method Action interrupt1((* port = "interrupt1" *) Bit#(1) x); (* always_ready, always_enabled *) (* prefix = "", result = "unused2" *) method Action interrupt2((* port = "interrupt2" *) Bit#(1) x); `ifdef JTAG_TAP (* prefix = "" *) interface JTAG_IFC jtag; `else (* prefix = "dmi" *) interface DMI_IFC dmi; `endif endinterface interface Platform_IFC; interface Axi4LRdWrSlave#(`TLM_PRM_Giraffe) slave0; interface Axi4LRdWrSlave#(`TLM_PRM_Giraffe) slave1; interface Axi4LRdWrSlave#(`TLM_PRM_Giraffe) slave2; interface Axi4LRdWrSlave#(`TLM_PRM_Giraffe) slave3; (* always_ready, always_enabled *) method Bit#(1) interrupt0; (* always_ready, always_enabled *) method Bit#(1) interrupt1; (* always_ready, always_enabled *) method Bit#(1) interrupt2; interface BusSend#(Bit#(8)) uart_out; interface BusRecv#(Bit#(8)) uart_in; endinterface endpackage
Bluespec
5
Skydive/Piccolo
src_SSITH_P1/src_BSV/Giraffe_IFC.bsv
[ "Apache-2.0" ]
/* insert into `escapes` values (1, '\\', '{"?":[]}', X'ffffffff'), (2, '"', '"\\n\\n\\n"', X'0d0a0d0a'), (3, '\n', '[",,,"]', '\\,\\,'); */ create table `escapes` ( `i` int primary key, `t` text, `j` json, `b` blob );
SQL
4
imtbkcat/tidb-lightning
tests/csv/data/csv.escapes-schema.sql
[ "Apache-2.0" ]
{ "@context": { "@version": 1.1, "type": "@type" }, "ex:foo": { "type": "@json", "@value": { "test": 1 } } }
JSONLD
2
donbowman/rdflib
test/jsonld/1.1/toRdf/js19-in.jsonld
[ "BSD-3-Clause" ]
// Regression test for issue #22779. An extra where clause was // permitted on the impl that is not present on the trait. trait Tr<'a, T> { fn renew<'b: 'a>(self) -> &'b mut [T]; } impl<'a, T> Tr<'a, T> for &'a mut [T] { fn renew<'b: 'a>(self) -> &'b mut [T] where 'a: 'b { //~^ ERROR E0276 &mut self[..] } } fn main() { }
Rust
3
Eric-Arellano/rust
src/test/ui/compare-method/region-extra-2.rs
[ "ECL-2.0", "Apache-2.0", "MIT-0", "MIT" ]
package com.customer.customermongodbsink; import org.springframework.data.mongodb.repository.MongoRepository; import org.springframework.stereotype.Repository; @Repository public interface CustomerRepository extends MongoRepository<Customer, Long> { }
Java
4
DBatOWL/tutorials
spring-cloud-data-flow/spring-cloud-data-flow-etl/customer-mongodb-sink/src/main/java/com/customer/customermongodbsink/CustomerRepository.java
[ "MIT" ]
'1' infix:<+> '1'; '1' infix:<*> '1'; '1' infix:<+> '1' infix:<*> '1'; '1' infix:<*> '1' infix:<+> '1'; my $a; print 'hello, '; say 'world!'; { say 'in block'; } warn 'hi'; ...;
Perl6
2
lablua/Perlito
misc/iterator_engine/iterator_engine_p6sample.p6
[ "Artistic-2.0" ]
theory "InstructionRelocate" imports "InstructionAux" begin declare instruction_failure_result_def [simp] declare vctx_advance_pc_def [simp] theorem lemma_stack_2_1_op [simp] : "stack_2_1_op v c f = InstructionContinue nv \<Longrightarrow> vctx_next_instruction v c = vctx_next_instruction (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 \<Longrightarrow> stack_2_1_op (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 f = InstructionContinue (nv\<lparr>vctx_pc:=vctx_pc nv+x\<rparr>)" apply(auto simp:stack_2_1_op_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto split:option.split) done theorem lemma_stack_3_1_op [simp] : "stack_3_1_op v c f = InstructionContinue nv \<Longrightarrow> vctx_next_instruction v c = vctx_next_instruction (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 \<Longrightarrow> stack_3_1_op (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 f = InstructionContinue (nv\<lparr>vctx_pc:=vctx_pc nv+x\<rparr>)" apply(auto simp:stack_3_1_op_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto) apply(cases "tl (tl (vctx_stack v))") apply(auto split:option.split) done theorem lemma_stack_1_1_op [simp] : "stack_1_1_op v c f = InstructionContinue nv \<Longrightarrow> vctx_next_instruction v c = vctx_next_instruction (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 \<Longrightarrow> stack_1_1_op (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 f = InstructionContinue (nv\<lparr>vctx_pc:=vctx_pc nv+x\<rparr>)" apply(auto simp:stack_1_1_op_def) apply(cases "vctx_stack v") apply(auto split:option.split) done theorem "lemma_next_ind" [simp]: "vctx_next_instruction (v\<lparr>vctx_stack := list\<rparr>) c = vctx_next_instruction v c" apply(auto simp:vctx_next_instruction_def) done theorem lemma_pop_stack [simp] : "vctx_next_instruction (vctx_pop_stack n v) c = vctx_next_instruction v c" apply(auto simp:vctx_pop_stack_def) done theorem lemma_update_storage [simp] : "vctx_pc (vctx_update_storage a aa v) = vctx_pc v" apply(auto simp:vctx_update_storage_def) done theorem lemma_gas [simp] : "gas (v\<lparr>vctx_pc := vctx_pc v + x\<rparr>) = gas v" apply(auto simp:gas_def) done theorem lemma_mstore [simp] : "mstore v c = InstructionContinue nv \<Longrightarrow> vctx_next_instruction v c = vctx_next_instruction (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 \<Longrightarrow> mstore (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 = InstructionContinue (nv\<lparr>vctx_pc:=vctx_pc nv+x\<rparr>)" apply(auto simp:mstore_def) apply(cases "vctx_stack v") apply(simp) apply(cases "tl (vctx_stack v)") apply(auto split:option.split) done theorem lemma_venv_memory [simp] : "vctx_memory (v\<lparr>vctx_pc := vctx_pc v + x\<rparr>) p = vctx_memory v p" apply(auto) done theorem lemma_mem_update [simp] : "(\<lambda>p. if p = a then ucast aa else vctx_memory (v\<lparr>vctx_pc := vctx_pc v + x\<rparr>) p) = (\<lambda>p. if p = a then ucast aa else vctx_memory v p)" apply(auto) done theorem lemma_mstore8 [simp] : "mstore8 v c = InstructionContinue nv \<Longrightarrow> vctx_next_instruction v c = vctx_next_instruction (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 \<Longrightarrow> mstore8 (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 = InstructionContinue (nv\<lparr>vctx_pc:=vctx_pc nv+x\<rparr>)" apply(auto simp:mstore8_def) apply(cases "vctx_stack v") apply(simp) apply(cases "tl (vctx_stack v)") apply(simp) apply(auto split:option.split) done theorem "lemma_lambda" [simp] : "(\<lambda>xa. if xa = a then aa else vctx_storage (v\<lparr>vctx_pc := x\<rparr>) xa) = (\<lambda>x. if x = a then aa else vctx_storage v x)" apply(auto) done theorem "lemma_update2" [simp] : "vctx_update_storage a aa (v\<lparr>vctx_pc := x\<rparr>) = (vctx_update_storage a aa v) \<lparr>vctx_pc := x\<rparr>" apply(auto simp:vctx_update_storage_def) done theorem lemma_venv_next_update [simp] : "vctx_next_instruction (vctx_update_storage a aa v) c = vctx_next_instruction v c" apply(auto simp:vctx_next_instruction_def) done theorem lemma_venv_next_update2 [simp] : "vctx_next_instruction (vctx_update_storage a aa v \<lparr>vctx_pc := vctx_pc v + x\<rparr>) c = vctx_next_instruction (v\<lparr>vctx_pc := vctx_pc v + x \<rparr>) c" apply(auto simp:vctx_next_instruction_def) done theorem lemma_cutdata [simp] : "cut_data (v\<lparr>vctx_pc := vctx_pc v + x\<rparr>) = cut_data v" apply(auto simp:cut_data_def) done theorem lemma_pop_s [simp] : "vctx_pop_stack n (v\<lparr> vctx_pc := x \<rparr>) = (vctx_pop_stack n v) \<lparr> vctx_pc := x \<rparr>" apply(auto simp:vctx_pop_stack_def) done theorem lemma_pop_stack_pc [simp] : "vctx_pc (vctx_pop_stack n v) = vctx_pc v" apply(auto simp:vctx_pop_stack_def) done theorem lemma_stack_default [simp] : "vctx_stack_default n (v\<lparr>vctx_pc := x\<rparr>) = vctx_stack_default n v" apply(auto simp:vctx_stack_default_def) done fun relocatable :: "inst \<Rightarrow> bool" where "relocatable (Pc _) = False" | "relocatable (Info _) = False" | "relocatable (Memory CODECOPY) = False" | "relocatable _ = True" lemma lemma_create_log [simp] : "cctx_this c2 = cctx_this c1 \<Longrightarrow> create_log_entry n (v\<lparr>vctx_pc := x\<rparr>) c2 = create_log_entry n v c1" apply(auto simp:create_log_entry_def) apply(auto simp:vctx_returned_bytes_def) apply(cases "vctx_stack v") apply(auto) done theorem relocatable_inst : "relocatable inst \<Longrightarrow> instruction_aux v c inst = InstructionContinue nv \<Longrightarrow> vctx_next_instruction v c = vctx_next_instruction (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 \<Longrightarrow> cctx_this c2 = cctx_this c \<Longrightarrow> instruction_aux (v\<lparr>vctx_pc:=vctx_pc v+x\<rparr>) c2 inst = InstructionContinue (nv\<lparr>vctx_pc:=vctx_pc nv+x\<rparr>)" apply(cases inst) apply(auto simp:instruction_aux_def) apply(cases "get_bits (Some inst)", auto) apply(cases "get_sarith (Some inst)", auto) apply(cases "get_arith (Some inst)", auto) apply(auto simp:sha3_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto split:option.split) (* apply(cases "get_info (Some inst)") apply(auto split:option.split) *) apply(simp add:general_dup_def) apply(cases "index (venv_stack v) (unat (get_dup (Some inst)))") apply(auto split:option.split) apply(cases "get_memory (Some inst)") apply(auto simp:mload_def stack_0_1_op_def) apply(cases "vctx_stack v") apply(auto split:option.split) apply(simp add:calldatacopy_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto) apply(cases "tl (tl (vctx_stack v))") apply(auto split:option.split) apply(simp add:extcodecopy_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto) apply(cases "tl (tl (vctx_stack v))") apply(auto) apply(cases "tl (tl (tl (vctx_stack v)))") apply(auto split:option.split) apply(cases "get_storage (Some inst)") apply(auto) apply(simp add:sstore_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto simp:strict_if_def vctx_next_instruction_def split:option.split) apply(cases "get_stack inst") apply(auto) apply(simp add:pop_def) apply(cases "vctx_stack v") apply(auto simp:vctx_next_instruction_def stack_0_1_op_def split:option.split) apply(simp add:swap_def) apply(cases "list_swap (Suc (unat (get_swap (Some inst)))) (vctx_stack v)") apply(auto simp:vctx_next_instruction_def split:option.split) apply(cases "get_log (Some inst)") apply(auto simp:log_def split:option.split) apply(auto simp:vctx_next_instruction_def vctx_pop_stack_def split:option.split) apply(cases "get_misc (Some inst)") apply(auto) apply(simp add:stop_def) apply(simp add:create_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto) apply(cases "tl (tl (vctx_stack v))") apply(auto simp:vctx_next_instruction_def split:option.split) apply(cases "vctx_balance v (cctx_this c) < hd (vctx_stack v)") apply(auto) apply (meson instruction_result.simps(6)) apply(simp add:call_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto) apply(cases "tl (tl (vctx_stack v))") apply(auto) apply(cases "tl (tl (tl (vctx_stack v)))") apply(auto) apply(cases "tl (tl (tl (tl (vctx_stack v))))") apply(auto) apply(cases "tl (tl (tl (tl (tl (vctx_stack v)))))") apply(auto) apply(cases "tl (tl (tl (tl (tl (tl (vctx_stack v))))))") apply(auto) apply(cases "vctx_balance v (cctx_this c) < hd (tl (tl (vctx_stack v)))") apply(auto simp:strict_if_def vctx_next_instruction_def update_balance_def split:option.split) apply(simp add:callcode_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto) apply(cases "tl (tl (vctx_stack v))") apply(auto) apply(cases "tl (tl (tl (vctx_stack v)))") apply(auto) apply(cases "tl (tl (tl (tl (vctx_stack v))))") apply(auto) apply(cases "tl (tl (tl (tl (tl (vctx_stack v)))))") apply(auto) apply(cases "tl (tl (tl (tl (tl (tl (vctx_stack v))))))") apply(simp) apply(cases "vctx_balance v (cctx_this c) < hd (tl (tl (vctx_stack v)))") apply(auto simp:strict_if_def vctx_next_instruction_def update_balance_def split:option.split) apply(simp add:delegatecall_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto) apply(cases "tl (tl (vctx_stack v))") apply(auto) apply(cases "tl (tl (tl (vctx_stack v)))") apply(auto) apply(cases "tl (tl (tl (tl (vctx_stack v))))") apply(auto) apply(cases "tl (tl (tl (tl (tl (vctx_stack v)))))") apply(simp) apply(cases "vctx_balance v (cctx_this c) < vctx_value_sent v") apply(auto simp:strict_if_def vctx_next_instruction_def update_balance_def split:option.split) apply(simp add:ret_def) apply(cases "vctx_stack v") apply(auto) apply(cases "tl (vctx_stack v)") apply(auto) apply(simp add:suicide_def) apply(cases "vctx_stack v") apply(auto) done end
Isabelle
4
pirapira/eth-isabelle
example/termination/InstructionRelocate.thy
[ "Apache-2.0" ]
(:~ : This is the web scraper module that contains functions for extracting : grammars out of W3C specs. :) module namespace e="de/bottlecaps/railroad/xq/html-to-ebnf.xq"; declare namespace xhtml="http://www.w3.org/1999/xhtml"; (:~ : Normalize space of given string. Similar to fn:normalize-space, but : extend whitespace characters by the HTML &amp;nbsp; character, and : return empty for whitespace-only strings. : : @param $string the string to be normalized. : @return the normalized string, or empty, if the string was nothing : but whitespace. :) declare function e:normalize-space($string as xs:string?) as xs:string? { normalize-space(replace($string, "[&#xA0;]+", " "))[.] }; (:~ : Extract text lines from an HTML fragment, ignoring any nodes below *:sup : elements (this is for suppressing superscripts in the grammar notation). : : First, translate all carriage returns by a space, and *:br nodes by a : carriage return. Then join all resulting element content, and split into : lines. Finally normalize whitespace of each line. : : @param $html the HTML fragment. : @return the text lines as a sequence of strings, one string per line. :) declare function e:text-lines($html as node()*) as xs:string* { let $unwanted-comment := $html//*:br[following-sibling::node()[1]/self::text() = "Note that " and following-sibling::node()[2]/self::*:a] let $string := string-join ( for $node in $html//(*:br | text())[not(. is $unwanted-comment or . >> $unwanted-comment)] except $html/descendant-or-self::*:sup//node() return if ($node/self::*:br) then "&#xA;" else translate($node, "&#xA;", " "), "" ) for $line in tokenize($string, "&#xA;") return e:normalize-space($line) }; (:~ : Ensure a sequence of strings is properly wrapped in EBNF comment : delimiters, i.e. /* comment content */. Unwrap any strings in : brackets or comment delimiters, then re-wrap the normalized : strings in comment delimiters. : : @param $strings the string sequence. : @return the string sequence, where each string was wrapped in EBNF : comment delimiters if necessary. :) declare function e:comment($html as node()*) as xs:string* { for $node in $html let $string := string-join ( for $node in $node/descendant-or-self::text() except $node/descendant-or-self::*:sup//text() return data($node), "" ) let $normalized-string := e:normalize-space($string) let $unwrapped-string := if (matches($normalized-string, "^/\*.*\*/$", "s")) then substring($normalized-string, 3, string-length($normalized-string) - 4) else if (matches($normalized-string, "^\[.*\]$", "s")) then substring($normalized-string, 2, string-length($normalized-string) - 2) else $normalized-string let $net-string := e:normalize-space($unwrapped-string) where $net-string return concat("/* ", replace($net-string, "\*/", "* /"), " */") }; (:~ : Extract EBNF definitions from an HTML document. Relevant definitions : are EBNF productions and HTML headers introducing terminal symbol : definitions. : : Productions are identified by table elements with a normalized value : of "::=". Starting from these, navigate left for the defined nonterminal, : and right and down (table-wise) for the right hand side and comments. : : @param $html the HTML document. : @return the list of definitions (production or terminal introducer). Each : definition comes as a definition element, whose string value equals the : textual representation of the definition. Left hand side and right hand : side of a definition are enclosed in extra markup. :) declare function e:extract-definitions($html as node()) as element(definition)* { let $definition-cells := ( $html//*:td[e:normalize-space(.) = "::=" or @class = "prod-mid"], $html//*[matches(local-name(), "^h[0-9]+$") and (contains(., "Terminal Symbols") or contains(., "Productions for terminals"))] )/. return if (exists($definition-cells)) then (: w3c format :) for $d at $i in $definition-cells return if ($d/self::*:td) then let $first-row := $d/ancestor::*:tr[1] let $next-definition-row := $definition-cells[$i + 1]/ancestor::*:tr[1] let $continuation-rows := $first-row/following-sibling::*[empty($next-definition-row) or . << $next-definition-row] let $definition-cell-index := count($first-row/*:td[. << $d]) + 1 let $lhs := e:normalize-space($first-row/*:td[$definition-cell-index - 1]) let $indent := " " return element definition { element lhs {$lhs}, concat ( let $spaces := string-length($indent) - string-length($lhs) return if ($spaces < 0) then concat("&#xA;", $indent) else string-join((for $i in 1 to $spaces return " "), ""), " ::= " ), element rhs { string-join ( for $row in ($first-row, $continuation-rows) return e:text-lines($row/*:td[$definition-cell-index + 1]), concat("&#xA; ", $indent) ) }, for $row in ($first-row, $continuation-rows) let $comment := e:comment($row/*:td[position() > $definition-cell-index + 1]) where $comment return element comment{concat("&#xA; ", $indent, $comment)} } else element definition {element lhs {"<?TOKENS?>"}} else (: rr format :) for $pre in $html//xhtml:pre where contains($pre, "::=") return element definition { element lhs {substring-before($pre, "::=")}, "::=", element rhs {substring-after($pre, "::=")} } }; (:~ : Calculate day-of-week for given date. : : @param $date the date. : @return the number of days since Sunday (0..6). :) declare function e:days-since-sunday($date as xs:date) as xs:integer { let $y := year-from-date($date) let $m := month-from-date($date) let $d := day-from-date($date) let $z := $y + ($m - 14) idiv 12 let $w := ((($m + 10 - ($m + 10) idiv 13 * 12) * 13 - 1) idiv 5 + ($z - $z idiv 100 * 100) * 5 idiv 4 + $z idiv 400 - $z idiv 100 * 2 + $d + 77) mod 7 return $w }; (:~ : Get a formatted timestamp for current time. Use this : format: "Fri Feb 11, 2011, 22:43 (UTC+01)". : : @param $timezoneOffset the time zone offset in minutes. : @return the formatted timestamp. :) declare function e:timestamp($timezoneOffset as xs:integer?) as xs:string { let $dateTime := if (empty($timezoneOffset) or abs($timezoneOffset) > 14 * 60) then current-dateTime() else let $timezone := xs:dayTimeDuration(concat("-"[$timezoneOffset > 0], "PT", string(abs($timezoneOffset)), "M")) return adjust-dateTime-to-timezone(current-dateTime(), $timezone) let $time := xs:time($dateTime) let $date := xs:date($dateTime) return concat ( ("Sun", "Mon", "Tue", "Wed", "Thu", "Fri", "Sat") [e:days-since-sunday($date) + 1], " ", ("Jan", "Feb", "Mar", "Apr", "May", "Jun", "Jul", "Aug", "Sep", "Oct", "Nov", "Dec") [month-from-date($date)], " ", day-from-dateTime($dateTime), ", ", year-from-dateTime($dateTime), ", ", substring(string($time), 1, 5), " (UTC", let $tz-string := let $tz := timezone-from-time($time) return if ($tz < xs:dayTimeDuration("PT0H")) then concat("-", substring(string(xs:time("00:00:00") - $tz), 1, 5)) else concat("+", substring(string(xs:time("00:00:00") + $tz), 1, 5)) return if (ends-with($tz-string, "00:00")) then "" else if (ends-with($tz-string, ":00")) then substring-before($tz-string, ":00") else $tz-string, ")" ) }; (:~ : Get an xhtml table, in W3C grammar style, containing the self-describing : grammar for the W3C grammar notation. : : @return the self-describing grammar as an xhtml table :) declare function e:notation() as element() { <table border="0" xmlns="http://www.w3.org/1999/xhtml"> <tr><td><pre><a name="_Grammar">Grammar</a></pre></td><td><pre> ::= </pre></td><td><pre><a href="#_Production">Production</a>*</pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_Production">Production</a></pre></td><td><pre> ::= </pre></td><td><pre><a href="#_NCName">NCName</a> '::=' ( <a href="#_Choice">Choice</a> | <a href="#_Link">Link</a> )</pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_NCName">NCName</a></pre></td><td><pre> ::= </pre></td><td><pre>[<a target="_blank" href="http://www.w3.org/TR/xml-names/#NT-NCName">http://www.w3.org/TR/xml-names/#NT-NCName</a>]</pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_Choice">Choice</a></pre></td><td><pre> ::= </pre></td><td><pre><a href="#_SequenceOrDifference">SequenceOrDifference</a> ( '|' <a href="#_SequenceOrDifference">SequenceOrDifference</a> )*</pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_SequenceOrDifference">SequenceOrDifference</a></pre></td><td><pre> ::= </pre></td><td><pre>(<a href="#_Item">Item</a> ( '-' <a href="#_Item">Item</a> | <a href="#_Item">Item</a>* ))?</pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_Item">Item</a></pre></td><td><pre> ::= </pre></td><td><pre><a href="#_Primary">Primary</a> ( '?' | '*' | '+' )*</pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_Primary">Primary</a></pre></td><td><pre> ::= </pre></td><td><pre><a href="#_NCName">NCName</a> | <a href="#_StringLiteral">StringLiteral</a> | <a href="#_CharCode">CharCode</a> | <a href="#_CharClass">CharClass</a> | '(' <a href="#_Choice">Choice</a> ')'</pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_StringLiteral">StringLiteral</a></pre></td><td><pre> ::= </pre></td><td><pre>'"' [^"]* '"' | "'" [^']* "'"</pre></td><td> /* ws: explicit */&#xA;</td></tr> <tr><td><pre><a name="_CharCode">CharCode</a></pre></td><td><pre> ::= </pre></td><td><pre>'#x' [0-9a-fA-F]+</pre></td><td> /* ws: explicit */&#xA;</td></tr> <tr><td><pre><a name="_CharClass">CharClass</a></pre></td><td><pre> ::= </pre></td><td><pre>'[' '^'? ( <a href="#_Char">Char</a> | <a href="#_CharCode">CharCode</a> | <a href="#_CharRange">CharRange</a> | <a href="#_CharCodeRange">CharCodeRange</a> )+ ']'</pre></td><td> /* ws: explicit */&#xA;</td></tr> <tr><td><pre><a name="_Char">Char</a></pre></td><td><pre> ::= </pre></td><td><pre>[<a target="_blank" href="http://www.w3.org/TR/xml#NT-Char">http://www.w3.org/TR/xml#NT-Char</a>]</pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_CharRange">CharRange</a></pre></td><td><pre> ::= </pre></td><td><pre><a href="#_Char">Char</a> '-' ( <a href="#_Char">Char</a> - ']' )</pre></td><td> /* ws: explicit */&#xA;</td></tr> <tr><td><pre><a name="_CharCodeRange">CharCodeRange</a></pre></td><td><pre> ::= </pre></td><td><pre><a href="#_CharCode">CharCode</a> '-' <a href="#_CharCode">CharCode</a></pre></td><td> /* ws: explicit */&#xA;</td></tr> <tr><td><pre><a name="_Link">Link</a></pre></td><td><pre> ::= </pre></td><td><pre>'[' <a href="#_URL">URL</a> ']'</pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_URL">URL</a></pre></td><td><pre> ::= </pre></td><td><pre>[^#x5D:/?#]+ '://' [^#x5D#]+ ('#' <a href="#_NCName">NCName</a>)?</pre></td><td> /* ws: explicit */&#xA;</td></tr> <tr><td><pre><a name="_Whitespace">Whitespace</a></pre></td><td><pre> ::= </pre></td><td><pre><a href="#_S">S</a> | <a href="#_Comment">Comment</a></pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_S">S</a></pre></td><td><pre> ::= </pre></td><td><pre>#x9 | #xA | #xD | #x20</pre></td><td>&#xA;</td></tr> <tr><td><pre><a name="_Comment">Comment</a></pre></td><td><pre> ::= </pre></td><td><pre>'/*' ( [^*] | '*'+ [^*/] )* '*'* '*/'</pre></td><td> /* ws: explicit */&#xA;</td></tr> </table> }; (:~ : Extract an EBNF grammar from an HTML document. First extract definitions, : then discard multiple identical occurrences of the same production. Finally, : order definitions by last occurrence in the original document. This fits : well with both the XML recommendation and the XQuery recommendation. The : latter has an appendix repeating all productions, the former shows them : only inline with the actual specification. It does not fit well with the : XQuery and XPath Full Text spec, which shows two different grammars with : some overlap of nonterminal names, but different productions. : : @param $uri the URI of the document containing the grammar. : @param $html the document containing the grammar. : @param $timezoneOffset the time zone offset in minutes. : @return the extracted grammar. :) declare function e:extract($uri as xs:string, $html as node(), $timezoneOffset as xs:integer?) as element(grammar) { element grammar { let $unordered-definitions := e:extract-definitions($html) let $names := for $u in $unordered-definitions return data($u/lhs) let $distinct-names := distinct-values($names) let $ordered-definitions := for $name in $distinct-names order by index-of($names, $name)[last()] return if (contains($name, "<?")) then element TOKENS {concat("&#xA;", $name, "&#xA;")} else let $definitions := $unordered-definitions[lhs = $name] let $normalized := $definitions/rhs/translate(., " &#9;&#xA;&#xD;", "") for $p at $i in $definitions where not($normalized[position() < $i] = $normalized[$i]) return ( element production { $p/node()[. << $p/rhs], $p/rhs, for $c in distinct-values ( $definitions[position() = index-of($normalized, $normalized[$i])]/comment ) return element comment {$c} } ) return ( let $title := ($html//*:head/*:title)[1] let $version := (($html//*:dt[. = "This version:"])[1]/following::*:a/@href)[1] return string-join ( ( "/", ("* ", normalize-space($title), "&#xA; ")[$title], ("* version ", data($version), "&#xA; ")[$version], "* extracted from ", $uri, " on ", e:timestamp($timezoneOffset), "&#xA; ", "*/&#xA;&#xA;" ), "" ), for $p at $i in $ordered-definitions return ("&#xA;"[$i != 1], $p) ) } };
XQuery
5
bannmann/rr
src/main/resources/de/bottlecaps/railroad/xq/html-to-ebnf.xq
[ "Apache-2.0" ]
* 2 input mux *.subckt nfet drain gate source size=1 .subckt mux2 in0 in1 out sel0 sel1 size=1 X0 in0 sel0 out 0 nfet size='size' X1 in1 sel1 out 0 nfet size='size' .ends
SourcePawn
4
HackerFoo/vtr-verilog-to-routing
vtr_flow/scripts/spice/subckt/mux2.sp
[ "MIT" ]
#!/bin/usr/env sh # Copyright (c) 2018-present, Facebook, Inc. # All rights reserved. # # This source code is licensed under the MIT license found in the # LICENSE file in the root directory of this source tree. set -e # Set this variable to the crawl you want to process. WET_PATHS_URL="https://commoncrawl.s3.amazonaws.com/crawl-data/CC-MAIN-2018-34/wet.paths.gz" # Set NUM_LANGID and NUM_DEDUP according to the capacity of your machine. # Please note that each dedup process uses 2GB of RAM, while langid is # mostly limited by cpu usage. NUM_LANGID=12 NUM_DEDUP=8 URL="https://commoncrawl.s3.amazonaws.com/" if [ ! -d fastText ]; then git clone https://github.com/facebookresearch/fastText.git fi if [ ! -f fastText/fasttext ]; then cd fastText make cd .. fi if [ ! -f lid.176.bin ]; then wget https://dl.fbaipublicfiles.com/fasttext/supervised-models/lid.176.bin fi if [ ! -d tmp ]; then mkdir tmp fi if [ ! -d shard ]; then mkdir shard fi if [ ! -f wet.paths ]; then wget "${WET_PATHS_URL}" gunzip wet.paths.gz fi ## Language identification cat wet.paths | xargs -n 1 -P "${NUM_LANGID}" -I '{}' sh process_wet_file.sh "${URL}{}" ## Deduplication g++ -std=c++11 -O3 -o dedup dedup.cc g++ -std=c++11 -O3 -o filter_utf8 filter_utf8.cc find shard -name '*.txt' | xargs -n 1 -P "${NUM_DEDUP}" -I '{}' sh filter_dedup.sh "{}" ## Example of data filtering + tokenization git clone https://github.com/moses-smt/mosesdecoder.git perl mosesdecoder/scripts/tokenizer/tokenizer.perl -l es < shard/es.dedup > shard/es.tok
Shell
4
KatyaKos/fastText
crawl/download_crawl.sh
[ "MIT" ]
######################### # Gatling Configuration # ######################### # This file contains all the settings configurable for Gatling with their default values gatling { core { #outputDirectoryBaseName = "" # The prefix for each simulation result folder (then suffixed by the report generation timestamp) #runDescription = "" # The description for this simulation run, displayed in each report #encoding = "utf-8" # Encoding to use throughout Gatling for file and string manipulation #simulationClass = "" # The FQCN of the simulation to run (when used in conjunction with noReports, the simulation for which assertions will be validated) #mute = false # When set to true, don't ask for simulation name nor run description (currently only used by Gatling SBT plugin) extract { regex { #cacheMaxCapacity = 200 # Cache size for the compiled regexes, set to 0 to disable caching } xpath { #cacheMaxCapacity = 200 # Cache size for the compiled XPath queries, set to 0 to disable caching } jsonPath { #cacheMaxCapacity = 200 # Cache size for the compiled jsonPath queries, set to 0 to disable caching #preferJackson = false # When set to true, prefer Jackson over Boon for JSON-related operations jackson { #allowComments = false # Allow comments in JSON files #allowUnquotedFieldNames = false # Allow unquoted JSON fields names #allowSingleQuotes = false # Allow single quoted JSON field names } } css { #cacheMaxCapacity = 200 # Cache size for the compiled CSS selectors queries, set to 0 to disable caching } } timeOut { #simulation = 8640000 # Absolute timeout, in seconds, of a simulation } directory { #data = src/test/resource/data # Folder where user's data (e.g. files used by Feeders) is located #bodies = src/test/resource/bodies # Folder where bodies are located #simulations = user-src/test/java/simulations # Folder where the bundle's simulations are located< #reportsOnly = "" # If set, name of report folder to look for in order to generate its report #binaries = "" # If set, name of the folder where compiles classes are located: Defaults to GATLING_HOME/target. #results = results # Name of the folder where all reports folder are located } } charting { #noReports = false # When set to true, don't generate HTML reports #maxPlotPerSeries = 1000 # Number of points per graph in Gatling reports #accuracy = 10 # Accuracy, in milliseconds, of the report's stats indicators { #lowerBound = 800 # Lower bound for the requests' response time to track in the reports and the console summary #higherBound = 1200 # Higher bound for the requests' response time to track in the reports and the console summary #percentile1 = 50 # Value for the 1st percentile to track in the reports, the console summary and GraphiteDataWriter #percentile2 = 75 # Value for the 2nd percentile to track in the reports, the console summary and GraphiteDataWriter #percentile3 = 95 # Value for the 3rd percentile to track in the reports, the console summary and GraphiteDataWriter #percentile4 = 99 # Value for the 4th percentile to track in the reports, the console summary and GraphiteDataWriter } } http { #elFileBodiesCacheMaxCapacity = 200 # Cache size for request body EL templates, set to 0 to disable #rawFileBodiesCacheMaxCapacity = 200 # Cache size for request body Raw templates, set to 0 to disable #fetchedCssCacheMaxCapacity = 200 # Cache size for CSS parsed content, set to 0 to disable #fetchedHtmlCacheMaxCapacity = 200 # Cache size for HTML parsed content, set to 0 to disable #redirectPerUserCacheMaxCapacity = 200 # Per virtual user cache size for permanent redirects, set to 0 to disable #expirePerUserCacheMaxCapacity = 200 # Per virtual user cache size for permanent 'Expire' headers, set to 0 to disable #lastModifiedPerUserCacheMaxCapacity = 200 # Per virtual user cache size for permanent 'Last-Modified' headers, set to 0 to disable #etagPerUserCacheMaxCapacity = 200 # Per virtual user cache size for permanent ETag headers, set to 0 to disable #warmUpUrl = "http://gatling.io" # The URL to use to warm-up the HTTP stack (blank means disabled) #enableGA = true # Very light Google Analytics, please support ssl { trustStore { #type = "" # Type of SSLContext's TrustManagers store #file = "" # Location of SSLContext's TrustManagers store #password = "" # Password for SSLContext's TrustManagers store #algorithm = "" # Algorithm used by SSLContext's TrustManagers store } keyStore { #type = "" # Type of SSLContext's KeyManagers store #file = "" # Location of SSLContext's KeyManagers store #password = "" # Password for SSLContext's KeyManagers store #algorithm = "" # Algorithm used SSLContext's KeyManagers store } } ahc { #allowPoolingConnections = true # Allow pooling HTTP connections (keep-alive header automatically added) #allowPoolingSslConnections = true # Allow pooling HTTPS connections (keep-alive header automatically added) #compressionEnforced = false # Enforce gzip/deflate when Accept-Encoding header is not defined #connectTimeout = 60000 # Timeout when establishing a connection #pooledConnectionIdleTimeout = 60000 # Timeout when a connection stays unused in the pool #readTimeout = 60000 # Timeout when a used connection stays idle #connectionTTL = -1 # Max duration a connection can stay open (-1 means no limit) #ioThreadMultiplier = 2 # Number of Netty worker threads per core #maxConnectionsPerHost = -1 # Max number of connections per host (-1 means no limit) #maxConnections = -1 # Max number of connections (-1 means no limit) #maxRetry = 2 # Number of times that a request should be tried again #requestTimeout = 60000 # Timeout of the requests #useProxyProperties = false # When set to true, supports standard Proxy System properties #webSocketTimeout = 60000 # Timeout when a used websocket connection stays idle #useRelativeURIsWithConnectProxies = true # When set to true, use relative URIs when talking with an SSL proxy or a WebSocket proxy #acceptAnyCertificate = true # When set to true, doesn't validate SSL certificates #httpClientCodecMaxInitialLineLength = 4096 # Maximum length of the initial line of the response (e.g. "HTTP/1.0 200 OK") #httpClientCodecMaxHeaderSize = 8192 # Maximum size, in bytes, of each request's headers #httpClientCodecMaxChunkSize = 8192 # Maximum length of the content or each chunk #keepEncodingHeader = true # Don't drop Encoding response header after decoding #webSocketMaxFrameSize = 10240 # Maximum frame payload size #httpsEnabledProtocols = "" # Comma separated enabled protocols for HTTPS, if empty use the JDK defaults #httpsEnabledCipherSuites = "" # Comma separated enabled cipher suites for HTTPS, if empty use the JDK defaults #sslSessionCacheSize = 20000 # SSLSession cache size (set to 0 to disable) #sslSessionTimeout = 86400 # SSLSession timeout (default is 24, like Hotspot) } } data { #writers = "console, file" # The lists of DataWriters to which Gatling write simulation data (currently supported : "console", "file", "graphite", "jdbc") #reader = file # The DataReader used by the charting engine for reading simulation results console { #light = false # When set to true, displays a light version without detailed request stats } file { #bufferSize = 8192 # FileDataWriter's internal data buffer size, in bytes } leak { #noActivityTimeout = 30 # Period, in seconds, for which Gatling may have no activity before considering a leak may be happening } jdbc { db { #url = "jdbc:mysql://localhost:3306/temp" # The JDBC URL used by the JDBC DataWriter #username = "root" # The database user used by the JDBC DataWriter #password = "123123q" # The password for the specified user } #bufferSize = 20 # The size for each batch of SQL inserts to send to the database create { #createRunRecordTable = "CREATE TABLE IF NOT EXISTS `RunRecords` ( `id` INT NOT NULL AUTO_INCREMENT , `runDate` DATETIME NULL , `simulationId` VARCHAR(45) NULL , `runDescription` VARCHAR(45) NULL , PRIMARY KEY (`id`) )" #createRequestRecordTable = "CREATE TABLE IF NOT EXISTS `RequestRecords` (`id` int(11) NOT NULL AUTO_INCREMENT, `runId` int DEFAULT NULL, `scenario` varchar(45) DEFAULT NULL, `userId` VARCHAR(30) NULL, `name` varchar(50) DEFAULT NULL, `requestStartDate` bigint DEFAULT NULL, `requestEndDate` bigint DEFAULT NULL, `responseStartDate` bigint DEFAULT NULL, `responseEndDate` bigint DEFAULT NULL, `status` varchar(2) DEFAULT NULL, `message` varchar(4500) DEFAULT NULL, `responseTime` bigint DEFAULT NULL, PRIMARY KEY (`id`) )" #createScenarioRecordTable = "CREATE TABLE IF NOT EXISTS `ScenarioRecords` (`id` int(11) NOT NULL AUTO_INCREMENT, `runId` int DEFAULT NULL, `scenarioName` varchar(45) DEFAULT NULL, `userId` VARCHAR(30) NULL, `event` varchar(50) DEFAULT NULL, `startDate` bigint DEFAULT NULL, `endDate` bigint DEFAULT NULL, PRIMARY KEY (`id`) )" #createGroupRecordTable = "CREATE TABLE IF NOT EXISTS `GroupRecords` (`id` int(11) NOT NULL AUTO_INCREMENT, `runId` int DEFAULT NULL, `scenarioName` varchar(45) DEFAULT NULL, `userId` VARCHAR(30) NULL, `entryDate` bigint DEFAULT NULL, `exitDate` bigint DEFAULT NULL, `status` varchar(2) DEFAULT NULL, PRIMARY KEY (`id`) )" } insert { #insertRunRecord = "INSERT INTO RunRecords (runDate, simulationId, runDescription) VALUES (?,?,?)" #insertRequestRecord = "INSERT INTO RequestRecords (runId, scenario, userId, name, requestStartDate, requestEndDate, responseStartDate, responseEndDate, status, message, responseTime) VALUES (?,?,?,?,?,?,?,?,?,?,?)" #insertScenarioRecord = "INSERT INTO ScenarioRecords (runId, scenarioName, userId, event, startDate, endDate) VALUES (?,?,?,?,?,?)" #insertGroupRecord = "INSERT INTO GroupRecords (runId, scenarioName, userId, entryDate, exitDate, status) VALUES (?,?,?,?,?,?)" } } graphite { #light = false # only send the all* stats #host = "localhost" # The host where the Carbon server is located #port = 2003 # The port to which the Carbon server listens to #protocol = "tcp" # The protocol used to send data to Carbon (currently supported : "tcp", "udp") #rootPathPrefix = "gatling" # The common prefix of all metrics sent to Graphite #bufferSize = 8192 # GraphiteDataWriter's internal data buffer size, in bytes #writeInterval = 1 # GraphiteDataWriter's write interval, in seconds } } }
HTML+Django
5
MalcolmScoffable/openapi-generator
modules/openapi-generator/src/main/resources/java-pkmst/gatling/gatling.mustache
[ "Apache-2.0" ]
#!/bin/bash # Copyright 2017 The TensorFlow Authors. All Rights Reserved. # # Licensed under the Apache License, Version 2.0 (the "License"); # you may not use this file except in compliance with the License. # You may obtain a copy of the License at # # http://www.apache.org/licenses/LICENSE-2.0 # # Unless required by applicable law or agreed to in writing, software # distributed under the License is distributed on an "AS IS" BASIS, # WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. # See the License for the specific language governing permissions and # limitations under the License. # ============================================================================== set -e set -x TMPDIR=`mktemp -d` trap "rm -rf $TMPDIR" EXIT VERSION=1.0 BUILDER=bazel BASEDIR=tensorflow/lite CROSSTOOL="//external:android/crosstool" HOST_CROSSTOOL="@bazel_tools//tools/cpp:toolchain" BUILD_OPTS="-c opt" CROSSTOOL_OPTS="--crosstool_top=$CROSSTOOL --host_crosstool_top=$HOST_CROSSTOOL" test -d $BASEDIR || (echo "Aborting: not at top-level build directory"; exit 1) function build_basic_aar() { local OUTDIR=$1 $BUILDER build $BUILD_OPTS $BASEDIR/java:tensorflowlite.aar unzip -d $OUTDIR $BUILDER-bin/$BASEDIR/java/tensorflowlite.aar # targetSdkVersion is here to prevent the app from requesting spurious # permissions, such as permission to make phone calls. It worked for v1.0, # but minSdkVersion might be the preferred way to handle this. sed -i -e 's/<application>/<uses-sdk android:targetSdkVersion="25"\/><application>/' $OUTDIR/AndroidManifest.xml } function build_arch() { local ARCH=$1 local CONFIG=$2 local OUTDIR=$3 mkdir -p $OUTDIR/jni/$ARCH/ $BUILDER build $BUILD_OPTS $CROSSTOOL_OPTS --cpu=$CONFIG \ $BASEDIR/java:libtensorflowlite_jni.so cp $BUILDER-bin/$BASEDIR/java/libtensorflowlite_jni.so $OUTDIR/jni/$ARCH/ } rm -rf $TMPDIR mkdir -p $TMPDIR/jni build_basic_aar $TMPDIR build_arch arm64-v8a arm64-v8a $TMPDIR build_arch armeabi-v7a armeabi-v7a $TMPDIR build_arch x86 x86 $TMPDIR build_arch x86_64 x86_64 $TMPDIR AAR_FILE=`realpath tflite-${VERSION}.aar` (cd $TMPDIR && zip $AAR_FILE -r *) echo "New AAR file is $AAR_FILE"
Shell
4
yage99/tensorflow
tensorflow/lite/java/build_aar_for_release.sh
[ "Apache-2.0" ]
# {SimpleSelect} = require \react-selectize create-react-class = require \create-react-class Form = create-react-class do # render :: a -> ReactElement render: -> React.create-element SimpleSelect, options: <[apple mango grapes melon strawberry]> |> map ~> label: it, value: it placeholder: "Select a fruit" theme: \material # can be one of \default | \bootstrap3 | \material | ... transition-enter: true render (React.create-element Form, null), mount-node
LiveScript
3
rodcope1/react-selectize-rodcope1
public/examples/simple/Themes.ls
[ "Apache-2.0" ]
<nav id="classindex-section" class="section project-section"> <h3 class="section-header">Class and Module Index</h3> <ul class="link-list"> <% @modsort.each do |index_klass| %> <li><a href="<%= rel_prefix %>/<%= index_klass.path %>"><%= index_klass.full_name %></a> <% end %> </ul> </nav>
RHTML
3
trolldbois/metasploit-framework
lib/gemcache/ruby/1.9.1/gems/rdoc-3.12/lib/rdoc/generator/template/darkfish/_sidebar_classes.rhtml
[ "OpenSSL", "Unlicense" ]
#N canvas 459 40 668 496 12; #X floatatom 214 196 4 0 0 0 - - - 0; #X obj 214 170 + 1; #X msg 214 66 bang; #X obj 214 118 metro 500; #X msg 123 124 stop; #X obj 214 144 float; #X obj 214 92 trigger bang bang; #X msg 330 124 0; #X obj 123 98 select 10; #X text 363 118 first set value to zero, f 13; #X text 344 92 initialization is in two steps; #X text 337 152 (before starting the metronome); #X obj 190 323 >= 0; #X obj 190 349 select 0 1; #X obj 223 404 float; #X msg 223 375 bang; #X obj 277 405 + 1; #X msg 190 375 -1; #X text 52 23 Here's a counter that counts from 1 to 10:; #X text 410 435 updated for Pd version 0.34; #X text 54 241 We're using one new object \, "select \, " which outputs a bang when it gets a matching value (10). This is useful for doing conditional computations \, such as this one which counts while its input is 0 or positive but clears when negative:, f 73; #X text 251 65 <= click here to start; #X text 51 77 conditionally stop the metronome, f 13; #X text 229 323 <= are we nonnegative? (1 if true \, 0 if false); #X text 261 375 <= as a result either clear or increment the counter ; #X text 268 348 <= selectively bang the first or second outlet; #X text 293 194 select can be abbreviated as:; #X obj 509 193 sel; #X floatatom 190 297 4 0 0 0 - - - 0; #X floatatom 277 431 4 0 0 0 - - - 0; #X connect 1 0 0 0; #X connect 1 0 5 1; #X connect 1 0 8 0; #X connect 2 0 6 0; #X connect 3 0 5 0; #X connect 4 0 3 0; #X connect 5 0 1 0; #X connect 6 0 3 0; #X connect 6 1 7 0; #X connect 7 0 5 1; #X connect 8 0 4 0; #X connect 12 0 13 0; #X connect 13 0 17 0; #X connect 13 1 15 0; #X connect 14 0 16 0; #X connect 15 0 14 0; #X connect 16 0 14 1; #X connect 16 0 29 0; #X connect 17 0 14 0; #X connect 28 0 12 0;
Pure Data
4
myQwil/pure-data
doc/2.control.examples/06.more.counters.pd
[ "TCL" ]
{ // fast: true, outPath: './transparentGradient.mp4', clips: [ { duration: 0.1, layers: [{ type: 'fill-color', color: 'green' }, { type: 'linear-gradient', colors: ['#ffffffff', '#ffffff00'] }] }, ], }
JSON5
2
aaverty/editly
examples/transparentGradient.json5
[ "MIT" ]
L GMP e_gmp_err.h e_gmp_err.c
eC
1
jiangzhu1212/oooii
Ouroboros/External/OpenSSL/openssl-1.0.0e/engines/e_gmp.ec
[ "MIT" ]
/* * Copyright (c) Facebook, Inc. and its affiliates. * * This source code is licensed under the MIT license found in the * LICENSE file in the root directory of this source tree. */ #import "RCTActivityIndicatorViewComponentView.h" #import <React/RCTConversions.h> #import <react/renderer/components/rncore/ComponentDescriptors.h> #import <react/renderer/components/rncore/EventEmitters.h> #import <react/renderer/components/rncore/Props.h> #import "RCTFabricComponentsPlugins.h" using namespace facebook::react; static UIActivityIndicatorViewStyle convertActivityIndicatorViewStyle(const ActivityIndicatorViewSize &size) { switch (size) { case ActivityIndicatorViewSize::Small: return UIActivityIndicatorViewStyleWhite; case ActivityIndicatorViewSize::Large: return UIActivityIndicatorViewStyleWhiteLarge; } } @implementation RCTActivityIndicatorViewComponentView { UIActivityIndicatorView *_activityIndicatorView; } #pragma mark - RCTComponentViewProtocol + (ComponentDescriptorProvider)componentDescriptorProvider { return concreteComponentDescriptorProvider<ActivityIndicatorViewComponentDescriptor>(); } - (instancetype)initWithFrame:(CGRect)frame { if (self = [super initWithFrame:frame]) { static const auto defaultProps = std::make_shared<const ActivityIndicatorViewProps>(); _props = defaultProps; _activityIndicatorView = [[UIActivityIndicatorView alloc] initWithFrame:self.bounds]; _activityIndicatorView.autoresizingMask = UIViewAutoresizingFlexibleWidth | UIViewAutoresizingFlexibleHeight; if (defaultProps->animating) { [_activityIndicatorView startAnimating]; } else { [_activityIndicatorView stopAnimating]; } _activityIndicatorView.color = RCTUIColorFromSharedColor(defaultProps->color); _activityIndicatorView.hidesWhenStopped = defaultProps->hidesWhenStopped; _activityIndicatorView.activityIndicatorViewStyle = convertActivityIndicatorViewStyle(defaultProps->size); [self addSubview:_activityIndicatorView]; } return self; } - (void)updateProps:(Props::Shared const &)props oldProps:(Props::Shared const &)oldProps { const auto &oldViewProps = *std::static_pointer_cast<const ActivityIndicatorViewProps>(_props); const auto &newViewProps = *std::static_pointer_cast<const ActivityIndicatorViewProps>(props); if (oldViewProps.animating != newViewProps.animating) { if (newViewProps.animating) { [_activityIndicatorView startAnimating]; } else { [_activityIndicatorView stopAnimating]; } } if (oldViewProps.color != newViewProps.color) { _activityIndicatorView.color = RCTUIColorFromSharedColor(newViewProps.color); } // TODO: This prop should be deprecated. if (oldViewProps.hidesWhenStopped != newViewProps.hidesWhenStopped) { _activityIndicatorView.hidesWhenStopped = newViewProps.hidesWhenStopped; } if (oldViewProps.size != newViewProps.size) { _activityIndicatorView.activityIndicatorViewStyle = convertActivityIndicatorViewStyle(newViewProps.size); } [super updateProps:props oldProps:oldProps]; } @end Class<RCTComponentViewProtocol> RCTActivityIndicatorViewCls(void) { return RCTActivityIndicatorViewComponentView.class; }
Objective-C++
5
anaskhraza/react-native
React/Fabric/Mounting/ComponentViews/ActivityIndicator/RCTActivityIndicatorViewComponentView.mm
[ "CC-BY-4.0", "MIT" ]
.foo {font-size :12px ; >.nested-1 { padding: 10px; .nested-2-1{ color : red ; } + .nested-2-2 { color:blue; }} }
CSS
1
fuelingtheweb/prettier
tests/stylefmt/nested/nested.css
[ "MIT" ]
package com.baeldung.spring; import org.springframework.context.annotation.Bean; import org.springframework.context.annotation.ComponentScan; import org.springframework.validation.beanvalidation.MethodValidationPostProcessor; import org.springframework.web.servlet.ViewResolver; import org.springframework.web.servlet.config.annotation.WebMvcConfigurer; import org.springframework.web.servlet.view.InternalResourceViewResolver; import org.springframework.web.servlet.view.JstlView; @ComponentScan("com.baeldung.spring") public class ClientWebConfigJava implements WebMvcConfigurer { public ClientWebConfigJava() { super(); } @Bean public ViewResolver viewResolver() { final InternalResourceViewResolver bean = new InternalResourceViewResolver(); bean.setViewClass(JstlView.class); bean.setPrefix("/WEB-INF/view/"); bean.setSuffix(".jsp"); return bean; } @Bean public MethodValidationPostProcessor methodValidationPostProcessor() { return new MethodValidationPostProcessor(); } }
Java
4
DBatOWL/tutorials
spring-web-modules/spring-mvc-crash/src/main/java/com/baeldung/spring/ClientWebConfigJava.java
[ "MIT" ]
program test_savetxt use stdlib_kinds, only: int32, sp, dp use stdlib_io, only: loadtxt, savetxt use stdlib_error, only: check implicit none character(:), allocatable :: outpath outpath = get_outpath() // "/tmp.dat" call test_iint32(outpath) call test_rsp(outpath) call test_rdp(outpath) call test_csp(outpath) call test_cdp(outpath) contains function get_outpath() result(outpath) integer :: ierr character(256) :: argv character(:), allocatable :: outpath call get_command_argument(1, argv, status=ierr) if (ierr==0) then outpath = trim(argv) else outpath = '.' endif end function get_outpath subroutine test_iint32(outpath) character(*), intent(in) :: outpath integer(int32) :: d(3, 2), e(2, 3) integer(int32), allocatable :: d2(:, :) d = reshape([1, 2, 3, 4, 5, 6], [3, 2]) call savetxt(outpath, d) call loadtxt(outpath, d2) call check(all(shape(d2) == [3, 2])) call check(all(abs(d-d2) == 0)) e = reshape([1, 2, 3, 4, 5, 6], [2, 3]) call savetxt(outpath, e) call loadtxt(outpath, d2) call check(all(shape(d2) == [2, 3])) call check(all(abs(e-d2) == 0)) end subroutine subroutine test_rsp(outpath) character(*), intent(in) :: outpath real(sp) :: d(3, 2), e(2, 3) real(sp), allocatable :: d2(:, :) d = reshape([1, 2, 3, 4, 5, 6], [3, 2]) call savetxt(outpath, d) call loadtxt(outpath, d2) call check(all(shape(d2) == [3, 2])) call check(all(abs(d-d2) < epsilon(1._sp))) e = reshape([1, 2, 3, 4, 5, 6], [2, 3]) call savetxt(outpath, e) call loadtxt(outpath, d2) call check(all(shape(d2) == [2, 3])) call check(all(abs(e-d2) < epsilon(1._sp))) end subroutine test_rsp subroutine test_rdp(outpath) character(*), intent(in) :: outpath real(dp) :: d(3, 2), e(2, 3) real(dp), allocatable :: d2(:, :) d = reshape([1, 2, 3, 4, 5, 6], [3, 2]) call savetxt(outpath, d) call loadtxt(outpath, d2) call check(all(shape(d2) == [3, 2])) call check(all(abs(d-d2) < epsilon(1._dp))) e = reshape([1, 2, 3, 4, 5, 6], [2, 3]) call savetxt(outpath, e) call loadtxt(outpath, d2) call check(all(shape(d2) == [2, 3])) call check(all(abs(e-d2) < epsilon(1._dp))) end subroutine test_rdp subroutine test_csp(outpath) character(*), intent(in) :: outpath complex(sp) :: d(3, 2), e(2, 3) complex(sp), allocatable :: d2(:, :) d = cmplx(1, 1,kind=sp)* reshape([1, 2, 3, 4, 5, 6], [3, 2]) call savetxt(outpath, d) call loadtxt(outpath, d2) call check(all(shape(d2) == [3, 2])) call check(all(abs(d-d2) < epsilon(1._sp))) e = cmplx(1, 1,kind=sp)* reshape([1, 2, 3, 4, 5, 6], [2, 3]) call savetxt(outpath, e) call loadtxt(outpath, d2) call check(all(shape(d2) == [2, 3])) call check(all(abs(e-d2) < epsilon(1._sp))) end subroutine test_csp subroutine test_cdp(outpath) character(*), intent(in) :: outpath complex(dp) :: d(3, 2), e(2, 3) complex(dp), allocatable :: d2(:, :) d = cmplx(1._dp, 1._dp,kind=dp)* reshape([1, 2, 3, 4, 5, 6], [3, 2]) call savetxt(outpath, d) call loadtxt(outpath, d2) call check(all(shape(d2) == [3, 2])) call check(all(abs(d-d2) < epsilon(1._dp))) e = cmplx(1, 1,kind=dp)* reshape([1, 2, 3, 4, 5, 6], [2, 3]) call savetxt(outpath, e) call loadtxt(outpath, d2) call check(all(shape(d2) == [2, 3])) call check(all(abs(e-d2) < epsilon(1._dp))) end subroutine test_cdp end program test_savetxt
FORTRAN
4
LKedward/stdlib
src/tests/io/test_savetxt.f90
[ "MIT" ]
import { value } from "./shared?1"; it("should share the instance with the other entry point", () => { expect(value).toBe(42); });
JavaScript
3
fourstash/webpack
test/configCases/split-chunks/runtime-chunk-node-13130/a.js
[ "MIT" ]
{ parameters: { param1: { valid_values: ["valid", "values"], valid_keys: ["random", "keys"], } }, data: [ { name: "item1", param1: {"keys": "valid", "random": "values"}, }, { name: "item2", param1: {"random": "values", "default": "valid"}, }, ], }
JSON5
2
zealoussnow/chromium
third_party/blink/renderer/build/scripts/tests/json5_generator_valid_dict_value.json5
[ "BSD-3-Clause-No-Nuclear-License-2014", "BSD-3-Clause" ]
import React from 'react'; import {Actions, WorkspaceStore} from 'nylas-exports'; import ThemePicker from './theme-picker'; export function activate() { this.disposable = NylasEnv.commands.add(document.body, "window:launch-theme-picker", () => { WorkspaceStore.popToRootSheet(); Actions.openModal({ component: (<ThemePicker />), height: 390, width: 250, }); }); } export function deactivate() { this.disposable.dispose(); }
JSX
4
cnheider/nylas-mail
packages/client-app/internal_packages/theme-picker/lib/main.jsx
[ "MIT" ]
```{r} for (i in 1:10) { j <- i + i } i <- 0 ``` ```{python} for i in (1, 10): j = i + i ``` ```{r} (function(x) { i <- 10; i + i * x })(i + i<caret>) ```
RMarkdown
2
DeagleGross/Rplugin
testData/rename/renameForLoopTargetUsageInRmd.rmd
[ "MIT", "BSD-2-Clause", "Apache-2.0" ]
// run-pass // Tests for RFC 1268: we allow overlapping impls of marker traits, // that is, traits with #[marker]. In this case, a type `T` is // `MyMarker` if it is either `Debug` or `Display`. #![feature(marker_trait_attr)] use std::fmt::{Debug, Display}; #[marker] trait MyMarker {} impl<T: Debug> MyMarker for T {} impl<T: Display> MyMarker for T {} fn foo<T: MyMarker>(t: T) -> T { t } fn main() { // Debug && Display: assert_eq!(1, foo(1)); assert_eq!(2.0, foo(2.0)); // Debug && !Display: assert_eq!(vec![1], foo(vec![1])); }
Rust
5
Eric-Arellano/rust
src/test/ui/overlap-permitted-for-annotated-marker-traits.rs
[ "ECL-2.0", "Apache-2.0", "MIT-0", "MIT" ]
package com.baeldung.jacocoexclusions.service; import com.baeldung.jacocoexclusions.generated.Generated; public class CustomerService { //this method will be excluded from coverage due to @Generated. @Generated public String getProductId() { return "An ID"; } public String getCustomerName() { return "some name"; } }
Java
4
DBatOWL/tutorials
testing-modules/testing-libraries-2/src/main/java/com/baeldung/jacocoexclusions/service/CustomerService.java
[ "MIT" ]
# Run a trivial dummy build. # We run the build in a sandbox in the temp directory to ensure we don't # interact with the source dirs. # # RUN: rm -rf %t.build # RUN: mkdir -p %t.build # RUN: ln -s %{llbuild} %t.build/ninja # RUN: %t.build/ninja --help &> %t.out # RUN: %{FileCheck} --input-file %t.out %s # # CHECK: Usage: llbuild ninja build
Ninja
4
trombonehero/swift-llbuild
tests/Ninja/Build/ninja-symlink.ninja
[ "Apache-2.0" ]
{ "ver": "1.0.1", "uuid": "5a4d7b82-3626-4f75-8073-e74ad1bcb74a", "subMetas": {} }
Unity3D Asset
0
webpics/style2paints
V2/client/assets/icons.meta
[ "Apache-2.0" ]
:Author: LMAX Development Team :Email: :Date: {docdata} include::{gradle-rootdir}/CHANGELOG.md[]
AsciiDoc
0
Demoncloudy/disruptor
src/docs/asciidoc/en/changelog.adoc
[ "Apache-2.0" ]
VarComparability none ListImplementors java.util.List DECLARE foo.f():::EXIT35 x int int 22 y int int 22 z int int 22 DECLARE foo.f():::ENTER x int int 22 y int int 22 z int int 22 DECLARE bar.f():::EXIT70 x int int 22 y int int 22 z int int 22 DECLARE bar.f():::ENTER x int int 22 y int int 22 z int int 22 DECLARE std:::GLOBAL x int int 22 y int int 22 z int int 22
BlitzBasic
0
eurecom-s3/invscov
daikon/java/daikon/test/SampleTesterGlobal.decls
[ "Apache-2.0" ]
a { prop: url("test") : }
CSS
0
mengxy/swc
crates/swc_css_parser/tests/recovery/function-token/input.css
[ "Apache-2.0" ]
$! $! OS_VMS_FIX.COM $! Copyright (C) 2000, Stephen P. Wall $! $! Filter files for "#if" line continuations using a '\' and convert $! them to use comments for the continuation. Necessary for VAXC - it $! doesn't understand the '\'. $! $! Yes, this is honkin' ugly code, but I deliberately avoided $! if ... $! then $! .... $! endif $! and call/subroutine/endsubroutine constructs, because I can still $! remember when DCL didn't have them, and I wanted this to be as $! portable as possible, so... If you want to structure it nicer for $! your own use, please feel free to do so. However, please only $! distribute it in its original form. $! $! I wrote it in DCL for portability and ease of use - a C version $! would definitely run faster, but then I'd have to deal with compiler $! differences, and users would have to deal with configuring and $! building it. With DCL, it runs out-of-the-box. $! $! Note that if you use this from a VMS system to modify files on a $! mounted network drive, f$search() may return only the first matching $! file when it tries to resolve wildcards. I have been unable to find $! a way around this. Either copy the files to a local disk, or specify $! each file individually (Keep in mind if you do this that VMS limits $! you to eight parameters, so you'll only be able to filter eight files $! at a time). $! $! Ideas... $! - Use 'search filespec "#","if","\"/mat=and' to quickly eliminate $! files that definitely don't need filtering. This should speed $! things up considerable. Reading and writing every line from every $! file takes quite a bit of time... $! - Error handling isn't great. Come up with something better.... $! $! E-mail addresses: $! Steve Wall [email protected] $! Zoltan Arpadffy [email protected] $! John W. Hamill [email protected] $! $! Modification History: $! 13Jul00 SWall Initial Version $! 14Jul00 ZArpadffy Display usage $! 06Mar01 JHamill Ctrl-M problem fix $! $! If no parameters, or "-h" for a parameter, print usage and exit $ $ all = "''p1'''p2'''p3'''p4'''p5'''p6'''p7'''p8'" $ if (all .nes. "") .and. (p1 .nes. "-h") .and. (p1 .nes. "-H") then goto startup $ $ write sys$output "OS_VMS_FIX - DECC->VAXC pre-processor directive convert script" $ write sys$output "Usage: @OS_VMS_FIX <filename_1> <filename_2> <...>" $ write sys$output " @OS_VMS_FIX <filename with wildcard> <...>" $ write sys$output "" $ write sys$output "Example: @OS_VMS_FIX *.c *.h [.proto]*.pro" $ write sys$output "Please note, you can define up to 8 parameters." $ write sys$output "" $ exit $ $! Create an FDL file to convert VFC format files to Stream_LF. $! VMS OPEN/WRITE command creates VFC files. When VFC files are read $! out under unix, they appear to have binary data embedded in them. $! To be friendly, we'll convert them to Stream_LF, which reads just $! file on unix. $ $startup: $ on control_y then goto stopfdl $ open/write fdl []convert.fdl $ write fdl "SYSTEM" $ write fdl " SOURCE VAX/VMS" $ write fdl "FILE" $ write fdl " ORGANIZATION SEQUENTIAL" $ write fdl "RECORD" $ write fdl " BLOCK_SPAN YES" $ write fdl " CARRIAGE_CONTROL CARRIAGE_RETURN" $ write fdl " FORMAT STREAM" $ write fdl " SIZE 0" $ close fdl $ on control_y then goto endparamloop $ $! Some symbols for use later on... $ $ spc = "" $ spc[0,8] = 32 $ tab = "" $ tab[0,8] = 9 $ $! Scan all positional arguments, do wildcard expansion, and call the $! filter routine on each resulting filename. $ $ cnt = 0 $paramloop: $ cnt = cnt + 1 $ $! VMS only allows command line parameters P1 - P8, so stop after $! processing 8 arguments. $ $ if cnt .eq. 9 then goto endparamloop $ $! Skip any empty parameter. $ $ if P'cnt' .eqs. "" then goto paramloop $ $! Got a parameter - do wildcard expansion. $ $ arg = f$parse(P'cnt') $ write sys$output "Parsing ''arg'..." $ last = "" $fileloop: $ file = f$search(arg, 1) $ $! f$search() returns "" after the last of multiple matches. $ $ if file .eqs. "" then goto endfileloop $ $! Strip the version number. $ $ file = f$parse(file,,,"DEVICE") + f$parse(file,,,"DIRECTORY") + - f$parse(file,,,"NAME") + f$parse(file,,,"TYPE") $ $! f$search() returns the same filename over and over if there are no $! wildcards in it. $ $ if file .eqs. last then goto endfileloop $ last = file $ $! Got a valid file - filter it. $ $ gosub filter $ $! Reset our error handling. $ $ on control_y then goto endparamloop $ $! See if there's another matching filename. $ $ goto fileloop $endfileloop: $ $! Check for another parameter. $ $ goto paramloop $endparamloop: $ $! Finished - delete the FDL file. $ $ delete []convert.fdl; $ $! So long, and thanks for all the fish... $ $ exit $ $ $! User aborted with Control-Y during creation of FDL file. $! Close the file, delete it, and exit with an error status. $ $stopfdl: $ close fdl $ delete []convert.fdl; $ exit %X10000000 $ $ $! Filter a file. $ $filter: $ write sys$output "Filtering ''file'..." $ $! Get a temporary filename from the subroutine parameter. $ $ tmp = f$parse(file,,,"DEVICE") + f$parse(file,,,"DIRECTORY") + - "tmp_" + f$parse(file,,,"NAME") + f$parse(file,,,"TYPE") $ on control_y then goto aborted $ open /read input 'file' $ open /write output 'tmp' $ changed = 0 $readloop: $ read/end_of_file=endreadloop/error=readlooperror input line $ $! Get the first 3 non-blank character on the line. $ $ start = f$extract(0,3,f$edit(line,"COLLAPSE,LOWERCASE")) $ $! If the line doesn't start with some form of "#if", just write it to $! the temp file. $ $ if start .nes. "#if" then goto writeit $chkbkslsh: $ $! See if the line ends in a backslash. If not, write it to the temp file. $ $ if f$extract(f$length(line)-1,1,line) .nes. "\" then goto writeit $ $! Ok, got a line that needs to be modified. Mark this file as changed, $! then replace the backslash at the end with the beginning of a comment $! (/*), and write it to the temp file. $ $ changed = 1 $ line = f$extract(0,f$length(line)-1,line) + "/*" $ write/symbol output line $ $! Get another line from the input. $ $ read/end_of_file=endreadloop/error=readlooperror input line $ $! Grab all the blank space from the beginning of the line. $ $ spaces = "" $spaceloop: $ if (f$extract(0,1,line) .nes. spc) .and. (f$extract(0,1,line) .nes. tab) - then goto endspaceloop $ spaces = spaces + f$extract(0,1,line) $ line = f$extract(1,f$length(line)-1,line) $ goto spaceloop $endspaceloop: $ $! Stick an end-comment (*/) after the leading blanks, then go back and $! check for a trailing backslash again, to catch code that continues $! across multiple lines. $ $ line = spaces + "*/ " + line $ goto chkbkslsh $ $! Write the current line, (will either be an untouched line, or the $! last line of a continuation) to the temp file, and go back to look $! for more input. $! $writeit: $ write/symbol output line $ goto readloop $ $! Hit EOF. Close the input & output, and if the file was marked as $! changed, convert it from VMS VFC format, to the more common Stream_LF $! format, so it doesn't show up full of garbage if someone tries to $! edit it on another OS. $! $endreadloop: $ close input $ close output $ if changed .eq. 0 then goto nocopy $ convert 'tmp' 'file' /fdl=[]convert.fdl $nocopy: $ delete 'tmp'; $ $! Exit this subroutine. $ $ goto endfunc $ $! Got a read error. Say so, and trash the temp file. $ $readlooperror: $ write sys$error "Error processing file ''file'" $ goto errorend $ $! Got an interrupt. Say so, and trash the temp file. $ $aborted: $ write sys$error "Aborted while processing file ''file'" $ $! Common code for read errors and interrupts. $ $errorend: $ close input $ close output $ delete 'tmp'; $ return %X10000000 $ $! End of filter subroutine. $ $endfunc: $ return $ $! EOF
DIGITAL Command Language
4
tgyurci/vim
src/os_vms_fix.com
[ "Vim" ]
package com.baeldung.aspectj.classmethodadvice; import org.apache.commons.logging.Log; import org.apache.commons.logging.LogFactory; public aspect TracingAspect { private static final Log LOG = LogFactory.getLog(TracingAspect.class); pointcut traceAnnotatedClasses(): within(@Trace *) && execution(* *(..)); Object around() : traceAnnotatedClasses() { String signature = thisJoinPoint.getSignature().toShortString(); LOG.trace("Entering " + signature); try { return proceed(); } finally { LOG.trace("Exiting " + signature); } } after() throwing (Exception e) : traceAnnotatedClasses() { LOG.trace("Exception thrown from " + thisJoinPoint.getSignature().toShortString(), e); } }
AspectJ
4
DBatOWL/tutorials
spring-aop/src/main/java/com/baeldung/aspectj/classmethodadvice/TracingAspect.aj
[ "MIT" ]
/*--------------------------------------------------------------------------------------------- * Copyright (c) Microsoft Corporation. All rights reserved. * Licensed under the MIT License. See License.txt in the project root for license information. *--------------------------------------------------------------------------------------------*/ import { createDecorator } from 'vs/platform/instantiation/common/instantiation'; export const SIGN_SERVICE_ID = 'signService'; export const ISignService = createDecorator<ISignService>(SIGN_SERVICE_ID); export interface IMessage { id: string; data: string; } export interface ISignService { readonly _serviceBrand: undefined; createNewMessage(value: string): Promise<IMessage>; validate(message: IMessage, value: string): Promise<boolean>; sign(value: string): Promise<string>; }
TypeScript
4
sbj42/vscode
src/vs/platform/sign/common/sign.ts
[ "MIT" ]
// (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // // DO NOT MODIFY THIS FILE. // IP VLNV: xilinx.com:ip:microblaze:9.3 // IP Revision: 0 // The following must be inserted into your Verilog file for this // core to be instantiated. Change the instance name and port connections // (in parentheses) to your own signal names. //----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG design_1_microblaze_0_0 your_instance_name ( .Clk(Clk), // input wire Clk .Reset(Reset), // input wire Reset .Interrupt(Interrupt), // input wire Interrupt .Interrupt_Address(Interrupt_Address), // input wire [0 : 31] Interrupt_Address .Interrupt_Ack(Interrupt_Ack), // output wire [0 : 1] Interrupt_Ack .Instr_Addr(Instr_Addr), // output wire [0 : 31] Instr_Addr .Instr(Instr), // input wire [0 : 31] Instr .IFetch(IFetch), // output wire IFetch .I_AS(I_AS), // output wire I_AS .IReady(IReady), // input wire IReady .IWAIT(IWAIT), // input wire IWAIT .ICE(ICE), // input wire ICE .IUE(IUE), // input wire IUE .Data_Addr(Data_Addr), // output wire [0 : 31] Data_Addr .Data_Read(Data_Read), // input wire [0 : 31] Data_Read .Data_Write(Data_Write), // output wire [0 : 31] Data_Write .D_AS(D_AS), // output wire D_AS .Read_Strobe(Read_Strobe), // output wire Read_Strobe .Write_Strobe(Write_Strobe), // output wire Write_Strobe .DReady(DReady), // input wire DReady .DWait(DWait), // input wire DWait .DCE(DCE), // input wire DCE .DUE(DUE), // input wire DUE .Byte_Enable(Byte_Enable), // output wire [0 : 3] Byte_Enable .M_AXI_DP_AWADDR(M_AXI_DP_AWADDR), // output wire [31 : 0] M_AXI_DP_AWADDR .M_AXI_DP_AWPROT(M_AXI_DP_AWPROT), // output wire [2 : 0] M_AXI_DP_AWPROT .M_AXI_DP_AWVALID(M_AXI_DP_AWVALID), // output wire M_AXI_DP_AWVALID .M_AXI_DP_AWREADY(M_AXI_DP_AWREADY), // input wire M_AXI_DP_AWREADY .M_AXI_DP_WDATA(M_AXI_DP_WDATA), // output wire [31 : 0] M_AXI_DP_WDATA .M_AXI_DP_WSTRB(M_AXI_DP_WSTRB), // output wire [3 : 0] M_AXI_DP_WSTRB .M_AXI_DP_WVALID(M_AXI_DP_WVALID), // output wire M_AXI_DP_WVALID .M_AXI_DP_WREADY(M_AXI_DP_WREADY), // input wire M_AXI_DP_WREADY .M_AXI_DP_BRESP(M_AXI_DP_BRESP), // input wire [1 : 0] M_AXI_DP_BRESP .M_AXI_DP_BVALID(M_AXI_DP_BVALID), // input wire M_AXI_DP_BVALID .M_AXI_DP_BREADY(M_AXI_DP_BREADY), // output wire M_AXI_DP_BREADY .M_AXI_DP_ARADDR(M_AXI_DP_ARADDR), // output wire [31 : 0] M_AXI_DP_ARADDR .M_AXI_DP_ARPROT(M_AXI_DP_ARPROT), // output wire [2 : 0] M_AXI_DP_ARPROT .M_AXI_DP_ARVALID(M_AXI_DP_ARVALID), // output wire M_AXI_DP_ARVALID .M_AXI_DP_ARREADY(M_AXI_DP_ARREADY), // input wire M_AXI_DP_ARREADY .M_AXI_DP_RDATA(M_AXI_DP_RDATA), // input wire [31 : 0] M_AXI_DP_RDATA .M_AXI_DP_RRESP(M_AXI_DP_RRESP), // input wire [1 : 0] M_AXI_DP_RRESP .M_AXI_DP_RVALID(M_AXI_DP_RVALID), // input wire M_AXI_DP_RVALID .M_AXI_DP_RREADY(M_AXI_DP_RREADY), // output wire M_AXI_DP_RREADY .Dbg_Clk(Dbg_Clk), // input wire Dbg_Clk .Dbg_TDI(Dbg_TDI), // input wire Dbg_TDI .Dbg_TDO(Dbg_TDO), // output wire Dbg_TDO .Dbg_Reg_En(Dbg_Reg_En), // input wire [0 : 7] Dbg_Reg_En .Dbg_Shift(Dbg_Shift), // input wire Dbg_Shift .Dbg_Capture(Dbg_Capture), // input wire Dbg_Capture .Dbg_Update(Dbg_Update), // input wire Dbg_Update .Debug_Rst(Debug_Rst) // input wire Debug_Rst ); // INST_TAG_END ------ End INSTANTIATION Template --------- // You must compile the wrapper file design_1_microblaze_0_0.v when simulating // the core, design_1_microblaze_0_0. When compiling the wrapper file, be sure to // reference the Verilog simulation library.
Verilog
3
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/bd/design_1/ip/design_1_microblaze_0_0/design_1_microblaze_0_0.veo
[ "Apache-2.0" ]
%% %% %CopyrightBegin% %% %% Copyright Ericsson AB 2008-2018. All Rights Reserved. %% %% Licensed under the Apache License, Version 2.0 (the "License"); %% you may not use this file except in compliance with the License. %% You may obtain a copy of the License at %% %% http://www.apache.org/licenses/LICENSE-2.0 %% %% Unless required by applicable law or agreed to in writing, software %% distributed under the License is distributed on an "AS IS" BASIS, %% WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. %% See the License for the specific language governing permissions and %% limitations under the License. %% %% %CopyrightEnd% %% %% %%---------------------------------------------------------------------- %% Purpose: The supervisor for tcpip-forwarding acceptor %%---------------------------------------------------------------------- -module(ssh_tcpip_forward_acceptor). -export([supervised_start/6, start_link/6]). -include("ssh.hrl"). %%%---------------------------------------------------------------- supervised_start(FwdSup, {ListenAddrStr, ListenPort}, ConnectToAddr, ChanType, ChanCB, ConnPid) -> case get_fwd_listen_opts(ListenAddrStr) of {ok,Opts} -> %% start listening on Addr:BoundPort case gen_tcp:listen(ListenPort, [binary, {reuseaddr,true}, {active,false} | Opts]) of {ok,LSock} -> {ok,{_, TrueListenPort}} = inet:sockname(LSock), ssh_tcpip_forward_acceptor_sup:start_child(FwdSup, LSock, {ListenAddrStr,TrueListenPort}, ConnectToAddr, ChanType, ChanCB, ConnPid), {ok, TrueListenPort}; {error,Error} -> {error,Error} end; {error,Error} -> {error,Error} end. %%%---------------------------------------------------------------- start_link(LSock, {ListenAddrStr,ListenPort}, ConnectToAddr, ChanType, ChanCB, ConnPid) -> Pid = proc_lib:spawn_link( fun() -> acceptor_loop(LSock, ListenAddrStr, ListenPort, ConnectToAddr, ChanType, ChanCB, ConnPid) end), {ok, Pid}. %%%================================================================ %%% %%% Internal %%% acceptor_loop(LSock, ListenAddrStr, ListenPort, ConnectToAddr, ChanType, ChanCB, ConnPid) -> case gen_tcp:accept(LSock) of {ok, Sock} -> {ok, {RemHost,RemPort}} = inet:peername(Sock), RemHostBin = list_to_binary(encode_ip(RemHost)), Data = case ConnectToAddr of undefined -> <<?STRING(ListenAddrStr), ?UINT32(ListenPort), ?STRING(RemHostBin), ?UINT32(RemPort)>>; {ConnectToHost, ConnectToPort} -> <<?STRING(ConnectToHost), ?UINT32(ConnectToPort), ?STRING(RemHostBin), ?UINT32(RemPort)>> end, case ssh_connection:open_channel(ConnPid, ChanType, Data, infinity) of {ok,ChId} -> gen_tcp:controlling_process(Sock, ConnPid), ConnPid ! {fwd_connect_received, Sock, ChId, ChanCB}; _ -> gen_tcp:close(Sock) end, acceptor_loop(LSock, ListenAddrStr, ListenPort, ConnectToAddr, ChanType, ChanCB, ConnPid); {error,closed} -> ok end. %%%---------------------------------------------------------------- get_fwd_listen_opts(<<"">> ) -> {ok, []}; get_fwd_listen_opts(<<"0.0.0.0">> ) -> {ok, [inet]}; get_fwd_listen_opts(<<"::">> ) -> {ok, [inet6]}; get_fwd_listen_opts(<<"localhost">>) -> {ok, [{ip,loopback}]}; get_fwd_listen_opts(AddrStr) -> case inet:getaddr(binary_to_list(AddrStr), inet) of {ok, Addr} -> {ok, [{ip,Addr}]}; {error,Error} -> {error,Error} end. %%%---------------------------------------------------------------- encode_ip(Addr) when is_tuple(Addr) -> case catch inet_parse:ntoa(Addr) of {'EXIT',_} -> false; A -> A end.
Erlang
5
jjhoo/otp
lib/ssh/src/ssh_tcpip_forward_acceptor.erl
[ "Apache-2.0" ]
The quote: Line a Line b Line c Line d and this is the end.
Creole
0
jquorning/ada-wiki
regtests/expect/wiki-import/blockquote.creole
[ "Apache-2.0" ]
scriptname _Frost_ShelterDetectScriptNear extends ObjectReference import _FrostInternal import _CampInternal Actor property PlayerRef auto GlobalVariable property _Frost_IsTakingShelter auto FormList property _Camp_WarmBaseTents auto Event OnInit() float dist = self.GetDistance(PlayerRef) if dist < 1200.0 FrostDebug(1, "|||| Shelter ::: Taking shelter. (Dist: " + dist + ") (detector " + self + ")") _Frost_IsTakingShelter.SetValueInt(2) endif ; Is this also a warm base-game tent? ObjectReference warmTent = Game.FindClosestReferenceOfAnyTypeInListFromRef(_Camp_WarmBaseTents, PlayerRef, 146.0) if warmTent SetCurrentTent(warmTent) endif self.Disable() self.Delete() EndEvent
Papyrus
3
chesko256/Campfire
Scripts/Source/_Frost_ShelterDetectScriptNear.psc
[ "MIT" ]
'use strict' export class Hyperref args = @args = {} # CTOR (generator, options) -> # package: hyperref args.\href = <[ H o? u g ]> \href : (opts, url, txt) -> [ @g.create @g.link(url), txt ] args.\url = <[ H u ]> \url : (url) -> [ @g.create @g.link(url), @g.createText(url) ] args.\nolinkurl = <[ H u ]> \nolinkurl : (url) -> [ @g.create @g.link(), @g.createText(url) ] # TODO # \hyperbaseurl HV u # \hyperref[label]{link text} --- like \ref{label}, but use "link text" for display # args.\hyperref = <[ H o? g ]> # \hyperref : (label, txt) -> [ @g.ref label ]
LiveScript
3
fgborges/latex2e-js
src/packages/hyperref.ls
[ "MIT" ]
# -*- racc -*- # Copyright 2011 Sylvester Keil. All rights reserved. # # Redistribution and use in source and binary forms, with or without # modification, are permitted provided that the following conditions are met: # # 1. Redistributions of source code must retain the above copyright notice, # this list of conditions and the following disclaimer. # # 2. Redistributions in binary form must reproduce the above copyright notice, # this list of conditions and the following disclaimer in the documentation # and/or other materials provided with the distribution. # # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDER ``AS IS'' AND ANY EXPRESS OR # IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO # EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, # INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, # BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, # DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY # OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, # EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. # # The views and conclusions contained in the software and documentation are # those of the authors and should not be interpreted as representing official # policies, either expressed or implied, of the copyright holder. class EDTF::Parser token T Z E X U UNKNOWN OPEN LONGYEAR UNMATCHED DOTS UA PUA expect 0 rule edtf : level_0_expression | level_1_expression | level_2_expression ; # ---- Level 0 / ISO 8601 Rules ---- # NB: level 0 intervals are covered by the level 1 interval rules level_0_expression : date | date_time ; date : positive_date | negative_date ; positive_date : year { result = Date.new(val[0]).year_precision! } | year_month { result = Date.new(*val.flatten).month_precision! } | year_month_day { result = Date.new(*val.flatten).day_precision! } ; negative_date : '-' positive_date { result = -val[1] } date_time : date T time { result = DateTime.new(val[0].year, val[0].month, val[0].day, *val[2]) result.skip_timezone = (val[2].length == 3) } time : base_time | base_time zone_offset { result = val.flatten } base_time : hour ':' minute ':' second { result = val.values_at(0, 2, 4) } | midnight midnight : '2' '4' ':' '0' '0' ':' '0' '0' { result = [24, 0, 0] } zone_offset : Z { result = 0 } | '-' zone_offset_hour { result = -1 * val[1] } | '+' positive_zone_offset { result = val[1] } ; positive_zone_offset : zone_offset_hour | '0' '0' ':' '0' '0' { result = 0 } ; zone_offset_hour : d01_13 ':' minute { result = Rational(val[0] * 60 + val[2], 1440) } | '1' '4' ':' '0' '0' { result = Rational(840, 1440) } | '0' '0' ':' d01_59 { result = Rational(val[3], 1440) } ; year : digit digit digit digit { result = val.zip([1000,100,10,1]).reduce(0) { |s,(a,b)| s += a * b } } month : d01_12 day : d01_31 year_month : year '-' month { result = [val[0], val[2]] } # We raise an exception if there are two many days for the month, but # do not consider leap years, as the EDTF BNF did not either. # NB: an exception will be raised regardless, because the Ruby Date # implementation calculates leap years. year_month_day : year_month '-' day { result = val[0] << val[2] if result[2] > 31 || (result[2] > 30 && [2,4,6,9,11].include?(result[1])) || (result[2] > 29 && result[1] == 2) raise ArgumentError, "invalid date (invalid days #{result[2]} for month #{result[1]})" end } hour : d00_23 minute : d00_59 second : d00_59 # Completely covered by level_1_interval # level_0_interval : date '/' date { result = Interval.new(val[0], val[1]) } # ---- Level 1 Extension Rules ---- # NB: Uncertain/approximate Dates are covered by the Level 2 rules level_1_expression : unspecified | level_1_interval | long_year_simple | season # uncertain_or_approximate_date : date UA { result = uoa(val[0], val[1]) } unspecified : unspecified_year { result = Date.new(val[0][0]).year_precision! result.unspecified.year[2,2] = val[0][1] } | unspecified_month | unspecified_day | unspecified_day_and_month ; unspecified_year : digit digit digit U { result = [val[0,3].zip([1000,100,10]).reduce(0) { |s,(a,b)| s += a * b }, [false,true]] } | digit digit U U { result = [val[0,2].zip([1000,100]).reduce(0) { |s,(a,b)| s += a * b }, [true, true]] } unspecified_month : year '-' U U { result = Date.new(val[0]).unspecified!(:month) result.precision = :month } unspecified_day : year_month '-' U U { result = Date.new(*val[0]).unspecified!(:day) } unspecified_day_and_month : year '-' U U '-' U U { result = Date.new(val[0]).unspecified!([:day,:month]) } level_1_interval : level_1_start '/' level_1_end { result = Interval.new(val[0], val[2]) } level_1_start : date | partial_uncertain_or_approximate | unspecified | partial_unspecified | UNKNOWN level_1_end : level_1_start | OPEN long_year_simple : LONGYEAR long_year { result = Date.new(val[1]) result.precision = :year } | LONGYEAR '-' long_year { result = Date.new(-1 * val[2]) result.precision = :year } ; long_year : positive_digit digit digit digit digit { result = val.zip([10000,1000,100,10,1]).reduce(0) { |s,(a,b)| s += a * b } } | long_year digit { result = 10 * val[0] + val[1] } ; season : year '-' season_number ua { result = Season.new(val[0], val[2]) val[3].each { |ua| result.send(ua) } } season_number : '2' '1' { result = 21 } | '2' '2' { result = 22 } | '2' '3' { result = 23 } | '2' '4' { result = 24 } ; # ---- Level 2 Extension Rules ---- # NB: Level 2 Intervals are covered by the Level 1 Interval rules. level_2_expression : season_qualified | partial_uncertain_or_approximate | partial_unspecified | choice_list | inclusive_list | masked_precision | date_and_calendar | long_year_scientific ; season_qualified : season '^' { result = val[0]; result.qualifier = val[1] } long_year_scientific : long_year_simple E integer { result = Date.new(val[0].year * 10 ** val[2]).year_precision! } | LONGYEAR int1_4 E integer { result = Date.new(val[1] * 10 ** val[3]).year_precision! } | LONGYEAR '-' int1_4 E integer { result = Date.new(-1 * val[2] * 10 ** val[4]).year_precision! } ; date_and_calendar : date '^' { result = val[0]; result.calendar = val[1] } masked_precision : digit digit digit X { d = val[0,3].zip([1000,100,10]).reduce(0) { |s,(a,b)| s += a * b } result = EDTF::Decade.new(d) } | digit digit X X { d = val[0,2].zip([1000,100]).reduce(0) { |s,(a,b)| s += a * b } result = EDTF::Century.new(d) } ; choice_list : '[' list ']' { result = val[1].choice! } inclusive_list : '{' list '}' { result = val[1] } list : earlier { result = EDTF::Set.new(val[0]).earlier! } | earlier ',' list_elements ',' later { result = EDTF::Set.new([val[0]] + val[2] + [val[4]]).earlier!.later! } | earlier ',' list_elements { result = EDTF::Set.new([val[0]] + val[2]).earlier! } | earlier ',' later { result = EDTF::Set.new([val[0]] + [val[2]]).earlier!.later! } | list_elements ',' later { result = EDTF::Set.new(val[0] + [val[2]]).later! } | list_elements { result = EDTF::Set.new(*val[0]) } | later { result = EDTF::Set.new(val[0]).later! } ; list_elements : list_element { result = [val[0]].flatten } | list_elements ',' list_element { result = val[0] + [val[2]].flatten } ; list_element : atomic | consecutives ; atomic : date | partial_uncertain_or_approximate | unspecified ; earlier : DOTS date { result = val[1] } later : year_month_day DOTS { result = Date.new(*val[0]).year_precision! } | year_month DOTS { result = Date.new(*val[0]).month_precision! } | year DOTS { result = Date.new(val[0]).year_precision! } ; consecutives : year_month_day DOTS year_month_day { result = (Date.new(val[0]).day_precision! .. Date.new(val[2]).day_precision!) } | year_month DOTS year_month { result = (Date.new(val[0]).month_precision! .. Date.new(val[2]).month_precision!) } | year DOTS year { result = (Date.new(val[0]).year_precision! .. Date.new(val[2]).year_precision!) } ; partial_unspecified : unspecified_year '-' month '-' day { result = Date.new(val[0][0], val[2], val[4]) result.unspecified.year[2,2] = val[0][1] } | unspecified_year '-' U U '-' day { result = Date.new(val[0][0], 1, val[5]) result.unspecified.year[2,2] = val[0][1] result.unspecified!(:month) } | unspecified_year '-' U U '-' U U { result = Date.new(val[0][0], 1, 1) result.unspecified.year[2,2] = val[0][1] result.unspecified!([:month, :day]) } | unspecified_year '-' month '-' U U { result = Date.new(val[0][0], val[2], 1) result.unspecified.year[2,2] = val[0][1] result.unspecified!(:day) } | year '-' U U '-' day { result = Date.new(val[0], 1, val[5]) result.unspecified!(:month) } ; partial_uncertain_or_approximate : pua_base | '(' pua_base ')' UA { result = uoa(val[1], val[3]) } pua_base : pua_year { result = val[0].year_precision! } | pua_year_month { result = val[0][0].month_precision! } | pua_year_month_day { result = val[0].day_precision! } pua_year : year UA { result = uoa(Date.new(val[0]), val[1], :year) } pua_year_month : pua_year '-' month ua { result = [uoa(val[0].change(:month => val[2]), val[3], [:month, :year])] } | year '-' month UA { result = [uoa(Date.new(val[0], val[2]), val[3], [:year, :month])] } | year '-(' month ')' UA { result = [uoa(Date.new(val[0], val[2]), val[4], [:month]), true] } | pua_year '-(' month ')' UA { result = [uoa(val[0].change(:month => val[2]), val[4], [:month]), true] } ; pua_year_month_day : pua_year_month '-' day ua { result = uoa(val[0][0].change(:day => val[2]), val[3], val[0][1] ? [:day] : nil) } | pua_year_month '-(' day ')' UA { result = uoa(val[0][0].change(:day => val[2]), val[4], [:day]) } | year '-(' month ')' UA day ua { result = uoa(uoa(Date.new(val[0], val[2], val[5]), val[4], :month), val[6], :day) } | year_month '-' day UA { result = uoa(Date.new(val[0][0], val[0][1], val[2]), val[3]) } | year_month '-(' day ')' UA { result = uoa(Date.new(val[0][0], val[0][1], val[2]), val[4], [:day]) } | year '-(' month '-' day ')' UA { result = uoa(Date.new(val[0], val[2], val[4]), val[6], [:month, :day]) } | year '-(' month '-(' day ')' UA ')' UA { result = Date.new(val[0], val[2], val[4]) result = uoa(result, val[6], [:day]) result = uoa(result, val[8], [:month, :day]) } | pua_year '-(' month '-' day ')' UA { result = val[0].change(:month => val[2], :day => val[4]) result = uoa(result, val[6], [:month, :day]) } | pua_year '-(' month '-(' day ')' UA ')' UA { result = val[0].change(:month => val[2], :day => val[4]) result = uoa(result, val[6], [:day]) result = uoa(result, val[8], [:month, :day]) } # | '(' pua_year '-(' month ')' UA ')' UA '-' day ua { # result = val[1].change(:month => val[3], :day => val[9]) # result = uoa(result, val[5], [:month]) # result = [uoa(result, val[7], [:year]), true] # } ; ua : { result = [] } | UA # ---- Auxiliary Rules ---- digit : '0' { result = 0 } | positive_digit ; positive_digit : '1' | '2' | '3' | '4' | '5' | '6' | '7' | '8' | '9' d01_12 : '0' positive_digit { result = val[1] } | '1' '0' { result = 10 } | '1' '1' { result = 11 } | '1' '2' { result = 12 } ; d01_13 : d01_12 | '1' '3' { result = 13 } ; d01_23 : '0' positive_digit { result = val[1] } | '1' digit { result = 10 + val[1] } | '2' '0' { result = 20 } | '2' '1' { result = 21 } | '2' '2' { result = 22 } | '2' '3' { result = 23 } ; d00_23 : '0' '0' | d01_23 ; d01_29 : d01_23 | '2' '4' { result = 24 } | '2' '5' { result = 25 } | '2' '6' { result = 26 } | '2' '7' { result = 27 } | '2' '8' { result = 28 } | '2' '9' { result = 29 } ; d01_30 : d01_29 | '3' '0' { result = 30 } ; d01_31 : d01_30 | '3' '1' { result = 31 } ; d01_59 : d01_29 | '3' digit { result = 30 + val[1] } | '4' digit { result = 40 + val[1] } | '5' digit { result = 50 + val[1] } ; d00_59 : '0' '0' | d01_59 ; int1_4 : positive_digit { result = val[0] } | positive_digit digit { result = 10 * val[0] + val[1] } | positive_digit digit digit { result = val.zip([100,10,1]).reduce(0) { |s,(a,b)| s += a * b } } | positive_digit digit digit digit { result = val.zip([1000,100,10,1]).reduce(0) { |s,(a,b)| s += a * b } } ; integer : positive_digit { result = val[0] } | integer digit { result = 10 * val[0] + val[1] } ; ---- header require 'strscan' ---- inner @defaults = { :level => 2, :debug => false }.freeze class << self; attr_reader :defaults; end attr_reader :options def initialize(options = {}) @options = Parser.defaults.merge(options) end def debug? !!(options[:debug] || ENV['DEBUG']) end def parse(input) parse!(input) rescue => e warn e.message if debug? nil end def parse!(input) @yydebug = debug? @src = StringScanner.new(input) do_parse end def on_error(tid, value, stack) raise ArgumentError, "failed to parse date: unexpected '#{value}' at #{stack.inspect}" end def apply_uncertainty(date, uncertainty, scope = nil) uncertainty.each do |u| scope.nil? ? date.send(u) : date.send(u, scope) end date end alias uoa apply_uncertainty def next_token case when @src.eos? nil # when @src.scan(/\s+/) # ignore whitespace when @src.scan(/\(/) ['(', @src.matched] # when @src.scan(/\)\?~-/) # [:PUA, [:uncertain!, :approximate!]] # when @src.scan(/\)\?-/) # [:PUA, [:uncertain!]] # when @src.scan(/\)~-/) # [:PUA, [:approximate!]] when @src.scan(/\)/) [')', @src.matched] when @src.scan(/\[/) ['[', @src.matched] when @src.scan(/\]/) [']', @src.matched] when @src.scan(/\{/) ['{', @src.matched] when @src.scan(/\}/) ['}', @src.matched] when @src.scan(/T/) [:T, @src.matched] when @src.scan(/Z/) [:Z, @src.matched] when @src.scan(/\?~/) [:UA, [:uncertain!, :approximate!]] when @src.scan(/\?/) [:UA, [:uncertain!]] when @src.scan(/~/) [:UA, [:approximate!]] when @src.scan(/open/i) [:OPEN, :open] when @src.scan(/unkn?own/i) # matches 'unkown' typo too [:UNKNOWN, :unknown] when @src.scan(/u/) [:U, @src.matched] when @src.scan(/x/i) [:X, @src.matched] when @src.scan(/y/) [:LONGYEAR, @src.matched] when @src.scan(/e/) [:E, @src.matched] when @src.scan(/\+/) ['+', @src.matched] when @src.scan(/-\(/) ['-(', @src.matched] when @src.scan(/-/) ['-', @src.matched] when @src.scan(/:/) [':', @src.matched] when @src.scan(/\//) ['/', @src.matched] when @src.scan(/\s*\.\.\s*/) [:DOTS, '..'] when @src.scan(/\s*,\s*/) [',', ','] when @src.scan(/\^\w+/) ['^', @src.matched[1..-1]] when @src.scan(/\d/) [@src.matched, @src.matched.to_i] else @src.scan(/./) [:UNMATCHED, @src.rest] end end # -*- racc -*-
Yacc
5
puzzle/nochmal
spec/dummy/vendor/bundle/ruby/2.7.0/gems/racc-1.5.2/test/assets/edtf.y
[ "MIT" ]
This is for testing an ssi include with spaces in its name. {{ test }}
HTML
0
jpmallarino/django
tests/template_tests/templates/ssi include with spaces.html
[ "BSD-3-Clause", "0BSD" ]
(lp1 (ccopy_reg _reconstructor p2 (cpygments.token _TokenType p3 c__builtin__ tuple p4 (S'Text' p5 ttRp6 (dp7 S'subtypes' p8 c__builtin__ set p9 ((lp10 g2 (g3 g4 (g5 S'Symbol' p11 ttRp12 (dp13 g8 g9 ((ltRp14 sS'parent' p15 g6 sbag2 (g3 g4 (g5 S'Whitespace' p16 ttRp17 (dp18 g8 g9 ((ltRp19 sg15 g6 sbatRp20 sg11 g12 sg16 g17 sg15 g2 (g3 g4 (ttRp21 (dp22 S'Comment' p23 g2 (g3 g4 (g23 ttRp24 (dp25 g15 g21 sS'Preproc' p26 g2 (g3 g4 (g23 g26 ttRp27 (dp28 g8 g9 ((ltRp29 sg15 g24 sbsS'Single' p30 g2 (g3 g4 (g23 g30 ttRp31 (dp32 g8 g9 ((ltRp33 sg15 g24 sbsS'Multiline' p34 g2 (g3 g4 (g23 g34 ttRp35 (dp36 g8 g9 ((ltRp37 sg15 g24 sbsg8 g9 ((lp38 g2 (g3 g4 (g23 S'Special' p39 ttRp40 (dp41 g8 g9 ((ltRp42 sg15 g24 sbag27 ag31 ag35 atRp43 sg39 g40 sbsS'Name' p44 g2 (g3 g4 (g44 ttRp45 (dp46 S'Function' p47 g2 (g3 g4 (g44 g47 ttRp48 (dp49 g8 g9 ((ltRp50 sg15 g45 sbsS'Exception' p51 g2 (g3 g4 (g44 g51 ttRp52 (dp53 g8 g9 ((ltRp54 sg15 g45 sbsS'Tag' p55 g2 (g3 g4 (g44 g55 ttRp56 (dp57 g8 g9 ((ltRp58 sg15 g45 sbsS'Constant' p59 g2 (g3 g4 (g44 g59 ttRp60 (dp61 g8 g9 ((ltRp62 sg15 g45 sbsg15 g21 sS'Pseudo' p63 g2 (g3 g4 (g44 g63 ttRp64 (dp65 g8 g9 ((ltRp66 sg15 g45 sbsS'Attribute' p67 g2 (g3 g4 (g44 g67 ttRp68 (dp69 g8 g9 ((ltRp70 sg15 g45 sbsS'Label' p71 g2 (g3 g4 (g44 g71 ttRp72 (dp73 g8 g9 ((ltRp74 sg15 g45 sbsS'Blubb' p75 g2 (g3 g4 (g44 g75 ttRp76 (dp77 g8 g9 ((ltRp78 sg15 g45 sbsS'Entity' p79 g2 (g3 g4 (g44 g79 ttRp80 (dp81 g8 g9 ((ltRp82 sg15 g45 sbsS'Builtin' p83 g2 (g3 g4 (g44 g83 ttRp84 (dp85 g8 g9 ((lp86 g2 (g3 g4 (g44 g83 g63 ttRp87 (dp88 g8 g9 ((ltRp89 sg15 g84 sbatRp90 sg63 g87 sg15 g45 sbsS'Other' p91 g2 (g3 g4 (g44 g91 ttRp92 (dp93 g8 g9 ((ltRp94 sg15 g45 sbsS'Identifier' p95 g2 (g3 g4 (g44 g95 ttRp96 (dp97 g8 g9 ((ltRp98 sg15 g45 sbsS'Variable' p99 g2 (g3 g4 (g44 g99 ttRp100 (dp101 g15 g45 sS'Global' p102 g2 (g3 g4 (g44 g99 g102 ttRp103 (dp104 g8 g9 ((ltRp105 sg15 g100 sbsS'Instance' p106 g2 (g3 g4 (g44 g99 g106 ttRp107 (dp108 g8 g9 ((ltRp109 sg15 g100 sbsS'Anonymous' p110 g2 (g3 g4 (g44 g99 g110 ttRp111 (dp112 g8 g9 ((ltRp113 sg15 g100 sbsg8 g9 ((lp114 g111 ag107 ag103 ag2 (g3 g4 (g44 g99 S'Class' p115 ttRp116 (dp117 g8 g9 ((ltRp118 sg15 g100 sbatRp119 sg115 g116 sbsg8 g9 ((lp120 g2 (g3 g4 (g44 S'Decorator' p121 ttRp122 (dp123 g8 g9 ((ltRp124 sg15 g45 sbag68 ag60 ag64 ag2 (g3 g4 (g44 S'Namespace' p125 ttRp126 (dp127 g8 g9 ((ltRp128 sg15 g45 sbag96 ag84 ag100 ag92 ag76 ag80 ag48 ag2 (g3 g4 (g44 S'Property' p129 ttRp130 (dp131 g8 g9 ((ltRp132 sg15 g45 sbag72 ag56 ag52 ag2 (g3 g4 (g44 g115 ttRp133 (dp134 g8 g9 ((ltRp135 sg15 g45 sbatRp136 sg129 g130 sg115 g133 sg121 g122 sg125 g126 sbsS'Keyword' p137 g2 (g3 g4 (g137 ttRp138 (dp139 g59 g2 (g3 g4 (g137 g59 ttRp140 (dp141 g8 g9 ((ltRp142 sg15 g138 sbsg15 g21 sg125 g2 (g3 g4 (g137 g125 ttRp143 (dp144 g8 g9 ((ltRp145 sg15 g138 sbsg63 g2 (g3 g4 (g137 g63 ttRp146 (dp147 g8 g9 ((ltRp148 sg15 g138 sbsS'Reserved' p149 g2 (g3 g4 (g137 g149 ttRp150 (dp151 g8 g9 ((ltRp152 sg15 g138 sbsS'Declaration' p153 g2 (g3 g4 (g137 g153 ttRp154 (dp155 g8 g9 ((ltRp156 sg15 g138 sbsg99 g2 (g3 g4 (g137 g99 ttRp157 (dp158 g8 g9 ((ltRp159 sg15 g138 sbsg8 g9 ((lp160 g140 ag150 ag2 (g3 g4 (g137 S'Type' p161 ttRp162 (dp163 g8 g9 ((ltRp164 sg15 g138 sbag154 ag157 ag143 ag146 atRp165 sg161 g162 sbsS'Generic' p166 g2 (g3 g4 (g166 ttRp167 (dp168 S'Prompt' p169 g2 (g3 g4 (g166 g169 ttRp170 (dp171 g8 g9 ((ltRp172 sg15 g167 sbsg15 g21 sS'Deleted' p173 g2 (g3 g4 (g166 g173 ttRp174 (dp175 g8 g9 ((ltRp176 sg15 g167 sbsS'Traceback' p177 g2 (g3 g4 (g166 g177 ttRp178 (dp179 g8 g9 ((ltRp180 sg15 g167 sbsS'Emph' p181 g2 (g3 g4 (g166 g181 ttRp182 (dp183 g8 g9 ((ltRp184 sg15 g167 sbsS'Output' p185 g2 (g3 g4 (g166 g185 ttRp186 (dp187 g8 g9 ((ltRp188 sg15 g167 sbsS'Subheading' p189 g2 (g3 g4 (g166 g189 ttRp190 (dp191 g8 g9 ((ltRp192 sg15 g167 sbsS'Error' p193 g2 (g3 g4 (g166 g193 ttRp194 (dp195 g8 g9 ((ltRp196 sg15 g167 sbsg8 g9 ((lp197 g186 ag182 ag194 ag190 ag178 ag174 ag2 (g3 g4 (g166 S'Heading' p198 ttRp199 (dp200 g8 g9 ((ltRp201 sg15 g167 sbag2 (g3 g4 (g166 S'Inserted' p202 ttRp203 (dp204 g8 g9 ((ltRp205 sg15 g167 sbag2 (g3 g4 (g166 S'Strong' p206 ttRp207 (dp208 g8 g9 ((ltRp209 sg15 g167 sbag170 atRp210 sg206 g207 sg202 g203 sg198 g199 sbsg5 g6 sS'Punctuation' p211 g2 (g3 g4 (g211 ttRp212 (dp213 g8 g9 ((lp214 g2 (g3 g4 (g211 S'Indicator' p215 ttRp216 (dp217 g8 g9 ((ltRp218 sg15 g212 sbatRp219 sg215 g216 sg15 g21 sbsS'Token' p220 g21 sS'Number' p221 g2 (g3 g4 (S'Literal' p222 g221 ttRp223 (dp224 S'Bin' p225 g2 (g3 g4 (g222 g221 g225 ttRp226 (dp227 g8 g9 ((ltRp228 sg15 g223 sbsS'Binary' p229 g2 (g3 g4 (g222 g221 g229 ttRp230 (dp231 g8 g9 ((ltRp232 sg15 g223 sbsg15 g2 (g3 g4 (g222 ttRp233 (dp234 S'String' p235 g2 (g3 g4 (g222 g235 ttRp236 (dp237 S'Regex' p238 g2 (g3 g4 (g222 g235 g238 ttRp239 (dp240 g8 g9 ((ltRp241 sg15 g236 sbsS'Interpol' p242 g2 (g3 g4 (g222 g235 g242 ttRp243 (dp244 g8 g9 ((ltRp245 sg15 g236 sbsS'Regexp' p246 g2 (g3 g4 (g222 g235 g246 ttRp247 (dp248 g8 g9 ((ltRp249 sg15 g236 sbsg15 g233 sS'Heredoc' p250 g2 (g3 g4 (g222 g235 g250 ttRp251 (dp252 g8 g9 ((ltRp253 sg15 g236 sbsS'Double' p254 g2 (g3 g4 (g222 g235 g254 ttRp255 (dp256 g8 g9 ((ltRp257 sg15 g236 sbsg11 g2 (g3 g4 (g222 g235 g11 ttRp258 (dp259 g8 g9 ((ltRp260 sg15 g236 sbsS'Escape' p261 g2 (g3 g4 (g222 g235 g261 ttRp262 (dp263 g8 g9 ((ltRp264 sg15 g236 sbsS'Character' p265 g2 (g3 g4 (g222 g235 g265 ttRp266 (dp267 g8 g9 ((ltRp268 sg15 g236 sbsS'Interp' p269 g2 (g3 g4 (g222 g235 g269 ttRp270 (dp271 g8 g9 ((ltRp272 sg15 g236 sbsS'Backtick' p273 g2 (g3 g4 (g222 g235 g273 ttRp274 (dp275 g8 g9 ((ltRp276 sg15 g236 sbsS'Char' p277 g2 (g3 g4 (g222 g235 g277 ttRp278 (dp279 g8 g9 ((ltRp280 sg15 g236 sbsg30 g2 (g3 g4 (g222 g235 g30 ttRp281 (dp282 g8 g9 ((ltRp283 sg15 g236 sbsg91 g2 (g3 g4 (g222 g235 g91 ttRp284 (dp285 g8 g9 ((ltRp286 sg15 g236 sbsS'Doc' p287 g2 (g3 g4 (g222 g235 g287 ttRp288 (dp289 g8 g9 ((ltRp290 sg15 g236 sbsg8 g9 ((lp291 g284 ag2 (g3 g4 (g222 g235 S'Atom' p292 ttRp293 (dp294 g8 g9 ((ltRp295 sg15 g236 sbag255 ag278 ag270 ag288 ag251 ag274 ag243 ag258 ag247 ag239 ag281 ag266 ag262 atRp296 sg292 g293 sbsg15 g21 sg221 g223 sS'Scalar' p297 g2 (g3 g4 (g222 g297 ttRp298 (dp299 g8 g9 ((lp300 g2 (g3 g4 (g222 g297 S'Plain' p301 ttRp302 (dp303 g8 g9 ((ltRp304 sg15 g298 sbatRp305 sg15 g233 sg301 g302 sbsg91 g2 (g3 g4 (g222 g91 ttRp306 (dp307 g8 g9 ((ltRp308 sg15 g233 sbsS'Date' p309 g2 (g3 g4 (g222 g309 ttRp310 (dp311 g8 g9 ((ltRp312 sg15 g233 sbsg8 g9 ((lp313 g310 ag236 ag306 ag223 ag298 atRp314 sbsS'Decimal' p315 g2 (g3 g4 (g222 g221 g315 ttRp316 (dp317 g8 g9 ((ltRp318 sg15 g223 sbsS'Float' p319 g2 (g3 g4 (g222 g221 g319 ttRp320 (dp321 g8 g9 ((ltRp322 sg15 g223 sbsS'Hex' p323 g2 (g3 g4 (g222 g221 g323 ttRp324 (dp325 g8 g9 ((ltRp326 sg15 g223 sbsS'Integer' p327 g2 (g3 g4 (g222 g221 g327 ttRp328 (dp329 g8 g9 ((lp330 g2 (g3 g4 (g222 g221 g327 S'Long' p331 ttRp332 (dp333 g8 g9 ((ltRp334 sg15 g328 sbatRp335 sg331 g332 sg15 g223 sbsS'Octal' p336 g2 (g3 g4 (g222 g221 g336 ttRp337 (dp338 g8 g9 ((ltRp339 sg15 g223 sbsg8 g9 ((lp340 g226 ag230 ag337 ag316 ag2 (g3 g4 (g222 g221 S'Oct' p341 ttRp342 (dp343 g8 g9 ((ltRp344 sg15 g223 sbag328 ag320 ag324 atRp345 sg341 g342 sbsg222 g233 sg91 g2 (g3 g4 (g91 ttRp346 (dp347 g8 g9 ((ltRp348 sg15 g21 sbsg193 g2 (g3 g4 (g193 ttRp349 (dp350 g8 g9 ((ltRp351 sg15 g21 sbsS'Operator' p352 g2 (g3 g4 (g352 ttRp353 (dp354 g8 g9 ((lp355 g2 (g3 g4 (g352 S'Word' p356 ttRp357 (dp358 g8 g9 ((ltRp359 sg15 g353 sbatRp360 sg356 g357 sg15 g21 sbsg8 g9 ((lp361 g24 ag349 ag167 ag6 ag45 ag212 ag138 ag233 ag353 ag346 atRp362 sg235 g236 sbsbV tp363 a(g170 Vuser@host:~/path$ p364 tp365 a(g6 V tp366 a(g6 Vls p367 tp368 a(g6 V tp369 a(g6 V-a p370 tp371 a(g6 V\u000a tp372 a(g186 V. .. a b c\u000a p373 tp374 a(g6 V tp375 a(g170 Vuser@host:~/path$ p376 tp377 a(g6 V tp378 a(g6 Vdiff p379 tp380 a(g6 V tp381 a(g6 V-u p382 tp383 a(g6 V tp384 a(g6 Va tp385 a(g6 V tp386 a(g6 Vb tp387 a(g6 V\u000a tp388 a(g186 V--- a 2008-07-26 17:10:07.000000000 -0700\u000a p389 tp390 a(g186 V+++ b 2008-07-26 17:10:10.000000000 -0700\u000a p391 tp392 a(g186 V@@ -1,3 +1,3 @@\u000a p393 tp394 a(g186 V a\u000a p395 tp396 a(g186 V-b\u000a p397 tp398 a(g186 V+x\u000a p399 tp400 a(g186 V c\u000a p401 tp402 a(g6 V tp403 a(g170 Vuser@host:~/path$ p404 tp405 a(g6 V tp406 a(g84 Vecho p407 tp408 a(g6 V tp409 a(g262 V\u005c\u000a p410 tp411 a(g170 V> tp412 a(g262 V tp413 a(g6 V tp414 a(g6 Va tp415 a(g6 V\u000a tp416 a(g186 Va\u000a p417 tp418 a(g6 V tp419 a(g170 Vuser@host:~/path$ p420 tp421 a(g6 V tp422 a(g6 Vsu p423 tp424 a(g6 V\u000a tp425 a(g170 Vroot@host:~# p426 tp427 a(g6 V\u000a tp428 a(g170 Vsh-3.1$ p429 tp430 a(g6 V tp431 a(g24 V# on hardy\u000a p432 tp433 a(g170 Vsh$ p434 tp435 a(g24 V tp436 a(g6 V tp437 a(g24 V# on etch\u000a p438 tp439 a.
ShellSession
0
mjtamlyn/django-braces
docs/build/Pygments/tests/examplefiles/output/example.sh-session
[ "BSD-3-Clause" ]
li .activitie-container .activity-type.application-webhook-destroyed i.fa.fa-trash .user-image = user_image_tag(activity.owner) .description h6 strong = "#{activity.owner.username} removed webhook " = activity.parameters[:webhook_host] = " from the " = link_to activity.parameters[:namespace_name], controller: "namespaces", id: activity.parameters[:namespace_id] = " namespace" small i.fa.fa-clock-o = activity_time_tag activity.created_at
Slim
3
phulei/dockerlabs
play-with-docker/Portus/app/views/public_activity/webhook/_destroy.html.slim
[ "MIT" ]
/--------//--------//--------//--------//--------//--------//--------/ f=",o00,an01,an10,an00,xo11,xo00,no01,no10,no11,nan11,e01,e10,ne00" f+=",ne11,xno10,xno01,fals00,fals01,fals10,fals11" s=","+(--:op)+:a+:b :o=f==(f-s) :done++ goto3 /--------//--------//--------//--------//--------//--------//--------/ t=",o01,o10,o11,an11,xo10,xo01,no00,nan00,nan01,nan10,e11,e00,ne01," t+="ne10,xno00,xno11,tru00,tru01,tru10,tru11" s=","+(--:op)+:a+:b :o=t!=(t-s) :done++ goto3 /--------//--------//--------//--------//--------//--------//--------/ a=:a b=:b op=:op if op=="or" then :o=(a or b) goto:done++ end if op=="and" then :o=(a and b) goto:done++ end if op=="xor" then :o=a or b and not(a and b) goto:done++ end if op=="nor" then :o=not(a or b) goto:done++ end if op=="nand" then :o=not(a and b) goto:done++ end if op=="eq" then :o=a==b goto:done++ end if op=="neq" then :o=a!=b goto:done++ end if op=="xnor" then :o=not(a or b and not(a and b)) goto:done++ end if op=="true" then :o=1 goto:done++ end if op=="false" then :o=0 goto:done++ end :o="unknown op " + op :done=1 goto12 /--------//--------//--------//--------//--------//--------//--------/ a b nor 0 0 1 0 1 0 1 0 0 1 1 0 a b nand 0 0 1 0 1 1 1 0 1 1 1 0 a b xnor 0 0 1 1 0 0 0 1 0 1 1 1
LOLCODE
3
Dude112113/Yolol
YololEmulator/Scripts/LogidDance2.lol
[ "MIT" ]
%tr %td.text-content %p #{content_tag :span, @invite_email, class: :highlight} has #{content_tag :span, 'declined', class: :highlight} your invitation to join the #{link_to member_source.human_name, member_source.web_url, class: :highlight} #{member_source.model_name.singular}.
Haml
3
hugorebelo/gitlabhq
app/views/notify/member_invite_declined_email.html.haml
[ "MIT" ]
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and OpenCL -- Version: 2020.2 -- Copyright (C) 1986-2020 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ISPPipeline_accel is generic ( C_S_AXI_CTRL_ADDR_WIDTH : INTEGER := 6; C_S_AXI_CTRL_DATA_WIDTH : INTEGER := 32 ); port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; s_axis_video_TDATA : IN STD_LOGIC_VECTOR (39 downto 0); s_axis_video_TVALID : IN STD_LOGIC; s_axis_video_TREADY : OUT STD_LOGIC; s_axis_video_TKEEP : IN STD_LOGIC_VECTOR (4 downto 0); s_axis_video_TSTRB : IN STD_LOGIC_VECTOR (4 downto 0); s_axis_video_TUSER : IN STD_LOGIC_VECTOR (0 downto 0); s_axis_video_TLAST : IN STD_LOGIC_VECTOR (0 downto 0); s_axis_video_TID : IN STD_LOGIC_VECTOR (0 downto 0); s_axis_video_TDEST : IN STD_LOGIC_VECTOR (0 downto 0); m_axis_video_TDATA : OUT STD_LOGIC_VECTOR (95 downto 0); m_axis_video_TVALID : OUT STD_LOGIC; m_axis_video_TREADY : IN STD_LOGIC; m_axis_video_TKEEP : OUT STD_LOGIC_VECTOR (11 downto 0); m_axis_video_TSTRB : OUT STD_LOGIC_VECTOR (11 downto 0); m_axis_video_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0); m_axis_video_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0); m_axis_video_TID : OUT STD_LOGIC_VECTOR (0 downto 0); m_axis_video_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0); s_axi_CTRL_AWVALID : IN STD_LOGIC; s_axi_CTRL_AWREADY : OUT STD_LOGIC; s_axi_CTRL_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); s_axi_CTRL_WVALID : IN STD_LOGIC; s_axi_CTRL_WREADY : OUT STD_LOGIC; s_axi_CTRL_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); s_axi_CTRL_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_CTRL_DATA_WIDTH/8-1 downto 0); s_axi_CTRL_ARVALID : IN STD_LOGIC; s_axi_CTRL_ARREADY : OUT STD_LOGIC; s_axi_CTRL_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); s_axi_CTRL_RVALID : OUT STD_LOGIC; s_axi_CTRL_RREADY : IN STD_LOGIC; s_axi_CTRL_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); s_axi_CTRL_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); s_axi_CTRL_BVALID : OUT STD_LOGIC; s_axi_CTRL_BREADY : IN STD_LOGIC; s_axi_CTRL_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); interrupt : OUT STD_LOGIC ); end; architecture behav of ISPPipeline_accel is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "ISPPipeline_accel,hls_ip_2020_2,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xcvc1902-vsva2197-1LP-e-S-es1,HLS_INPUT_CLOCK=5.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=17.051000,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=127,HLS_SYN_DSP=0,HLS_SYN_FF=49745,HLS_SYN_LUT=47474,HLS_VERSION=2020_2}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (3 downto 0) := "0001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (3 downto 0) := "0010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (3 downto 0) := "0100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (3 downto 0) := "1000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_boolean_0 : BOOLEAN := false; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_rst_n_inv : STD_LOGIC; signal ap_start : STD_LOGIC; signal ap_done : STD_LOGIC; signal ap_idle : STD_LOGIC; signal ap_CS_fsm : STD_LOGIC_VECTOR (3 downto 0) := "0001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_ready : STD_LOGIC; signal width : STD_LOGIC_VECTOR (15 downto 0); signal height : STD_LOGIC_VECTOR (15 downto 0); signal bayer_phase : STD_LOGIC_VECTOR (15 downto 0); signal flag : STD_LOGIC_VECTOR (0 downto 0) := "0"; signal hist0_0_address0 : STD_LOGIC_VECTOR (9 downto 0); signal hist0_0_ce0 : STD_LOGIC; signal hist0_0_we0 : STD_LOGIC; signal hist0_0_q0 : STD_LOGIC_VECTOR (31 downto 0); signal hist0_1_address0 : STD_LOGIC_VECTOR (9 downto 0); signal hist0_1_ce0 : STD_LOGIC; signal hist0_1_we0 : STD_LOGIC; signal hist0_1_q0 : STD_LOGIC_VECTOR (31 downto 0); signal hist0_2_address0 : STD_LOGIC_VECTOR (9 downto 0); signal hist0_2_ce0 : STD_LOGIC; signal hist0_2_we0 : STD_LOGIC; signal hist0_2_q0 : STD_LOGIC_VECTOR (31 downto 0); signal hist1_0_address0 : STD_LOGIC_VECTOR (9 downto 0); signal hist1_0_ce0 : STD_LOGIC; signal hist1_0_we0 : STD_LOGIC; signal hist1_0_q0 : STD_LOGIC_VECTOR (31 downto 0); signal hist1_1_address0 : STD_LOGIC_VECTOR (9 downto 0); signal hist1_1_ce0 : STD_LOGIC; signal hist1_1_we0 : STD_LOGIC; signal hist1_1_q0 : STD_LOGIC_VECTOR (31 downto 0); signal hist1_2_address0 : STD_LOGIC_VECTOR (9 downto 0); signal hist1_2_ce0 : STD_LOGIC; signal hist1_2_we0 : STD_LOGIC; signal hist1_2_q0 : STD_LOGIC_VECTOR (31 downto 0); signal height_read_reg_173 : STD_LOGIC_VECTOR (15 downto 0); signal width_read_reg_178 : STD_LOGIC_VECTOR (15 downto 0); signal grp_ISPpipeline_fu_109_m_axis_video_TDATA : STD_LOGIC_VECTOR (95 downto 0); signal grp_ISPpipeline_fu_109_m_axis_video_TKEEP : STD_LOGIC_VECTOR (11 downto 0); signal grp_ISPpipeline_fu_109_m_axis_video_TSTRB : STD_LOGIC_VECTOR (11 downto 0); signal grp_ISPpipeline_fu_109_m_axis_video_TUSER : STD_LOGIC_VECTOR (0 downto 0); signal grp_ISPpipeline_fu_109_m_axis_video_TLAST : STD_LOGIC_VECTOR (0 downto 0); signal grp_ISPpipeline_fu_109_m_axis_video_TID : STD_LOGIC_VECTOR (0 downto 0); signal grp_ISPpipeline_fu_109_m_axis_video_TDEST : STD_LOGIC_VECTOR (0 downto 0); signal grp_ISPpipeline_fu_109_hist0_0_address0 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist0_0_ce0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_0_d0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist0_0_we0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_0_address1 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist0_0_ce1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_0_d1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist0_0_we1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_1_address0 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist0_1_ce0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_1_d0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist0_1_we0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_1_address1 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist0_1_ce1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_1_d1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist0_1_we1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_2_address0 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist0_2_ce0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_2_d0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist0_2_we0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_2_address1 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist0_2_ce1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist0_2_d1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist0_2_we1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_0_address0 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist1_0_ce0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_0_d0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist1_0_q0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist1_0_we0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_0_address1 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist1_0_ce1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_0_d1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist1_0_we1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_1_address0 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist1_1_ce0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_1_d0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist1_1_q0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist1_1_we0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_1_address1 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist1_1_ce1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_1_d1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist1_1_we1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_2_address0 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist1_2_ce0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_2_d0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist1_2_q0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist1_2_we0 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_2_address1 : STD_LOGIC_VECTOR (9 downto 0); signal grp_ISPpipeline_fu_109_hist1_2_ce1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_hist1_2_d1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_ISPpipeline_fu_109_hist1_2_we1 : STD_LOGIC; signal grp_ISPpipeline_fu_109_ap_start : STD_LOGIC; signal grp_ISPpipeline_fu_109_s_axis_video_TREADY : STD_LOGIC; signal grp_ISPpipeline_fu_109_ap_done : STD_LOGIC; signal grp_ISPpipeline_fu_109_m_axis_video_TVALID : STD_LOGIC; signal grp_ISPpipeline_fu_109_m_axis_video_TREADY : STD_LOGIC; signal grp_ISPpipeline_fu_109_ap_ready : STD_LOGIC; signal grp_ISPpipeline_fu_109_ap_idle : STD_LOGIC; signal grp_ISPpipeline_fu_109_ap_continue : STD_LOGIC; signal storemerge_reg_96 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal ap_sync_grp_ISPpipeline_fu_109_ap_ready : STD_LOGIC; signal ap_sync_grp_ISPpipeline_fu_109_ap_done : STD_LOGIC; signal ap_block_state2_on_subcall_done : BOOLEAN; signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal ap_block_state3_on_subcall_done : BOOLEAN; signal grp_ISPpipeline_fu_109_ap_start_reg : STD_LOGIC := '0'; signal flag_load_load_fu_163_p1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sync_reg_grp_ISPpipeline_fu_109_ap_ready : STD_LOGIC := '0'; signal ap_sync_reg_grp_ISPpipeline_fu_109_ap_done : STD_LOGIC := '0'; signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal regslice_both_m_axis_video_V_data_V_U_apdone_blk : STD_LOGIC; signal ap_NS_fsm : STD_LOGIC_VECTOR (3 downto 0); signal regslice_both_s_axis_video_V_data_V_U_apdone_blk : STD_LOGIC; signal s_axis_video_TDATA_int : STD_LOGIC_VECTOR (39 downto 0); signal s_axis_video_TVALID_int : STD_LOGIC; signal s_axis_video_TREADY_int : STD_LOGIC; signal regslice_both_s_axis_video_V_data_V_U_ack_in : STD_LOGIC; signal regslice_both_s_axis_video_V_keep_V_U_apdone_blk : STD_LOGIC; signal s_axis_video_TKEEP_int : STD_LOGIC_VECTOR (4 downto 0); signal regslice_both_s_axis_video_V_keep_V_U_vld_out : STD_LOGIC; signal regslice_both_s_axis_video_V_keep_V_U_ack_in : STD_LOGIC; signal regslice_both_s_axis_video_V_strb_V_U_apdone_blk : STD_LOGIC; signal s_axis_video_TSTRB_int : STD_LOGIC_VECTOR (4 downto 0); signal regslice_both_s_axis_video_V_strb_V_U_vld_out : STD_LOGIC; signal regslice_both_s_axis_video_V_strb_V_U_ack_in : STD_LOGIC; signal regslice_both_s_axis_video_V_user_V_U_apdone_blk : STD_LOGIC; signal s_axis_video_TUSER_int : STD_LOGIC_VECTOR (0 downto 0); signal regslice_both_s_axis_video_V_user_V_U_vld_out : STD_LOGIC; signal regslice_both_s_axis_video_V_user_V_U_ack_in : STD_LOGIC; signal regslice_both_s_axis_video_V_last_V_U_apdone_blk : STD_LOGIC; signal s_axis_video_TLAST_int : STD_LOGIC_VECTOR (0 downto 0); signal regslice_both_s_axis_video_V_last_V_U_vld_out : STD_LOGIC; signal regslice_both_s_axis_video_V_last_V_U_ack_in : STD_LOGIC; signal regslice_both_s_axis_video_V_id_V_U_apdone_blk : STD_LOGIC; signal s_axis_video_TID_int : STD_LOGIC_VECTOR (0 downto 0); signal regslice_both_s_axis_video_V_id_V_U_vld_out : STD_LOGIC; signal regslice_both_s_axis_video_V_id_V_U_ack_in : STD_LOGIC; signal regslice_both_s_axis_video_V_dest_V_U_apdone_blk : STD_LOGIC; signal s_axis_video_TDEST_int : STD_LOGIC_VECTOR (0 downto 0); signal regslice_both_s_axis_video_V_dest_V_U_vld_out : STD_LOGIC; signal regslice_both_s_axis_video_V_dest_V_U_ack_in : STD_LOGIC; signal m_axis_video_TVALID_int : STD_LOGIC; signal m_axis_video_TREADY_int : STD_LOGIC; signal regslice_both_m_axis_video_V_data_V_U_vld_out : STD_LOGIC; signal regslice_both_m_axis_video_V_keep_V_U_apdone_blk : STD_LOGIC; signal regslice_both_m_axis_video_V_keep_V_U_ack_in_dummy : STD_LOGIC; signal regslice_both_m_axis_video_V_keep_V_U_vld_out : STD_LOGIC; signal regslice_both_m_axis_video_V_strb_V_U_apdone_blk : STD_LOGIC; signal regslice_both_m_axis_video_V_strb_V_U_ack_in_dummy : STD_LOGIC; signal regslice_both_m_axis_video_V_strb_V_U_vld_out : STD_LOGIC; signal regslice_both_m_axis_video_V_user_V_U_apdone_blk : STD_LOGIC; signal regslice_both_m_axis_video_V_user_V_U_ack_in_dummy : STD_LOGIC; signal regslice_both_m_axis_video_V_user_V_U_vld_out : STD_LOGIC; signal regslice_both_m_axis_video_V_last_V_U_apdone_blk : STD_LOGIC; signal regslice_both_m_axis_video_V_last_V_U_ack_in_dummy : STD_LOGIC; signal regslice_both_m_axis_video_V_last_V_U_vld_out : STD_LOGIC; signal regslice_both_m_axis_video_V_id_V_U_apdone_blk : STD_LOGIC; signal regslice_both_m_axis_video_V_id_V_U_ack_in_dummy : STD_LOGIC; signal regslice_both_m_axis_video_V_id_V_U_vld_out : STD_LOGIC; signal regslice_both_m_axis_video_V_dest_V_U_apdone_blk : STD_LOGIC; signal regslice_both_m_axis_video_V_dest_V_U_ack_in_dummy : STD_LOGIC; signal regslice_both_m_axis_video_V_dest_V_U_vld_out : STD_LOGIC; component ISPpipeline IS port ( s_axis_video_TDATA : IN STD_LOGIC_VECTOR (39 downto 0); s_axis_video_TKEEP : IN STD_LOGIC_VECTOR (4 downto 0); s_axis_video_TSTRB : IN STD_LOGIC_VECTOR (4 downto 0); s_axis_video_TUSER : IN STD_LOGIC_VECTOR (0 downto 0); s_axis_video_TLAST : IN STD_LOGIC_VECTOR (0 downto 0); s_axis_video_TID : IN STD_LOGIC_VECTOR (0 downto 0); s_axis_video_TDEST : IN STD_LOGIC_VECTOR (0 downto 0); m_axis_video_TDATA : OUT STD_LOGIC_VECTOR (95 downto 0); m_axis_video_TKEEP : OUT STD_LOGIC_VECTOR (11 downto 0); m_axis_video_TSTRB : OUT STD_LOGIC_VECTOR (11 downto 0); m_axis_video_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0); m_axis_video_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0); m_axis_video_TID : OUT STD_LOGIC_VECTOR (0 downto 0); m_axis_video_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0); height : IN STD_LOGIC_VECTOR (15 downto 0); width : IN STD_LOGIC_VECTOR (15 downto 0); hist0_0_address0 : OUT STD_LOGIC_VECTOR (9 downto 0); hist0_0_ce0 : OUT STD_LOGIC; hist0_0_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); hist0_0_q0 : IN STD_LOGIC_VECTOR (31 downto 0); hist0_0_we0 : OUT STD_LOGIC; hist0_0_address1 : OUT STD_LOGIC_VECTOR (9 downto 0); hist0_0_ce1 : OUT STD_LOGIC; hist0_0_d1 : OUT STD_LOGIC_VECTOR (31 downto 0); hist0_0_q1 : IN STD_LOGIC_VECTOR (31 downto 0); hist0_0_we1 : OUT STD_LOGIC; hist0_1_address0 : OUT STD_LOGIC_VECTOR (9 downto 0); hist0_1_ce0 : OUT STD_LOGIC; hist0_1_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); hist0_1_q0 : IN STD_LOGIC_VECTOR (31 downto 0); hist0_1_we0 : OUT STD_LOGIC; hist0_1_address1 : OUT STD_LOGIC_VECTOR (9 downto 0); hist0_1_ce1 : OUT STD_LOGIC; hist0_1_d1 : OUT STD_LOGIC_VECTOR (31 downto 0); hist0_1_q1 : IN STD_LOGIC_VECTOR (31 downto 0); hist0_1_we1 : OUT STD_LOGIC; hist0_2_address0 : OUT STD_LOGIC_VECTOR (9 downto 0); hist0_2_ce0 : OUT STD_LOGIC; hist0_2_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); hist0_2_q0 : IN STD_LOGIC_VECTOR (31 downto 0); hist0_2_we0 : OUT STD_LOGIC; hist0_2_address1 : OUT STD_LOGIC_VECTOR (9 downto 0); hist0_2_ce1 : OUT STD_LOGIC; hist0_2_d1 : OUT STD_LOGIC_VECTOR (31 downto 0); hist0_2_q1 : IN STD_LOGIC_VECTOR (31 downto 0); hist0_2_we1 : OUT STD_LOGIC; hist1_0_address0 : OUT STD_LOGIC_VECTOR (9 downto 0); hist1_0_ce0 : OUT STD_LOGIC; hist1_0_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); hist1_0_q0 : IN STD_LOGIC_VECTOR (31 downto 0); hist1_0_we0 : OUT STD_LOGIC; hist1_0_address1 : OUT STD_LOGIC_VECTOR (9 downto 0); hist1_0_ce1 : OUT STD_LOGIC; hist1_0_d1 : OUT STD_LOGIC_VECTOR (31 downto 0); hist1_0_q1 : IN STD_LOGIC_VECTOR (31 downto 0); hist1_0_we1 : OUT STD_LOGIC; hist1_1_address0 : OUT STD_LOGIC_VECTOR (9 downto 0); hist1_1_ce0 : OUT STD_LOGIC; hist1_1_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); hist1_1_q0 : IN STD_LOGIC_VECTOR (31 downto 0); hist1_1_we0 : OUT STD_LOGIC; hist1_1_address1 : OUT STD_LOGIC_VECTOR (9 downto 0); hist1_1_ce1 : OUT STD_LOGIC; hist1_1_d1 : OUT STD_LOGIC_VECTOR (31 downto 0); hist1_1_q1 : IN STD_LOGIC_VECTOR (31 downto 0); hist1_1_we1 : OUT STD_LOGIC; hist1_2_address0 : OUT STD_LOGIC_VECTOR (9 downto 0); hist1_2_ce0 : OUT STD_LOGIC; hist1_2_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); hist1_2_q0 : IN STD_LOGIC_VECTOR (31 downto 0); hist1_2_we0 : OUT STD_LOGIC; hist1_2_address1 : OUT STD_LOGIC_VECTOR (9 downto 0); hist1_2_ce1 : OUT STD_LOGIC; hist1_2_d1 : OUT STD_LOGIC_VECTOR (31 downto 0); hist1_2_q1 : IN STD_LOGIC_VECTOR (31 downto 0); hist1_2_we1 : OUT STD_LOGIC; ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; height_ap_vld : IN STD_LOGIC; width_ap_vld : IN STD_LOGIC; ap_start : IN STD_LOGIC; s_axis_video_TVALID : IN STD_LOGIC; s_axis_video_TREADY : OUT STD_LOGIC; ap_done : OUT STD_LOGIC; m_axis_video_TVALID : OUT STD_LOGIC; m_axis_video_TREADY : IN STD_LOGIC; ap_ready : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_continue : IN STD_LOGIC ); end component; component ISPPipeline_accelbml IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (9 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (31 downto 0); q0 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component ISPPipeline_accel_CTRL_s_axi IS generic ( C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER ); port ( AWVALID : IN STD_LOGIC; AWREADY : OUT STD_LOGIC; AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); WVALID : IN STD_LOGIC; WREADY : OUT STD_LOGIC; WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0); ARVALID : IN STD_LOGIC; ARREADY : OUT STD_LOGIC; ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); RVALID : OUT STD_LOGIC; RREADY : IN STD_LOGIC; RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); BVALID : OUT STD_LOGIC; BREADY : IN STD_LOGIC; BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; width : OUT STD_LOGIC_VECTOR (15 downto 0); height : OUT STD_LOGIC_VECTOR (15 downto 0); bayer_phase : OUT STD_LOGIC_VECTOR (15 downto 0); ap_start : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; ap_ready : IN STD_LOGIC; ap_done : IN STD_LOGIC; ap_idle : IN STD_LOGIC ); end component; component regslice_both IS generic ( DataWidth : INTEGER ); port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (DataWidth-1 downto 0); vld_in : IN STD_LOGIC; ack_in : OUT STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (DataWidth-1 downto 0); vld_out : OUT STD_LOGIC; ack_out : IN STD_LOGIC; apdone_blk : OUT STD_LOGIC ); end component; begin hist0_0_U : component ISPPipeline_accelbml generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => hist0_0_address0, ce0 => hist0_0_ce0, we0 => hist0_0_we0, d0 => grp_ISPpipeline_fu_109_hist0_0_d0, q0 => hist0_0_q0); hist0_1_U : component ISPPipeline_accelbml generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => hist0_1_address0, ce0 => hist0_1_ce0, we0 => hist0_1_we0, d0 => grp_ISPpipeline_fu_109_hist0_1_d0, q0 => hist0_1_q0); hist0_2_U : component ISPPipeline_accelbml generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => hist0_2_address0, ce0 => hist0_2_ce0, we0 => hist0_2_we0, d0 => grp_ISPpipeline_fu_109_hist0_2_d0, q0 => hist0_2_q0); hist1_0_U : component ISPPipeline_accelbml generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => hist1_0_address0, ce0 => hist1_0_ce0, we0 => hist1_0_we0, d0 => grp_ISPpipeline_fu_109_hist0_0_d0, q0 => hist1_0_q0); hist1_1_U : component ISPPipeline_accelbml generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => hist1_1_address0, ce0 => hist1_1_ce0, we0 => hist1_1_we0, d0 => grp_ISPpipeline_fu_109_hist0_1_d0, q0 => hist1_1_q0); hist1_2_U : component ISPPipeline_accelbml generic map ( DataWidth => 32, AddressRange => 1024, AddressWidth => 10) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => hist1_2_address0, ce0 => hist1_2_ce0, we0 => hist1_2_we0, d0 => grp_ISPpipeline_fu_109_hist0_2_d0, q0 => hist1_2_q0); ISPPipeline_accel_CTRL_s_axi_U : component ISPPipeline_accel_CTRL_s_axi generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH) port map ( AWVALID => s_axi_CTRL_AWVALID, AWREADY => s_axi_CTRL_AWREADY, AWADDR => s_axi_CTRL_AWADDR, WVALID => s_axi_CTRL_WVALID, WREADY => s_axi_CTRL_WREADY, WDATA => s_axi_CTRL_WDATA, WSTRB => s_axi_CTRL_WSTRB, ARVALID => s_axi_CTRL_ARVALID, ARREADY => s_axi_CTRL_ARREADY, ARADDR => s_axi_CTRL_ARADDR, RVALID => s_axi_CTRL_RVALID, RREADY => s_axi_CTRL_RREADY, RDATA => s_axi_CTRL_RDATA, RRESP => s_axi_CTRL_RRESP, BVALID => s_axi_CTRL_BVALID, BREADY => s_axi_CTRL_BREADY, BRESP => s_axi_CTRL_BRESP, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, width => width, height => height, bayer_phase => bayer_phase, ap_start => ap_start, interrupt => interrupt, ap_ready => ap_ready, ap_done => ap_done, ap_idle => ap_idle); grp_ISPpipeline_fu_109 : component ISPpipeline port map ( s_axis_video_TDATA => s_axis_video_TDATA_int, s_axis_video_TKEEP => s_axis_video_TKEEP_int, s_axis_video_TSTRB => s_axis_video_TSTRB_int, s_axis_video_TUSER => s_axis_video_TUSER_int, s_axis_video_TLAST => s_axis_video_TLAST_int, s_axis_video_TID => s_axis_video_TID_int, s_axis_video_TDEST => s_axis_video_TDEST_int, m_axis_video_TDATA => grp_ISPpipeline_fu_109_m_axis_video_TDATA, m_axis_video_TKEEP => grp_ISPpipeline_fu_109_m_axis_video_TKEEP, m_axis_video_TSTRB => grp_ISPpipeline_fu_109_m_axis_video_TSTRB, m_axis_video_TUSER => grp_ISPpipeline_fu_109_m_axis_video_TUSER, m_axis_video_TLAST => grp_ISPpipeline_fu_109_m_axis_video_TLAST, m_axis_video_TID => grp_ISPpipeline_fu_109_m_axis_video_TID, m_axis_video_TDEST => grp_ISPpipeline_fu_109_m_axis_video_TDEST, height => height_read_reg_173, width => width_read_reg_178, hist0_0_address0 => grp_ISPpipeline_fu_109_hist0_0_address0, hist0_0_ce0 => grp_ISPpipeline_fu_109_hist0_0_ce0, hist0_0_d0 => grp_ISPpipeline_fu_109_hist0_0_d0, hist0_0_q0 => ap_const_lv32_0, hist0_0_we0 => grp_ISPpipeline_fu_109_hist0_0_we0, hist0_0_address1 => grp_ISPpipeline_fu_109_hist0_0_address1, hist0_0_ce1 => grp_ISPpipeline_fu_109_hist0_0_ce1, hist0_0_d1 => grp_ISPpipeline_fu_109_hist0_0_d1, hist0_0_q1 => ap_const_lv32_0, hist0_0_we1 => grp_ISPpipeline_fu_109_hist0_0_we1, hist0_1_address0 => grp_ISPpipeline_fu_109_hist0_1_address0, hist0_1_ce0 => grp_ISPpipeline_fu_109_hist0_1_ce0, hist0_1_d0 => grp_ISPpipeline_fu_109_hist0_1_d0, hist0_1_q0 => ap_const_lv32_0, hist0_1_we0 => grp_ISPpipeline_fu_109_hist0_1_we0, hist0_1_address1 => grp_ISPpipeline_fu_109_hist0_1_address1, hist0_1_ce1 => grp_ISPpipeline_fu_109_hist0_1_ce1, hist0_1_d1 => grp_ISPpipeline_fu_109_hist0_1_d1, hist0_1_q1 => ap_const_lv32_0, hist0_1_we1 => grp_ISPpipeline_fu_109_hist0_1_we1, hist0_2_address0 => grp_ISPpipeline_fu_109_hist0_2_address0, hist0_2_ce0 => grp_ISPpipeline_fu_109_hist0_2_ce0, hist0_2_d0 => grp_ISPpipeline_fu_109_hist0_2_d0, hist0_2_q0 => ap_const_lv32_0, hist0_2_we0 => grp_ISPpipeline_fu_109_hist0_2_we0, hist0_2_address1 => grp_ISPpipeline_fu_109_hist0_2_address1, hist0_2_ce1 => grp_ISPpipeline_fu_109_hist0_2_ce1, hist0_2_d1 => grp_ISPpipeline_fu_109_hist0_2_d1, hist0_2_q1 => ap_const_lv32_0, hist0_2_we1 => grp_ISPpipeline_fu_109_hist0_2_we1, hist1_0_address0 => grp_ISPpipeline_fu_109_hist1_0_address0, hist1_0_ce0 => grp_ISPpipeline_fu_109_hist1_0_ce0, hist1_0_d0 => grp_ISPpipeline_fu_109_hist1_0_d0, hist1_0_q0 => grp_ISPpipeline_fu_109_hist1_0_q0, hist1_0_we0 => grp_ISPpipeline_fu_109_hist1_0_we0, hist1_0_address1 => grp_ISPpipeline_fu_109_hist1_0_address1, hist1_0_ce1 => grp_ISPpipeline_fu_109_hist1_0_ce1, hist1_0_d1 => grp_ISPpipeline_fu_109_hist1_0_d1, hist1_0_q1 => ap_const_lv32_0, hist1_0_we1 => grp_ISPpipeline_fu_109_hist1_0_we1, hist1_1_address0 => grp_ISPpipeline_fu_109_hist1_1_address0, hist1_1_ce0 => grp_ISPpipeline_fu_109_hist1_1_ce0, hist1_1_d0 => grp_ISPpipeline_fu_109_hist1_1_d0, hist1_1_q0 => grp_ISPpipeline_fu_109_hist1_1_q0, hist1_1_we0 => grp_ISPpipeline_fu_109_hist1_1_we0, hist1_1_address1 => grp_ISPpipeline_fu_109_hist1_1_address1, hist1_1_ce1 => grp_ISPpipeline_fu_109_hist1_1_ce1, hist1_1_d1 => grp_ISPpipeline_fu_109_hist1_1_d1, hist1_1_q1 => ap_const_lv32_0, hist1_1_we1 => grp_ISPpipeline_fu_109_hist1_1_we1, hist1_2_address0 => grp_ISPpipeline_fu_109_hist1_2_address0, hist1_2_ce0 => grp_ISPpipeline_fu_109_hist1_2_ce0, hist1_2_d0 => grp_ISPpipeline_fu_109_hist1_2_d0, hist1_2_q0 => grp_ISPpipeline_fu_109_hist1_2_q0, hist1_2_we0 => grp_ISPpipeline_fu_109_hist1_2_we0, hist1_2_address1 => grp_ISPpipeline_fu_109_hist1_2_address1, hist1_2_ce1 => grp_ISPpipeline_fu_109_hist1_2_ce1, hist1_2_d1 => grp_ISPpipeline_fu_109_hist1_2_d1, hist1_2_q1 => ap_const_lv32_0, hist1_2_we1 => grp_ISPpipeline_fu_109_hist1_2_we1, ap_clk => ap_clk, ap_rst => ap_rst_n_inv, height_ap_vld => ap_const_logic_1, width_ap_vld => ap_const_logic_1, ap_start => grp_ISPpipeline_fu_109_ap_start, s_axis_video_TVALID => s_axis_video_TVALID_int, s_axis_video_TREADY => grp_ISPpipeline_fu_109_s_axis_video_TREADY, ap_done => grp_ISPpipeline_fu_109_ap_done, m_axis_video_TVALID => grp_ISPpipeline_fu_109_m_axis_video_TVALID, m_axis_video_TREADY => grp_ISPpipeline_fu_109_m_axis_video_TREADY, ap_ready => grp_ISPpipeline_fu_109_ap_ready, ap_idle => grp_ISPpipeline_fu_109_ap_idle, ap_continue => grp_ISPpipeline_fu_109_ap_continue); regslice_both_s_axis_video_V_data_V_U : component regslice_both generic map ( DataWidth => 40) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => s_axis_video_TDATA, vld_in => s_axis_video_TVALID, ack_in => regslice_both_s_axis_video_V_data_V_U_ack_in, data_out => s_axis_video_TDATA_int, vld_out => s_axis_video_TVALID_int, ack_out => s_axis_video_TREADY_int, apdone_blk => regslice_both_s_axis_video_V_data_V_U_apdone_blk); regslice_both_s_axis_video_V_keep_V_U : component regslice_both generic map ( DataWidth => 5) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => s_axis_video_TKEEP, vld_in => s_axis_video_TVALID, ack_in => regslice_both_s_axis_video_V_keep_V_U_ack_in, data_out => s_axis_video_TKEEP_int, vld_out => regslice_both_s_axis_video_V_keep_V_U_vld_out, ack_out => s_axis_video_TREADY_int, apdone_blk => regslice_both_s_axis_video_V_keep_V_U_apdone_blk); regslice_both_s_axis_video_V_strb_V_U : component regslice_both generic map ( DataWidth => 5) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => s_axis_video_TSTRB, vld_in => s_axis_video_TVALID, ack_in => regslice_both_s_axis_video_V_strb_V_U_ack_in, data_out => s_axis_video_TSTRB_int, vld_out => regslice_both_s_axis_video_V_strb_V_U_vld_out, ack_out => s_axis_video_TREADY_int, apdone_blk => regslice_both_s_axis_video_V_strb_V_U_apdone_blk); regslice_both_s_axis_video_V_user_V_U : component regslice_both generic map ( DataWidth => 1) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => s_axis_video_TUSER, vld_in => s_axis_video_TVALID, ack_in => regslice_both_s_axis_video_V_user_V_U_ack_in, data_out => s_axis_video_TUSER_int, vld_out => regslice_both_s_axis_video_V_user_V_U_vld_out, ack_out => s_axis_video_TREADY_int, apdone_blk => regslice_both_s_axis_video_V_user_V_U_apdone_blk); regslice_both_s_axis_video_V_last_V_U : component regslice_both generic map ( DataWidth => 1) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => s_axis_video_TLAST, vld_in => s_axis_video_TVALID, ack_in => regslice_both_s_axis_video_V_last_V_U_ack_in, data_out => s_axis_video_TLAST_int, vld_out => regslice_both_s_axis_video_V_last_V_U_vld_out, ack_out => s_axis_video_TREADY_int, apdone_blk => regslice_both_s_axis_video_V_last_V_U_apdone_blk); regslice_both_s_axis_video_V_id_V_U : component regslice_both generic map ( DataWidth => 1) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => s_axis_video_TID, vld_in => s_axis_video_TVALID, ack_in => regslice_both_s_axis_video_V_id_V_U_ack_in, data_out => s_axis_video_TID_int, vld_out => regslice_both_s_axis_video_V_id_V_U_vld_out, ack_out => s_axis_video_TREADY_int, apdone_blk => regslice_both_s_axis_video_V_id_V_U_apdone_blk); regslice_both_s_axis_video_V_dest_V_U : component regslice_both generic map ( DataWidth => 1) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => s_axis_video_TDEST, vld_in => s_axis_video_TVALID, ack_in => regslice_both_s_axis_video_V_dest_V_U_ack_in, data_out => s_axis_video_TDEST_int, vld_out => regslice_both_s_axis_video_V_dest_V_U_vld_out, ack_out => s_axis_video_TREADY_int, apdone_blk => regslice_both_s_axis_video_V_dest_V_U_apdone_blk); regslice_both_m_axis_video_V_data_V_U : component regslice_both generic map ( DataWidth => 96) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => grp_ISPpipeline_fu_109_m_axis_video_TDATA, vld_in => m_axis_video_TVALID_int, ack_in => m_axis_video_TREADY_int, data_out => m_axis_video_TDATA, vld_out => regslice_both_m_axis_video_V_data_V_U_vld_out, ack_out => m_axis_video_TREADY, apdone_blk => regslice_both_m_axis_video_V_data_V_U_apdone_blk); regslice_both_m_axis_video_V_keep_V_U : component regslice_both generic map ( DataWidth => 12) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => grp_ISPpipeline_fu_109_m_axis_video_TKEEP, vld_in => m_axis_video_TVALID_int, ack_in => regslice_both_m_axis_video_V_keep_V_U_ack_in_dummy, data_out => m_axis_video_TKEEP, vld_out => regslice_both_m_axis_video_V_keep_V_U_vld_out, ack_out => m_axis_video_TREADY, apdone_blk => regslice_both_m_axis_video_V_keep_V_U_apdone_blk); regslice_both_m_axis_video_V_strb_V_U : component regslice_both generic map ( DataWidth => 12) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => grp_ISPpipeline_fu_109_m_axis_video_TSTRB, vld_in => m_axis_video_TVALID_int, ack_in => regslice_both_m_axis_video_V_strb_V_U_ack_in_dummy, data_out => m_axis_video_TSTRB, vld_out => regslice_both_m_axis_video_V_strb_V_U_vld_out, ack_out => m_axis_video_TREADY, apdone_blk => regslice_both_m_axis_video_V_strb_V_U_apdone_blk); regslice_both_m_axis_video_V_user_V_U : component regslice_both generic map ( DataWidth => 1) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => grp_ISPpipeline_fu_109_m_axis_video_TUSER, vld_in => m_axis_video_TVALID_int, ack_in => regslice_both_m_axis_video_V_user_V_U_ack_in_dummy, data_out => m_axis_video_TUSER, vld_out => regslice_both_m_axis_video_V_user_V_U_vld_out, ack_out => m_axis_video_TREADY, apdone_blk => regslice_both_m_axis_video_V_user_V_U_apdone_blk); regslice_both_m_axis_video_V_last_V_U : component regslice_both generic map ( DataWidth => 1) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => grp_ISPpipeline_fu_109_m_axis_video_TLAST, vld_in => m_axis_video_TVALID_int, ack_in => regslice_both_m_axis_video_V_last_V_U_ack_in_dummy, data_out => m_axis_video_TLAST, vld_out => regslice_both_m_axis_video_V_last_V_U_vld_out, ack_out => m_axis_video_TREADY, apdone_blk => regslice_both_m_axis_video_V_last_V_U_apdone_blk); regslice_both_m_axis_video_V_id_V_U : component regslice_both generic map ( DataWidth => 1) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => grp_ISPpipeline_fu_109_m_axis_video_TID, vld_in => m_axis_video_TVALID_int, ack_in => regslice_both_m_axis_video_V_id_V_U_ack_in_dummy, data_out => m_axis_video_TID, vld_out => regslice_both_m_axis_video_V_id_V_U_vld_out, ack_out => m_axis_video_TREADY, apdone_blk => regslice_both_m_axis_video_V_id_V_U_apdone_blk); regslice_both_m_axis_video_V_dest_V_U : component regslice_both generic map ( DataWidth => 1) port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, data_in => grp_ISPpipeline_fu_109_m_axis_video_TDEST, vld_in => m_axis_video_TVALID_int, ack_in => regslice_both_m_axis_video_V_dest_V_U_ack_in_dummy, data_out => m_axis_video_TDEST, vld_out => regslice_both_m_axis_video_V_dest_V_U_vld_out, ack_out => m_axis_video_TREADY, apdone_blk => regslice_both_m_axis_video_V_dest_V_U_apdone_blk); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; ap_sync_reg_grp_ISPpipeline_fu_109_ap_done_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_sync_reg_grp_ISPpipeline_fu_109_ap_done <= ap_const_logic_0; else if ((((ap_const_boolean_0 = ap_block_state3_on_subcall_done) and (ap_const_logic_1 = ap_CS_fsm_state3)) or ((ap_const_boolean_0 = ap_block_state2_on_subcall_done) and (ap_const_logic_1 = ap_CS_fsm_state2)))) then ap_sync_reg_grp_ISPpipeline_fu_109_ap_done <= ap_const_logic_0; elsif ((grp_ISPpipeline_fu_109_ap_done = ap_const_logic_1)) then ap_sync_reg_grp_ISPpipeline_fu_109_ap_done <= ap_const_logic_1; end if; end if; end if; end process; ap_sync_reg_grp_ISPpipeline_fu_109_ap_ready_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_sync_reg_grp_ISPpipeline_fu_109_ap_ready <= ap_const_logic_0; else if ((((ap_const_boolean_0 = ap_block_state3_on_subcall_done) and (ap_const_logic_1 = ap_CS_fsm_state3)) or ((ap_const_boolean_0 = ap_block_state2_on_subcall_done) and (ap_const_logic_1 = ap_CS_fsm_state2)))) then ap_sync_reg_grp_ISPpipeline_fu_109_ap_ready <= ap_const_logic_0; elsif ((grp_ISPpipeline_fu_109_ap_ready = ap_const_logic_1)) then ap_sync_reg_grp_ISPpipeline_fu_109_ap_ready <= ap_const_logic_1; end if; end if; end if; end process; grp_ISPpipeline_fu_109_ap_start_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then grp_ISPpipeline_fu_109_ap_start_reg <= ap_const_logic_0; else if ((((ap_sync_grp_ISPpipeline_fu_109_ap_ready = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state3)) or ((ap_sync_grp_ISPpipeline_fu_109_ap_ready = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state2)) or ((flag_load_load_fu_163_p1 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1)) or ((flag_load_load_fu_163_p1 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1)))) then grp_ISPpipeline_fu_109_ap_start_reg <= ap_const_logic_1; elsif ((grp_ISPpipeline_fu_109_ap_ready = ap_const_logic_1)) then grp_ISPpipeline_fu_109_ap_start_reg <= ap_const_logic_0; end if; end if; end if; end process; storemerge_reg_96_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_boolean_0 = ap_block_state3_on_subcall_done) and (ap_const_logic_1 = ap_CS_fsm_state3))) then storemerge_reg_96 <= ap_const_lv1_0; elsif (((ap_const_boolean_0 = ap_block_state2_on_subcall_done) and (ap_const_logic_1 = ap_CS_fsm_state2))) then storemerge_reg_96 <= ap_const_lv1_1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((regslice_both_m_axis_video_V_data_V_U_apdone_blk = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state4))) then flag <= storemerge_reg_96; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then height_read_reg_173 <= height; width_read_reg_178 <= width; end if; end if; end process; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, ap_block_state2_on_subcall_done, ap_CS_fsm_state3, ap_block_state3_on_subcall_done, flag_load_load_fu_163_p1, ap_CS_fsm_state4, regslice_both_m_axis_video_V_data_V_U_apdone_blk) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((flag_load_load_fu_163_p1 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state3; elsif (((flag_load_load_fu_163_p1 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_boolean_0 = ap_block_state2_on_subcall_done) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_NS_fsm <= ap_ST_fsm_state4; else ap_NS_fsm <= ap_ST_fsm_state2; end if; when ap_ST_fsm_state3 => if (((ap_const_boolean_0 = ap_block_state3_on_subcall_done) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state4; else ap_NS_fsm <= ap_ST_fsm_state3; end if; when ap_ST_fsm_state4 => if (((regslice_both_m_axis_video_V_data_V_U_apdone_blk = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state4))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when others => ap_NS_fsm <= "XXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_block_state2_on_subcall_done_assign_proc : process(ap_sync_grp_ISPpipeline_fu_109_ap_ready, ap_sync_grp_ISPpipeline_fu_109_ap_done) begin ap_block_state2_on_subcall_done <= ((ap_sync_grp_ISPpipeline_fu_109_ap_ready and ap_sync_grp_ISPpipeline_fu_109_ap_done) = ap_const_logic_0); end process; ap_block_state3_on_subcall_done_assign_proc : process(ap_sync_grp_ISPpipeline_fu_109_ap_ready, ap_sync_grp_ISPpipeline_fu_109_ap_done) begin ap_block_state3_on_subcall_done <= ((ap_sync_grp_ISPpipeline_fu_109_ap_ready and ap_sync_grp_ISPpipeline_fu_109_ap_done) = ap_const_logic_0); end process; ap_done_assign_proc : process(ap_CS_fsm_state4, regslice_both_m_axis_video_V_data_V_U_apdone_blk) begin if (((regslice_both_m_axis_video_V_data_V_U_apdone_blk = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state4))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state4, regslice_both_m_axis_video_V_data_V_U_apdone_blk) begin if (((regslice_both_m_axis_video_V_data_V_U_apdone_blk = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state4))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; ap_sync_grp_ISPpipeline_fu_109_ap_done <= (grp_ISPpipeline_fu_109_ap_done or ap_sync_reg_grp_ISPpipeline_fu_109_ap_done); ap_sync_grp_ISPpipeline_fu_109_ap_ready <= (grp_ISPpipeline_fu_109_ap_ready or ap_sync_reg_grp_ISPpipeline_fu_109_ap_ready); flag_load_load_fu_163_p1 <= flag; grp_ISPpipeline_fu_109_ap_continue_assign_proc : process(ap_CS_fsm_state2, ap_block_state2_on_subcall_done, ap_CS_fsm_state3, ap_block_state3_on_subcall_done) begin if ((((ap_const_boolean_0 = ap_block_state3_on_subcall_done) and (ap_const_logic_1 = ap_CS_fsm_state3)) or ((ap_const_boolean_0 = ap_block_state2_on_subcall_done) and (ap_const_logic_1 = ap_CS_fsm_state2)))) then grp_ISPpipeline_fu_109_ap_continue <= ap_const_logic_1; else grp_ISPpipeline_fu_109_ap_continue <= ap_const_logic_0; end if; end process; grp_ISPpipeline_fu_109_ap_start <= grp_ISPpipeline_fu_109_ap_start_reg; grp_ISPpipeline_fu_109_hist1_0_q0_assign_proc : process(hist0_0_q0, hist1_0_q0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then grp_ISPpipeline_fu_109_hist1_0_q0 <= hist0_0_q0; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then grp_ISPpipeline_fu_109_hist1_0_q0 <= hist1_0_q0; else grp_ISPpipeline_fu_109_hist1_0_q0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; grp_ISPpipeline_fu_109_hist1_1_q0_assign_proc : process(hist0_1_q0, hist1_1_q0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then grp_ISPpipeline_fu_109_hist1_1_q0 <= hist0_1_q0; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then grp_ISPpipeline_fu_109_hist1_1_q0 <= hist1_1_q0; else grp_ISPpipeline_fu_109_hist1_1_q0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; grp_ISPpipeline_fu_109_hist1_2_q0_assign_proc : process(hist0_2_q0, hist1_2_q0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then grp_ISPpipeline_fu_109_hist1_2_q0 <= hist0_2_q0; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then grp_ISPpipeline_fu_109_hist1_2_q0 <= hist1_2_q0; else grp_ISPpipeline_fu_109_hist1_2_q0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; grp_ISPpipeline_fu_109_m_axis_video_TREADY <= ((m_axis_video_TREADY_int and ap_CS_fsm_state3) or (m_axis_video_TREADY_int and ap_CS_fsm_state2)); hist0_0_address0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_0_address0, grp_ISPpipeline_fu_109_hist1_0_address0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist0_0_address0 <= grp_ISPpipeline_fu_109_hist1_0_address0; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist0_0_address0 <= grp_ISPpipeline_fu_109_hist0_0_address0; else hist0_0_address0 <= "XXXXXXXXXX"; end if; end process; hist0_0_ce0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_0_ce0, grp_ISPpipeline_fu_109_hist1_0_ce0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist0_0_ce0 <= grp_ISPpipeline_fu_109_hist1_0_ce0; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist0_0_ce0 <= grp_ISPpipeline_fu_109_hist0_0_ce0; else hist0_0_ce0 <= ap_const_logic_0; end if; end process; hist0_0_we0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_0_we0, ap_CS_fsm_state2) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist0_0_we0 <= grp_ISPpipeline_fu_109_hist0_0_we0; else hist0_0_we0 <= ap_const_logic_0; end if; end process; hist0_1_address0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_1_address0, grp_ISPpipeline_fu_109_hist1_1_address0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist0_1_address0 <= grp_ISPpipeline_fu_109_hist1_1_address0; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist0_1_address0 <= grp_ISPpipeline_fu_109_hist0_1_address0; else hist0_1_address0 <= "XXXXXXXXXX"; end if; end process; hist0_1_ce0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_1_ce0, grp_ISPpipeline_fu_109_hist1_1_ce0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist0_1_ce0 <= grp_ISPpipeline_fu_109_hist1_1_ce0; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist0_1_ce0 <= grp_ISPpipeline_fu_109_hist0_1_ce0; else hist0_1_ce0 <= ap_const_logic_0; end if; end process; hist0_1_we0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_1_we0, ap_CS_fsm_state2) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist0_1_we0 <= grp_ISPpipeline_fu_109_hist0_1_we0; else hist0_1_we0 <= ap_const_logic_0; end if; end process; hist0_2_address0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_2_address0, grp_ISPpipeline_fu_109_hist1_2_address0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist0_2_address0 <= grp_ISPpipeline_fu_109_hist1_2_address0; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist0_2_address0 <= grp_ISPpipeline_fu_109_hist0_2_address0; else hist0_2_address0 <= "XXXXXXXXXX"; end if; end process; hist0_2_ce0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_2_ce0, grp_ISPpipeline_fu_109_hist1_2_ce0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist0_2_ce0 <= grp_ISPpipeline_fu_109_hist1_2_ce0; elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist0_2_ce0 <= grp_ISPpipeline_fu_109_hist0_2_ce0; else hist0_2_ce0 <= ap_const_logic_0; end if; end process; hist0_2_we0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_2_we0, ap_CS_fsm_state2) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist0_2_we0 <= grp_ISPpipeline_fu_109_hist0_2_we0; else hist0_2_we0 <= ap_const_logic_0; end if; end process; hist1_0_address0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_0_address0, grp_ISPpipeline_fu_109_hist1_0_address0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist1_0_address0 <= grp_ISPpipeline_fu_109_hist1_0_address0; elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist1_0_address0 <= grp_ISPpipeline_fu_109_hist0_0_address0; else hist1_0_address0 <= "XXXXXXXXXX"; end if; end process; hist1_0_ce0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_0_ce0, grp_ISPpipeline_fu_109_hist1_0_ce0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist1_0_ce0 <= grp_ISPpipeline_fu_109_hist1_0_ce0; elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist1_0_ce0 <= grp_ISPpipeline_fu_109_hist0_0_ce0; else hist1_0_ce0 <= ap_const_logic_0; end if; end process; hist1_0_we0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_0_we0, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist1_0_we0 <= grp_ISPpipeline_fu_109_hist0_0_we0; else hist1_0_we0 <= ap_const_logic_0; end if; end process; hist1_1_address0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_1_address0, grp_ISPpipeline_fu_109_hist1_1_address0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist1_1_address0 <= grp_ISPpipeline_fu_109_hist1_1_address0; elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist1_1_address0 <= grp_ISPpipeline_fu_109_hist0_1_address0; else hist1_1_address0 <= "XXXXXXXXXX"; end if; end process; hist1_1_ce0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_1_ce0, grp_ISPpipeline_fu_109_hist1_1_ce0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist1_1_ce0 <= grp_ISPpipeline_fu_109_hist1_1_ce0; elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist1_1_ce0 <= grp_ISPpipeline_fu_109_hist0_1_ce0; else hist1_1_ce0 <= ap_const_logic_0; end if; end process; hist1_1_we0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_1_we0, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist1_1_we0 <= grp_ISPpipeline_fu_109_hist0_1_we0; else hist1_1_we0 <= ap_const_logic_0; end if; end process; hist1_2_address0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_2_address0, grp_ISPpipeline_fu_109_hist1_2_address0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist1_2_address0 <= grp_ISPpipeline_fu_109_hist1_2_address0; elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist1_2_address0 <= grp_ISPpipeline_fu_109_hist0_2_address0; else hist1_2_address0 <= "XXXXXXXXXX"; end if; end process; hist1_2_ce0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_2_ce0, grp_ISPpipeline_fu_109_hist1_2_ce0, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then hist1_2_ce0 <= grp_ISPpipeline_fu_109_hist1_2_ce0; elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist1_2_ce0 <= grp_ISPpipeline_fu_109_hist0_2_ce0; else hist1_2_ce0 <= ap_const_logic_0; end if; end process; hist1_2_we0_assign_proc : process(grp_ISPpipeline_fu_109_hist0_2_we0, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then hist1_2_we0 <= grp_ISPpipeline_fu_109_hist0_2_we0; else hist1_2_we0 <= ap_const_logic_0; end if; end process; m_axis_video_TVALID <= regslice_both_m_axis_video_V_data_V_U_vld_out; m_axis_video_TVALID_int_assign_proc : process(grp_ISPpipeline_fu_109_m_axis_video_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) or (ap_const_logic_1 = ap_CS_fsm_state2))) then m_axis_video_TVALID_int <= grp_ISPpipeline_fu_109_m_axis_video_TVALID; else m_axis_video_TVALID_int <= ap_const_logic_0; end if; end process; s_axis_video_TREADY_assign_proc : process(s_axis_video_TVALID, regslice_both_s_axis_video_V_data_V_U_ack_in) begin if (((regslice_both_s_axis_video_V_data_V_U_ack_in = ap_const_logic_1) and (s_axis_video_TVALID = ap_const_logic_1))) then s_axis_video_TREADY <= ap_const_logic_1; else s_axis_video_TREADY <= ap_const_logic_0; end if; end process; s_axis_video_TREADY_int_assign_proc : process(grp_ISPpipeline_fu_109_s_axis_video_TREADY, ap_CS_fsm_state2, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) or (ap_const_logic_1 = ap_CS_fsm_state2))) then s_axis_video_TREADY_int <= grp_ISPpipeline_fu_109_s_axis_video_TREADY; else s_axis_video_TREADY_int <= ap_const_logic_0; end if; end process; end behav;
VHDL
4
hito0512/Vitis-AI
Whole-App-Acceleration/apps/resnet50/build_flow/DPUCVDX8G_vck190/vck190_platform/hw/source/ip/isppipeline_accel/hdl/vhdl/ISPPipeline_accel.vhd
[ "Apache-2.0" ]
C Copyright(c) 2003, Space Science and Engineering Center, UW-Madison C Refer to "McIDAS Software Acquisition and Distribution Policies" C in the file mcidas/data/license.txt C *** $Id: nvxmsgt.dlm,v 1.2 2003/09/11 20:55:27 tester2 Exp $ *** C navigation for MSGT, Meteosat second generation (segmented files) FUNCTION NVXINI(IFUNC,IPARMS) DIMENSION IPARMS(*) INTEGER LOFF,COFF,LFAC,CFAC CHARACTER*4 CLIT COMMON/MSG/ITYPE COMMON/NVPARAM/LOFF,COFF,LFAC,CFAC ITYPE=0 NVXINI=0 IF (IFUNC.EQ.1) THEN IF (IPARMS(1).NE.LIT('MSGT')) THEN NVXINI=-1 RETURN ENDIF LOFF=IPARMS(2) COFF=IPARMS(3) LFAC=IPARMS(4) CFAC=IPARMS(5) ELSE IF (IFUNC.EQ.2) THEN IF(INDEX(CLIT(IPARMS(1)),'XY').NE.0) ITYPE=1 IF(INDEX(CLIT(IPARMS(1)),'LL').NE.0) ITYPE=2 ENDIF RETURN END FUNCTION NVXSAE(XLIN,XELE,XDUM,XLAT,XLON,Z) COMMON/MSG/ITYPE NVXSAE=0 call msg_to_ll(3712.-(xlin-2)/3.,3712.-(xele-2)/3.,xlat,xlon) if(xlat.lt.-900.) then NVXSAE=-1 return endif xlon=-xlon if(itype.eq.1) then a=xlat b=xlon call nllxyz(a,b,xlat,xlon,z) endif RETURN END FUNCTION NVXEAS(XLAT,XLON,Z,XLIN,XELE,XDUM) COMMON/MSG/ITYPE NVXEAS=0 a=xlat b=-xlon if(itype.eq.1) then call nxyzll(xlat,xlon,z,a,b) b=-b endif call ll_to_msg(a,b,xlin,xele) if(xlin.lt.0.) then NVXEAS=-1 return endif xlin=3*3712-(3.*(xlin-2)-1.5) xele=3*3712-(3.*(xele-2)-1.5) xlin=xlin-1 xele=xele-1 RETURN END FUNCTION NVXOPT(IFUNC,XIN,XOUT) real*4 xin(*),xout(*) character*4 clit,cfunc NVXOPT=0 cfunc=clit(ifunc) if(cfunc.eq.'SPOS') then xout(1)=0. xout(2)=0. else NVXOPT=-1 endif RETURN END SUBROUTINE ll_to_msg (xlat,xlon,xlin,xele) ! ! S/R gives line and element for ! a specified MSG latitude and longitude ! ! Inputs: ! xlat,xlon (REAL) : latitude and longitude of selected point ! latitude North is positive, ! longitude East is positive ! ! Outputs: ! xlin, xele (REAL) : line and element number ! assumes that line 1 is in the South ! and element 1 is in the East ! based on 3712 lines and elements in total ! Output is -999. if specified xlat/xlon is ! not within MSG field-of-view ! ! Subroutine assumes that line 1856.5 and element 1856.5 is 0/0 deg ! IMPLICIT NONE REAL xlin,xele REAL xlat,xlon REAL h,re,a,rp,rs,cdr,crd REAL deltax,deltay REAL xt,yt,zt REAL xfi,xla,rom,y,r1,r2,teta REAL px,py,xr,yr REAL pi PARAMETER ( pi = 3.14159265 ) INTEGER LOFF,COFF,LFAC,CFAC COMMON/NVPARAM/LOFF,COFF,LFAC,CFAC re = 6378.155 h = 42164. - re rs = re+h a = 1./297. rp = re/(1.+a) cdr = pi/180. crd = 180./pi deltax = 1.0/(CFAC/1000000.) deltay = 1.0/(LFAC/1000000.) xfi = xlat*cdr xla = xlon*cdr rom = re*rp/SQRT(rp*rp*COS(xfi)*COS(xfi)+re*re*SIN(xfi)*SIN(xfi)) y = SQRT(h*h + rom*rom - 2.*h*rom*COS(xfi)*COS(xla)) r1 = y*y + rom*rom r2 = h*h IF (r1.GT.r2) THEN xlin = -999. xele = -999. RETURN ENDIF teta = ATAN((rp/re) * TAN(xfi)) xt = re * COS(teta) * COS(xla) yt = re * COS(teta) * SIN(xla) zt = rp * SIN(teta) px = ATAN(yt/(xt-rs)) py = ATAN(-zt/(xt-rs)*COS(px)) px = px*crd py = py*crd xr = px/deltax yr = py/deltay xele = (COFF/10.) - xr xlin = (LOFF/10.) - yr xele=3713.-xele xlin=3713.-xlin RETURN END SUBROUTINE msg_to_ll (xlin,xele,xlat,xlon) ! ! S/R gives latitude and longitude for ! a specified MSG line and element ! ! Inputs: ! xlin, xele (REAL) : line and element number ! assumes that line 1 is in the South ! and element 1 is in the East ! based on 3712 lines and elements in total ! ! Outputs: ! xlat,xlon (REAL) : latitude and longitude of selected point ! latitude North is positive, ! longitude East is positive ! output is -999. if line/element is off the disk ! ! Subroutine assumes that line 1856.5 and element 1856.5 is 0/0 deg ! IMPLICIT NONE REAL xlin,xele REAL xlat,xlon REAL h,re,a,rp,rs,cdr,crd REAL deltax,deltay,xr,yr,yk REAL tanx,tany,v1,v2 REAL vmu,xt,yt,zt,teta REAL pi PARAMETER ( pi = 3.14159265 ) INTEGER LOFF,COFF,LFAC,CFAC COMMON/NVPARAM/LOFF,COFF,LFAC,CFAC re = 6378.155 h = 42164. - re rs = re+h yk = rs/re a = 1./297. rp = re/(1.+a) cdr = pi/180. crd = 180./pi deltax = 1.0/(CFAC/1000000.) deltay = 1.0/(LFAC/1000000.) xr = xele - (COFF/10.) yr = xlin - (LOFF/10.) xr = xr*deltax*cdr yr = yr*deltay*cdr tanx = TAN(xr) tany = TAN(yr) v1 = 1. + tanx*tanx v2 = 1. + (tany*tany)*((1.+a)*(1.+a)) IF (v1*v2.GT.((yk*yk)/(yk*yk-1))) THEN xlat = -999. xlon = -999. RETURN ENDIF vmu = (rs - re*SQRT(yk*yk-(yk*yk-1)*v1*v2))/(v1*v2) xt = rs - vmu yt = - vmu*tanx zt = vmu * tany/COS(xr) teta = ASIN(zt/rp) xlat = ATAN(TAN(teta)*re/rp) * crd xlon = ATAN(yt/xt) * crd RETURN END
IDL
5
oxelson/gempak
extlibs/AODT/v72/odtmcidas/navcal/navcal/nvxmsgt.dlm
[ "BSD-3-Clause" ]
"""Tests for the WLED integration.""" import asyncio from collections.abc import Callable from unittest.mock import AsyncMock, MagicMock, patch import pytest from wled import WLEDConnectionError from homeassistant.components.wled.const import DOMAIN from homeassistant.config_entries import ConfigEntryState from homeassistant.core import HomeAssistant from tests.common import MockConfigEntry @pytest.mark.parametrize("mock_wled", ["wled/rgb_websocket.json"], indirect=True) async def test_load_unload_config_entry( hass: HomeAssistant, mock_config_entry: MockConfigEntry, mock_wled: AsyncMock ) -> None: """Test the WLED configuration entry unloading.""" connection_connected = asyncio.Future() connection_finished = asyncio.Future() async def connect(callback: Callable): connection_connected.set_result(None) await connection_finished # Mock out wled.listen with a Future mock_wled.listen.side_effect = connect mock_config_entry.add_to_hass(hass) await hass.config_entries.async_setup(mock_config_entry.entry_id) await hass.async_block_till_done() await connection_connected # Ensure config entry is loaded and are connected assert mock_config_entry.state is ConfigEntryState.LOADED assert mock_wled.connect.call_count == 1 assert mock_wled.disconnect.call_count == 0 await hass.config_entries.async_unload(mock_config_entry.entry_id) await hass.async_block_till_done() # Ensure everything is cleaned up nicely and are disconnected assert mock_wled.disconnect.call_count == 1 assert not hass.data.get(DOMAIN) @patch( "homeassistant.components.wled.coordinator.WLED.request", side_effect=WLEDConnectionError, ) async def test_config_entry_not_ready( mock_request: MagicMock, hass: HomeAssistant, mock_config_entry: MockConfigEntry ) -> None: """Test the WLED configuration entry not ready.""" mock_config_entry.add_to_hass(hass) await hass.config_entries.async_setup(mock_config_entry.entry_id) await hass.async_block_till_done() assert mock_request.call_count == 1 assert mock_config_entry.state is ConfigEntryState.SETUP_RETRY async def test_setting_unique_id( hass: HomeAssistant, init_integration: MockConfigEntry ) -> None: """Test we set unique ID if not set yet.""" assert hass.data[DOMAIN] assert init_integration.unique_id == "aabbccddeeff" async def test_error_config_entry_with_cct_channel( hass: HomeAssistant, mock_config_entry: MockConfigEntry, mock_wled: AsyncMock, caplog: pytest.LogCaptureFixture, ) -> None: """Test the WLED fails entry setup with a CCT channel.""" mock_wled.update.return_value.info.leds.cct = True mock_config_entry.add_to_hass(hass) await hass.config_entries.async_setup(mock_config_entry.entry_id) await hass.async_block_till_done() # Ensure config entry is errored and are connected and disconnected assert mock_config_entry.state is ConfigEntryState.SETUP_ERROR assert "has a CCT channel, which is not supported" in caplog.text
Python
5
MrDelik/core
tests/components/wled/test_init.py
[ "Apache-2.0" ]
(* Module: Apt_Update_Manager Parses files in /etc/update-manager Author: Raphael Pinson <[email protected]> About: License This file is licenced under the LGPL v2+, like the rest of Augeas. About: Lens Usage To be documented About: Configuration files This lens applies to files in /etc/update-manager. See <filter>. About: Examples The <Test_Apt_Update_Manager> file contains various examples and tests. *) module Apt_Update_Manager = autoload xfm (* View: comment *) let comment = IniFile.comment IniFile.comment_re IniFile.comment_default (* View: sep *) let sep = IniFile.sep IniFile.sep_re IniFile.sep_default (* View: title *) let title = IniFile.title Rx.word (* View: entry *) let entry = IniFile.entry Rx.word sep comment (* View: record *) let record = IniFile.record title entry (* View: lns *) let lns = IniFile.lns record comment (* Variable: filter *) let filter = incl "/etc/update-manager/meta-release" . incl "/etc/update-manager/release-upgrades" . incl "/etc/update-manager/release-upgrades.d/*" . Util.stdexcl let xfm = transform lns filter
Augeas
4
zwass/launcher
pkg/augeas/assets/lenses/apt_update_manager.aug
[ "MIT" ]
Rem Specifies a BlitzMax collection type whose values are assigned sequentially to the For iterator. End Rem Local a[]=[0,5,12,13,20] for b=eachin a print b next
BlitzMax
4
jabdoa2/blitzmax
mod/brl.mod/blitz.mod/doc/eachin.bmx
[ "Zlib" ]
#!/usr/bin/env bash # Licensed to the Apache Software Foundation (ASF) under one or more # contributor license agreements. See the NOTICE file distributed with # this work for additional information regarding copyright ownership. # The ASF licenses this file to You under the Apache License, Version 2.0 # (the "License"); you may not use this file except in compliance with # the License. You may obtain a copy of the License at # # http://www.apache.org/licenses/LICENSE-2.0 # # Unless required by applicable law or agreed to in writing, software # distributed under the License is distributed on an "AS IS" BASIS, # WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. # See the License for the specific language governing permissions and # limitations under the License. # USERPROFILE=/database/config/db2inst1/sqllib/userprofile echo "export DB2_KRB5_PRINCIPAL=db2/[email protected]" >> $USERPROFILE echo "export KRB5_KTNAME=/var/custom/db2.keytab" >> $USERPROFILE # This trick is needed because DB2 forwards environment variables automatically only if it's starting with DB2. su - db2inst1 -c "db2set DB2ENVLIST=KRB5_KTNAME" su - db2inst1 -c "db2 UPDATE DBM CFG USING SRVCON_GSSPLUGIN_LIST IBMkrb5 IMMEDIATE" su - db2inst1 -c "db2 UPDATE DBM CFG USING SRVCON_AUTH KERBEROS IMMEDIATE" su - db2inst1 -c "db2stop force; db2start"
Shell
3
OlegPt/spark
external/docker-integration-tests/src/test/resources/db2_krb_setup.sh
[ "Apache-2.0" ]
(define memo-invoke Thunk -> (if (<-vector Thunk 2) (<-vector Thunk 3) (let Result (thaw (<-vector Thunk 1)) (do (vector-> Thunk 2 true) (vector-> Thunk 3 Result) Result)))) (define memo doc "Memoizes a 0-parameter continuation." Continuation -> (let Thunk (vector 3) (do (vector-> Thunk 1 Continuation) (vector-> Thunk 2 false) (freeze (memo-invoke Thunk))))) (declare memo [[--> A] --> [--> A]])
Shen
3
ajnavarro/language-dataset
data/github.com/rkoeninger/ShenPrelude/28b0daf9e803ab784d4a623f26cc792c721d4f0d/memo.shen
[ "MIT" ]
--- jisx0213-2000-std.txt.orig Tue Apr 16 23:32:38 2002 +++ jisx0213-2000-std.txt Wed Jun 16 14:49:05 2004 @@ -23,21 +23,21 @@ 3-2121 U+3000 # IDEOGRAPHIC SPACE 3-2122 U+3001 # IDEOGRAPHIC COMMA 3-2123 U+3002 # IDEOGRAPHIC FULL STOP -3-2124 U+002C # COMMA Fullwidth: U+FF0C -3-2125 U+002E # FULL STOP Fullwidth: U+FF0E +3-2124 U+FF0C # COMMA Fullwidth: U+FF0C +3-2125 U+FF0E # FULL STOP Fullwidth: U+FF0E 3-2126 U+30FB # KATAKANA MIDDLE DOT -3-2127 U+003A # COLON Fullwidth: U+FF1A -3-2128 U+003B # SEMICOLON Fullwidth: U+FF1B -3-2129 U+003F # QUESTION MARK Fullwidth: U+FF1F -3-212A U+0021 # EXCLAMATION MARK Fullwidth: U+FF01 +3-2127 U+FF1A # COLON Fullwidth: U+FF1A +3-2128 U+FF1B # SEMICOLON Fullwidth: U+FF1B +3-2129 U+FF1F # QUESTION MARK Fullwidth: U+FF1F +3-212A U+FF01 # EXCLAMATION MARK Fullwidth: U+FF01 3-212B U+309B # KATAKANA-HIRAGANA VOICED SOUND MARK 3-212C U+309C # KATAKANA-HIRAGANA SEMI-VOICED SOUND MARK 3-212D U+00B4 # ACUTE ACCENT -3-212E U+0060 # GRAVE ACCENT Fullwidth: U+FF40 +3-212E U+FF40 # GRAVE ACCENT Fullwidth: U+FF40 3-212F U+00A8 # DIAERESIS -3-2130 U+005E # CIRCUMFLEX ACCENT Fullwidth: U+FF3E -3-2131 U+203E # OVERLINE Windows: U+FFE3 -3-2132 U+005F # LOW LINE Fullwidth: U+FF3F +3-2130 U+FF3E # CIRCUMFLEX ACCENT Fullwidth: U+FF3E +3-2131 U+FFE3 # OVERLINE Windows: U+FFE3 +3-2132 U+FF3F # LOW LINE Fullwidth: U+FF3F 3-2133 U+30FD # KATAKANA ITERATION MARK 3-2134 U+30FE # KATAKANA VOICED ITERATION MARK 3-2135 U+309D # HIRAGANA ITERATION MARK @@ -48,27 +48,27 @@ 3-213A U+3006 # IDEOGRAPHIC CLOSING MARK 3-213B U+3007 # IDEOGRAPHIC NUMBER ZERO 3-213C U+30FC # KATAKANA-HIRAGANA PROLONGED SOUND MARK -3-213D U+2014 # EM DASH Windows: U+2015 +3-213D U+2015 # EM DASH Windows: U+2015 3-213E U+2010 # HYPHEN -3-213F U+002F # SOLIDUS Fullwidth: U+FF0F +3-213F U+FF0F # SOLIDUS Fullwidth: U+FF0F 3-2140 U+005C # REVERSE SOLIDUS Fullwidth: U+FF3C 3-2141 U+301C # WAVE DASH Windows: U+FF5E 3-2142 U+2016 # DOUBLE VERTICAL LINE Windows: U+2225 -3-2143 U+007C # VERTICAL LINE Fullwidth: U+FF5C +3-2143 U+FF5C # VERTICAL LINE Fullwidth: U+FF5C 3-2144 U+2026 # HORIZONTAL ELLIPSIS 3-2145 U+2025 # TWO DOT LEADER 3-2146 U+2018 # LEFT SINGLE QUOTATION MARK 3-2147 U+2019 # RIGHT SINGLE QUOTATION MARK 3-2148 U+201C # LEFT DOUBLE QUOTATION MARK 3-2149 U+201D # RIGHT DOUBLE QUOTATION MARK -3-214A U+0028 # LEFT PARENTHESIS Fullwidth: U+FF08 -3-214B U+0029 # RIGHT PARENTHESIS Fullwidth: U+FF09 +3-214A U+FF08 # LEFT PARENTHESIS Fullwidth: U+FF08 +3-214B U+FF09 # RIGHT PARENTHESIS Fullwidth: U+FF09 3-214C U+3014 # LEFT TORTOISE SHELL BRACKET 3-214D U+3015 # RIGHT TORTOISE SHELL BRACKET -3-214E U+005B # LEFT SQUARE BRACKET Fullwidth: U+FF3B -3-214F U+005D # RIGHT SQUARE BRACKET Fullwidth: U+FF3D -3-2150 U+007B # LEFT CURLY BRACKET Fullwidth: U+FF5B -3-2151 U+007D # RIGHT CURLY BRACKET Fullwidth: U+FF5D +3-214E U+FF3B # LEFT SQUARE BRACKET Fullwidth: U+FF3B +3-214F U+FF3D # RIGHT SQUARE BRACKET Fullwidth: U+FF3D +3-2150 U+FF5B # LEFT CURLY BRACKET Fullwidth: U+FF5B +3-2151 U+FF5D # RIGHT CURLY BRACKET Fullwidth: U+FF5D 3-2152 U+3008 # LEFT ANGLE BRACKET 3-2153 U+3009 # RIGHT ANGLE BRACKET 3-2154 U+300A # LEFT DOUBLE ANGLE BRACKET @@ -79,15 +79,15 @@ 3-2159 U+300F # RIGHT WHITE CORNER BRACKET 3-215A U+3010 # LEFT BLACK LENTICULAR BRACKET 3-215B U+3011 # RIGHT BLACK LENTICULAR BRACKET -3-215C U+002B # PLUS SIGN Fullwidth: U+FF0B +3-215C U+FF0B # PLUS SIGN Fullwidth: U+FF0B 3-215D U+2212 # MINUS SIGN Windows: U+FF0D 3-215E U+00B1 # PLUS-MINUS SIGN 3-215F U+00D7 # MULTIPLICATION SIGN 3-2160 U+00F7 # DIVISION SIGN -3-2161 U+003D # EQUALS SIGN Fullwidth: U+FF1D +3-2161 U+FF1D # EQUALS SIGN Fullwidth: U+FF1D 3-2162 U+2260 # NOT EQUAL TO -3-2163 U+003C # LESS-THAN SIGN Fullwidth: U+FF1C -3-2164 U+003E # GREATER-THAN SIGN Fullwidth: U+FF1E +3-2163 U+FF1C # LESS-THAN SIGN Fullwidth: U+FF1C +3-2164 U+FF1E # GREATER-THAN SIGN Fullwidth: U+FF1E 3-2165 U+2266 # LESS-THAN OVER EQUAL TO 3-2166 U+2267 # GREATER-THAN OVER EQUAL TO 3-2167 U+221E # INFINITY @@ -98,15 +98,15 @@ 3-216C U+2032 # PRIME 3-216D U+2033 # DOUBLE PRIME 3-216E U+2103 # DEGREE CELSIUS -3-216F U+00A5 # YEN SIGN Windows: U+FFE5 -3-2170 U+0024 # DOLLAR SIGN Fullwidth: U+FF04 +3-216F U+FFE5 # YEN SIGN Windows: U+FFE5 +3-2170 U+FF04 # DOLLAR SIGN Fullwidth: U+FF04 3-2171 U+00A2 # CENT SIGN Windows: U+FFE0 3-2172 U+00A3 # POUND SIGN Windows: U+FFE1 -3-2173 U+0025 # PERCENT SIGN Fullwidth: U+FF05 -3-2174 U+0023 # NUMBER SIGN Fullwidth: U+FF03 -3-2175 U+0026 # AMPERSAND Fullwidth: U+FF06 -3-2176 U+002A # ASTERISK Fullwidth: U+FF0A -3-2177 U+0040 # COMMERCIAL AT Fullwidth: U+FF20 +3-2173 U+FF05 # PERCENT SIGN Fullwidth: U+FF05 +3-2174 U+FF03 # NUMBER SIGN Fullwidth: U+FF03 +3-2175 U+FF06 # AMPERSAND Fullwidth: U+FF06 +3-2176 U+FF0A # ASTERISK Fullwidth: U+FF0A +3-2177 U+FF20 # COMMERCIAL AT Fullwidth: U+FF20 3-2178 U+00A7 # SECTION SIGN 3-2179 U+2606 # WHITE STAR 3-217A U+2605 # BLACK STAR @@ -128,9 +128,9 @@ 3-222C U+2191 # UPWARDS ARROW 3-222D U+2193 # DOWNWARDS ARROW 3-222E U+3013 # GETA MARK -3-222F U+0027 # APOSTROPHE Fullwidth: U+FF07 -3-2230 U+0022 # QUOTATION MARK [2000] Fullwidth: U+FF02 -3-2231 U+002D # HYPHEN-MINUS [2000] Fullwidth: U+FF0D +3-222F U+FF07 # APOSTROPHE Fullwidth: U+FF07 +3-2230 U+FF02 # QUOTATION MARK [2000] Fullwidth: U+FF02 +3-2231 U+FF0D # HYPHEN-MINUS [2000] Fullwidth: U+FF0D 3-2232 U+007E # TILDE [2000] Fullwidth: U+FF5E 3-2233 U+3033 # VERTICAL KANA REPEAT MARK UPPER HALF [2000] 3-2234 U+3034 # VERTICAL KANA REPEAT WITH VOICED SOUND MARK UPPER HALF [2000] @@ -223,16 +223,16 @@ 3-232D U+21E9 # DOWNWARDS WHITE ARROW [2000] 3-232E U+2934 # ARROW POINTING RIGHTWARDS THEN CURVING UPWARDS [2000] [Unicode3.2] 3-232F U+2935 # ARROW POINTING RIGHTWARDS THEN CURVING DOWNWARDS [2000] [Unicode3.2] -3-2330 U+0030 # DIGIT ZERO Fullwidth: U+FF10 -3-2331 U+0031 # DIGIT ONE Fullwidth: U+FF11 -3-2332 U+0032 # DIGIT TWO Fullwidth: U+FF12 -3-2333 U+0033 # DIGIT THREE Fullwidth: U+FF13 -3-2334 U+0034 # DIGIT FOUR Fullwidth: U+FF14 -3-2335 U+0035 # DIGIT FIVE Fullwidth: U+FF15 -3-2336 U+0036 # DIGIT SIX Fullwidth: U+FF16 -3-2337 U+0037 # DIGIT SEVEN Fullwidth: U+FF17 -3-2338 U+0038 # DIGIT EIGHT Fullwidth: U+FF18 -3-2339 U+0039 # DIGIT NINE Fullwidth: U+FF19 +3-2330 U+FF10 # DIGIT ZERO Fullwidth: U+FF10 +3-2331 U+FF11 # DIGIT ONE Fullwidth: U+FF11 +3-2332 U+FF12 # DIGIT TWO Fullwidth: U+FF12 +3-2333 U+FF13 # DIGIT THREE Fullwidth: U+FF13 +3-2334 U+FF14 # DIGIT FOUR Fullwidth: U+FF14 +3-2335 U+FF15 # DIGIT FIVE Fullwidth: U+FF15 +3-2336 U+FF16 # DIGIT SIX Fullwidth: U+FF16 +3-2337 U+FF17 # DIGIT SEVEN Fullwidth: U+FF17 +3-2338 U+FF18 # DIGIT EIGHT Fullwidth: U+FF18 +3-2339 U+FF19 # DIGIT NINE Fullwidth: U+FF19 3-233A U+29BF # CIRCLED BULLET [2000] [Unicode3.2] 3-233B U+25C9 # FISHEYE [2000] 3-233C U+303D # PART ALTERNATION MARK [2000] [Unicode3.2] @@ -240,64 +240,64 @@ 3-233E U+FE45 # SESAME DOT [2000] [Unicode3.2] 3-233F U+25E6 # WHITE BULLET [2000] 3-2340 U+2022 # BULLET [2000] -3-2341 U+0041 # LATIN CAPITAL LETTER A Fullwidth: U+FF21 -3-2342 U+0042 # LATIN CAPITAL LETTER B Fullwidth: U+FF22 -3-2343 U+0043 # LATIN CAPITAL LETTER C Fullwidth: U+FF23 -3-2344 U+0044 # LATIN CAPITAL LETTER D Fullwidth: U+FF24 -3-2345 U+0045 # LATIN CAPITAL LETTER E Fullwidth: U+FF25 -3-2346 U+0046 # LATIN CAPITAL LETTER F Fullwidth: U+FF26 -3-2347 U+0047 # LATIN CAPITAL LETTER G Fullwidth: U+FF27 -3-2348 U+0048 # LATIN CAPITAL LETTER H Fullwidth: U+FF28 -3-2349 U+0049 # LATIN CAPITAL LETTER I Fullwidth: U+FF29 -3-234A U+004A # LATIN CAPITAL LETTER J Fullwidth: U+FF2A -3-234B U+004B # LATIN CAPITAL LETTER K Fullwidth: U+FF2B -3-234C U+004C # LATIN CAPITAL LETTER L Fullwidth: U+FF2C -3-234D U+004D # LATIN CAPITAL LETTER M Fullwidth: U+FF2D -3-234E U+004E # LATIN CAPITAL LETTER N Fullwidth: U+FF2E -3-234F U+004F # LATIN CAPITAL LETTER O Fullwidth: U+FF2F -3-2350 U+0050 # LATIN CAPITAL LETTER P Fullwidth: U+FF30 -3-2351 U+0051 # LATIN CAPITAL LETTER Q Fullwidth: U+FF31 -3-2352 U+0052 # LATIN CAPITAL LETTER R Fullwidth: U+FF32 -3-2353 U+0053 # LATIN CAPITAL LETTER S Fullwidth: U+FF33 -3-2354 U+0054 # LATIN CAPITAL LETTER T Fullwidth: U+FF34 -3-2355 U+0055 # LATIN CAPITAL LETTER U Fullwidth: U+FF35 -3-2356 U+0056 # LATIN CAPITAL LETTER V Fullwidth: U+FF36 -3-2357 U+0057 # LATIN CAPITAL LETTER W Fullwidth: U+FF37 -3-2358 U+0058 # LATIN CAPITAL LETTER X Fullwidth: U+FF38 -3-2359 U+0059 # LATIN CAPITAL LETTER Y Fullwidth: U+FF39 -3-235A U+005A # LATIN CAPITAL LETTER Z Fullwidth: U+FF3A +3-2341 U+FF21 # LATIN CAPITAL LETTER A Fullwidth: U+FF21 +3-2342 U+FF22 # LATIN CAPITAL LETTER B Fullwidth: U+FF22 +3-2343 U+FF23 # LATIN CAPITAL LETTER C Fullwidth: U+FF23 +3-2344 U+FF24 # LATIN CAPITAL LETTER D Fullwidth: U+FF24 +3-2345 U+FF25 # LATIN CAPITAL LETTER E Fullwidth: U+FF25 +3-2346 U+FF26 # LATIN CAPITAL LETTER F Fullwidth: U+FF26 +3-2347 U+FF27 # LATIN CAPITAL LETTER G Fullwidth: U+FF27 +3-2348 U+FF28 # LATIN CAPITAL LETTER H Fullwidth: U+FF28 +3-2349 U+FF29 # LATIN CAPITAL LETTER I Fullwidth: U+FF29 +3-234A U+FF2A # LATIN CAPITAL LETTER J Fullwidth: U+FF2A +3-234B U+FF2B # LATIN CAPITAL LETTER K Fullwidth: U+FF2B +3-234C U+FF2C # LATIN CAPITAL LETTER L Fullwidth: U+FF2C +3-234D U+FF2D # LATIN CAPITAL LETTER M Fullwidth: U+FF2D +3-234E U+FF2E # LATIN CAPITAL LETTER N Fullwidth: U+FF2E +3-234F U+FF2F # LATIN CAPITAL LETTER O Fullwidth: U+FF2F +3-2350 U+FF30 # LATIN CAPITAL LETTER P Fullwidth: U+FF30 +3-2351 U+FF31 # LATIN CAPITAL LETTER Q Fullwidth: U+FF31 +3-2352 U+FF32 # LATIN CAPITAL LETTER R Fullwidth: U+FF32 +3-2353 U+FF33 # LATIN CAPITAL LETTER S Fullwidth: U+FF33 +3-2354 U+FF34 # LATIN CAPITAL LETTER T Fullwidth: U+FF34 +3-2355 U+FF35 # LATIN CAPITAL LETTER U Fullwidth: U+FF35 +3-2356 U+FF36 # LATIN CAPITAL LETTER V Fullwidth: U+FF36 +3-2357 U+FF37 # LATIN CAPITAL LETTER W Fullwidth: U+FF37 +3-2358 U+FF38 # LATIN CAPITAL LETTER X Fullwidth: U+FF38 +3-2359 U+FF39 # LATIN CAPITAL LETTER Y Fullwidth: U+FF39 +3-235A U+FF3A # LATIN CAPITAL LETTER Z Fullwidth: U+FF3A 3-235B U+2213 # MINUS-OR-PLUS SIGN [2000] 3-235C U+2135 # ALEF SYMBOL [2000] 3-235D U+210F # PLANCK CONSTANT OVER TWO PI [2000] 3-235E U+33CB # SQUARE HP [2000] 3-235F U+2113 # SCRIPT SMALL L [2000] 3-2360 U+2127 # INVERTED OHM SIGN [2000] -3-2361 U+0061 # LATIN SMALL LETTER A Fullwidth: U+FF41 -3-2362 U+0062 # LATIN SMALL LETTER B Fullwidth: U+FF42 -3-2363 U+0063 # LATIN SMALL LETTER C Fullwidth: U+FF43 -3-2364 U+0064 # LATIN SMALL LETTER D Fullwidth: U+FF44 -3-2365 U+0065 # LATIN SMALL LETTER E Fullwidth: U+FF45 -3-2366 U+0066 # LATIN SMALL LETTER F Fullwidth: U+FF46 -3-2367 U+0067 # LATIN SMALL LETTER G Fullwidth: U+FF47 -3-2368 U+0068 # LATIN SMALL LETTER H Fullwidth: U+FF48 -3-2369 U+0069 # LATIN SMALL LETTER I Fullwidth: U+FF49 -3-236A U+006A # LATIN SMALL LETTER J Fullwidth: U+FF4A -3-236B U+006B # LATIN SMALL LETTER K Fullwidth: U+FF4B -3-236C U+006C # LATIN SMALL LETTER L Fullwidth: U+FF4C -3-236D U+006D # LATIN SMALL LETTER M Fullwidth: U+FF4D -3-236E U+006E # LATIN SMALL LETTER N Fullwidth: U+FF4E -3-236F U+006F # LATIN SMALL LETTER O Fullwidth: U+FF4F -3-2370 U+0070 # LATIN SMALL LETTER P Fullwidth: U+FF50 -3-2371 U+0071 # LATIN SMALL LETTER Q Fullwidth: U+FF51 -3-2372 U+0072 # LATIN SMALL LETTER R Fullwidth: U+FF52 -3-2373 U+0073 # LATIN SMALL LETTER S Fullwidth: U+FF53 -3-2374 U+0074 # LATIN SMALL LETTER T Fullwidth: U+FF54 -3-2375 U+0075 # LATIN SMALL LETTER U Fullwidth: U+FF55 -3-2376 U+0076 # LATIN SMALL LETTER V Fullwidth: U+FF56 -3-2377 U+0077 # LATIN SMALL LETTER W Fullwidth: U+FF57 -3-2378 U+0078 # LATIN SMALL LETTER X Fullwidth: U+FF58 -3-2379 U+0079 # LATIN SMALL LETTER Y Fullwidth: U+FF59 -3-237A U+007A # LATIN SMALL LETTER Z Fullwidth: U+FF5A +3-2361 U+FF41 # LATIN SMALL LETTER A Fullwidth: U+FF41 +3-2362 U+FF42 # LATIN SMALL LETTER B Fullwidth: U+FF42 +3-2363 U+FF43 # LATIN SMALL LETTER C Fullwidth: U+FF43 +3-2364 U+FF44 # LATIN SMALL LETTER D Fullwidth: U+FF44 +3-2365 U+FF45 # LATIN SMALL LETTER E Fullwidth: U+FF45 +3-2366 U+FF46 # LATIN SMALL LETTER F Fullwidth: U+FF46 +3-2367 U+FF47 # LATIN SMALL LETTER G Fullwidth: U+FF47 +3-2368 U+FF48 # LATIN SMALL LETTER H Fullwidth: U+FF48 +3-2369 U+FF49 # LATIN SMALL LETTER I Fullwidth: U+FF49 +3-236A U+FF4A # LATIN SMALL LETTER J Fullwidth: U+FF4A +3-236B U+FF4B # LATIN SMALL LETTER K Fullwidth: U+FF4B +3-236C U+FF4C # LATIN SMALL LETTER L Fullwidth: U+FF4C +3-236D U+FF4D # LATIN SMALL LETTER M Fullwidth: U+FF4D +3-236E U+FF4E # LATIN SMALL LETTER N Fullwidth: U+FF4E +3-236F U+FF4F # LATIN SMALL LETTER O Fullwidth: U+FF4F +3-2370 U+FF50 # LATIN SMALL LETTER P Fullwidth: U+FF50 +3-2371 U+FF51 # LATIN SMALL LETTER Q Fullwidth: U+FF51 +3-2372 U+FF52 # LATIN SMALL LETTER R Fullwidth: U+FF52 +3-2373 U+FF53 # LATIN SMALL LETTER S Fullwidth: U+FF53 +3-2374 U+FF54 # LATIN SMALL LETTER T Fullwidth: U+FF54 +3-2375 U+FF55 # LATIN SMALL LETTER U Fullwidth: U+FF55 +3-2376 U+FF56 # LATIN SMALL LETTER V Fullwidth: U+FF56 +3-2377 U+FF57 # LATIN SMALL LETTER W Fullwidth: U+FF57 +3-2378 U+FF58 # LATIN SMALL LETTER X Fullwidth: U+FF58 +3-2379 U+FF59 # LATIN SMALL LETTER Y Fullwidth: U+FF59 +3-237A U+FF5A # LATIN SMALL LETTER Z Fullwidth: U+FF5A 3-237B U+30A0 # KATAKANA-HIRAGANA DOUBLE HYPHEN [2000] [Unicode3.2] 3-237C U+2013 # EN DASH [2000] 3-237D U+29FA # DOUBLE PLUS [2000] [Unicode3.2]
Diff
3
shawwn/cpython
Tools/unicode/python-mappings/diff/jisx0213-2000-std.txt.diff
[ "0BSD" ]
//typechcker module for inheritator2 //adapted from typed.grace by Tim Jones //TODO MUST RIP OUT ASSUMPTIONS TO separate obejct import "combinator-collections" as c use c.abbreviations import "errors" as errors use errors.exports import "utility" as utility use utility.exports method check (left) isSubtypeOf (right) { def leftObjectType = makeObjectType(left) def rightObjectType = makeObjectType(right) //print "checking: {left} isSubtypeOf {right}" leftObjectType.isSubtypeOf(rightObjectType) } method check (left) isTypeEquals (right) { def leftObjectType = makeObjectType(left) def rightObjectType = makeObjectType(right) //print "checking: {left} isTypeEquals {right}" //print "objectType: {leftObjectType} isTypeEquals {rightObjectType}" leftObjectType == (rightObjectType) } method makeObjectType(obj) { //print "MAKEOBJYEPE:{obj}" match (obj.kind) case { "ngUnknown" -> unknownObjectType } case { "ngImplicitUnknown" -> unknownObjectType } case { "ngTypeType" -> obj.value } case { "ngInterface" -> objectType(obj) } case { "objectContext" -> objectConstructorType(obj,obj.body,obj.evilCtxt) } } //from Tim type ObjectType = interface { methods -> Set[[MethodType]] methodNamed(name : String) ifAbsent[[T]](onAbsent : Action[[T]]) -> MethodType | T isUnknown -> Boolean isStructural -> Boolean isSubtypeOf(other : ObjectType) -> Boolean // Used for redispatch in isSubtypeOf(), and does not actually represent a // calculation of whether this type is a supertype of the given one. // b.reverseSubtypeOf(a) IMPLIES b.issubtypeOf(a ) reverseSubtypeOf(other : ObjectType) -> Boolean // b.reverseNotSubtypeOf(a) IMPLIES NOT b.issubtypeOf(a) reverseNotSubtypeOf(other : ObjectType) -> Boolean |(other : ObjectType) -> ObjectType &(other : ObjectType) -> ObjectType } var otCount := 0 class abstractObjectType { def methods is public = empty otCount := otCount + 1 def otID is public = otCount method asString {error "abstract!!!!!"} method methodNamed(name) ifAbsent (block) { for (methods) do { meth -> if (meth.name == name) then { return meth } } block.apply } method reverseSubtypeOf(other : ObjectType) -> Boolean { def rv = isStructural && methods.isEmpty //print "ABST {self} {other}" //print "ABST REV {rv}" rv } method reverseNotSubtypeOf(other : ObjectType) -> Boolean { false } method isSubtypeOf(oType : ObjectType) -> Boolean { if (self == oType) then {return true} // Let the given type have a say. oType.reverseSubtypeOf(self).orElse { oType.isStructural.andAlso { //print "sub: {self} {oType}" def rv = isSubtypeOf(oType) withAssumptions(dictionary) //print "SUB: {self} {oType} {rv}" rv } } } method isSubtypeOf(oType : ObjectType) withAssumptions(assumptions : MutableDictionary[[ObjectType, MutableSet[[ObjectType]] ]]) -> Boolean { //print "ISTOWA {self} {oType}" if (oType.reverseSubtypeOf(self)) then {return true} if (oType.reverseNotSubtypeOf(self)) then {return false} if (oType.isUnknown) then {return true} //print "ISTOWA got this far" def against = assumptions.at(self) ifAbsent { def newSet = list assumptions.at(self) put(newSet) newSet } if (against.contains(oType)) then {return true} against.add(oType) for (oType.methods) do { oMeth -> //print "METH {oMeth.name}" def sMeth = methodNamed(oMeth.name) ifAbsent { return false } if (sMeth.typeParameters.size != oMeth.typeParameters.size) then {return false} def sParamTypes = sMeth.parametersObjectTypes def oParamTypes = oMeth.parametersObjectTypes assert {sParamTypes.size == oParamTypes.size} because "two methods have the same name but different numbers of parameters" for (sParamTypes) and(oParamTypes) do { sParam, oParam -> if (!oParam.isSubtypeOf(sParam) withAssumptions(assumptions)) then { return false } } //print "METH RET {sMeth.returnObjectType} {oMeth.returnObjectType}" if (!sMeth.returnObjectType.isSubtypeOf(oMeth.returnObjectType) withAssumptions(assumptions) ) then { return false } } true } def isStructural : Boolean is public = false def isUnknown : Boolean is public = false def hashCache = cache { var acc := 0 for (methods) do { m -> acc := (acc + m.name.hash) % (2 ^ 64) } acc } method hash { ^ hashCache } method !=(other) { !(self == other) } method ==(other) { // print "type==" // print "self: {self}" // print "self: {isUnknown} {isStructural} {hash}" // print "other: {other}" // print "other: {other.isUnknown} {other.isStructural} {other.hash}" if (isUnknown && other.isUnknown) then {return true} if (isStructural != other.isStructural) then {return false} if (hash != other.hash) then {return false} return (equalsOther(other)) } method equalsOther(other) { other.equalsAbstractObjectType(self) } method equalsAbstractObjectType(other) { error "shouldn't happen" } method equalsStructuralObjectType(other) { false } method equalsSingletonObjectType(other) { false } } //build an object type from an interpreter level interface object //(which will be the result of an interface construcctor in the source) // class objectType( ngInterface ) { inherit abstractObjectType method equalsOther(other) { //print "ot=OTHER" other.equalsStructuralObjectType(self) } method equalsStructuralObjectType(other) { //print "ot.eSOT" //print "ctxt {ctxt.dbg} other {other.ctxt.dbg} {ctxt == other.ctxt}" //print "value {value} other {other.value}" //print "value {value.nodeID} other {other.value.nodeID} {(value == other.value) }" //def rv = (ctxt == other.ctxt) && (value == other.value) //def rv = (value == other.value) ///print "removed assertion is evil" ///assert { (value.nodeID == other.value.nodeID) == (value == other.value) } def rv = (value == other.value) //print "ot.eSOT rv = {rv}" rv } def ctxt is public = ngInterface.context def value is public = ngInterface.value def methods is public = //TODO rename as methodTypes sometime? for (ngInterface.value.signatures) map { sig -> methodType( sig, ctxt ) } def isStructural : Boolean is public = true def isUnknown : Boolean is public = false method asString { match (methods.size) case { 0 -> return "interface \{\}"} case { 1 -> return "interface ot:{otID} value:{value.nodeID} \{ {methods.at(1)} \}" } case { _ -> } var rv := "interface ot:{otID} value:{value.nodeID} \{\n " for (methods) do { meth -> rv := rv ++ "{meth.name}" ++ "\n " } rv := rv ++ "}" rv } } class objectConstructorType( ngObjectContext, body', ctxt' ) { inherit abstractObjectType //VERY VERY BROKEN. DOESN"T DEAL WITH INHERITANCE!! method reverseSubtypeOf(_) {print "FUCKWITT"} method reverseNotSubtypeOf(_) {print "FUCKWITT TOO"} method equalsOther(other) { //print "ot=OTHER" other.equalsStructuralObjectType(self) } method equalsStructuralObjectType(other) { //print "ot.eSOT" //print "ctxt {ctxt.dbg} other {other.ctxt.dbg} {ctxt == other.ctxt}" //print "value {value} other {other.value}" //print "value {value.nodeID} other {other.value.nodeID} {(value == other.value) }" //def rv = (ctxt == other.ctxt) && (value == other.value) //def rv = (value == other.value) assert { (value.nodeID == other.value.nodeID) == (value == other.value) } def rv = (value == other.value) //print "ot.eSOT rv = {rv}" rv } def ctxt is public = ctxt' def value is public = body' def methods is public = list //TODO rename as methodTypes sometime? //the most broken of the broken shit... //for now: just dumps in all methods / no fields!!! for (value) do { node -> def MightBeMethodNode = interface { signature -> Signature } match (node) case { m : MightBeMethodNode -> // print "DJT got method {m}" methods.add( methodType( m.signature, ctxt ) ) } case { _ -> } //TODO other kinds of attributes in methods } def isStructural : Boolean is public = true def isUnknown : Boolean is public = false method asString { match (methods.size) case { 0 -> return "objectCX \{\}"} case { 1 -> return "objectCX ot:{otID} \{ {methods.at(1)} \}" } case { _ -> } var rv := "objectCX ot:{otID} \{\n " for (methods) do { meth -> rv := rv ++ "{meth}" ++ "\n " } rv := rv ++ "}" rv } } class blockType( ngBlock, parameters, inferredReturnObjectType, ctxt' ) { inherit abstractObjectType //VERY VERY BROKEN. DOESN"T DEAL WITH INHERITANCE!! method reverseSubtypeOf(_) {print "FUCKWITT"} method reverseNotSubtypeOf(_) {print "FUCKWITT TOO"} method equalsOther(other) { //print "ot=OTHER" other.equalsStructuralObjectType(self) } method equalsStructuralObjectType(other) { //print "ot.eSOT" //print "ctxt {ctxt.dbg} other {other.ctxt.dbg} {ctxt == other.ctxt}" //print "value {value} other {other.value}" //print "value {value.nodeID} other {other.value.nodeID} {(value == other.value) }" //def rv = (ctxt == other.ctxt) && (value == other.value) //def rv = (value == other.value) assert { (value.nodeID == other.value.nodeID) == (value == other.value) } def rv = (value == other.value) //print "ot.eSOT rv = {rv}" rv } def ctxt is public = ctxt' def value is public = ngBlock //????almost certainly wrong... def methods is public = list //TODO rename as methodTypes sometime? //the most broken of the broken shit... //for now: just dumps in all methods / no fields!!! // HEREHEREH def suffix = match (parameters.size) case { 0 -> "" } case { 1 -> "(_)" } case { 2 -> "(_,_)" } case { 3 -> "(_,_,_)" } case { 4 -> "(_,_,_,_)" } case { 5 -> "(_,_,_,_,_)" } case { _ -> error "CANT BE BOTHERED TO APPLY MORE VARARGS" } def pots = for (parameters) map { p -> makeObjectType (p.typeAnnotation.eval(ctxt.withoutCreatio)) } //KJX work backwards from here! methods.add( blockMethodType("apply" ++ suffix, pots, inferredReturnObjectType) ) methods.add( blockMethodType("match" ++ suffix, list(unknownObjectType) repeated(parameters.size), booleanType) ) def isStructural : Boolean is public = true def isUnknown : Boolean is public = false method asString { "blockCX [[{parameters.size}]] {methods}" } } class singletonObjectType { inherit abstractObjectType method equalsOther(other) { other.equalsSingletonObjectType(self) } method equalsSingletonObjectType(other) { // print "TRUUUUUUMMMMMMMMMMMMP" // print (numberType.asString == numberType.asString) // print (asString) // print (other.asString) // def a = asString // def s = self.asString // def o = other.asString // print "SOT {a == s} {a == o}" asString == other.asString } //def hashCache = cache { asString.hash } method hash { 42 } } def unknownObjectType is public = object { inherit singletonObjectType def methods is public = empty method isUnknown { true } method isStructural { false } method isSubtypeOf(_ : ObjectType) -> Boolean { true } method isSubtypeOf(_ : ObjectType) withAssumptions(_)-> Boolean { true } method reverseSubtypeOf(_ : ObjectType) -> Boolean { true } method reverseNotSubtypeOf(_ : ObjectType) -> Boolean { false } method asString { "unknownObjectType" } } //TODO - almost all of the below types should go away //I'm not sure why Tim started with them //and then I kept on - kjx def doneType is public = object { inherit singletonObjectType def methods is public = empty method isUnknown { false } method isStructural { false } method isSubtypeOf(other : ObjectType) -> Boolean { // Let other have a say. other.reverseSubtypeOf(self).orElse { self == other } } method reverseSubtypeOf(other : ObjectType) -> Boolean { self == other } method reverseNotSubtypeOf(other : ObjectType) -> Boolean { self != other } method asString { "doneObjectType" } } def numberType is public = object { inherit singletonObjectType def methods is public = empty method isUnknown { false } method isStructural { false } method isSubtypeOf(other : ObjectType) -> Boolean { // Let other have a say. //print "Number ISTO {other}" other.isSupertypeOf(self).orElse { self == other } } method isSupertypeOf(other : ObjectType) -> Boolean { self == other } method asString { "numberType" } method reverseSubtypeOf(other : ObjectType) -> Boolean { self == other } method reverseNotSubtypeOf(other : ObjectType) -> Boolean { self != other } } def stringType is public = object { inherit singletonObjectType def methods is public = empty method isUnknown { false } method isStructural { false } method isSubtypeOf(other : ObjectType) -> Boolean { // Let other have a say. //print "String ISTO {other}" other.isSupertypeOf(self).orElse { self == other } } method isSupertypeOf(other : ObjectType) -> Boolean { self == other } method asString { "stringType" } method reverseSubtypeOf(other : ObjectType) -> Boolean { self == other } method reverseNotSubtypeOf(other : ObjectType) -> Boolean { self != other } } def booleanType is public = object { inherit singletonObjectType def methods is public = empty method isUnknown { false } method isStructural { false } method isSubtypeOf(other : ObjectType) -> Boolean { // Let other have a say. //print "String ISTO {other}" other.isSupertypeOf(self).orElse { self == other } } method isSupertypeOf(other : ObjectType) -> Boolean { self == other } method asString { "booleanType" } method reverseSubtypeOf(other : ObjectType) -> Boolean { self == other } method reverseNotSubtypeOf(other : ObjectType) -> Boolean { self != other } } //from tim, not sure this is right type MethodType = interface { name -> String typeParamters -> Sequence[[Parameter]] parametersObjectTypes -> Sequence[[ObjectType]] returnObjectType -> ObjectType //isSpecialisationOf(other : MethodType) -> Boolean //isPublic -> Boolean //isPublic := (value : Boolean) -> Done } class methodType ( signatureNode, ctxt ) { method name { signatureNode.name } //def returnObjectType is public = // makeObjectType(signatureNode.returnType.eval(ctxt.withoutCreatio)) method returnObjectType { makeObjectType(signatureNode.returnType.eval(ctxt.withoutCreatio)) } method typeParameters { signatureNode.typeParameters } //def parametersObjectTypes is public = // for (signatureNode.parameters) // map { p -> makeObjectType (p.typeAnnotation.eval(ctxt.withoutCreatio)) } method parametersObjectTypes { for (signatureNode.parameters) map { p -> makeObjectType (p.typeAnnotation.eval(ctxt.withoutCreatio)) } } method asString { "method {name} [[{typeParameters.size}]] ({parametersObjectTypes.size}) {returnObjectType}" } } class blockMethodType(name', parametersObjectTypes', returnObjectType') { method name { name' } method typeParameters { empty } method returnObjectType { returnObjectType' } method parametersObjectTypes { parametersObjectTypes' } method asString {"bM {name} [[{parametersObjectTypes.size}]]"} }
Grace
4
smola/language-dataset
data/github.com/kjx/inheritator2/41c2ab87ce69c9e70ba4255cb9f96333df4a636d/subtyping.grace
[ "MIT" ]
#Signature file v4.1 #Version 1.47 CLSS public abstract interface java.beans.PropertyChangeListener intf java.util.EventListener meth public abstract void propertyChange(java.beans.PropertyChangeEvent) CLSS public abstract interface java.io.Serializable CLSS public abstract interface java.lang.Cloneable CLSS public abstract interface java.lang.Comparable<%0 extends java.lang.Object> meth public abstract int compareTo({java.lang.Comparable%0}) CLSS public abstract java.lang.Enum<%0 extends java.lang.Enum<{java.lang.Enum%0}>> cons protected init(java.lang.String,int) intf java.io.Serializable intf java.lang.Comparable<{java.lang.Enum%0}> meth protected final java.lang.Object clone() throws java.lang.CloneNotSupportedException meth protected final void finalize() meth public final boolean equals(java.lang.Object) meth public final int compareTo({java.lang.Enum%0}) meth public final int hashCode() meth public final int ordinal() meth public final java.lang.Class<{java.lang.Enum%0}> getDeclaringClass() meth public final java.lang.String name() meth public java.lang.String toString() meth public static <%0 extends java.lang.Enum<{%%0}>> {%%0} valueOf(java.lang.Class<{%%0}>,java.lang.String) supr java.lang.Object CLSS public java.lang.Object cons public init() meth protected java.lang.Object clone() throws java.lang.CloneNotSupportedException meth protected void finalize() throws java.lang.Throwable meth public boolean equals(java.lang.Object) meth public final java.lang.Class<?> getClass() meth public final void notify() meth public final void notifyAll() meth public final void wait() throws java.lang.InterruptedException meth public final void wait(long) throws java.lang.InterruptedException meth public final void wait(long,int) throws java.lang.InterruptedException meth public int hashCode() meth public java.lang.String toString() CLSS public abstract interface java.util.EventListener CLSS public abstract interface javax.swing.event.UndoableEditListener intf java.util.EventListener meth public abstract void undoableEditHappened(javax.swing.event.UndoableEditEvent) CLSS public abstract org.netbeans.modules.xml.axi.AXIComponent cons public init(org.netbeans.modules.xml.axi.AXIModel) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.AXIComponent) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) fld protected org.netbeans.modules.xml.axi.AXIComponent sharedComponent innr public final static !enum ComponentType intf java.beans.PropertyChangeListener intf java.lang.Cloneable meth protected boolean isInModel() meth protected java.lang.Object clone() throws java.lang.CloneNotSupportedException meth protected void appendChild(java.lang.String,org.netbeans.modules.xml.axi.AXIComponent) meth protected void appendChildQuietly(org.netbeans.modules.xml.axi.AXIComponent,java.util.List<org.netbeans.modules.xml.axi.AXIComponent>) meth protected void firePropertyChangeEvent(java.lang.String,java.lang.Object,java.lang.Object) meth protected void insertAtIndexQuietly(org.netbeans.modules.xml.axi.AXIComponent,java.util.List<org.netbeans.modules.xml.axi.AXIComponent>,int) meth protected void removeChildQuietly(org.netbeans.modules.xml.axi.AXIComponent,java.util.List<org.netbeans.modules.xml.axi.AXIComponent>) meth protected void setSharedComponent(org.netbeans.modules.xml.axi.AXIComponent) meth public abstract void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) meth public boolean canVisitChildren() meth public boolean isGlobal() meth public boolean isReadOnly() meth public boolean isShared() meth public boolean supportsCardinality() meth public final org.netbeans.modules.xml.schema.model.SchemaComponent getPeer() meth public final void addChildAtIndex(org.netbeans.modules.xml.axi.AXIComponent,int) meth public final void appendChild(org.netbeans.modules.xml.axi.AXIComponent) meth public final void removeChild(org.netbeans.modules.xml.axi.AXIComponent) meth public final void setPeer(org.netbeans.modules.xml.schema.model.SchemaComponent) meth public int getIndex() meth public int getIndex(boolean) meth public java.lang.String getDocumentation() meth public java.lang.String getTargetNamespace() meth public java.util.List<org.netbeans.modules.xml.axi.AXIComponent> getRefSet() meth public java.util.List<org.netbeans.modules.xml.axi.AbstractElement> getChildElements() meth public org.netbeans.modules.xml.axi.AXIComponent copy(org.netbeans.modules.xml.axi.AXIComponent) meth public org.netbeans.modules.xml.axi.AXIComponent getOriginal() meth public org.netbeans.modules.xml.axi.AXIComponent getSharedComponent() meth public org.netbeans.modules.xml.axi.AXIComponent$ComponentType getComponentType() meth public org.netbeans.modules.xml.axi.AXIModel getModel() meth public org.netbeans.modules.xml.axi.ContentModel getContentModel() meth public org.netbeans.modules.xml.axi.Element getParentElement() meth public void addListener(org.netbeans.modules.xml.axi.AXIComponent) meth public void insertAtIndex(java.lang.String,org.netbeans.modules.xml.axi.AXIComponent,int) meth public void populateChildren(java.util.List<org.netbeans.modules.xml.axi.AXIComponent>) meth public void propertyChange(java.beans.PropertyChangeEvent) meth public void removeAllChildren() meth public void removeChild(java.lang.String,org.netbeans.modules.xml.axi.AXIComponent) meth public void removeListener(org.netbeans.modules.xml.axi.AXIComponent) supr org.netbeans.modules.xml.xam.AbstractComponent<org.netbeans.modules.xml.axi.AXIComponent> hfds PROP_CHILD_ADDED,PROP_CHILD_REMOVED,listenerMap,pcs,peer CLSS public final static !enum org.netbeans.modules.xml.axi.AXIComponent$ComponentType outer org.netbeans.modules.xml.axi.AXIComponent fld public final static org.netbeans.modules.xml.axi.AXIComponent$ComponentType LOCAL fld public final static org.netbeans.modules.xml.axi.AXIComponent$ComponentType PROXY fld public final static org.netbeans.modules.xml.axi.AXIComponent$ComponentType REFERENCE fld public final static org.netbeans.modules.xml.axi.AXIComponent$ComponentType SHARED meth public static org.netbeans.modules.xml.axi.AXIComponent$ComponentType valueOf(java.lang.String) meth public static org.netbeans.modules.xml.axi.AXIComponent$ComponentType[] values() supr java.lang.Enum<org.netbeans.modules.xml.axi.AXIComponent$ComponentType> CLSS public org.netbeans.modules.xml.axi.AXIComponentFactory meth public java.lang.String toString() meth public long getComponentCount() meth public org.netbeans.modules.xml.axi.AXIComponent copy(org.netbeans.modules.xml.axi.AXIComponent) meth public org.netbeans.modules.xml.axi.AXIComponent createProxy(org.netbeans.modules.xml.axi.AXIComponent) meth public org.netbeans.modules.xml.axi.AnyAttribute createAnyAttribute() meth public org.netbeans.modules.xml.axi.AnyAttribute createAnyAttribute(org.netbeans.modules.xml.schema.model.SchemaComponent) meth public org.netbeans.modules.xml.axi.AnyElement createAnyElement() meth public org.netbeans.modules.xml.axi.AnyElement createAnyElement(org.netbeans.modules.xml.schema.model.SchemaComponent) meth public org.netbeans.modules.xml.axi.Attribute createAttribute() meth public org.netbeans.modules.xml.axi.Attribute createAttribute(org.netbeans.modules.xml.schema.model.SchemaComponent) meth public org.netbeans.modules.xml.axi.Attribute createAttributeReference(org.netbeans.modules.xml.axi.Attribute) meth public org.netbeans.modules.xml.axi.Attribute createAttributeReference(org.netbeans.modules.xml.schema.model.SchemaComponent,org.netbeans.modules.xml.axi.Attribute) meth public org.netbeans.modules.xml.axi.Compositor createAll() meth public org.netbeans.modules.xml.axi.Compositor createAll(org.netbeans.modules.xml.schema.model.SchemaComponent) meth public org.netbeans.modules.xml.axi.Compositor createChoice() meth public org.netbeans.modules.xml.axi.Compositor createChoice(org.netbeans.modules.xml.schema.model.SchemaComponent) meth public org.netbeans.modules.xml.axi.Compositor createCompositor(org.netbeans.modules.xml.axi.Compositor$CompositorType) meth public org.netbeans.modules.xml.axi.Compositor createSequence() meth public org.netbeans.modules.xml.axi.Compositor createSequence(org.netbeans.modules.xml.schema.model.SchemaComponent) meth public org.netbeans.modules.xml.axi.ContentModel createAttributeGroup() meth public org.netbeans.modules.xml.axi.ContentModel createComplexType() meth public org.netbeans.modules.xml.axi.ContentModel createContentModel(org.netbeans.modules.xml.axi.ContentModel$ContentModelType) meth public org.netbeans.modules.xml.axi.ContentModel createContentModel(org.netbeans.modules.xml.schema.model.SchemaComponent) meth public org.netbeans.modules.xml.axi.ContentModel createGroup() meth public org.netbeans.modules.xml.axi.Element createElement() meth public org.netbeans.modules.xml.axi.Element createElement(org.netbeans.modules.xml.schema.model.SchemaComponent) meth public org.netbeans.modules.xml.axi.Element createElementReference(org.netbeans.modules.xml.axi.Element) meth public org.netbeans.modules.xml.axi.Element createElementReference(org.netbeans.modules.xml.schema.model.SchemaComponent,org.netbeans.modules.xml.axi.Element) meth public org.netbeans.modules.xml.axi.SchemaReference createImport() meth public org.netbeans.modules.xml.axi.SchemaReference createInclude() meth public org.netbeans.modules.xml.axi.SchemaReference createSchemaReference(org.netbeans.modules.xml.schema.model.SchemaComponent) supr java.lang.Object hfds attributeCount,compositorCount,contentModelCount,elementCount,model,proxyComponentCount hcls AXICopier,ProxyComponentFactory CLSS public abstract org.netbeans.modules.xml.axi.AXIContainer cons public init(org.netbeans.modules.xml.axi.AXIModel) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.AXIComponent) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) fld protected java.lang.String name fld public final static java.lang.String PROP_NAME = "name" meth public final java.util.List<org.netbeans.modules.xml.axi.AbstractAttribute> getAttributes() meth public java.lang.String getName() meth public org.netbeans.modules.xml.axi.Compositor getCompositor() meth public void addAttribute(org.netbeans.modules.xml.axi.AbstractAttribute) meth public void addCompositor(org.netbeans.modules.xml.axi.Compositor) meth public void addElement(org.netbeans.modules.xml.axi.AbstractElement) meth public void removeAttribute(org.netbeans.modules.xml.axi.AbstractAttribute) meth public void removeCompositor(org.netbeans.modules.xml.axi.Compositor) meth public void removeElement(org.netbeans.modules.xml.axi.AbstractElement) meth public void setName(java.lang.String) supr org.netbeans.modules.xml.axi.AXIComponent CLSS public abstract org.netbeans.modules.xml.axi.AXIDocument cons public init(org.netbeans.modules.xml.axi.AXIModel) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) fld public final static java.lang.String PROP_ATTRIBUTE_FORM_DEFAULT = "attributeFormDefault" fld public final static java.lang.String PROP_ELEMENT_FORM_DEFAULT = "elementFormDefault" fld public final static java.lang.String PROP_LANGUAGE = "language" fld public final static java.lang.String PROP_SCHEMA_DESIGN_PATTERN = "schemaDesignPattern" fld public final static java.lang.String PROP_TARGET_NAMESPACE = "targetNamespace" fld public final static java.lang.String PROP_VERSION = "version" meth public java.lang.String getLanguage() meth public java.lang.String getTargetNamespace() meth public java.lang.String getVersion() meth public java.util.List<org.netbeans.modules.xml.axi.Attribute> getAttributes() meth public java.util.List<org.netbeans.modules.xml.axi.ContentModel> getContentModels() meth public java.util.List<org.netbeans.modules.xml.axi.Element> getElements() meth public org.netbeans.modules.xml.axi.SchemaGenerator$Pattern getSchemaDesignPattern() meth public org.netbeans.modules.xml.schema.model.Form getAttributeFormDefault() meth public org.netbeans.modules.xml.schema.model.Form getElementFormDefault() meth public void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) meth public void addContentModel(org.netbeans.modules.xml.axi.ContentModel) meth public void addElement(org.netbeans.modules.xml.axi.Element) meth public void removeContentModel(org.netbeans.modules.xml.axi.ContentModel) meth public void removeElement(org.netbeans.modules.xml.axi.Element) meth public void setAttributeFormDefault(org.netbeans.modules.xml.schema.model.Form) meth public void setElementFormDefault(org.netbeans.modules.xml.schema.model.Form) meth public void setLanguage(java.lang.String) meth public void setSchemaDesignPattern(org.netbeans.modules.xml.axi.SchemaGenerator$Pattern) meth public void setTargetNamespace(java.lang.String) meth public void setVersion(java.lang.String) supr org.netbeans.modules.xml.axi.AXIComponent hfds attributeFormDefault,elementFormDefault,language,namespace,version CLSS public abstract org.netbeans.modules.xml.axi.AXIModel cons public init(org.netbeans.modules.xml.xam.ModelSource) meth public abstract java.util.List<org.netbeans.modules.xml.axi.AXIModel> getReferencedModels() meth public abstract org.netbeans.modules.xml.axi.SchemaGenerator$Pattern getSchemaDesignPattern() meth public abstract void setSchemaDesignPattern(org.netbeans.modules.xml.axi.SchemaGenerator$Pattern) meth public boolean canView(org.netbeans.modules.xml.schema.model.SchemaComponent) meth public boolean isReadOnly() meth public org.netbeans.modules.xml.axi.AXIComponentFactory getComponentFactory() meth public org.netbeans.modules.xml.axi.AXIDocument getRoot() meth public org.netbeans.modules.xml.schema.model.SchemaModel getSchemaModel() meth public org.netbeans.modules.xml.xam.ModelAccess getAccess() meth public void addChildComponent(org.netbeans.modules.xml.xam.Component,org.netbeans.modules.xml.xam.Component,int) meth public void removeChildComponent(org.netbeans.modules.xml.xam.Component) supr org.netbeans.modules.xml.xam.AbstractModel<org.netbeans.modules.xml.axi.AXIComponent> hfds factory,modelAccess,root CLSS public org.netbeans.modules.xml.axi.AXIModelFactory meth protected java.lang.Object getKey(org.netbeans.modules.xml.xam.ModelSource) meth protected org.netbeans.modules.xml.axi.AXIModel createModel(org.netbeans.modules.xml.xam.ModelSource) meth protected org.netbeans.modules.xml.axi.AXIModel getModel(org.netbeans.modules.xml.xam.ModelSource) meth public org.netbeans.modules.xml.axi.AXIModel getModel(org.netbeans.modules.xml.schema.model.SchemaModel) meth public static org.netbeans.modules.xml.axi.AXIModelFactory getDefault() supr org.netbeans.modules.xml.xam.AbstractModelFactory<org.netbeans.modules.xml.axi.AXIModel> hfds instance CLSS public abstract interface org.netbeans.modules.xml.axi.AXIType meth public abstract java.lang.String getName() meth public abstract void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) CLSS public abstract org.netbeans.modules.xml.axi.AbstractAttribute cons public init(org.netbeans.modules.xml.axi.AXIModel) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.AXIComponent) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) fld public final static java.lang.String PROP_ATTRIBUTE = "attribute" meth public abstract java.lang.String getName() meth public abstract void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) supr org.netbeans.modules.xml.axi.AXIComponent CLSS public abstract org.netbeans.modules.xml.axi.AbstractElement cons public init(org.netbeans.modules.xml.axi.AXIModel) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.AXIComponent) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) fld protected java.lang.String maxOccurs fld protected java.lang.String minOccurs fld public final static java.lang.String PROP_ELEMENT = "element" fld public final static java.lang.String PROP_MAXOCCURS = "maxOccurs" fld public final static java.lang.String PROP_MINOCCURS = "minOccurs" meth public abstract void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) meth public boolean allowsFullMultiplicity() meth public java.lang.String getMaxOccurs() meth public java.lang.String getMinOccurs() meth public void setMaxOccurs(java.lang.String) meth public void setMinOccurs(java.lang.String) supr org.netbeans.modules.xml.axi.AXIContainer CLSS public org.netbeans.modules.xml.axi.AnyAttribute cons public init(org.netbeans.modules.xml.axi.AXIModel) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.AXIComponent) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) fld public final static java.lang.String PROP_NAMESPACE = "namespace" fld public final static java.lang.String PROP_PROCESSCONTENTS = "processContents" meth public java.lang.String getName() meth public java.lang.String getTargetNamespace() meth public java.lang.String toString() meth public org.netbeans.modules.xml.schema.model.Any$ProcessContents getProcessContents() meth public void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) meth public void setProcessContents(org.netbeans.modules.xml.schema.model.Any$ProcessContents) meth public void setTargetNamespace(java.lang.String) supr org.netbeans.modules.xml.axi.AbstractAttribute hfds namespace,processContents CLSS public org.netbeans.modules.xml.axi.AnyElement cons public init(org.netbeans.modules.xml.axi.AXIModel) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.AXIComponent) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) fld public final static java.lang.String PROP_NAMESPACE = "namespace" fld public final static java.lang.String PROP_PROCESSCONTENTS = "processContents" meth public java.lang.String getName() meth public java.lang.String getTargetNamespace() meth public java.lang.String toString() meth public org.netbeans.modules.xml.schema.model.Any$ProcessContents getProcessContents() meth public void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) meth public void setProcessContents(org.netbeans.modules.xml.schema.model.Any$ProcessContents) meth public void setTargetNamespace(java.lang.String) supr org.netbeans.modules.xml.axi.AbstractElement hfds namespace,processContents CLSS public abstract org.netbeans.modules.xml.axi.Attribute cons public init(org.netbeans.modules.xml.axi.AXIModel) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.AXIComponent) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) fld protected java.lang.String defaultValue fld protected java.lang.String fixedValue fld protected java.lang.String name fld protected org.netbeans.modules.xml.axi.AXIType datatype fld protected org.netbeans.modules.xml.schema.model.Attribute$Use use fld protected org.netbeans.modules.xml.schema.model.Form form fld public final static java.lang.String PROP_ATTRIBUTE_REF = "attributeRef" fld public final static java.lang.String PROP_DEFAULT = "default" fld public final static java.lang.String PROP_FIXED = "fixed" fld public final static java.lang.String PROP_FORM = "form" fld public final static java.lang.String PROP_NAME = "name" fld public final static java.lang.String PROP_TYPE = "type" fld public final static java.lang.String PROP_USE = "use" intf org.netbeans.modules.xml.axi.AXIType meth public abstract boolean isReference() meth public abstract java.lang.String getDefault() meth public abstract java.lang.String getFixed() meth public abstract org.netbeans.modules.xml.axi.AXIType getType() meth public abstract org.netbeans.modules.xml.axi.Attribute getReferent() meth public abstract org.netbeans.modules.xml.schema.model.Attribute$Use getUse() meth public abstract org.netbeans.modules.xml.schema.model.Form getForm() meth public abstract void setDefault(java.lang.String) meth public abstract void setFixed(java.lang.String) meth public abstract void setForm(org.netbeans.modules.xml.schema.model.Form) meth public abstract void setName(java.lang.String) meth public abstract void setType(org.netbeans.modules.xml.axi.AXIType) meth public abstract void setUse(org.netbeans.modules.xml.schema.model.Attribute$Use) meth public java.lang.String toString() meth public void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) supr org.netbeans.modules.xml.axi.AbstractAttribute CLSS public org.netbeans.modules.xml.axi.Compositor cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.AXIComponent) fld public final static java.lang.String PROP_COMPOSITOR = "compositor" fld public final static java.lang.String PROP_MAXOCCURS = "maxOccurs" fld public final static java.lang.String PROP_MINOCCURS = "minOccurs" fld public final static java.lang.String PROP_TYPE = "type" innr public final static !enum CompositorType meth public boolean allowsFullMultiplicity() meth public java.lang.String getMaxOccurs() meth public java.lang.String getMinOccurs() meth public java.lang.String toString() meth public org.netbeans.modules.xml.axi.Compositor$CompositorType getType() meth public void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) meth public void addCompositor(org.netbeans.modules.xml.axi.Compositor) meth public void addElement(org.netbeans.modules.xml.axi.Element) meth public void removeCompositor(org.netbeans.modules.xml.axi.Compositor) meth public void removeElement(org.netbeans.modules.xml.axi.Element) meth public void setMaxOccurs(java.lang.String) meth public void setMinOccurs(java.lang.String) meth public void setType(org.netbeans.modules.xml.axi.Compositor$CompositorType) supr org.netbeans.modules.xml.axi.AXIComponent hfds maxOccurs,minOccurs,type CLSS public final static !enum org.netbeans.modules.xml.axi.Compositor$CompositorType outer org.netbeans.modules.xml.axi.Compositor fld public final static org.netbeans.modules.xml.axi.Compositor$CompositorType ALL fld public final static org.netbeans.modules.xml.axi.Compositor$CompositorType CHOICE fld public final static org.netbeans.modules.xml.axi.Compositor$CompositorType SEQUENCE meth public java.lang.String getName() meth public java.lang.String toString() meth public static org.netbeans.modules.xml.axi.Compositor$CompositorType valueOf(java.lang.String) meth public static org.netbeans.modules.xml.axi.Compositor$CompositorType[] values() supr java.lang.Enum<org.netbeans.modules.xml.axi.Compositor$CompositorType> CLSS public org.netbeans.modules.xml.axi.ContentModel cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.ContentModel$ContentModelType) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) fld public final static java.lang.String PROP_CONTENT_MODEL = "contentModel" innr public final static !enum ContentModelType intf org.netbeans.modules.xml.axi.AXIType meth public java.lang.String toString() meth public org.netbeans.modules.xml.axi.AXIComponent$ComponentType getComponentType() meth public org.netbeans.modules.xml.axi.ContentModel$ContentModelType getType() meth public void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) supr org.netbeans.modules.xml.axi.AXIContainer hfds type CLSS public final static !enum org.netbeans.modules.xml.axi.ContentModel$ContentModelType outer org.netbeans.modules.xml.axi.ContentModel fld public final static org.netbeans.modules.xml.axi.ContentModel$ContentModelType ATTRIBUTE_GROUP fld public final static org.netbeans.modules.xml.axi.ContentModel$ContentModelType COMPLEX_TYPE fld public final static org.netbeans.modules.xml.axi.ContentModel$ContentModelType GROUP meth public static org.netbeans.modules.xml.axi.ContentModel$ContentModelType valueOf(java.lang.String) meth public static org.netbeans.modules.xml.axi.ContentModel$ContentModelType[] values() supr java.lang.Enum<org.netbeans.modules.xml.axi.ContentModel$ContentModelType> CLSS public abstract org.netbeans.modules.xml.axi.Element cons public init(org.netbeans.modules.xml.axi.AXIModel) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.AXIComponent) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) fld protected boolean isAbstract fld protected boolean isNillable fld protected java.lang.String block fld protected java.lang.String defaultValue fld protected java.lang.String finalValue fld protected java.lang.String fixedValue fld protected org.netbeans.modules.xml.schema.model.Form form fld public final static java.lang.String PROP_ABSTRACT = "abstract" fld public final static java.lang.String PROP_BLOCK = "block" fld public final static java.lang.String PROP_DEFAULT = "default" fld public final static java.lang.String PROP_ELEMENT_REF = "elementRef" fld public final static java.lang.String PROP_FINAL = "final" fld public final static java.lang.String PROP_FIXED = "fixed" fld public final static java.lang.String PROP_FORM = "form" fld public final static java.lang.String PROP_NILLABLE = "nillable" fld public final static java.lang.String PROP_TYPE = "type" intf org.netbeans.modules.xml.axi.AXIType meth public abstract boolean getAbstract() meth public abstract boolean getNillable() meth public abstract boolean isReference() meth public abstract java.lang.String getBlock() meth public abstract java.lang.String getDefault() meth public abstract java.lang.String getFinal() meth public abstract java.lang.String getFixed() meth public abstract org.netbeans.modules.xml.axi.AXIType getType() meth public abstract org.netbeans.modules.xml.axi.Element getReferent() meth public abstract org.netbeans.modules.xml.schema.model.Form getForm() meth public abstract void setAbstract(boolean) meth public abstract void setBlock(java.lang.String) meth public abstract void setDefault(java.lang.String) meth public abstract void setFinal(java.lang.String) meth public abstract void setFixed(java.lang.String) meth public abstract void setForm(org.netbeans.modules.xml.schema.model.Form) meth public abstract void setNillable(boolean) meth public abstract void setType(org.netbeans.modules.xml.axi.AXIType) meth public java.lang.Boolean isNillable() meth public java.lang.String toString() meth public void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) meth public void setNillable(java.lang.Boolean) supr org.netbeans.modules.xml.axi.AbstractElement CLSS public abstract org.netbeans.modules.xml.axi.SchemaGenerator cons public init(org.netbeans.modules.xml.axi.SchemaGenerator$Mode) fld public static org.netbeans.modules.xml.axi.SchemaGenerator$Pattern DEFAULT_DESIGN_PATTERN innr public abstract interface static PrimitiveCart innr public abstract interface static UniqueId innr public final static !enum Mode innr public final static !enum Pattern meth protected void visitChildren(org.netbeans.modules.xml.axi.AXIComponent) meth public abstract void transformSchema(org.netbeans.modules.xml.schema.model.SchemaModel) throws java.io.IOException meth public abstract void updateSchema(org.netbeans.modules.xml.schema.model.SchemaModel) throws java.io.IOException,javax.swing.text.BadLocationException meth public org.netbeans.modules.xml.axi.SchemaGenerator$Mode getMode() meth public void visit(org.netbeans.modules.xml.axi.Attribute) meth public void visit(org.netbeans.modules.xml.axi.Compositor) meth public void visit(org.netbeans.modules.xml.axi.Element) supr org.netbeans.modules.xml.axi.visitor.DefaultVisitor hfds mode CLSS public final static !enum org.netbeans.modules.xml.axi.SchemaGenerator$Mode outer org.netbeans.modules.xml.axi.SchemaGenerator fld public final static org.netbeans.modules.xml.axi.SchemaGenerator$Mode TRANSFORM fld public final static org.netbeans.modules.xml.axi.SchemaGenerator$Mode UPDATE meth public static org.netbeans.modules.xml.axi.SchemaGenerator$Mode valueOf(java.lang.String) meth public static org.netbeans.modules.xml.axi.SchemaGenerator$Mode[] values() supr java.lang.Enum<org.netbeans.modules.xml.axi.SchemaGenerator$Mode> CLSS public final static !enum org.netbeans.modules.xml.axi.SchemaGenerator$Pattern outer org.netbeans.modules.xml.axi.SchemaGenerator fld public final static org.netbeans.modules.xml.axi.SchemaGenerator$Pattern GARDEN_OF_EDEN fld public final static org.netbeans.modules.xml.axi.SchemaGenerator$Pattern MIXED fld public final static org.netbeans.modules.xml.axi.SchemaGenerator$Pattern RUSSIAN_DOLL fld public final static org.netbeans.modules.xml.axi.SchemaGenerator$Pattern SALAMI_SLICE fld public final static org.netbeans.modules.xml.axi.SchemaGenerator$Pattern VENITIAN_BLIND meth public static org.netbeans.modules.xml.axi.SchemaGenerator$Pattern valueOf(java.lang.String) meth public static org.netbeans.modules.xml.axi.SchemaGenerator$Pattern[] values() supr java.lang.Enum<org.netbeans.modules.xml.axi.SchemaGenerator$Pattern> CLSS public abstract interface static org.netbeans.modules.xml.axi.SchemaGenerator$PrimitiveCart outer org.netbeans.modules.xml.axi.SchemaGenerator meth public abstract java.util.Set<java.util.Map$Entry<org.netbeans.modules.xml.schema.model.SchemaComponent,org.netbeans.modules.xml.axi.datatype.Datatype>> getEntries() meth public abstract org.netbeans.modules.xml.schema.model.GlobalSimpleType getDefaultPrimitive() meth public abstract org.netbeans.modules.xml.schema.model.GlobalSimpleType getPrimitiveType(java.lang.String) meth public abstract void add(org.netbeans.modules.xml.axi.datatype.Datatype,org.netbeans.modules.xml.schema.model.SchemaComponent) CLSS public abstract interface static org.netbeans.modules.xml.axi.SchemaGenerator$UniqueId outer org.netbeans.modules.xml.axi.SchemaGenerator meth public abstract int nextId() CLSS public abstract org.netbeans.modules.xml.axi.SchemaGeneratorFactory cons public init() innr public final static !enum TransformHint meth public abstract java.util.List<org.netbeans.modules.xml.axi.Element> findMasterGlobalElements(org.netbeans.modules.xml.axi.AXIModel) meth public abstract org.netbeans.modules.xml.axi.SchemaGenerator$Pattern inferDesignPattern(org.netbeans.modules.xml.axi.AXIModel) meth public abstract org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint canTransformSchema(org.netbeans.modules.xml.schema.model.SchemaModel,org.netbeans.modules.xml.axi.SchemaGenerator$Pattern,org.netbeans.modules.xml.axi.SchemaGenerator$Pattern) meth public abstract org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint canTransformSchema(org.netbeans.modules.xml.schema.model.SchemaModel,org.netbeans.modules.xml.axi.SchemaGenerator$Pattern,org.netbeans.modules.xml.axi.SchemaGenerator$Pattern,java.util.List<org.netbeans.modules.xml.axi.Element>) meth public abstract void transformSchema(org.netbeans.modules.xml.schema.model.SchemaModel,org.netbeans.modules.xml.axi.SchemaGenerator$Pattern) throws java.io.IOException meth public abstract void updateSchema(org.netbeans.modules.xml.schema.model.SchemaModel,org.netbeans.modules.xml.axi.SchemaGenerator$Pattern) throws java.io.IOException,javax.swing.text.BadLocationException meth public static org.netbeans.modules.xml.axi.SchemaGeneratorFactory getDefault() supr java.lang.Object hfds instance CLSS public final static !enum org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint outer org.netbeans.modules.xml.axi.SchemaGeneratorFactory fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint CANNOT_REMOVE_GLOBAL_ELEMENTS fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint CANNOT_REMOVE_GLOBAL_ELEMENTS_AND_TYPES fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint CANNOT_REMOVE_TYPES fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint GLOBAL_ELEMENTS_HAVE_NO_CHILD_ATTRIBUTES fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint GLOBAL_ELEMENTS_HAVE_NO_CHILD_ELEMENTS fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint GLOBAL_ELEMENTS_HAVE_NO_CHILD_ELEMENTS_AND_ATTRIBUTES fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint GLOBAL_ELEMENTS_HAVE_NO_GRAND_CHILDREN fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint INVALID_SCHEMA fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint NO_ATTRIBUTES fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint NO_GLOBAL_ELEMENTS fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint OK fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint SAME_DESIGN_PATTERN fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint WILL_REMOVE_GLOBAL_ELEMENTS fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint WILL_REMOVE_GLOBAL_ELEMENTS_AND_TYPES fld public final static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint WILL_REMOVE_TYPES meth public static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint valueOf(java.lang.String) meth public static org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint[] values() supr java.lang.Enum<org.netbeans.modules.xml.axi.SchemaGeneratorFactory$TransformHint> CLSS public org.netbeans.modules.xml.axi.SchemaReference cons public init(org.netbeans.modules.xml.axi.AXIModel,boolean) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.axi.AXIComponent) cons public init(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaModelReference) fld public final static java.lang.String PROP_SCHEMA_LOCATION = "schemaLocation" fld public final static java.lang.String PROP_TARGET_NAMESPACE = "targetNamespace" meth public boolean isImport() meth public boolean isInclude() meth public java.lang.String getSchemaLocation() meth public java.lang.String getTargetNamespace() meth public void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) meth public void setSchemaLocation(java.lang.String) meth public void setTargetNamespace(java.lang.String) supr org.netbeans.modules.xml.axi.AXIComponent hfds include,schemaLocation,targetNamespace CLSS public org.netbeans.modules.xml.axi.datatype.AnyType cons public init() supr org.netbeans.modules.xml.axi.datatype.StringBase CLSS public org.netbeans.modules.xml.axi.datatype.AnyURIType cons public init() supr org.netbeans.modules.xml.axi.datatype.StringBase CLSS public org.netbeans.modules.xml.axi.datatype.Base64BinaryType cons public init() supr org.netbeans.modules.xml.axi.datatype.BinaryBase CLSS public abstract org.netbeans.modules.xml.axi.datatype.BinaryBase cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) fld protected boolean hasFacets fld protected java.util.List<java.lang.String> enumerations meth public boolean hasFacets() meth public boolean isList() meth public java.util.List<java.lang.Integer> getLengths() meth public java.util.List<java.lang.Integer> getMaxLengths() meth public java.util.List<java.lang.Integer> getMinLengths() meth public java.util.List<java.lang.String> getEnumerations() meth public java.util.List<java.lang.String> getPatterns() meth public java.util.List<org.netbeans.modules.xml.axi.datatype.Datatype$Facet> getApplicableFacets() meth public java.util.List<org.netbeans.modules.xml.schema.model.Whitespace$Treatment> getWhiteSpaces() meth public org.netbeans.modules.xml.axi.datatype.Datatype$Kind getKind() meth public void addEnumeration(java.lang.String) meth public void addLength(int) meth public void addMaxLength(int) meth public void addMinLength(int) meth public void addPattern(java.lang.String) meth public void addWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void removeEnumeration(java.lang.String) meth public void removeLength(java.lang.Number) meth public void removeMaxLength(java.lang.Number) meth public void removeMinLength(java.lang.Number) meth public void removePattern(java.lang.String) meth public void removeWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void setIsList(boolean) supr org.netbeans.modules.xml.axi.datatype.Datatype hfds applicableFacets,isList,kind,lengths,maxLengths,minLengths,patterns,whitespaces CLSS public org.netbeans.modules.xml.axi.datatype.BooleanType cons public init() fld protected boolean hasFacets meth public boolean hasFacets() meth public boolean isList() meth public java.util.List<java.lang.String> getPatterns() meth public java.util.List<org.netbeans.modules.xml.axi.datatype.Datatype$Facet> getApplicableFacets() meth public java.util.List<org.netbeans.modules.xml.schema.model.Whitespace$Treatment> getWhiteSpaces() meth public org.netbeans.modules.xml.axi.datatype.Datatype$Kind getKind() meth public void addPattern(java.lang.String) meth public void addWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void setIsList(boolean) supr org.netbeans.modules.xml.axi.datatype.Datatype hfds applicableFacets,isList,kind,patterns,whitespaces CLSS public org.netbeans.modules.xml.axi.datatype.ByteType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.ShortType CLSS public org.netbeans.modules.xml.axi.datatype.CustomDatatype cons public init(java.lang.String) cons public init(java.lang.String,org.netbeans.modules.xml.axi.datatype.Datatype) meth public boolean hasFacets() meth public boolean isList() meth public java.lang.String getName() meth public java.lang.String toString() meth public java.util.List<? extends java.lang.Number> getFractionDigits() meth public java.util.List<? extends java.lang.Number> getLengths() meth public java.util.List<? extends java.lang.Number> getMaxLengths() meth public java.util.List<? extends java.lang.Number> getMinLengths() meth public java.util.List<? extends java.lang.Number> getTotalDigits() meth public java.util.List<? extends java.lang.String> getPatterns() meth public java.util.List<?> getEnumerations() meth public java.util.List<?> getMaxExclusives() meth public java.util.List<?> getMaxInclusives() meth public java.util.List<?> getMinExclusives() meth public java.util.List<?> getMinInclusives() meth public java.util.List<org.netbeans.modules.xml.axi.datatype.Datatype$Facet> getApplicableFacets() meth public java.util.List<org.netbeans.modules.xml.schema.model.Whitespace$Treatment> getWhiteSpaces() meth public org.netbeans.modules.xml.axi.datatype.Datatype getBase() meth public org.netbeans.modules.xml.axi.datatype.Datatype$Kind getKind() meth public void addEnumeration(java.lang.Object) meth public void addFractionDigits(int) meth public void addLength(int) meth public void addMaxExclusive(java.lang.Object) meth public void addMaxInclusive(java.lang.Object) meth public void addMaxLength(int) meth public void addMinExclusive(java.lang.Object) meth public void addMinInclusive(java.lang.Object) meth public void addMinLength(int) meth public void addPattern(java.lang.String) meth public void addTotalDigits(int) meth public void addWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void setBase(org.netbeans.modules.xml.axi.datatype.Datatype) meth public void setIsList(boolean) meth public void setName(java.lang.String) supr org.netbeans.modules.xml.axi.datatype.Datatype hfds base,name CLSS public abstract org.netbeans.modules.xml.axi.datatype.Datatype cons public init() innr public final static !enum Facet innr public final static !enum Kind intf org.netbeans.modules.xml.axi.AXIType meth public abstract boolean hasFacets() meth public abstract boolean isList() meth public abstract java.util.List<org.netbeans.modules.xml.axi.datatype.Datatype$Facet> getApplicableFacets() meth public abstract org.netbeans.modules.xml.axi.datatype.Datatype$Kind getKind() meth public abstract void setIsList(boolean) meth public boolean isPrimitive() meth public java.lang.String getName() meth public java.lang.String toString() meth public java.util.List<? extends java.lang.Number> getFractionDigits() meth public java.util.List<? extends java.lang.Number> getLengths() meth public java.util.List<? extends java.lang.Number> getMaxLengths() meth public java.util.List<? extends java.lang.Number> getMinLengths() meth public java.util.List<? extends java.lang.Number> getTotalDigits() meth public java.util.List<? extends java.lang.String> getPatterns() meth public java.util.List<?> getEnumerations() meth public java.util.List<?> getMaxExclusives() meth public java.util.List<?> getMaxInclusives() meth public java.util.List<?> getMinExclusives() meth public java.util.List<?> getMinInclusives() meth public java.util.List<org.netbeans.modules.xml.schema.model.Whitespace$Treatment> getWhiteSpaces() meth public void accept(org.netbeans.modules.xml.axi.visitor.AXIVisitor) meth public void addEnumeration(java.lang.Object) meth public void addFractionDigits(int) meth public void addLength(int) meth public void addMaxExclusive(java.lang.Object) meth public void addMaxInclusive(java.lang.Object) meth public void addMaxLength(int) meth public void addMinExclusive(java.lang.Object) meth public void addMinInclusive(java.lang.Object) meth public void addMinLength(int) meth public void addPattern(java.lang.String) meth public void addTotalDigits(int) meth public void addWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void removeEnumeration(java.lang.Object) meth public void removeFractionDigits(java.lang.Number) meth public void removeLength(java.lang.Number) meth public void removeMaxExclusive(java.lang.Object) meth public void removeMaxInclusive(java.lang.Object) meth public void removeMaxLength(java.lang.Number) meth public void removeMinExclusive(java.lang.Object) meth public void removeMinInclusive(java.lang.Object) meth public void removeMinLength(java.lang.Number) meth public void removePattern(java.lang.String) meth public void removeTotalDigits(java.lang.Number) meth public void removeWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) supr java.lang.Object hfds enumerations CLSS public final static !enum org.netbeans.modules.xml.axi.datatype.Datatype$Facet outer org.netbeans.modules.xml.axi.datatype.Datatype fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet ENUMERATION fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet FRACTIONDIGITS fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet LENGTH fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet MAXEXCLUSIVE fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet MAXINCLUSIVE fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet MAXLENGTH fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet MINEXCLUSIVE fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet MININCLUSIVE fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet MINLENGTH fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet PATTERN fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet TOTATDIGITS fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Facet WHITESPACE meth public java.lang.Class<? extends org.netbeans.modules.xml.schema.model.SchemaComponent> getComponentType() meth public java.lang.String getName() meth public static org.netbeans.modules.xml.axi.datatype.Datatype$Facet valueOf(java.lang.String) meth public static org.netbeans.modules.xml.axi.datatype.Datatype$Facet[] values() supr java.lang.Enum<org.netbeans.modules.xml.axi.datatype.Datatype$Facet> hfds name,type CLSS public final static !enum org.netbeans.modules.xml.axi.datatype.Datatype$Kind outer org.netbeans.modules.xml.axi.datatype.Datatype fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind ANYTYPE fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind ANYURI fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind BASE64_BINARY fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind BOOLEAN fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind BYTE fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind DATE fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind DATE_TIME fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind DECIMAL fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind DOUBLE fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind DURATION fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind ENTITIES fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind ENTITY fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind FLOAT fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind G_DAY fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind G_MONTH fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind G_MONTH_DAY fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind G_YEAR fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind G_YEAR_MONTH fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind HEX_BINARY fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind ID fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind IDREF fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind IDREFS fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind INT fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind INTEGER fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind LANGUAGE fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind LONG fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind NAME fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind NCNAME fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind NEGATIVE_INTEGER fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind NMTOKEN fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind NMTOKENS fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind NON_NEGATIVE_INTEGER fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind NON_POSITIVE_INTEGER fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind NORMALIZED_STRING fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind NOTATION fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind POSITIVE_INTEGER fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind QNAME fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind SHORT fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind STRING fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind TIME fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind TOKEN fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind UNION fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind UNSIGNED_BYTE fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind UNSIGNED_INT fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind UNSIGNED_LONG fld public final static org.netbeans.modules.xml.axi.datatype.Datatype$Kind UNSIGNED_SHORT meth public java.lang.String getName() meth public static org.netbeans.modules.xml.axi.datatype.Datatype$Kind valueOf(java.lang.String) meth public static org.netbeans.modules.xml.axi.datatype.Datatype$Kind[] values() supr java.lang.Enum<org.netbeans.modules.xml.axi.datatype.Datatype$Kind> hfds name CLSS public abstract org.netbeans.modules.xml.axi.datatype.DatatypeFactory cons public init() meth public abstract java.util.List<java.lang.Class<? extends org.netbeans.modules.xml.schema.model.SchemaComponent>> getApplicableSchemaFacets(org.netbeans.modules.xml.schema.model.SimpleType) meth public abstract org.netbeans.modules.xml.axi.datatype.Datatype createPrimitive(java.lang.String) meth public abstract org.netbeans.modules.xml.axi.datatype.Datatype getDatatype(org.netbeans.modules.xml.axi.AXIModel,org.netbeans.modules.xml.schema.model.SchemaComponent) meth public static org.netbeans.modules.xml.axi.datatype.DatatypeFactory getDefault() supr java.lang.Object hfds instance CLSS public org.netbeans.modules.xml.axi.datatype.DateTimeType cons public init() supr org.netbeans.modules.xml.axi.datatype.TimeBase CLSS public org.netbeans.modules.xml.axi.datatype.DateType cons public init() supr org.netbeans.modules.xml.axi.datatype.TimeBase CLSS public org.netbeans.modules.xml.axi.datatype.DecimalType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NumberBase CLSS public org.netbeans.modules.xml.axi.datatype.DoubleType cons public init() supr org.netbeans.modules.xml.axi.datatype.NumberBase CLSS public org.netbeans.modules.xml.axi.datatype.DurationType cons public init() supr org.netbeans.modules.xml.axi.datatype.TimeBase CLSS public org.netbeans.modules.xml.axi.datatype.EntitiesType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NameType CLSS public org.netbeans.modules.xml.axi.datatype.EntityType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NameType CLSS public org.netbeans.modules.xml.axi.datatype.FloatType cons public init() supr org.netbeans.modules.xml.axi.datatype.NumberBase CLSS public org.netbeans.modules.xml.axi.datatype.GDayType cons public init() supr org.netbeans.modules.xml.axi.datatype.TimeBase CLSS public org.netbeans.modules.xml.axi.datatype.GMonthDayType cons public init() supr org.netbeans.modules.xml.axi.datatype.TimeBase CLSS public org.netbeans.modules.xml.axi.datatype.GMonthType cons public init() supr org.netbeans.modules.xml.axi.datatype.TimeBase CLSS public org.netbeans.modules.xml.axi.datatype.GYearMonthType cons public init() supr org.netbeans.modules.xml.axi.datatype.TimeBase CLSS public org.netbeans.modules.xml.axi.datatype.GYearType cons public init() supr org.netbeans.modules.xml.axi.datatype.TimeBase CLSS public org.netbeans.modules.xml.axi.datatype.HexBinaryType cons public init() supr org.netbeans.modules.xml.axi.datatype.BinaryBase CLSS public org.netbeans.modules.xml.axi.datatype.IdRefType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NameType CLSS public org.netbeans.modules.xml.axi.datatype.IdRefsType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NameType CLSS public org.netbeans.modules.xml.axi.datatype.IdType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NameType CLSS public org.netbeans.modules.xml.axi.datatype.IntType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.LongType CLSS public org.netbeans.modules.xml.axi.datatype.IntegerType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.DecimalType CLSS public org.netbeans.modules.xml.axi.datatype.LanguageType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.TokenType CLSS public org.netbeans.modules.xml.axi.datatype.LongType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.IntegerType CLSS public org.netbeans.modules.xml.axi.datatype.NameType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.TokenType CLSS public org.netbeans.modules.xml.axi.datatype.NcNameType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NameType CLSS public org.netbeans.modules.xml.axi.datatype.NegativeIntegerType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.IntegerType CLSS public org.netbeans.modules.xml.axi.datatype.NmTokenType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.TokenType CLSS public org.netbeans.modules.xml.axi.datatype.NmTokensType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NmTokenType CLSS public org.netbeans.modules.xml.axi.datatype.NonNegativeIntegerType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.IntegerType CLSS public org.netbeans.modules.xml.axi.datatype.NonPositiveIntegerType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.IntegerType CLSS public org.netbeans.modules.xml.axi.datatype.NormalizedStringType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.StringType CLSS public org.netbeans.modules.xml.axi.datatype.NotationType cons public init() fld protected boolean hasFacets meth public java.util.List<java.lang.Integer> getLengths() meth public java.util.List<java.lang.Integer> getMaxLengths() meth public java.util.List<java.lang.Integer> getMinLengths() meth public java.util.List<java.lang.String> getEnumerations() meth public java.util.List<java.lang.String> getPatterns() meth public java.util.List<org.netbeans.modules.xml.schema.model.Whitespace$Treatment> getWhiteSpaces() meth public void addEnumeration(java.lang.String) meth public void addLength(int) meth public void addMaxLength(int) meth public void addMinLength(int) meth public void addPattern(java.lang.String) meth public void addWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void removeEnumeration(java.lang.String) meth public void removeLength(int) meth public void removeMaxLength(int) meth public void removeMinLength(int) meth public void removePattern(java.lang.String) meth public void removeWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) supr org.netbeans.modules.xml.axi.datatype.StringBase hfds enumerations,lengths,maxLengths,minLengths,patterns,whitespaces CLSS public abstract org.netbeans.modules.xml.axi.datatype.NumberBase cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) fld public final static java.lang.Number UNBOUNDED_VALUE fld public final static java.lang.String UNBOUNDED_STRING = "unbounded" meth public boolean hasFacets() meth public boolean isList() meth public java.util.List<java.lang.Integer> getFractionDigits() meth public java.util.List<java.lang.Integer> getTotalDigits() meth public java.util.List<java.lang.Number> getEnumerations() meth public java.util.List<java.lang.Number> getMaxExclusives() meth public java.util.List<java.lang.Number> getMaxInclusives() meth public java.util.List<java.lang.Number> getMinExclusives() meth public java.util.List<java.lang.Number> getMinInclusives() meth public java.util.List<java.lang.String> getPatterns() meth public java.util.List<org.netbeans.modules.xml.axi.datatype.Datatype$Facet> getApplicableFacets() meth public java.util.List<org.netbeans.modules.xml.schema.model.Whitespace$Treatment> getWhiteSpaces() meth public org.netbeans.modules.xml.axi.datatype.Datatype$Kind getKind() meth public static java.lang.Number toNumber(java.lang.String) meth public static java.lang.String toXMLString(java.lang.Number) meth public void addEnumeration(java.lang.Number) meth public void addFractionDigits(int) meth public void addMaxExclusive(java.lang.Number) meth public void addMaxInclusive(java.lang.Number) meth public void addMinExclusive(java.lang.Number) meth public void addMinInclusive(java.lang.Number) meth public void addPattern(java.lang.String) meth public void addTotalDigits(int) meth public void addWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void removeEnumeration(java.lang.Number) meth public void removeFractionDigits(java.lang.Number) meth public void removeMaxExclusive(java.lang.Number) meth public void removeMaxInclusive(java.lang.Number) meth public void removeMinExclusive(java.lang.Number) meth public void removeMinInclusive(java.lang.Number) meth public void removePattern(java.lang.String) meth public void removeTotalDigits(java.lang.Number) meth public void removeWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void setIsList(boolean) supr org.netbeans.modules.xml.axi.datatype.Datatype hfds applicableFacets,enumerations,fractionDigits,hasFacets,isList,kind,maxExclusives,maxInclusives,minExclusives,minInclusives,patterns,totalDigits,whitespaces CLSS public org.netbeans.modules.xml.axi.datatype.PositiveIntegerType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NonNegativeIntegerType CLSS public org.netbeans.modules.xml.axi.datatype.QNameType cons public init() fld protected boolean hasFacets fld protected java.util.List<java.lang.String> enumerations meth public java.util.List<java.lang.Integer> getLengths() meth public java.util.List<java.lang.Integer> getMaxLengths() meth public java.util.List<java.lang.Integer> getMinLengths() meth public java.util.List<java.lang.String> getEnumerations() meth public java.util.List<java.lang.String> getPatterns() meth public java.util.List<org.netbeans.modules.xml.schema.model.Whitespace$Treatment> getWhiteSpaces() meth public void addEnumeration(java.lang.String) meth public void addLength(int) meth public void addMaxLength(int) meth public void addMinLength(int) meth public void addPattern(java.lang.String) meth public void addWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void removeEnumeration(java.lang.String) meth public void removeLength(int) meth public void removeMaxLength(int) meth public void removeMinLength(int) meth public void removePattern(java.lang.String) meth public void removeWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) supr org.netbeans.modules.xml.axi.datatype.StringBase hfds lengths,maxLengths,minLengths,patterns,whitespaces CLSS public org.netbeans.modules.xml.axi.datatype.ShortType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.IntType CLSS public org.netbeans.modules.xml.axi.datatype.StringBase cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) meth public boolean hasFacets() meth public boolean isList() meth public java.util.List<java.lang.Integer> getLengths() meth public java.util.List<java.lang.Integer> getMaxLengths() meth public java.util.List<java.lang.Integer> getMinLengths() meth public java.util.List<java.lang.String> getEnumerations() meth public java.util.List<java.lang.String> getPatterns() meth public java.util.List<org.netbeans.modules.xml.axi.datatype.Datatype$Facet> getApplicableFacets() meth public java.util.List<org.netbeans.modules.xml.schema.model.Whitespace$Treatment> getWhiteSpaces() meth public org.netbeans.modules.xml.axi.datatype.Datatype$Kind getKind() meth public void addEnumeration(java.lang.String) meth public void addLength(int) meth public void addMaxLength(int) meth public void addMinLength(int) meth public void addPattern(java.lang.String) meth public void addWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void removeEnumeration(java.lang.String) meth public void removeLength(java.lang.Number) meth public void removeMaxLength(java.lang.Number) meth public void removeMinLength(java.lang.Number) meth public void removePattern(java.lang.String) meth public void removeWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void setIsList(boolean) supr org.netbeans.modules.xml.axi.datatype.Datatype hfds applicableFacets,enumerations,hasFacets,isList,kind,lengths,maxLengths,minLengths,name,patterns,whitespaces CLSS public org.netbeans.modules.xml.axi.datatype.StringType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.StringBase CLSS public abstract org.netbeans.modules.xml.axi.datatype.TimeBase cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) fld protected java.util.List<java.lang.String> enumerations fld protected java.util.List<java.lang.String> maxExclusives fld protected java.util.List<java.lang.String> maxInclusives fld protected java.util.List<java.lang.String> minExclusives fld protected java.util.List<java.lang.String> minInclusives fld protected java.util.List<java.lang.String> patterns fld protected java.util.List<org.netbeans.modules.xml.schema.model.Whitespace$Treatment> whitespaces meth public boolean hasFacets() meth public boolean isList() meth public java.util.List<java.lang.String> getEnumerations() meth public java.util.List<java.lang.String> getMaxExclusives() meth public java.util.List<java.lang.String> getMaxInclusives() meth public java.util.List<java.lang.String> getMinExclusives() meth public java.util.List<java.lang.String> getMinInclusives() meth public java.util.List<java.lang.String> getPatterns() meth public java.util.List<org.netbeans.modules.xml.axi.datatype.Datatype$Facet> getApplicableFacets() meth public java.util.List<org.netbeans.modules.xml.schema.model.Whitespace$Treatment> getWhiteSpaces() meth public org.netbeans.modules.xml.axi.datatype.Datatype$Kind getKind() meth public void addEnumeration(java.lang.String) meth public void addMaxExclusive(java.lang.String) meth public void addMaxInclusive(java.lang.String) meth public void addMinExclusive(java.lang.String) meth public void addMinInclusive(java.lang.String) meth public void addPattern(java.lang.String) meth public void addWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void removeEnumeration(java.lang.String) meth public void removeMaxExclusive(java.lang.String) meth public void removeMaxInclusive(java.lang.String) meth public void removeMinExclusive(java.lang.String) meth public void removeMinInclusive(java.lang.String) meth public void removePattern(java.lang.String) meth public void removeWhitespace(org.netbeans.modules.xml.schema.model.Whitespace$Treatment) meth public void setIsList(boolean) supr org.netbeans.modules.xml.axi.datatype.Datatype hfds applicableFacets,hasFacets,isList,kind CLSS public org.netbeans.modules.xml.axi.datatype.TimeType cons public init() supr org.netbeans.modules.xml.axi.datatype.TimeBase CLSS public org.netbeans.modules.xml.axi.datatype.TokenType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NormalizedStringType CLSS public org.netbeans.modules.xml.axi.datatype.UnionType cons public init() fld protected boolean hasFacets meth public boolean hasFacets() meth public boolean isList() meth public java.util.List<org.netbeans.modules.xml.axi.datatype.Datatype$Facet> getApplicableFacets() meth public java.util.List<org.netbeans.modules.xml.axi.datatype.Datatype> getMemberTypes() meth public org.netbeans.modules.xml.axi.datatype.Datatype$Kind getKind() meth public void addMemberType(org.netbeans.modules.xml.axi.datatype.Datatype) meth public void setHasFacets(boolean) meth public void setIsList(boolean) supr org.netbeans.modules.xml.axi.datatype.Datatype hfds applicableFacets,isList,kind,m CLSS public org.netbeans.modules.xml.axi.datatype.UnsignedByteType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NonNegativeIntegerType CLSS public org.netbeans.modules.xml.axi.datatype.UnsignedIntType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NonNegativeIntegerType CLSS public org.netbeans.modules.xml.axi.datatype.UnsignedLongType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) supr org.netbeans.modules.xml.axi.datatype.NonNegativeIntegerType CLSS public org.netbeans.modules.xml.axi.datatype.UnsignedShortType cons public init() cons public init(org.netbeans.modules.xml.axi.datatype.Datatype$Kind) meth protected void initialize() supr org.netbeans.modules.xml.axi.datatype.NonNegativeIntegerType CLSS public org.netbeans.modules.xml.axi.visitor.AXINonCyclicVisitor cons public init(org.netbeans.modules.xml.axi.AXIModel) meth public boolean canVisit(org.netbeans.modules.xml.axi.Element) meth public void expand(java.util.List<org.netbeans.modules.xml.axi.Element>) meth public void expand(org.netbeans.modules.xml.axi.AXIDocument) meth public void visit(org.netbeans.modules.xml.axi.Element) meth public void visitChildren(org.netbeans.modules.xml.axi.Element) supr org.netbeans.modules.xml.axi.visitor.DeepAXITreeVisitor hfds am,path,sm CLSS public abstract interface org.netbeans.modules.xml.axi.visitor.AXIVisitor meth public abstract void visit(org.netbeans.modules.xml.axi.AXIDocument) meth public abstract void visit(org.netbeans.modules.xml.axi.AnyAttribute) meth public abstract void visit(org.netbeans.modules.xml.axi.AnyElement) meth public abstract void visit(org.netbeans.modules.xml.axi.Attribute) meth public abstract void visit(org.netbeans.modules.xml.axi.Compositor) meth public abstract void visit(org.netbeans.modules.xml.axi.ContentModel) meth public abstract void visit(org.netbeans.modules.xml.axi.Element) meth public abstract void visit(org.netbeans.modules.xml.axi.datatype.Datatype) CLSS public abstract interface org.netbeans.modules.xml.axi.visitor.AXIVisitor2 intf org.netbeans.modules.xml.axi.visitor.AXIVisitor meth public abstract void visit(org.netbeans.modules.xml.axi.SchemaReference) CLSS public org.netbeans.modules.xml.axi.visitor.DeepAXITreeVisitor cons public init() meth protected boolean canVisit(org.netbeans.modules.xml.axi.AXIComponent) meth protected void visitChildren(org.netbeans.modules.xml.axi.AXIComponent) meth public void visit(org.netbeans.modules.xml.axi.AXIDocument) meth public void visit(org.netbeans.modules.xml.axi.AnyAttribute) meth public void visit(org.netbeans.modules.xml.axi.AnyElement) meth public void visit(org.netbeans.modules.xml.axi.Attribute) meth public void visit(org.netbeans.modules.xml.axi.Compositor) meth public void visit(org.netbeans.modules.xml.axi.ContentModel) meth public void visit(org.netbeans.modules.xml.axi.Element) supr org.netbeans.modules.xml.axi.visitor.DefaultVisitor hfds pathToRoot CLSS public abstract org.netbeans.modules.xml.axi.visitor.DefaultVisitor cons public init() intf org.netbeans.modules.xml.axi.visitor.AXIVisitor2 meth public void visit(org.netbeans.modules.xml.axi.AXIDocument) meth public void visit(org.netbeans.modules.xml.axi.AnyAttribute) meth public void visit(org.netbeans.modules.xml.axi.AnyElement) meth public void visit(org.netbeans.modules.xml.axi.Attribute) meth public void visit(org.netbeans.modules.xml.axi.Compositor) meth public void visit(org.netbeans.modules.xml.axi.ContentModel) meth public void visit(org.netbeans.modules.xml.axi.Element) meth public void visit(org.netbeans.modules.xml.axi.SchemaReference) meth public void visit(org.netbeans.modules.xml.axi.datatype.Datatype) supr java.lang.Object CLSS public org.netbeans.modules.xml.axi.visitor.FindUsageVisitor cons public init(org.netbeans.modules.xml.axi.AXIModel) meth public org.netbeans.modules.xml.axi.impl.Preview findUsages(org.netbeans.modules.xml.axi.AXIDocument) meth public org.netbeans.modules.xml.axi.impl.Preview findUsages(org.netbeans.modules.xml.axi.Element) meth public void visit(org.netbeans.modules.xml.axi.Element) supr org.netbeans.modules.xml.axi.visitor.AXINonCyclicVisitor hfds p,usedBy CLSS public org.netbeans.modules.xml.axi.visitor.PrintAXITreeVisitor cons public init() meth protected void visitChildren(org.netbeans.modules.xml.axi.AXIComponent) supr org.netbeans.modules.xml.axi.visitor.DeepAXITreeVisitor hfds PRINT_TO_CONSOLE,depth CLSS public abstract org.netbeans.modules.xml.xam.AbstractComponent<%0 extends org.netbeans.modules.xml.xam.Component<{org.netbeans.modules.xml.xam.AbstractComponent%0}>> cons public init(org.netbeans.modules.xml.xam.AbstractModel) intf org.netbeans.modules.xml.xam.Component<{org.netbeans.modules.xml.xam.AbstractComponent%0}> meth protected <%0 extends {org.netbeans.modules.xml.xam.AbstractComponent%0}> {%%0} getChild(java.lang.Class<{%%0}>) meth protected abstract void appendChildQuietly({org.netbeans.modules.xml.xam.AbstractComponent%0},java.util.List<{org.netbeans.modules.xml.xam.AbstractComponent%0}>) meth protected abstract void insertAtIndexQuietly({org.netbeans.modules.xml.xam.AbstractComponent%0},java.util.List<{org.netbeans.modules.xml.xam.AbstractComponent%0}>,int) meth protected abstract void populateChildren(java.util.List<{org.netbeans.modules.xml.xam.AbstractComponent%0}>) meth protected abstract void removeChildQuietly({org.netbeans.modules.xml.xam.AbstractComponent%0},java.util.List<{org.netbeans.modules.xml.xam.AbstractComponent%0}>) meth protected final boolean isChildrenInitialized() meth protected void addAfter(java.lang.String,{org.netbeans.modules.xml.xam.AbstractComponent%0},java.util.Collection<java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>>) meth protected void addBefore(java.lang.String,{org.netbeans.modules.xml.xam.AbstractComponent%0},java.util.Collection<java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>>) meth protected void appendChild(java.lang.String,{org.netbeans.modules.xml.xam.AbstractComponent%0}) meth protected void checkNullOrDuplicateChild({org.netbeans.modules.xml.xam.AbstractComponent%0}) meth protected void fireChildAdded() meth protected void fireChildRemoved() meth protected void firePropertyChange(java.lang.String,java.lang.Object,java.lang.Object) meth protected void fireValueChanged() meth protected void insertAtIndex(java.lang.String,{org.netbeans.modules.xml.xam.AbstractComponent%0},int,java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>) meth protected void setChild(java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>,java.lang.String,{org.netbeans.modules.xml.xam.AbstractComponent%0},java.util.Collection<java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>>) meth protected void setChild(java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>,java.lang.String,{org.netbeans.modules.xml.xam.AbstractComponent%0},java.util.Collection<java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>>,boolean) meth protected void setChildAfter(java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>,java.lang.String,{org.netbeans.modules.xml.xam.AbstractComponent%0},java.util.Collection<java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>>) meth protected void setChildBefore(java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>,java.lang.String,{org.netbeans.modules.xml.xam.AbstractComponent%0},java.util.Collection<java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>>) meth protected void setModel(org.netbeans.modules.xml.xam.AbstractModel) meth protected void setParent({org.netbeans.modules.xml.xam.AbstractComponent%0}) meth protected void verifyWrite() meth public <%0 extends {org.netbeans.modules.xml.xam.AbstractComponent%0}> java.util.List<{%%0}> getChildren(java.lang.Class<{%%0}>) meth public boolean canPaste(org.netbeans.modules.xml.xam.Component) meth public final void addPropertyChangeListener(java.beans.PropertyChangeListener) meth public final void removePropertyChangeListener(java.beans.PropertyChangeListener) meth public int getChildrenCount() meth public java.util.List<{org.netbeans.modules.xml.xam.AbstractComponent%0}> getChildren() meth public java.util.List<{org.netbeans.modules.xml.xam.AbstractComponent%0}> getChildren(java.util.Collection<java.lang.Class<? extends {org.netbeans.modules.xml.xam.AbstractComponent%0}>>) meth public org.netbeans.modules.xml.xam.AbstractModel getModel() meth public void checkChildrenPopulated() meth public void insertAtIndex(java.lang.String,{org.netbeans.modules.xml.xam.AbstractComponent%0},int) meth public void removeChild(java.lang.String,{org.netbeans.modules.xml.xam.AbstractComponent%0}) meth public void removeComponentListener(org.netbeans.modules.xml.xam.ComponentListener) meth public {org.netbeans.modules.xml.xam.AbstractComponent%0} getParent() supr java.lang.Object hfds children,model,parent hcls DelegateListener CLSS public abstract org.netbeans.modules.xml.xam.AbstractModel<%0 extends org.netbeans.modules.xml.xam.Component<{org.netbeans.modules.xml.xam.AbstractModel%0}>> cons public init(org.netbeans.modules.xml.xam.ModelSource) fld protected org.netbeans.modules.xml.xam.AbstractModel$ModelUndoableEditSupport ues innr protected ModelUndoableEdit innr protected ModelUndoableEditSupport intf javax.swing.event.UndoableEditListener intf org.netbeans.modules.xml.xam.Model<{org.netbeans.modules.xml.xam.AbstractModel%0}> meth protected boolean needsSync() meth protected javax.swing.undo.CompoundEdit createModelUndoableEdit() meth protected void endTransaction(boolean) meth protected void finishTransaction() meth protected void refresh() meth protected void setInSync(boolean) meth protected void setInUndoRedo(boolean) meth protected void setState(org.netbeans.modules.xml.xam.Model$State) meth protected void syncCompleted() meth protected void syncStarted() meth protected void transactionCompleted() meth protected void transactionStarted() meth public abstract org.netbeans.modules.xml.xam.ModelAccess getAccess() meth public boolean inSync() meth public boolean inUndoRedo() meth public boolean isAutoSyncActive() meth public boolean isIntransaction() meth public boolean startTransaction() anno 0 org.netbeans.api.annotations.common.CheckReturnValue() meth public boolean startedFiringEvents() meth public org.netbeans.modules.xml.xam.Model$State getState() meth public org.netbeans.modules.xml.xam.ModelSource getModelSource() meth public void addComponentListener(org.netbeans.modules.xml.xam.ComponentListener) meth public void addPropertyChangeListener(java.beans.PropertyChangeListener) meth public void addUndoableEditListener(javax.swing.event.UndoableEditListener) meth public void addUndoableRefactorListener(javax.swing.event.UndoableEditListener) meth public void endTransaction() meth public void fireComponentChangedEvent(org.netbeans.modules.xml.xam.ComponentEvent) meth public void firePropertyChangeEvent(java.beans.PropertyChangeEvent) meth public void removeComponentListener(org.netbeans.modules.xml.xam.ComponentListener) meth public void removePropertyChangeListener(java.beans.PropertyChangeListener) meth public void removeUndoableEditListener(javax.swing.event.UndoableEditListener) meth public void removeUndoableRefactorListener(javax.swing.event.UndoableEditListener) meth public void rollbackTransaction() meth public void setAutoSyncActive(boolean) meth public void sync() throws java.io.IOException meth public void undoableEditHappened(javax.swing.event.UndoableEditEvent) meth public void validateWrite() supr java.lang.Object hfds RP,componentListeners,inSync,inUndoRedo,logger,pcs,savedUndoableEditListeners,source,status,transaction hcls Transaction CLSS public abstract org.netbeans.modules.xml.xam.AbstractModelFactory<%0 extends org.netbeans.modules.xml.xam.Model> cons public init() fld public final static int DELAY_DIRTY = 1000 fld public final static int DELAY_SYNCER = 2000 fld public final static java.lang.String MODEL_LOADED_PROPERTY = "modelLoaded" meth protected abstract {org.netbeans.modules.xml.xam.AbstractModelFactory%0} createModel(org.netbeans.modules.xml.xam.ModelSource) meth protected java.lang.Object getKey(org.netbeans.modules.xml.xam.ModelSource) meth protected {org.netbeans.modules.xml.xam.AbstractModelFactory%0} getModel(org.netbeans.modules.xml.xam.ModelSource) meth public java.util.List<{org.netbeans.modules.xml.xam.AbstractModelFactory%0}> getModels() meth public static org.netbeans.modules.xml.xam.spi.ModelAccessProvider getAccessProvider() meth public void addPropertyChangeListener(java.beans.PropertyChangeListener) meth public void removePropertyChangeListener(java.beans.PropertyChangeListener) meth public {org.netbeans.modules.xml.xam.AbstractModelFactory%0} createFreshModel(org.netbeans.modules.xml.xam.ModelSource) supr java.lang.Object hfds LOG,SYNCER,cachedModels,factories,propSupport CLSS public abstract interface org.netbeans.modules.xml.xam.Component<%0 extends org.netbeans.modules.xml.xam.Component> meth public abstract <%0 extends {org.netbeans.modules.xml.xam.Component%0}> java.util.List<{%%0}> getChildren(java.lang.Class<{%%0}>) meth public abstract boolean canPaste(org.netbeans.modules.xml.xam.Component) meth public abstract java.util.List<{org.netbeans.modules.xml.xam.Component%0}> getChildren() meth public abstract java.util.List<{org.netbeans.modules.xml.xam.Component%0}> getChildren(java.util.Collection<java.lang.Class<? extends {org.netbeans.modules.xml.xam.Component%0}>>) meth public abstract org.netbeans.modules.xml.xam.Component copy({org.netbeans.modules.xml.xam.Component%0}) meth public abstract org.netbeans.modules.xml.xam.Model getModel() anno 0 org.netbeans.api.annotations.common.CheckForNull() meth public abstract {org.netbeans.modules.xml.xam.Component%0} getParent() CLSS public abstract interface org.netbeans.modules.xml.xam.Model<%0 extends org.netbeans.modules.xml.xam.Component<{org.netbeans.modules.xml.xam.Model%0}>> fld public final static java.lang.String STATE_PROPERTY = "state" innr public final static !enum State intf org.netbeans.modules.xml.xam.Referenceable meth public abstract boolean inSync() meth public abstract boolean isIntransaction() meth public abstract boolean startTransaction() anno 0 org.netbeans.api.annotations.common.CheckReturnValue() meth public abstract org.netbeans.modules.xml.xam.Model$State getState() meth public abstract org.netbeans.modules.xml.xam.ModelSource getModelSource() meth public abstract void addChildComponent(org.netbeans.modules.xml.xam.Component,org.netbeans.modules.xml.xam.Component,int) meth public abstract void addComponentListener(org.netbeans.modules.xml.xam.ComponentListener) meth public abstract void addPropertyChangeListener(java.beans.PropertyChangeListener) meth public abstract void addUndoableEditListener(javax.swing.event.UndoableEditListener) meth public abstract void addUndoableRefactorListener(javax.swing.event.UndoableEditListener) meth public abstract void endTransaction() meth public abstract void removeChildComponent(org.netbeans.modules.xml.xam.Component) meth public abstract void removeComponentListener(org.netbeans.modules.xml.xam.ComponentListener) meth public abstract void removePropertyChangeListener(java.beans.PropertyChangeListener) meth public abstract void removeUndoableEditListener(javax.swing.event.UndoableEditListener) meth public abstract void removeUndoableRefactorListener(javax.swing.event.UndoableEditListener) meth public abstract void sync() throws java.io.IOException CLSS public abstract interface org.netbeans.modules.xml.xam.Referenceable
Standard ML
3
timfel/netbeans
ide/xml.axi/nbproject/org-netbeans-modules-xml-axi.sig
[ "Apache-2.0" ]
`code` *text* ```{r} if(TRUE) { x <- 2.0 y <- 'some' } ``` **text**
RMarkdown
1
skeptycal/RTVS
src/Windows/R/Editor/Application.Test/Files/01.rmd
[ "MIT" ]
#!/usr/bin/env bash # requires a ghtoken set as GIT3PO_GH_TOKEN # likely invocation: # env GIT3PO_GH_TOKEN=<token> lighthouse-core/scripts/run-git3po.sh set -ex DIRNAME="$( cd "$( dirname "${BASH_SOURCE[0]}" )" && pwd )" cd "$DIRNAME" START_AT="$(date +%s -d '1 day ago')000" # Only install if the version has bumped installed_version=$(git3po --version) latest_version=$(npm info git3po version) if [[ "$installed_version" != "$latest_version" ]]; then npm install -g git3po fi find git3po-rules/*.yaml -exec git3po --start-at="$START_AT" -c {} \;
Shell
4
MB175/lighthouse
lighthouse-core/scripts/run-git3po.sh
[ "Apache-2.0" ]
package com.baeldung.interfaces.polymorphysim; import java.util.ArrayList; import java.util.List; public class MainTestClass { public static void main(String[] args) { List<Shape> shapes = new ArrayList<>(); Shape circleShape = new Circle(); Shape squareShape = new Square(); shapes.add(circleShape); shapes.add(squareShape); for (Shape shape : shapes) { System.out.println(shape.name()); } } }
Java
4
DBatOWL/tutorials
core-java-modules/core-java-lang-oop-inheritance/src/main/java/com/baeldung/interfaces/polymorphysim/MainTestClass.java
[ "MIT" ]
package com.baeldung.matrices; public class HomemadeMatrix { public static double[][] multiplyMatrices(double[][] firstMatrix, double[][] secondMatrix) { double[][] result = new double[firstMatrix.length][secondMatrix[0].length]; for (int row = 0; row < result.length; row++) { for (int col = 0; col < result[row].length; col++) { result[row][col] = multiplyMatricesCell(firstMatrix, secondMatrix, row, col); } } return result; } private static double multiplyMatricesCell(double[][] firstMatrix, double[][] secondMatrix, int row, int col) { double cell = 0; for (int i = 0; i < secondMatrix.length; i++) { cell += firstMatrix[row][i] * secondMatrix[i][col]; } return cell; } }
Java
4
DBatOWL/tutorials
core-java-modules/core-java-lang-math-2/src/main/java/com/baeldung/matrices/HomemadeMatrix.java
[ "MIT" ]
{!$oldMacro} {=$newMacro} {$modifier|noescape}
Latte
0
timfel/netbeans
php/php.latte/test/unit/data/testfiles/lexer/top/testIssue246488.latte
[ "Apache-2.0" ]
i 00001 02 24 00000 02 34 00003 i 00002 00 30 00006 00 22 00000 i 00003 02 35 00006 02 35 00006 i 00004 02 24 77777 02 34 00006 i 00005 02 34 00006 02 35 00007 i 00006 02 33 76543 00 22 00000 i 00007 06 33 12345 00 22 00000
Octave
0
besm6/mesm6
test/vtm_vzm_v1m/vtm_vzm_v1m.oct
[ "MIT" ]
// Copyright 2014 The Chromium Authors. All rights reserved. // Use of this source code is governed by a BSD-style license that can be // found in the LICENSE file. //------------------------------------------------ // Functions from libv4l2 used in chromium code. //------------------------------------------------ LIBV4L_PUBLIC int v4l2_close(int fd); LIBV4L_PUBLIC int v4l2_ioctl(int fd, unsigned long int request, ...); LIBV4L_PUBLIC int v4l2_fd_open(int fd, int v4l2_flags);
Standard ML
1
zealoussnow/chromium
media/gpu/v4l2/v4l2.sig
[ "BSD-3-Clause-No-Nuclear-License-2014", "BSD-3-Clause" ]
global function CodeCallback_MapInit void function CodeCallback_MapInit() { AddCallback_EntitiesDidLoad( InitComplexRings ) } void function InitComplexRings() { entity rings = GetEntByScriptName( "rings_pristine" ) thread PlayAnim( rings, "animated_slow" ) }
Squirrel
4
GeckoEidechse/NorthstarMods
Northstar.CustomServers/mod/scripts/vscripts/mp/levels/mp_complex3.nut
[ "MIT" ]
//===--- ParsedRawSyntaxRecorder.cpp - Raw Syntax Parsing Recorder --------===// // // This source file is part of the Swift.org open source project // // Copyright (c) 2014 - 2019 Apple Inc. and the Swift project authors // Licensed under Apache License v2.0 with Runtime Library Exception // // See https://swift.org/LICENSE.txt for license information // See https://swift.org/CONTRIBUTORS.txt for the list of Swift project authors // //===----------------------------------------------------------------------===// // // This file defines the ParsedRawSyntaxRecorder, which is the interface the // parser is using to pass parsed syntactic elements to a SyntaxParseActions // receiver and get a ParsedRawSyntaxNode object back. // //===----------------------------------------------------------------------===// #include "swift/Parse/ParsedRawSyntaxRecorder.h" #include "swift/Parse/ParsedRawSyntaxNode.h" #include "swift/Parse/ParsedTrivia.h" #include "swift/Parse/SyntaxParseActions.h" #include "swift/Parse/SyntaxParsingContext.h" #include "swift/Parse/Token.h" #include "swift/Syntax/SyntaxKind.h" using namespace swift; using namespace swift::syntax; ParsedRawSyntaxNode ParsedRawSyntaxRecorder::recordMissingToken(tok tokenKind, SourceLoc loc) { OpaqueSyntaxNode n = SPActions->recordMissingToken(tokenKind, loc); return makeParsedRawSyntaxNode(n, SyntaxKind::Token, tokenKind, ParsedRawSyntaxNode::DataKind::Recorded, /*isMissing=*/true, CharSourceRange(loc, 0)); } ParsedRawSyntaxNode ParsedRawSyntaxRecorder::recordEmptyRawSyntaxCollection(SyntaxKind kind, SourceLoc loc) { OpaqueSyntaxNode n = SPActions->recordRawSyntax(kind, {}); return makeParsedRawSyntaxNode(n, kind, tok::unknown, ParsedRawSyntaxNode::DataKind::Recorded, /*IsMissing=*/false, CharSourceRange(loc, 0)); } ParsedRawSyntaxNode ParsedRawSyntaxRecorder::makeDeferredMissing(tok tokKind, SourceLoc loc) { auto Data = SPActions->makeDeferredToken( tokKind, /*leadingTrivia=*/StringRef(), /*trailingTrivia=*/StringRef(), CharSourceRange(loc, /*Length=*/0), /*IsMissing=*/true); return makeParsedRawSyntaxNode(Data, SyntaxKind::Token, tokKind, ParsedRawSyntaxNode::DataKind::DeferredToken, /*IsMissing=*/true, CharSourceRange(loc, /*Length=*/0)); } ParseLookupResult ParsedRawSyntaxRecorder::lookupNode(size_t lexerOffset, SourceLoc loc, SyntaxKind kind) { size_t length; OpaqueSyntaxNode n; std::tie(length, n) = SPActions->lookupNode(lexerOffset, kind); if (length == 0) { return ParseLookupResult(ParsedRawSyntaxNode::null(), length); } return ParseLookupResult( makeParsedRawSyntaxNode( n, kind, tok::unknown, ParsedRawSyntaxNode::DataKind::Recorded, /*IsMissing=*/false, CharSourceRange(loc, unsigned(length))), length); } ParsedRawSyntaxNode ParsedRawSyntaxRecorder::getDeferredChild(const ParsedRawSyntaxNode &parent, size_t childIndex) const { assert(parent.isDeferredLayout()); auto childInfo = SPActions->getDeferredChild( parent.getUnsafeDeferredOpaqueData(), childIndex); #ifdef PARSEDRAWSYNTAXNODE_VERIFY_RANGES auto range = SPActions->getDeferredChildRange( parent.getUnsafeDeferredOpaqueData(), childIndex, parent.getRange().getStart()); return ParsedRawSyntaxNode(childInfo.Data, childInfo.SyntaxKind, childInfo.TokenKind, childInfo.IsMissing, range); #else return ParsedRawSyntaxNode(childInfo.Data, childInfo.SyntaxKind, childInfo.TokenKind, childInfo.IsMissing); #endif } size_t ParsedRawSyntaxRecorder::getDeferredNumChildren( const ParsedRawSyntaxNode &node) const { assert(node.isDeferredLayout()); return SPActions->getDeferredNumChildren(node.getUnsafeDeferredOpaqueData()); } #ifdef PARSEDRAWSYNTAXNODE_VERIFY_RANGES CharSourceRange ParsedRawSyntaxRecorder::verifyElementRanges( ArrayRef<ParsedRawSyntaxNode> elements) { SourceLoc startLoc; unsigned length = 0; SourceLoc prevEndLoc; for (const auto &elem: elements) { if (elem.isNull() || elem.isMissing()) continue; CharSourceRange range = elem.getRange(); if (range.isValid()) { if (startLoc.isInvalid()) { startLoc = range.getStart(); } length += range.getByteLength(); assert((prevEndLoc.isInvalid() || range.getStart() == prevEndLoc) && "Non-contiguous child ranges?"); prevEndLoc = range.getEnd(); } } return CharSourceRange(startLoc, length); } #endif
C++
5
gandhi56/swift
lib/Parse/ParsedRawSyntaxRecorder.cpp
[ "Apache-2.0" ]
.q-file .q-field__native word-break: break-all overflow: hidden .q-field__input opacity: 0 !important &::-webkit-file-upload-button cursor: pointer &__filler visibility: hidden width: 100% border: none padding: 0 &__dnd outline: 1px dashed currentColor outline-offset: -4px
Sass
2
ygyg70/quasar
ui/src/components/file/QFile.sass
[ "MIT" ]
<!---================= Room Booking System / https://github.com/neokoenig =======================---> <!--- Agenda Filter ---> <cfoutput> #panel(title="Filter", class="hidden-print panel-primary")# #startFormTag(action="list", method="get", name="listfilter")# #hiddenFieldTag(name="filterActive", value=1)# #hiddenFieldTag(name="controller", value=params.controller)# #hiddenFieldTag(name="action", value=params.action)# <div class="row"> <div class="col-md-4"> <div class="row"> <div class="col-md-6"> #textFieldTag(name="datefrom", value=params.datefrom, label="From")# </div> <div class="col-md-6"> #textFieldTag(name="dateto", value=params.dateto, label="To")# </div> </div> <div class="row"> <div class="col-md-12"> #textFieldTag(name="q", value=params.q, label="Keyword")# </div> </div> </div> <div class="col-md-3"> #selectTag(name="location", multiple=true, options=locations, label="Locations", selected=params.location, includeBlank="[All Locations]")# <p class="help-block">Ctrl+click to select multiple locations</p> </div> <div class="col-md-2"> #selectTag(name="status", includeBlank="&lt;any&gt;", options="pending,approved,denied", label="Status")# </div> <div class="col-md-1"> #submitTag(Value="Go", class="btn btn-primary filter-pushdown")# </div> </div> #endFormTag()# #panelEnd()# </cfoutput>
ColdFusion
4
fintecheando/RoomBooking
views/bookings/list/_filter.cfm
[ "Apache-1.1" ]
# This file is a part of Julia. License is MIT: https://julialang.org/license using Test # helper function for returning stderr and stdout # from running a command (ignoring failure status) function readchomperrors(exename::Cmd) out = Base.PipeEndpoint() err = Base.PipeEndpoint() p = run(exename, devnull, out, err, wait=false) o = @async(readchomp(out)) e = @async(readchomp(err)) return (success(p), fetch(o), fetch(e)) end let exename = Base.julia_cmd() @show readchomperrors(`$exename -e "f() = f(); f()"`) @show readchomperrors(`$exename -e "f() = f(); fetch(@async f())"`) end
Julia
5
vanillajonathan/julia
test/stack_overflow.jl
[ "Zlib" ]
func $foo ( var %i i32 #var %i1 i32, var %j1 i32, var %k1 i32 ) i32 { return ( extractbits i32 1 23( constval i32 -998))} func $foo1 ( var %i i32, var %j i32, var %k i32, var %i1 i32, var %j1 i32, var %k1 i32 ) i32 { return ( extractbits i32 0 5(dread i32 %i))} func $foo11 ( var %i i32, var %j i32, var %k i32, var %i1 i32, var %j1 i32, var %k1 i32 ) u32 { return ( extractbits u32 0 5(dread i32 %i))} func $foo2 ( var %i i32, var %j i32, var %k i32 ) i32 { return ( extractbits i32 3 20(constval i32 0x111111111))} func $foo3 ( var %i i64, var %j i64, var %k i32 ) i64 { return ( extractbits i64 5 50(dread i64 %i))} func $foo4 ( var %i i64, var %j i64, var %k i32 )i64 { return ( extractbits i64 6 44 (dread i64 %i))} func $foo5 ( var %i i64, var %j i64, var %k i32 ) i64 { return ( extractbits i64 1 10(constval i64 0x11111))} func $foo9 ( var %i i8, var %j u8, var %k i32) u32 { return ( extractbits u32 1 31(dread u32 %j))} func $foo51 ( var %i u64 ) u64 { return ( extractbits u64 0 15(constval i64 0x11111))} func $foo52 ( var %i i32 ) i32 { return ( sext i32 11 (constval i32 99))} func $foo53 ( var %i u64 ) u64 { return ( zext u64 11 (constval u64 88))} func $foo54 ( var %i i32 ) i32 { return ( sext i32 11 (dread i32 %i))} func $foo55 ( var %i u64 ) u64 { return ( zext u64 41 (dread u64 %i))} # EXEC: %irbuild Main.mpl # EXEC: %irbuild Main.irb.mpl # EXEC: %cmp Main.irb.mpl Main.irb.irb.mpl
Maple
2
harmonyos-mirror/OpenArkCompiler-test
test/testsuite/irbuild_test/I0028-mapleall-irbuild-edge-extractbits/Main.mpl
[ "MulanPSL-1.0" ]